From 5e2358117d5efe154a9c192de01e50c1f84f6a43 Mon Sep 17 00:00:00 2001 From: Adam Liwo Date: Sun, 26 Oct 2014 16:01:04 -0400 Subject: [PATCH] Commit new changes 10/26/14 --- PARAM/sccor_am1_pawel.dat |13772 ++++++++------------ .../MD/unres_Tc_procor_oldparm_em64-D-symetr.exe | Bin 4095569 -> 4094814 bytes .../MD/unres_gfortran_single_decoy_E0LL2Y.exe | Bin 621657 -> 3869713 bytes bin/unres/MD/unres_ifort_single_decoy_E0LL2Y.exe | Bin 0 -> 2510972 bytes bin/wham/wham_ifort_MPICH_GAB-DEBUG.exe | Bin 2822906 -> 2816379 bytes source/unres/src_CSA/Makefile | 1 + source/unres/src_Eshel/Makefile_single_gfortran | 8 +- source/unres/src_Eshel/readpdb.F | 158 +- source/unres/src_Eshel/readpdb.F.safe | 441 + source/unres/src_MD-DFA-restraints/MREMD.F | 11 +- source/unres/src_MD-M-newcorr/MREMD.F | 11 +- source/unres/src_MD-M/MREMD.F | 11 +- source/unres/src_MD-M/readpdb.F | 201 +- source/unres/src_MD-M/readpdb.f | Bin 43512 -> 0 bytes source/unres/src_MD-M/readpdb.f.safe | Bin 0 -> 43512 bytes source/unres/src_MD-NEWSC-NEWC/MREMD.F | 14 +- source/unres/src_MD-NEWSC/MREMD.F | 14 +- source/unres/src_MD-restraints-PM/CMakeLists.txt | 398 - source/unres/src_MD-restraints-PM/COMMON.BOUNDS | 2 - source/unres/src_MD-restraints-PM/COMMON.CACHE | 6 - source/unres/src_MD-restraints-PM/COMMON.CALC | 15 - source/unres/src_MD-restraints-PM/COMMON.CHAIN | 13 - source/unres/src_MD-restraints-PM/COMMON.CONTACTS | 82 - .../src_MD-restraints-PM/COMMON.CONTACTS.moment | 68 - source/unres/src_MD-restraints-PM/COMMON.CONTROL | 15 - source/unres/src_MD-restraints-PM/COMMON.DBASE | 3 - source/unres/src_MD-restraints-PM/COMMON.DERIV | 36 - source/unres/src_MD-restraints-PM/COMMON.DISTFIT | 14 - source/unres/src_MD-restraints-PM/COMMON.FFIELD | 25 - source/unres/src_MD-restraints-PM/COMMON.GEO | 2 - source/unres/src_MD-restraints-PM/COMMON.HAIRPIN | 5 - source/unres/src_MD-restraints-PM/COMMON.HEADER | 2 - source/unres/src_MD-restraints-PM/COMMON.INFO | 21 - source/unres/src_MD-restraints-PM/COMMON.INTERACT | 34 - source/unres/src_MD-restraints-PM/COMMON.IOUNITS | 69 - source/unres/src_MD-restraints-PM/COMMON.LANGEVIN | 21 - .../src_MD-restraints-PM/COMMON.LANGEVIN.lang0 | 11 - source/unres/src_MD-restraints-PM/COMMON.LOCAL | 55 - source/unres/src_MD-restraints-PM/COMMON.LOCMOVE | 19 - source/unres/src_MD-restraints-PM/COMMON.MAP | 4 - source/unres/src_MD-restraints-PM/COMMON.MAXGRAD | 12 - source/unres/src_MD-restraints-PM/COMMON.MCE | 13 - source/unres/src_MD-restraints-PM/COMMON.MCM | 70 - source/unres/src_MD-restraints-PM/COMMON.MD | 81 - source/unres/src_MD-restraints-PM/COMMON.MINIM | 5 - source/unres/src_MD-restraints-PM/COMMON.MUCA | 10 - source/unres/src_MD-restraints-PM/COMMON.NAMES | 7 - source/unres/src_MD-restraints-PM/COMMON.REMD | 36 - source/unres/src_MD-restraints-PM/COMMON.SBRIDGE | 17 - source/unres/src_MD-restraints-PM/COMMON.SCCOR | 17 - source/unres/src_MD-restraints-PM/COMMON.SCROT | 3 - source/unres/src_MD-restraints-PM/COMMON.SETUP | 21 - source/unres/src_MD-restraints-PM/COMMON.SPLITELE | 2 - source/unres/src_MD-restraints-PM/COMMON.THREAD | 7 - source/unres/src_MD-restraints-PM/COMMON.TIME1 | 28 - source/unres/src_MD-restraints-PM/COMMON.TORCNSTR | 6 - source/unres/src_MD-restraints-PM/COMMON.TORSION | 23 - source/unres/src_MD-restraints-PM/COMMON.VAR | 21 - source/unres/src_MD-restraints-PM/COMMON.VECTORS | 3 - source/unres/src_MD-restraints-PM/DIMENSIONS | 139 - source/unres/src_MD-restraints-PM/DIMENSIONS.2100 | 80 - source/unres/src_MD-restraints-PM/DIMENSIONS.4100 | 80 - source/unres/src_MD-restraints-PM/MD_A-MTS.F | 3461 ----- source/unres/src_MD-restraints-PM/MP.F | 516 - source/unres/src_MD-restraints-PM/MREMD.F | 2112 --- source/unres/src_MD-restraints-PM/Makefile | 1 - .../Makefile-intrepid-with-tau | 154 - .../src_MD-restraints-PM/Makefile.tau-mpi-f77-pdt | 860 -- .../src_MD-restraints-PM/Makefile_MPICH_ifort | 127 - source/unres/src_MD-restraints-PM/Makefile_aix_xlf | 113 - source/unres/src_MD-restraints-PM/Makefile_bigben | 138 - .../src_MD-restraints-PM/Makefile_bigben-oldparm | 136 - .../unres/src_MD-restraints-PM/Makefile_bigben-tau | 137 - source/unres/src_MD-restraints-PM/Makefile_galera | 147 - .../unres/src_MD-restraints-PM/Makefile_intrepid | 151 - .../src_MD-restraints-PM/Makefile_single_gfortran | 130 - .../src_MD-restraints-PM/Makefile_single_ifort | 127 - source/unres/src_MD-restraints-PM/README | 2 - source/unres/src_MD-restraints-PM/add.f | 28 - source/unres/src_MD-restraints-PM/arcos.f | 9 - source/unres/src_MD-restraints-PM/banach.f | 99 - source/unres/src_MD-restraints-PM/blas.f | 575 - source/unres/src_MD-restraints-PM/bond_move.f | 124 - source/unres/src_MD-restraints-PM/build.txt | 1 - source/unres/src_MD-restraints-PM/cartder.F | 314 - source/unres/src_MD-restraints-PM/cartprint.f | 19 - source/unres/src_MD-restraints-PM/chainbuild.F | 274 - source/unres/src_MD-restraints-PM/change.awk | 11 - source/unres/src_MD-restraints-PM/check_bond.f | 20 - source/unres/src_MD-restraints-PM/check_sc_distr.f | 43 - source/unres/src_MD-restraints-PM/checkder_p.F | 713 - source/unres/src_MD-restraints-PM/compare_s1.F | 188 - source/unres/src_MD-restraints-PM/compinfo.c | 82 - source/unres/src_MD-restraints-PM/contact.f | 195 - source/unres/src_MD-restraints-PM/convert.f | 196 - source/unres/src_MD-restraints-PM/cored.f | 3151 ----- source/unres/src_MD-restraints-PM/dihed_cons.F | 185 - source/unres/src_MD-restraints-PM/djacob.f | 107 - source/unres/src_MD-restraints-PM/econstr_local.F | 91 - source/unres/src_MD-restraints-PM/eigen.f | 2351 ---- source/unres/src_MD-restraints-PM/elecont.f | 509 - .../energy_p_new-sep_barrier.F | 2322 ---- .../src_MD-restraints-PM/energy_p_new_barrier.F | 9441 -------------- .../unres/src_MD-restraints-PM/energy_split-sep.F | 476 - source/unres/src_MD-restraints-PM/entmcm.F | 684 - source/unres/src_MD-restraints-PM/fitsq.f | 364 - source/unres/src_MD-restraints-PM/gauss.f | 69 - source/unres/src_MD-restraints-PM/gen_rand_conf.F | 910 -- source/unres/src_MD-restraints-PM/geomout.F | 522 - source/unres/src_MD-restraints-PM/gnmr1.f | 43 - source/unres/src_MD-restraints-PM/gradient_p.F | 421 - source/unres/src_MD-restraints-PM/initialize_p.F | 1394 -- source/unres/src_MD-restraints-PM/int_to_cart.f | 278 - source/unres/src_MD-restraints-PM/intcartderiv.F | 725 -- source/unres/src_MD-restraints-PM/intcor.f | 91 - source/unres/src_MD-restraints-PM/intlocal.f | 517 - source/unres/src_MD-restraints-PM/kinetic_lesyng.f | 104 - .../unres/src_MD-restraints-PM/lagrangian_lesyng.F | 726 -- source/unres/src_MD-restraints-PM/local_move.f | 972 -- source/unres/src_MD-restraints-PM/map.f | 90 - source/unres/src_MD-restraints-PM/matmult.f | 18 - source/unres/src_MD-restraints-PM/mc.F | 819 -- source/unres/src_MD-restraints-PM/mcm.F | 1481 --- source/unres/src_MD-restraints-PM/minim_mcmf.F | 121 - source/unres/src_MD-restraints-PM/minimize_p.F | 641 - source/unres/src_MD-restraints-PM/misc.f | 203 - source/unres/src_MD-restraints-PM/moments.f | 328 - source/unres/src_MD-restraints-PM/muca_md.f | 334 - source/unres/src_MD-restraints-PM/parmread.F | 1036 -- source/unres/src_MD-restraints-PM/pinorm.f | 17 - source/unres/src_MD-restraints-PM/printmat.f | 16 - source/unres/src_MD-restraints-PM/prng.f | 525 - source/unres/src_MD-restraints-PM/prng_32.F | 1077 -- source/unres/src_MD-restraints-PM/proc_proc.c | 139 - source/unres/src_MD-restraints-PM/q_measure.F | 487 - source/unres/src_MD-restraints-PM/q_measure1.F | 470 - source/unres/src_MD-restraints-PM/q_measure3.F | 529 - source/unres/src_MD-restraints-PM/randgens.f | 99 - source/unres/src_MD-restraints-PM/rattle.F | 706 - source/unres/src_MD-restraints-PM/readpdb.F | 432 - source/unres/src_MD-restraints-PM/readrtns.F | 2778 ---- source/unres/src_MD-restraints-PM/refsys.f | 60 - source/unres/src_MD-restraints-PM/regularize.F | 76 - source/unres/src_MD-restraints-PM/rescode.f | 32 - source/unres/src_MD-restraints-PM/rmdd.f | 159 - source/unres/src_MD-restraints-PM/rmsd.F | 140 - source/unres/src_MD-restraints-PM/sc_move.F | 823 -- source/unres/src_MD-restraints-PM/sizes.i | 83 - source/unres/src_MD-restraints-PM/sort.f | 589 - source/unres/src_MD-restraints-PM/ssMD.F | 1951 --- source/unres/src_MD-restraints-PM/stochfric.F | 626 - source/unres/src_MD-restraints-PM/sumsld.f | 1446 -- source/unres/src_MD-restraints-PM/surfatom.f | 494 - source/unres/src_MD-restraints-PM/test.F | 863 -- source/unres/src_MD-restraints-PM/thread.F | 549 - source/unres/src_MD-restraints-PM/timing.F | 344 - source/unres/src_MD-restraints-PM/unres.F | 798 -- source/unres/src_MD-restraints-PM/xdrf | 1 - source/unres/src_MD-restraints/MREMD.F | 11 +- source/unres/src_MD/MREMD.F | 14 +- source/unres/src_MD_DFA/MREMD.F | 14 +- 161 files changed, 6446 insertions(+), 68872 deletions(-) create mode 100755 bin/unres/MD/unres_ifort_single_decoy_E0LL2Y.exe create mode 120000 source/unres/src_CSA/Makefile create mode 100644 source/unres/src_Eshel/readpdb.F.safe delete mode 100644 source/unres/src_MD-M/readpdb.f create mode 100644 source/unres/src_MD-M/readpdb.f.safe delete mode 100644 source/unres/src_MD-restraints-PM/CMakeLists.txt delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.BOUNDS delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.CACHE delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.CALC delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.CHAIN delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.CONTACTS delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.CONTACTS.moment delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.CONTROL delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.DBASE delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.DERIV delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.DISTFIT delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.FFIELD delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.GEO delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.HAIRPIN delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.HEADER delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.INFO delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.INTERACT delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.IOUNITS delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.LANGEVIN delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.LANGEVIN.lang0 delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.LOCAL delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.LOCMOVE delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.MAP delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.MAXGRAD delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.MCE delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.MCM delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.MD delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.MINIM delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.MUCA delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.NAMES delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.REMD delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.SBRIDGE delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.SCCOR delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.SCROT delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.SETUP delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.SPLITELE delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.THREAD delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.TIME1 delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.TORCNSTR delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.TORSION delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.VAR delete mode 100644 source/unres/src_MD-restraints-PM/COMMON.VECTORS delete mode 100644 source/unres/src_MD-restraints-PM/DIMENSIONS delete mode 100644 source/unres/src_MD-restraints-PM/DIMENSIONS.2100 delete mode 100644 source/unres/src_MD-restraints-PM/DIMENSIONS.4100 delete mode 100644 source/unres/src_MD-restraints-PM/MD_A-MTS.F delete mode 100644 source/unres/src_MD-restraints-PM/MP.F delete mode 100644 source/unres/src_MD-restraints-PM/MREMD.F delete mode 120000 source/unres/src_MD-restraints-PM/Makefile delete mode 100644 source/unres/src_MD-restraints-PM/Makefile-intrepid-with-tau delete mode 100644 source/unres/src_MD-restraints-PM/Makefile.tau-mpi-f77-pdt delete mode 100644 source/unres/src_MD-restraints-PM/Makefile_MPICH_ifort delete mode 100644 source/unres/src_MD-restraints-PM/Makefile_aix_xlf delete mode 100644 source/unres/src_MD-restraints-PM/Makefile_bigben delete mode 100644 source/unres/src_MD-restraints-PM/Makefile_bigben-oldparm delete mode 100644 source/unres/src_MD-restraints-PM/Makefile_bigben-tau delete mode 100644 source/unres/src_MD-restraints-PM/Makefile_galera delete mode 100644 source/unres/src_MD-restraints-PM/Makefile_intrepid delete mode 100644 source/unres/src_MD-restraints-PM/Makefile_single_gfortran delete mode 100644 source/unres/src_MD-restraints-PM/Makefile_single_ifort delete mode 100644 source/unres/src_MD-restraints-PM/README delete mode 100644 source/unres/src_MD-restraints-PM/add.f delete mode 100644 source/unres/src_MD-restraints-PM/arcos.f delete mode 100644 source/unres/src_MD-restraints-PM/banach.f delete mode 100644 source/unres/src_MD-restraints-PM/blas.f delete mode 100644 source/unres/src_MD-restraints-PM/bond_move.f delete mode 100644 source/unres/src_MD-restraints-PM/build.txt delete mode 100644 source/unres/src_MD-restraints-PM/cartder.F delete mode 100644 source/unres/src_MD-restraints-PM/cartprint.f delete mode 100644 source/unres/src_MD-restraints-PM/chainbuild.F delete mode 100644 source/unres/src_MD-restraints-PM/change.awk delete mode 100644 source/unres/src_MD-restraints-PM/check_bond.f delete mode 100644 source/unres/src_MD-restraints-PM/check_sc_distr.f delete mode 100644 source/unres/src_MD-restraints-PM/checkder_p.F delete mode 100644 source/unres/src_MD-restraints-PM/compare_s1.F delete mode 100644 source/unres/src_MD-restraints-PM/compinfo.c delete mode 100644 source/unres/src_MD-restraints-PM/contact.f delete mode 100644 source/unres/src_MD-restraints-PM/convert.f delete mode 100644 source/unres/src_MD-restraints-PM/cored.f delete mode 100644 source/unres/src_MD-restraints-PM/dihed_cons.F delete mode 100644 source/unres/src_MD-restraints-PM/djacob.f delete mode 100644 source/unres/src_MD-restraints-PM/econstr_local.F delete mode 100644 source/unres/src_MD-restraints-PM/eigen.f delete mode 100644 source/unres/src_MD-restraints-PM/elecont.f delete mode 100644 source/unres/src_MD-restraints-PM/energy_p_new-sep_barrier.F delete mode 100644 source/unres/src_MD-restraints-PM/energy_p_new_barrier.F delete mode 100644 source/unres/src_MD-restraints-PM/energy_split-sep.F delete mode 100644 source/unres/src_MD-restraints-PM/entmcm.F delete mode 100644 source/unres/src_MD-restraints-PM/fitsq.f delete mode 100644 source/unres/src_MD-restraints-PM/gauss.f delete mode 100644 source/unres/src_MD-restraints-PM/gen_rand_conf.F delete mode 100644 source/unres/src_MD-restraints-PM/geomout.F delete mode 100644 source/unres/src_MD-restraints-PM/gnmr1.f delete mode 100644 source/unres/src_MD-restraints-PM/gradient_p.F delete mode 100644 source/unres/src_MD-restraints-PM/initialize_p.F delete mode 100644 source/unres/src_MD-restraints-PM/int_to_cart.f delete mode 100644 source/unres/src_MD-restraints-PM/intcartderiv.F delete mode 100644 source/unres/src_MD-restraints-PM/intcor.f delete mode 100644 source/unres/src_MD-restraints-PM/intlocal.f delete mode 100644 source/unres/src_MD-restraints-PM/kinetic_lesyng.f delete mode 100644 source/unres/src_MD-restraints-PM/lagrangian_lesyng.F delete mode 100644 source/unres/src_MD-restraints-PM/local_move.f delete mode 100644 source/unres/src_MD-restraints-PM/map.f delete mode 100644 source/unres/src_MD-restraints-PM/matmult.f delete mode 100644 source/unres/src_MD-restraints-PM/mc.F delete mode 100644 source/unres/src_MD-restraints-PM/mcm.F delete mode 100644 source/unres/src_MD-restraints-PM/minim_mcmf.F delete mode 100644 source/unres/src_MD-restraints-PM/minimize_p.F delete mode 100644 source/unres/src_MD-restraints-PM/misc.f delete mode 100644 source/unres/src_MD-restraints-PM/moments.f delete mode 100644 source/unres/src_MD-restraints-PM/muca_md.f delete mode 100644 source/unres/src_MD-restraints-PM/parmread.F delete mode 100644 source/unres/src_MD-restraints-PM/pinorm.f delete mode 100644 source/unres/src_MD-restraints-PM/printmat.f delete mode 100644 source/unres/src_MD-restraints-PM/prng.f delete mode 100644 source/unres/src_MD-restraints-PM/prng_32.F delete mode 100644 source/unres/src_MD-restraints-PM/proc_proc.c delete mode 100644 source/unres/src_MD-restraints-PM/q_measure.F delete mode 100644 source/unres/src_MD-restraints-PM/q_measure1.F delete mode 100644 source/unres/src_MD-restraints-PM/q_measure3.F delete mode 100644 source/unres/src_MD-restraints-PM/randgens.f delete mode 100644 source/unres/src_MD-restraints-PM/rattle.F delete mode 100644 source/unres/src_MD-restraints-PM/readpdb.F delete mode 100644 source/unres/src_MD-restraints-PM/readrtns.F delete mode 100644 source/unres/src_MD-restraints-PM/refsys.f delete mode 100644 source/unres/src_MD-restraints-PM/regularize.F delete mode 100644 source/unres/src_MD-restraints-PM/rescode.f delete mode 100644 source/unres/src_MD-restraints-PM/rmdd.f delete mode 100644 source/unres/src_MD-restraints-PM/rmsd.F delete mode 100644 source/unres/src_MD-restraints-PM/sc_move.F delete mode 100644 source/unres/src_MD-restraints-PM/sizes.i delete mode 100644 source/unres/src_MD-restraints-PM/sort.f delete mode 100644 source/unres/src_MD-restraints-PM/ssMD.F delete mode 100644 source/unres/src_MD-restraints-PM/stochfric.F delete mode 100644 source/unres/src_MD-restraints-PM/sumsld.f delete mode 100644 source/unres/src_MD-restraints-PM/surfatom.f delete mode 100644 source/unres/src_MD-restraints-PM/test.F delete mode 100644 source/unres/src_MD-restraints-PM/thread.F delete mode 100644 source/unres/src_MD-restraints-PM/timing.F delete mode 100644 source/unres/src_MD-restraints-PM/unres.F delete mode 120000 source/unres/src_MD-restraints-PM/xdrf diff --git a/PARAM/sccor_am1_pawel.dat b/PARAM/sccor_am1_pawel.dat index 0f994b6..905b24d 100644 --- a/PARAM/sccor_am1_pawel.dat +++ b/PARAM/sccor_am1_pawel.dat @@ -1,8402 +1,6002 @@ -20 *** Parameters derived by pdb statistical analysis by Shelly Rackovsky *** -1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -6 0 *********** SCCC-cys-cys - 1 5.45931E-02 -1.10282E-01 - 2 4.82158E-01 -2.25706E-01 - 3 -3.67560E-02 -2.97931E-01 - 4 -2.49045E-01 9.67159E-02 - 5 1.34632E-01 -1.09511E-01 - 6 -1.68916E-01 -2.57077E-01 -6 0 *********** SCCC-cys-met - 1 6.40963E-03 -8.09148E-02 - 2 2.48432E-01 -1.35278E-01 - 3 -7.67158E-02 -2.09906E-01 - 4 -2.03279E-01 1.80072E-01 - 5 1.17018E-01 -7.28347E-02 - 6 -1.16434E-01 -2.55404E-01 -6 0 *********** SCCC-cys-phe - 1 2.46957E-03 -6.90023E-02 - 2 2.82778E-01 -1.40088E-01 - 3 -5.93369E-02 -2.06898E-01 - 4 -2.07033E-01 1.72839E-01 - 5 1.29682E-01 -7.80802E-02 - 6 -1.24887E-01 -2.47229E-01 -6 0 *********** SCCC-cys-ile - 1 5.17851E-02 -1.17234E-01 - 2 1.68169E-01 -1.89794E-01 - 3 -9.59141E-02 -2.27578E-01 - 4 -1.93878E-01 1.62680E-01 - 5 7.40516E-02 -6.99551E-02 - 6 -1.00504E-01 -2.37842E-01 -6 0 *********** SCCC-cys-leu - 1 6.44195E-03 -7.49974E-02 - 2 1.99861E-01 -1.57652E-01 - 3 -7.86098E-02 -1.91358E-01 - 4 -2.05404E-01 2.00564E-01 - 5 1.23188E-01 -6.63393E-02 - 6 -1.08968E-01 -2.43074E-01 -6 0 *********** SCCC-cys-val - 1 3.93569E-02 -9.96239E-02 - 2 1.45329E-01 -1.74471E-01 - 3 -8.40500E-02 -2.07350E-01 - 4 -1.82728E-01 1.67441E-01 - 5 7.94596E-02 -7.25319E-02 - 6 -1.01735E-01 -2.34355E-01 -6 0 *********** SCCC-cys-trp - 1 1.11121E-02 -7.80568E-02 - 2 3.01485E-01 -1.43698E-01 - 3 -5.84868E-02 -2.18165E-01 - 4 -2.03775E-01 1.55923E-01 - 5 1.21116E-01 -8.21209E-02 - 6 -1.27457E-01 -2.46761E-01 -6 0 *********** SCCC-cys-tyr - 1 1.92918E-03 -6.91731E-02 - 2 2.81784E-01 -1.38897E-01 - 3 -5.98217E-02 -2.06231E-01 - 4 -2.06598E-01 1.73506E-01 - 5 1.29844E-01 -7.77232E-02 - 6 -1.24495E-01 -2.47822E-01 -6 0 *********** SCCC-cys-ala - 1 -3.55771E-02 -5.72553E-02 - 2 2.00780E-01 -5.32466E-02 - 3 -8.65932E-02 -1.79483E-01 - 4 -1.97823E-01 2.14875E-01 - 5 1.24288E-01 -6.63089E-02 - 6 -1.14348E-01 -2.83161E-01 -6 0 *********** SCCC-cys-gly - 1 -8.99719E-01 -3.06730E-01 - 2 5.19510E-01 2.67231E-01 - 3 -1.24890E-01 4.30351E-02 - 4 3.79635E-02 9.73085E-02 - 5 -9.52232E-02 2.26545E-02 - 6 -3.94545E-02 -1.71337E-01 -6 0 *********** SCCC-cys-thr - 1 2.31120E-02 -6.02100E-02 - 2 2.46281E-01 -1.62147E-01 - 3 -5.70137E-02 -2.11843E-01 - 4 -2.20818E-01 1.23064E-01 - 5 9.00645E-02 -6.72328E-02 - 6 -1.20063E-01 -2.02380E-01 -6 0 *********** SCCC-cys-ser - 1 1.98782E-01 -1.89672E-01 - 2 4.88464E-01 -4.97095E-01 - 3 -4.16198E-02 -3.79945E-01 - 4 -3.24676E-01 2.52388E-02 - 5 8.39623E-02 -1.36651E-01 - 6 -2.00125E-01 -1.86076E-01 -6 0 *********** SCCC-cys-gln - 1 1.64904E-02 -8.99450E-02 - 2 3.60622E-01 -1.39490E-01 - 3 -5.96330E-02 -2.47606E-01 - 4 -2.11874E-01 1.40631E-01 - 5 1.18551E-01 -9.01857E-02 - 6 -1.37465E-01 -2.63109E-01 -6 0 *********** SCCC-cys-asn - 1 -1.43719E-02 -9.83107E-02 - 2 6.38476E-01 -3.38175E-02 - 3 -1.01279E-02 -3.39412E-01 - 4 -2.25531E-01 1.02705E-01 - 5 1.60814E-01 -1.35305E-01 - 6 -1.88797E-01 -3.61858E-01 -6 0 *********** SCCC-cys-glu - 1 4.31092E-02 -9.64928E-02 - 2 3.60906E-01 -1.94302E-01 - 3 -5.09037E-02 -2.55149E-01 - 4 -2.18269E-01 1.27379E-01 - 5 1.15615E-01 -9.38484E-02 - 6 -1.39056E-01 -2.47579E-01 -6 0 *********** SCCC-cys-asp - 1 -2.30799E-02 -7.27225E-02 - 2 4.92423E-01 -9.86162E-02 - 3 -3.52881E-02 -2.59979E-01 - 4 -2.35300E-01 1.57689E-01 - 5 1.83470E-01 -9.35041E-02 - 6 -1.58576E-01 -2.98743E-01 -6 0 *********** SCCC-cys-his - 1 6.84440E-02 -1.23694E-01 - 2 5.52244E-01 -2.04733E-01 - 3 -2.98255E-02 -3.41767E-01 - 4 -2.53115E-01 7.43386E-02 - 5 1.24230E-01 -1.23824E-01 - 6 -1.78848E-01 -2.80315E-01 -6 0 *********** SCCC-cys-arg - 1 -2.44467E-03 -7.29119E-02 - 2 2.13443E-01 -1.14090E-01 - 3 -7.85595E-02 -1.92981E-01 - 4 -1.92943E-01 1.95561E-01 - 5 1.20217E-01 -6.61456E-02 - 6 -1.06840E-01 -2.58793E-01 -6 0 *********** SCCC-cys-lys - 1 -1.27507E-02 -6.55858E-02 - 2 2.10507E-01 -8.05734E-02 - 3 -7.88342E-02 -1.92996E-01 - 4 -1.90763E-01 1.92004E-01 - 5 1.12415E-01 -6.95885E-02 - 6 -1.11073E-01 -2.64765E-01 -6 0 *********** SCCC-cys-pro - 1 -3.54862E+01 8.90582E+00 - 2 2.80923E+01 -1.54585E+01 - 3 -1.81830E+01 1.86800E+01 - 4 8.71081E+00 -1.55236E+01 - 5 -2.42838E+00 8.99693E+00 - 6 -5.91881E-02 3.38803E+01 -6 0 *********** SCCC-met-cys - 1 -3.01780E-01 5.36752E-01 - 2 4.12826E-01 3.13283E-01 - 3 1.63130E-01 -6.23383E-01 - 4 -3.56692E-01 4.90048E-01 - 5 4.81576E-01 -3.05150E-01 - 6 -3.19432E-01 -9.00480E-01 -6 0 *********** SCCC-met-met - 1 -2.05458E-01 5.08672E-01 - 2 1.77517E-01 3.38951E-01 - 3 1.81966E-03 -5.39532E-01 - 4 -3.35948E-01 4.16529E-01 - 5 3.30316E-01 -2.26759E-01 - 6 -2.90481E-01 -7.78197E-01 -6 0 *********** SCCC-met-phe - 1 -2.95017E-01 5.24049E-01 - 2 2.13559E-01 3.43243E-01 - 3 2.95122E-02 -5.69379E-01 - 4 -3.72998E-01 4.63311E-01 - 5 3.78469E-01 -2.58749E-01 - 6 -3.12538E-01 -8.34500E-01 -6 0 *********** SCCC-met-ile - 1 -2.97778E-02 4.82312E-01 - 2 1.24540E-01 3.16384E-01 - 3 -1.63750E-02 -5.33428E-01 - 4 -2.90218E-01 3.65926E-01 - 5 2.85073E-01 -2.01339E-01 - 6 -2.67080E-01 -7.12581E-01 -6 0 *********** SCCC-met-leu - 1 -2.45210E-01 5.28864E-01 - 2 1.27561E-01 3.21685E-01 - 3 -8.73769E-03 -5.69825E-01 - 4 -3.61352E-01 4.42058E-01 - 5 3.37631E-01 -2.37909E-01 - 6 -3.03101E-01 -7.91291E-01 -6 0 *********** SCCC-met-val - 1 -8.17187E-02 4.93353E-01 - 2 9.36645E-02 3.25929E-01 - 3 -3.03968E-02 -5.33621E-01 - 4 -3.07898E-01 3.87350E-01 - 5 2.97244E-01 -2.07227E-01 - 6 -2.73594E-01 -7.28796E-01 -6 0 *********** SCCC-met-trp - 1 -2.38615E-01 5.01395E-01 - 2 2.43429E-01 3.47681E-01 - 3 3.49735E-02 -5.49332E-01 - 4 -3.47839E-01 4.39748E-01 - 5 3.72628E-01 -2.47904E-01 - 6 -3.00035E-01 -8.08803E-01 -6 0 *********** SCCC-met-tyr - 1 -2.92155E-01 5.21545E-01 - 2 2.14184E-01 3.44644E-01 - 3 2.76088E-02 -5.67233E-01 - 4 -3.72167E-01 4.61644E-01 - 5 3.77353E-01 -2.57476E-01 - 6 -3.12068E-01 -8.28761E-01 -6 0 *********** SCCC-met-ala - 1 -2.80922E-01 4.82109E-01 - 2 1.35929E-01 3.78662E-01 - 3 -5.17388E-02 -5.04868E-01 - 4 -3.55075E-01 4.16220E-01 - 5 3.16298E-01 -2.17961E-01 - 6 -2.90815E-01 -7.62101E-01 -6 0 *********** SCCC-met-gly - 1 -2.76509E-01 -9.21319E-01 - 2 5.06576E-01 2.65583E-01 - 3 3.06408E-02 -2.33690E-01 - 4 -1.36523E-02 1.21184E-01 - 5 6.50258E-02 -6.27890E-02 - 6 -3.87783E-02 -4.94003E-01 -6 0 *********** SCCC-met-thr - 1 -3.26799E-01 5.28057E-01 - 2 1.64509E-01 3.25958E-01 - 3 5.00554E-02 -6.05141E-01 - 4 -3.81937E-01 4.72134E-01 - 5 3.75722E-01 -2.85411E-01 - 6 -3.14617E-01 -8.86460E-01 -6 0 *********** SCCC-met-ser - 1 -2.67091E-01 5.64875E-01 - 2 4.50976E-01 2.03132E-01 - 3 2.84953E-01 -8.24005E-01 - 4 -4.14014E-01 5.83641E-01 - 5 5.56754E-01 -4.19675E-01 - 6 -3.52117E-01 -1.12998E+00 -6 0 *********** SCCC-met-gln - 1 -2.05798E-01 5.05993E-01 - 2 2.89515E-01 3.45515E-01 - 3 6.17780E-02 -5.37561E-01 - 4 -3.17029E-01 4.20197E-01 - 5 3.72094E-01 -2.39211E-01 - 6 -2.86645E-01 -7.89640E-01 -6 0 *********** SCCC-met-asn - 1 -4.62900E-01 5.09093E-01 - 2 5.68065E-01 4.23279E-01 - 3 1.82972E-01 -5.40787E-01 - 4 -3.46702E-01 5.07400E-01 - 5 5.59241E-01 -2.91757E-01 - 6 -3.22591E-01 -9.07169E-01 -6 0 *********** SCCC-met-glu - 1 -2.08419E-01 5.30320E-01 - 2 2.87787E-01 3.15021E-01 - 3 9.06310E-02 -5.74778E-01 - 4 -3.28203E-01 4.41985E-01 - 5 3.92925E-01 -2.58649E-01 - 6 -2.94719E-01 -8.24200E-01 -6 0 *********** SCCC-met-asp - 1 -5.59083E-01 5.17660E-01 - 2 4.44708E-01 4.08082E-01 - 3 1.30859E-01 -6.69641E-01 - 4 -4.58187E-01 5.82180E-01 - 5 5.62139E-01 -3.50621E-01 - 6 -3.84406E-01 -1.07305E+00 -6 0 *********** SCCC-met-his - 1 -2.64054E-01 5.70729E-01 - 2 4.48676E-01 2.99134E-01 - 3 2.10458E-01 -5.78350E-01 - 4 -3.05617E-01 4.65948E-01 - 5 4.70184E-01 -2.86594E-01 - 6 -2.85875E-01 -8.38436E-01 -6 0 *********** SCCC-met-arg - 1 -2.14506E-01 5.08442E-01 - 2 1.44051E-01 3.49230E-01 - 3 -1.81400E-02 -5.28486E-01 - 4 -3.38072E-01 4.17722E-01 - 5 3.21811E-01 -2.19762E-01 - 6 -2.88684E-01 -7.61195E-01 -6 0 *********** SCCC-met-lys - 1 -2.26564E-01 5.06338E-01 - 2 1.32927E-01 3.67585E-01 - 3 -2.97057E-02 -5.08657E-01 - 4 -3.36240E-01 4.11839E-01 - 5 3.09527E-01 -2.13695E-01 - 6 -2.84749E-01 -7.50768E-01 -6 0 *********** SCCC-met-pro - 1 -3.06216E+01 6.99962E+00 - 2 2.52794E+01 -1.31802E+01 - 3 -1.58023E+01 1.61761E+01 - 4 7.90152E+00 -1.39296E+01 - 5 -1.72751E+00 8.17312E+00 - 6 -1.90027E-01 2.87699E+01 -6 0 *********** SCCC-phe-cys - 1 5.62736E-01 1.04786E+00 - 2 1.20811E-01 3.63352E-01 - 3 -3.34295E-02 -2.50754E-01 - 4 -2.69925E-01 2.50906E-01 - 5 1.41579E-01 -1.46389E-01 - 6 -1.77888E-01 -3.57024E-01 -6 0 *********** SCCC-phe-met - 1 4.26193E-01 8.81392E-01 - 2 -7.23208E-03 5.11026E-01 - 3 -2.08569E-01 -2.20018E-01 - 4 -3.38093E-01 2.68202E-01 - 5 1.43968E-01 -1.53454E-01 - 6 -1.92996E-01 -4.43327E-01 -6 0 *********** SCCC-phe-phe - 1 3.88862E-01 9.60538E-01 - 2 -4.23310E-02 4.67080E-01 - 3 -1.75250E-01 -2.36248E-01 - 4 -3.30159E-01 2.76872E-01 - 5 1.37983E-01 -1.50849E-01 - 6 -1.93462E-01 -4.33610E-01 -6 0 *********** SCCC-phe-ile - 1 5.72607E-01 7.68745E-01 - 2 4.88185E-02 5.80985E-01 - 3 -2.31055E-01 -2.24889E-01 - 4 -3.48304E-01 2.82660E-01 - 5 1.50752E-01 -1.62104E-01 - 6 -2.02885E-01 -5.00246E-01 -6 0 *********** SCCC-phe-leu - 1 3.91578E-01 9.45717E-01 - 2 -1.15507E-01 5.22986E-01 - 3 -2.51639E-01 -2.61998E-01 - 4 -3.46071E-01 2.61080E-01 - 5 1.65105E-01 -1.69832E-01 - 6 -1.88196E-01 -4.73010E-01 -6 0 *********** SCCC-phe-val - 1 5.03556E-01 7.96803E-01 - 2 -1.66325E-02 5.87650E-01 - 3 -2.49792E-01 -2.37551E-01 - 4 -3.53604E-01 2.82476E-01 - 5 1.63603E-01 -1.72472E-01 - 6 -2.01254E-01 -5.21849E-01 -6 0 *********** SCCC-phe-trp - 1 4.28126E-01 8.77309E-01 - 2 4.87514E-02 4.53685E-01 - 3 -1.37288E-01 -2.13143E-01 - 4 -3.20095E-01 2.88101E-01 - 5 1.32245E-01 -1.40251E-01 - 6 -1.98661E-01 -4.22537E-01 -6 0 *********** SCCC-phe-tyr - 1 3.88218E-01 9.51717E-01 - 2 -3.58923E-02 4.66211E-01 - 3 -1.72971E-01 -2.32225E-01 - 4 -3.30818E-01 2.79730E-01 - 5 1.36602E-01 -1.49473E-01 - 6 -1.94379E-01 -4.24751E-01 -6 0 *********** SCCC-phe-ala - 1 2.55458E-01 8.15015E-01 - 2 -4.51587E-02 4.79954E-01 - 3 -2.08805E-01 -2.03016E-01 - 4 -3.33866E-01 2.81987E-01 - 5 1.40177E-01 -1.41592E-01 - 6 -1.93078E-01 -4.39787E-01 -6 0 *********** SCCC-phe-gly - 1 -3.86494E-01 -1.48424E+00 - 2 3.24905E-01 -3.87422E-02 - 3 -1.29073E-01 -3.58128E-01 - 4 -1.08789E-01 1.40002E-01 - 5 8.16376E-02 -1.18575E-01 - 6 -1.10887E-01 -6.06428E-01 -6 0 *********** SCCC-phe-thr - 1 3.19424E-01 9.80432E-01 - 2 -1.64358E-01 4.20044E-01 - 3 -1.90102E-01 -3.55953E-01 - 4 -2.84628E-01 2.16596E-01 - 5 1.59156E-01 -1.61977E-01 - 6 -1.76591E-01 -4.39485E-01 -6 0 *********** SCCC-phe-ser - 1 8.90027E-01 1.22895E+00 - 2 9.14301E-02 3.29414E-01 - 3 5.02864E-02 -4.50696E-01 - 4 -1.65287E-01 2.31000E-01 - 5 2.17641E-01 -1.62464E-01 - 6 -1.74819E-01 -4.17520E-01 -6 0 *********** SCCC-phe-gln - 1 4.96136E-01 8.94718E-01 - 2 1.14712E-01 4.62575E-01 - 3 -1.22486E-01 -1.97607E-01 - 4 -3.06351E-01 2.64405E-01 - 5 1.32663E-01 -1.38198E-01 - 6 -1.90748E-01 -3.96121E-01 -6 0 *********** SCCC-phe-asn - 1 3.71138E-01 1.03914E+00 - 2 2.42518E-01 2.61000E-01 - 3 7.98518E-02 -1.58115E-01 - 4 -2.14977E-01 2.38309E-01 - 5 1.37618E-01 -1.15462E-01 - 6 -1.46034E-01 -2.43843E-01 -6 0 *********** SCCC-phe-glu - 1 5.52266E-01 9.69128E-01 - 2 7.38434E-02 4.69791E-01 - 3 -1.26632E-01 -2.34747E-01 - 4 -3.04414E-01 2.59399E-01 - 5 1.44178E-01 -1.50510E-01 - 6 -1.89383E-01 -4.13418E-01 -6 0 *********** SCCC-phe-asp - 1 3.22985E-01 1.15989E+00 - 2 -1.46185E-02 2.93775E-01 - 3 -4.01305E-02 -2.40166E-01 - 4 -2.90918E-01 2.58059E-01 - 5 1.11870E-01 -1.48253E-01 - 6 -1.63544E-01 -3.18868E-01 -6 0 *********** SCCC-phe-his - 1 6.55475E-01 1.14317E+00 - 2 1.69105E-01 4.08599E-01 - 3 -9.38497E-03 -2.41840E-01 - 4 -2.15868E-01 2.20551E-01 - 5 1.66436E-01 -1.33832E-01 - 6 -1.56176E-01 -3.18403E-01 -6 0 *********** SCCC-phe-arg - 1 3.90077E-01 8.75619E-01 - 2 -3.88447E-02 5.28799E-01 - 3 -2.24470E-01 -2.18291E-01 - 4 -3.38578E-01 2.73160E-01 - 5 1.50688E-01 -1.54281E-01 - 6 -1.91212E-01 -4.58614E-01 -6 0 *********** SCCC-phe-lys - 1 3.59006E-01 8.73322E-01 - 2 -4.47749E-02 5.43684E-01 - 3 -2.34643E-01 -2.07829E-01 - 4 -3.41267E-01 2.66591E-01 - 5 1.43842E-01 -1.53896E-01 - 6 -1.90224E-01 -4.42073E-01 -6 0 *********** SCCC-phe-pro - 1 -1.42086E+01 1.77362E+00 - 2 1.24527E+01 -6.76589E+00 - 3 -7.32196E+00 7.04425E+00 - 4 3.47537E+00 -6.70933E+00 - 5 -7.01907E-01 3.63147E+00 - 6 -2.79033E-01 1.33553E+01 -6 0 *********** SCCC-ile-cys - 1 -9.06482E-02 3.06526E-01 - 2 4.73714E-01 -4.32743E-01 - 3 -3.89692E-01 -1.97297E-01 - 4 3.68788E-01 1.85061E-02 - 5 -5.30473E-01 -5.35170E-02 - 6 1.98534E-01 3.15889E-02 -6 0 *********** SCCC-ile-met - 1 -4.70288E-02 2.77782E-01 - 2 2.56609E-01 -2.20614E-01 - 3 -3.71185E-01 -1.03354E-01 - 4 2.98581E-01 4.33961E-02 - 5 -3.85196E-01 -1.53739E-03 - 6 1.45764E-01 2.41653E-02 -6 0 *********** SCCC-ile-phe - 1 -6.95791E-02 3.09726E-01 - 2 2.70410E-01 -2.49908E-01 - 3 -3.55388E-01 -1.11042E-01 - 4 3.06779E-01 5.00167E-02 - 5 -3.99266E-01 -9.78447E-03 - 6 1.53915E-01 2.60592E-02 -6 0 *********** SCCC-ile-ile - 1 1.67046E-02 2.01920E-01 - 2 2.21628E-01 -2.00331E-01 - 3 -4.25387E-01 -1.19355E-01 - 4 2.95960E-01 2.49516E-02 - 5 -3.98268E-01 7.03663E-03 - 6 1.41153E-01 2.75675E-02 -6 0 *********** SCCC-ile-leu - 1 -4.69683E-02 2.95297E-01 - 2 1.89376E-01 -2.05614E-01 - 3 -3.69213E-01 -1.00382E-01 - 4 2.96749E-01 5.43458E-02 - 5 -3.74389E-01 4.98680E-03 - 6 1.40244E-01 3.35776E-02 -6 0 *********** SCCC-ile-val - 1 6.77440E-04 2.25469E-01 - 2 1.91904E-01 -1.74263E-01 - 3 -4.11560E-01 -1.05900E-01 - 4 2.95083E-01 2.91144E-02 - 5 -3.89410E-01 5.43783E-03 - 6 1.38324E-01 2.76631E-02 -6 0 *********** SCCC-ile-trp - 1 -6.34676E-02 2.86027E-01 - 2 3.12959E-01 -2.62544E-01 - 3 -3.69513E-01 -1.18723E-01 - 4 3.16352E-01 3.78559E-02 - 5 -4.16528E-01 -1.43969E-02 - 6 1.59867E-01 3.23977E-02 -6 0 *********** SCCC-ile-tyr - 1 -6.97422E-02 3.08402E-01 - 2 2.71268E-01 -2.48563E-01 - 3 -3.55867E-01 -1.10027E-01 - 4 3.07072E-01 4.98213E-02 - 5 -3.98853E-01 -9.64549E-03 - 6 1.54006E-01 1.82873E-02 -6 0 *********** SCCC-ile-ala - 1 -7.30355E-02 2.97628E-01 - 2 2.03818E-01 -1.40547E-01 - 3 -3.33907E-01 -5.53480E-02 - 4 2.59665E-01 5.62984E-02 - 5 -3.32511E-01 3.85827E-03 - 6 1.22431E-01 3.24782E-02 -6 0 *********** SCCC-ile-gly - 1 -7.77069E-01 -4.78662E-01 - 2 5.65410E-01 3.13515E-02 - 3 -6.66011E-02 -2.14325E-01 - 4 -5.93211E-03 5.93862E-02 - 5 4.84755E-02 -6.40522E-02 - 6 -5.03652E-02 -2.93044E-01 -6 0 *********** SCCC-ile-thr - 1 -4.62156E-02 3.09758E-01 - 2 2.10482E-01 -2.30955E-01 - 3 -3.43062E-01 -1.25088E-01 - 4 2.57377E-01 5.71369E-02 - 5 -3.92084E-01 -5.07925E-03 - 6 1.32803E-01 2.78824E-02 -6 0 *********** SCCC-ile-ser - 1 -9.35681E-02 2.47429E-01 - 2 5.61682E-01 -6.24156E-01 - 3 -5.73157E-01 -3.60078E-01 - 4 4.84501E-01 -3.23809E-02 - 5 -7.93399E-01 -8.93653E-02 - 6 2.45691E-01 1.19833E-02 -6 0 *********** SCCC-ile-gln - 1 -6.15355E-02 2.77919E-01 - 2 3.77561E-01 -2.95386E-01 - 3 -3.74191E-01 -1.33565E-01 - 4 3.24465E-01 2.58384E-02 - 5 -4.33676E-01 -2.08755E-02 - 6 1.65280E-01 4.94663E-02 -6 0 *********** SCCC-ile-asn - 1 -1.71793E-01 3.57789E-01 - 2 6.42507E-01 -4.15485E-01 - 3 -3.14277E-01 -1.44138E-01 - 4 3.65341E-01 7.27856E-03 - 5 -4.92921E-01 -7.13500E-02 - 6 2.00846E-01 5.69640E-02 -6 0 *********** SCCC-ile-glu - 1 -5.53419E-02 2.80598E-01 - 2 3.71533E-01 -3.30905E-01 - 3 -3.92671E-01 -1.61189E-01 - 4 3.42084E-01 2.36931E-02 - 5 -4.66633E-01 -2.51863E-02 - 6 1.73998E-01 2.59762E-02 -6 0 *********** SCCC-ile-asp - 1 -1.28191E-01 3.73836E-01 - 2 4.24434E-01 -3.79418E-01 - 3 -2.98778E-01 -1.32485E-01 - 4 3.11695E-01 5.45769E-02 - 5 -4.35127E-01 -4.66159E-02 - 6 1.77902E-01 4.77835E-02 -6 0 *********** SCCC-ile-his - 1 -1.01933E-01 3.07532E-01 - 2 5.62819E-01 -4.55388E-01 - 3 -3.94388E-01 -2.13715E-01 - 4 3.87996E-01 -5.04240E-05 - 5 -5.58396E-01 -6.36935E-02 - 6 2.04482E-01 4.23809E-02 -6 0 *********** SCCC-ile-arg - 1 -5.15008E-02 2.82264E-01 - 2 2.30327E-01 -1.84639E-01 - 3 -3.66201E-01 -8.80618E-02 - 4 2.94458E-01 4.57293E-02 - 5 -3.69877E-01 2.03339E-03 - 6 1.39599E-01 4.09605E-02 -6 0 *********** SCCC-ile-lys - 1 -5.65761E-02 2.86599E-01 - 2 2.27566E-01 -1.58025E-01 - 3 -3.53513E-01 -7.51403E-02 - 4 2.77978E-01 4.72638E-02 - 5 -3.55384E-01 2.91141E-03 - 6 1.31825E-01 3.52149E-02 -6 0 *********** SCCC-ile-pro - 1 -2.90830E+01 -5.25163E-01 - 2 1.73873E+01 6.84163E-02 - 3 2.13198E-01 1.12906E-01 - 4 -1.56796E+01 -1.79716E-01 - 5 2.77503E+01 1.06571E-01 - 6 -1.58066E+01 -5.23707E-02 -6 0 *********** SCCC-leu-cys - 1 1.82689E-01 6.61723E-01 - 2 1.45335E-01 -3.83929E-01 - 3 -1.63053E-01 -2.08538E-01 - 4 8.34856E-02 2.60962E-02 - 5 -2.53232E-01 -5.58680E-02 - 6 5.45046E-02 6.94273E-02 -6 0 *********** SCCC-leu-met - 1 1.49410E-01 5.75606E-01 - 2 6.91954E-02 -1.21745E-01 - 3 -3.04426E-01 -9.01305E-02 - 4 1.38974E-01 4.71152E-02 - 5 -2.34761E-01 -3.05869E-03 - 6 7.30624E-02 5.28832E-02 -6 0 *********** SCCC-leu-phe - 1 1.16985E-01 6.31897E-01 - 2 5.30040E-02 -1.73279E-01 - 3 -2.57166E-01 -1.00380E-01 - 4 1.27192E-01 6.73332E-02 - 5 -2.41746E-01 -1.42924E-02 - 6 7.40644E-02 4.74807E-02 -6 0 *********** SCCC-leu-ile - 1 2.51741E-01 4.87632E-01 - 2 5.93123E-02 -7.34831E-02 - 3 -3.75411E-01 -1.10380E-01 - 4 1.65097E-01 2.25079E-02 - 5 -2.57908E-01 6.03095E-03 - 6 8.19231E-02 3.48478E-02 -6 0 *********** SCCC-leu-leu - 1 1.33496E-01 6.13415E-01 - 2 -6.58440E-03 -1.12905E-01 - 3 -3.13647E-01 -8.65109E-02 - 4 1.46109E-01 6.86339E-02 - 5 -2.42828E-01 2.19112E-03 - 6 7.61703E-02 5.58780E-02 -6 0 *********** SCCC-leu-val - 1 2.17695E-01 5.17836E-01 - 2 2.72232E-02 -5.34491E-02 - 3 -3.66078E-01 -9.13391E-02 - 4 1.66183E-01 3.52496E-02 - 5 -2.64789E-01 2.97273E-03 - 6 8.32752E-02 3.05015E-02 -6 0 *********** SCCC-leu-trp - 1 1.48129E-01 5.88059E-01 - 2 1.01082E-01 -1.78913E-01 - 3 -2.58325E-01 -1.05970E-01 - 4 1.25811E-01 4.84123E-02 - 5 -2.41842E-01 -1.91537E-02 - 6 7.31110E-02 4.73471E-02 -6 0 *********** SCCC-leu-tyr - 1 1.17435E-01 6.28308E-01 - 2 5.56951E-02 -1.71437E-01 - 3 -2.58090E-01 -9.82451E-02 - 4 1.27061E-01 6.68122E-02 - 5 -2.41126E-01 -1.43671E-02 - 6 7.42543E-02 5.85918E-02 -6 0 *********** SCCC-leu-ala - 1 6.25619E-02 5.72521E-01 - 2 6.39324E-02 -5.25259E-02 - 3 -3.12947E-01 -2.70696E-02 - 4 1.29353E-01 6.41332E-02 - 5 -2.29307E-01 -2.65277E-04 - 6 6.92854E-02 5.45214E-02 -6 0 *********** SCCC-leu-gly - 1 -5.07454E-01 -7.12336E-01 - 2 6.21750E-01 -1.43399E-01 - 3 -5.20535E-02 -2.12833E-01 - 4 7.12664E-02 1.36647E-02 - 5 5.97499E-02 -6.67030E-02 - 6 -1.78196E-02 -2.40561E-01 -6 0 *********** SCCC-leu-thr - 1 9.60331E-02 6.50755E-01 - 2 2.56458E-03 -1.64702E-01 - 3 -2.70905E-01 -1.49122E-01 - 4 1.32041E-01 9.33707E-02 - 5 -2.95369E-01 -1.48786E-02 - 6 8.31390E-02 7.03886E-03 -6 0 *********** SCCC-leu-ser - 1 3.32853E-01 7.31043E-01 - 2 -1.29113E-02 -6.28811E-01 - 3 -1.11877E-01 -3.96711E-01 - 4 3.39903E-02 4.16725E-02 - 5 -3.85526E-01 -1.25008E-01 - 6 4.86841E-02 -3.76682E-03 -6 0 *********** SCCC-leu-gln - 1 1.71457E-01 5.75117E-01 - 2 1.56209E-01 -2.06167E-01 - 3 -2.50126E-01 -1.29722E-01 - 4 1.21688E-01 2.16208E-02 - 5 -2.30293E-01 -2.07333E-02 - 6 6.35899E-02 6.98307E-02 -6 0 *********** SCCC-leu-asn - 1 3.58694E-02 6.95532E-01 - 2 3.31508E-01 -4.07236E-01 - 3 -6.80974E-02 -1.57478E-01 - 4 5.93611E-02 4.16173E-03 - 5 -1.98546E-01 -7.19475E-02 - 6 3.75504E-02 1.10659E-01 -6 0 *********** SCCC-leu-glu - 1 1.97783E-01 6.05729E-01 - 2 1.11591E-01 -2.46386E-01 - 3 -2.40107E-01 -1.64984E-01 - 4 1.24724E-01 2.80708E-02 - 5 -2.47436E-01 -2.46564E-02 - 6 6.46178E-02 5.35425E-02 -6 0 *********** SCCC-leu-asp - 1 2.18484E-02 7.58889E-01 - 2 1.34036E-01 -3.72637E-01 - 3 -1.11032E-01 -1.35427E-01 - 4 6.24580E-02 8.13465E-02 - 5 -2.23117E-01 -6.05562E-02 - 6 6.38299E-02 7.99218E-02 -6 0 *********** SCCC-leu-his - 1 1.94226E-01 6.65303E-01 - 2 2.07196E-01 -3.99651E-01 - 3 -1.48655E-01 -2.47027E-01 - 4 9.76349E-02 -6.06183E-03 - 5 -2.43279E-01 -4.99361E-02 - 6 3.71873E-02 8.17822E-02 -6 0 *********** SCCC-leu-arg - 1 1.31589E-01 5.77691E-01 - 2 5.42293E-02 -8.45056E-02 - 3 -3.13232E-01 -7.04597E-02 - 4 1.47457E-01 5.25674E-02 - 5 -2.35376E-01 -2.01349E-03 - 6 7.53322E-02 5.25001E-02 -6 0 *********** SCCC-leu-lys - 1 1.08323E-01 5.75368E-01 - 2 6.66998E-02 -5.76889E-02 - 3 -3.16971E-01 -5.89524E-02 - 4 1.45904E-01 5.32025E-02 - 5 -2.36424E-01 1.66523E-03 - 6 7.31761E-02 5.24056E-02 -6 0 *********** SCCC-leu-pro - 1 -2.95936E+01 -8.13497E+00 - 2 2.54209E+01 1.34203E+01 - 3 -1.50359E+01 -1.49607E+01 - 4 7.74638E+00 1.35518E+01 - 5 -1.72657E+00 -7.45364E+00 - 6 -2.19544E-01 -2.85411E+01 -6 0 *********** SCCC-val-cys - 1 8.00832E-01 1.11313E+00 - 2 2.17101E-01 3.95667E-01 - 3 -1.05806E-01 -1.53294E-01 - 4 -3.87639E-01 3.12485E-01 - 5 2.41862E-02 -9.52883E-02 - 6 -2.33532E-01 -3.11032E-01 -6 0 *********** SCCC-val-met - 1 6.82733E-01 1.01959E+00 - 2 2.21384E-02 5.68410E-01 - 3 -2.91292E-01 -2.10435E-03 - 4 -3.93133E-01 3.31397E-01 - 5 -1.52095E-02 -4.32610E-02 - 6 -2.04752E-01 -2.75562E-01 -6 0 *********** SCCC-val-phe - 1 6.35410E-01 1.09440E+00 - 2 -1.41673E-03 5.24116E-01 - 3 -2.49341E-01 -2.12621E-02 - 4 -3.98756E-01 3.46745E-01 - 5 -1.73237E-02 -4.91361E-02 - 6 -2.14567E-01 -2.73952E-01 -6 0 *********** SCCC-val-ile - 1 8.88508E-01 9.30617E-01 - 2 6.05838E-02 6.18320E-01 - 3 -3.17116E-01 4.67575E-02 - 4 -3.83531E-01 3.31939E-01 - 5 -6.79469E-02 -1.93742E-02 - 6 -1.98734E-01 -2.68255E-01 -6 0 *********** SCCC-val-leu - 1 6.69095E-01 1.11535E+00 - 2 -1.09749E-01 5.86002E-01 - 3 -3.49277E-01 -1.11956E-02 - 4 -4.02386E-01 3.18310E-01 - 5 -7.25214E-03 -5.34410E-02 - 6 -1.89001E-01 -2.69876E-01 -6 0 *********** SCCC-val-val - 1 8.21720E-01 9.74747E-01 - 2 -1.34219E-02 6.27391E-01 - 3 -3.33221E-01 5.18120E-02 - 4 -3.83662E-01 3.18949E-01 - 5 -6.53774E-02 -3.16696E-02 - 6 -1.96307E-01 -2.63360E-01 -6 0 *********** SCCC-val-trp - 1 6.51842E-01 9.79381E-01 - 2 9.07931E-02 4.74654E-01 - 3 -1.99423E-01 -3.29161E-02 - 4 -3.69585E-01 3.35195E-01 - 5 -5.54390E-03 -4.75149E-02 - 6 -2.12448E-01 -2.79979E-01 -6 0 *********** SCCC-val-tyr - 1 6.33199E-01 1.08315E+00 - 2 5.78338E-03 5.21194E-01 - 3 -2.45650E-01 -1.75165E-02 - 4 -3.98129E-01 3.49843E-01 - 5 -1.81537E-02 -4.72674E-02 - 6 -2.15142E-01 -2.82477E-01 -6 0 *********** SCCC-val-ala - 1 4.60554E-01 9.27295E-01 - 2 -2.12472E-02 5.12717E-01 - 3 -2.72683E-01 -9.24481E-03 - 4 -3.67425E-01 3.37906E-01 - 5 5.93982E-03 -3.92029E-02 - 6 -1.97185E-01 -2.83221E-01 -6 0 *********** SCCC-val-gly - 1 -4.86806E-01 -1.53153E+00 - 2 3.94205E-01 -1.70152E-01 - 3 -2.27152E-01 -2.17713E-01 - 4 -7.88180E-03 1.17063E-01 - 5 2.11058E-02 -3.41489E-02 - 6 -4.65275E-02 -4.17365E-01 -6 0 *********** SCCC-val-thr - 1 5.29657E-01 1.12563E+00 - 2 -2.05541E-01 4.11231E-01 - 3 -2.92544E-01 -2.14031E-01 - 4 -3.28553E-01 1.64674E-01 - 5 4.90184E-02 -1.02758E-01 - 6 -1.39771E-01 -2.51991E-01 -6 0 *********** SCCC-val-ser - 1 1.33215E+00 1.30633E+00 - 2 2.34878E-01 2.72101E-01 - 3 -1.11535E-02 -3.56899E-01 - 4 -2.80237E-01 2.33424E-01 - 5 6.08041E-02 -1.27302E-01 - 6 -2.03272E-01 -3.21272E-01 -6 0 *********** SCCC-val-gln - 1 7.31603E-01 9.92853E-01 - 2 1.80112E-01 5.13236E-01 - 3 -1.92179E-01 -4.35785E-02 - 4 -3.78467E-01 3.25224E-01 - 5 2.32679E-03 -5.66945E-02 - 6 -2.22093E-01 -2.99824E-01 -6 0 *********** SCCC-val-asn - 1 4.93846E-01 1.01398E+00 - 2 3.98892E-01 2.91547E-01 - 3 5.82251E-02 -2.30716E-01 - 4 -3.64739E-01 2.98368E-01 - 5 1.10884E-01 -1.48590E-01 - 6 -2.46003E-01 -3.72811E-01 -6 0 *********** SCCC-val-glu - 1 8.24553E-01 1.09173E+00 - 2 1.35240E-01 5.27544E-01 - 3 -2.06300E-01 -5.27107E-02 - 4 -3.87510E-01 3.21258E-01 - 5 -1.06841E-02 -6.15764E-02 - 6 -2.21645E-01 -2.89693E-01 -6 0 *********** SCCC-val-asp - 1 5.09520E-01 1.24510E+00 - 2 1.02666E-01 4.07419E-01 - 3 -9.87052E-02 -1.12490E-01 - 4 -4.47943E-01 4.06738E-01 - 5 2.26632E-02 -9.71246E-02 - 6 -2.39450E-01 -3.45170E-01 -6 0 *********** SCCC-val-his - 1 9.63831E-01 1.23306E+00 - 2 3.13947E-01 5.06482E-01 - 3 -8.28701E-02 -1.66336E-01 - 4 -3.70574E-01 3.04856E-01 - 5 3.83417E-02 -9.80156E-02 - 6 -2.40786E-01 -3.41551E-01 -6 0 *********** SCCC-val-arg - 1 6.46155E-01 1.02135E+00 - 2 -1.80402E-02 5.83940E-01 - 3 -3.03968E-01 1.06208E-02 - 4 -3.81791E-01 3.33161E-01 - 5 -8.70441E-03 -3.89505E-02 - 6 -1.94363E-01 -2.73490E-01 -6 0 *********** SCCC-val-lys - 1 6.17618E-01 1.02630E+00 - 2 -1.84483E-02 6.14428E-01 - 3 -3.14126E-01 2.08371E-02 - 4 -3.91659E-01 3.27774E-01 - 5 -2.39671E-02 -4.27168E-02 - 6 -2.00647E-01 -2.78894E-01 -6 0 *********** SCCC-val-pro - 1 -4.76439E+01 -2.71010E+00 - 2 3.53401E+01 -7.48312E-01 - 3 -1.67057E+01 4.63748E-02 - 4 -4.31729E-01 -7.08512E-01 - 5 1.23807E+01 9.40533E-02 - 6 -8.50442E+00 2.38787E-01 -6 0 *********** SCCC-trp-cys - 1 3.37649E-01 9.09889E-01 - 2 2.86174E-01 7.58962E-01 - 3 -2.02931E-02 -5.34232E-01 - 4 -4.46189E-01 5.70288E-01 - 5 4.19880E-01 -3.04817E-01 - 6 -3.56946E-01 -1.01318E+00 -6 0 *********** SCCC-trp-met - 1 2.47398E-01 7.62366E-01 - 2 1.06479E-01 7.43559E-01 - 3 -1.91251E-01 -4.34464E-01 - 4 -4.56574E-01 4.57902E-01 - 5 3.33909E-01 -2.75793E-01 - 6 -3.09010E-01 -8.69081E-01 -6 0 *********** SCCC-trp-phe - 1 1.78922E-01 8.24870E-01 - 2 8.47641E-02 7.50405E-01 - 3 -1.68434E-01 -5.01025E-01 - 4 -4.67714E-01 4.99484E-01 - 5 3.72017E-01 -2.95722E-01 - 6 -3.29404E-01 -9.49312E-01 -6 0 *********** SCCC-trp-ile - 1 4.47921E-01 6.79002E-01 - 2 1.51899E-01 7.52962E-01 - 3 -2.06371E-01 -3.56420E-01 - 4 -4.36025E-01 4.26899E-01 - 5 2.72879E-01 -2.42961E-01 - 6 -2.91889E-01 -8.10199E-01 -6 0 *********** SCCC-trp-leu - 1 2.03976E-01 8.20070E-01 - 2 2.80079E-03 7.65309E-01 - 3 -2.44485E-01 -4.94975E-01 - 4 -4.68023E-01 4.41083E-01 - 5 3.69494E-01 -3.05596E-01 - 6 -3.02606E-01 -9.26160E-01 -6 0 *********** SCCC-trp-val - 1 3.67124E-01 6.99197E-01 - 2 8.36434E-02 7.57620E-01 - 3 -2.31891E-01 -3.88297E-01 - 4 -4.40733E-01 4.20966E-01 - 5 2.99445E-01 -2.61174E-01 - 6 -2.86252E-01 -8.42604E-01 -6 0 *********** SCCC-trp-trp - 1 2.30271E-01 7.50229E-01 - 2 1.71560E-01 7.22312E-01 - 3 -1.22583E-01 -4.55072E-01 - 4 -4.50360E-01 5.11055E-01 - 5 3.47353E-01 -2.70500E-01 - 6 -3.31030E-01 -9.03172E-01 -6 0 *********** SCCC-trp-tyr - 1 1.78834E-01 8.16387E-01 - 2 9.07623E-02 7.47537E-01 - 3 -1.65156E-01 -4.95962E-01 - 4 -4.67431E-01 5.02163E-01 - 5 3.68875E-01 -2.92992E-01 - 6 -3.30262E-01 -9.36530E-01 -6 0 *********** SCCC-trp-ala - 1 6.86380E-02 6.92189E-01 - 2 5.63145E-02 6.91403E-01 - 3 -1.90592E-01 -4.34094E-01 - 4 -4.43969E-01 4.57393E-01 - 5 3.35512E-01 -2.66348E-01 - 6 -3.04003E-01 -8.68638E-01 -6 0 *********** SCCC-trp-gly - 1 -3.45354E-01 -1.54306E+00 - 2 2.11460E-01 -5.44853E-02 - 3 -1.36962E-01 -3.27616E-01 - 4 -1.09838E-01 9.20244E-02 - 5 2.45033E-02 -1.15751E-01 - 6 -1.00027E-01 -5.58324E-01 -6 0 *********** SCCC-trp-thr - 1 8.99073E-02 8.26441E-01 - 2 -2.52994E-02 7.09003E-01 - 3 -1.90315E-01 -6.33005E-01 - 4 -4.17490E-01 4.41903E-01 - 5 4.18769E-01 -3.18521E-01 - 6 -3.14079E-01 -9.67357E-01 -6 0 *********** SCCC-trp-ser - 1 7.42704E-01 1.12034E+00 - 2 3.83798E-01 9.17963E-01 - 3 6.60610E-02 -7.08740E-01 - 4 -3.70109E-01 6.38153E-01 - 5 5.59051E-01 -3.31966E-01 - 6 -3.85606E-01 -1.18095E+00 -6 0 *********** SCCC-trp-gln - 1 3.10196E-01 7.76165E-01 - 2 2.39625E-01 7.40437E-01 - 3 -1.01625E-01 -4.18185E-01 - 4 -4.43114E-01 5.02142E-01 - 5 3.38783E-01 -2.62519E-01 - 6 -3.27065E-01 -8.80261E-01 -6 0 *********** SCCC-trp-asn - 1 1.22021E-01 8.86028E-01 - 2 3.80031E-01 6.55884E-01 - 3 9.93551E-02 -4.81570E-01 - 4 -3.95301E-01 5.80544E-01 - 5 4.56302E-01 -2.86007E-01 - 6 -3.32539E-01 -9.52488E-01 -6 0 *********** SCCC-trp-glu - 1 3.67498E-01 8.51136E-01 - 2 2.12658E-01 7.78789E-01 - 3 -1.14620E-01 -4.57853E-01 - 4 -4.52338E-01 5.08623E-01 - 5 3.58685E-01 -2.81119E-01 - 6 -3.32412E-01 -9.23366E-01 -6 0 *********** SCCC-trp-asp - 1 3.25471E-02 9.79745E-01 - 2 1.41385E-01 7.15914E-01 - 3 -4.34295E-02 -6.35287E-01 - 4 -4.81601E-01 5.85842E-01 - 5 4.66118E-01 -3.51560E-01 - 6 -3.64698E-01 -1.08730E+00 -6 0 *********** SCCC-trp-his - 1 5.04062E-01 1.05289E+00 - 2 3.49783E-01 8.54838E-01 - 3 -4.76426E-03 -4.41925E-01 - 4 -4.22115E-01 5.66702E-01 - 5 4.02071E-01 -2.74061E-01 - 6 -3.44885E-01 -9.43163E-01 -6 0 *********** SCCC-trp-arg - 1 2.14940E-01 7.57486E-01 - 2 7.02036E-02 7.47202E-01 - 3 -2.09561E-01 -4.30390E-01 - 4 -4.52958E-01 4.48647E-01 - 5 3.33891E-01 -2.75377E-01 - 6 -3.02099E-01 -8.70498E-01 -6 0 *********** SCCC-trp-lys - 1 1.87248E-01 7.57461E-01 - 2 5.84439E-02 7.51977E-01 - 3 -2.19657E-01 -4.13751E-01 - 4 -4.51601E-01 4.36660E-01 - 5 3.25226E-01 -2.71906E-01 - 6 -2.96126E-01 -8.65398E-01 -6 0 *********** SCCC-trp-pro - 1 2.05089E+00 -2.11116E+00 - 2 -1.06341E+00 -1.79907E+00 - 3 1.23995E+00 1.13593E+00 - 4 -8.47945E-01 -1.91831E+00 - 5 9.63432E-03 8.20902E-01 - 6 -1.86913E-01 2.76267E+00 -6 0 *********** SCCC-tyr-cys - 1 6.24987E-01 1.15600E+00 - 2 1.38543E-01 2.05470E-01 - 3 -1.60708E-01 -3.38185E-02 - 4 -4.82787E-02 8.41805E-02 - 5 -1.59545E-01 -4.12736E-02 - 6 -1.53462E-02 1.26006E-02 -6 0 *********** SCCC-tyr-met - 1 5.27289E-01 9.88530E-01 - 2 -2.70752E-02 3.27078E-01 - 3 -2.98182E-01 1.90322E-03 - 4 -1.37498E-01 8.07606E-02 - 5 -1.33565E-01 -4.47601E-02 - 6 -3.77703E-02 -3.85862E-02 -6 0 *********** SCCC-tyr-phe - 1 4.83602E-01 1.06812E+00 - 2 -5.64058E-02 2.85156E-01 - 3 -2.72616E-01 -1.59982E-02 - 4 -1.23063E-01 8.96891E-02 - 5 -1.45266E-01 -4.25057E-02 - 6 -3.52482E-02 -2.43408E-02 -6 0 *********** SCCC-tyr-ile - 1 7.00681E-01 8.80187E-01 - 2 7.79750E-03 3.90088E-01 - 3 -3.00525E-01 8.72277E-03 - 4 -1.63017E-01 8.88579E-02 - 5 -1.16223E-01 -4.79997E-02 - 6 -5.33477E-02 -7.81778E-02 -6 0 *********** SCCC-tyr-leu - 1 5.02019E-01 1.05572E+00 - 2 -1.42403E-01 3.30564E-01 - 3 -3.37974E-01 -3.32434E-02 - 4 -1.42606E-01 6.44307E-02 - 5 -1.16191E-01 -5.73138E-02 - 6 -2.96851E-02 -4.27292E-02 -6 0 *********** SCCC-tyr-val - 1 6.36163E-01 9.09527E-01 - 2 -6.19285E-02 3.89862E-01 - 3 -3.18010E-01 -1.15151E-03 - 4 -1.65628E-01 8.19066E-02 - 5 -1.06425E-01 -5.63880E-02 - 6 -4.90251E-02 -8.03480E-02 -6 0 *********** SCCC-tyr-trp - 1 5.23074E-01 9.84639E-01 - 2 3.45286E-02 2.73716E-01 - 3 -2.32869E-01 7.00200E-03 - 4 -1.16097E-01 1.04172E-01 - 5 -1.49185E-01 -3.19522E-02 - 6 -4.26037E-02 -2.56455E-02 -6 0 *********** SCCC-tyr-tyr - 1 4.82991E-01 1.05925E+00 - 2 -5.00905E-02 2.84085E-01 - 3 -2.70095E-01 -1.20070E-02 - 4 -1.23759E-01 9.25586E-02 - 5 -1.46579E-01 -4.10850E-02 - 6 -3.62205E-02 -1.91292E-02 -6 0 *********** SCCC-tyr-ala - 1 3.50972E-01 9.18529E-01 - 2 -6.39874E-02 2.94071E-01 - 3 -2.97026E-01 1.17327E-02 - 4 -1.32511E-01 9.53654E-02 - 5 -1.33880E-01 -3.48586E-02 - 6 -3.89830E-02 -3.20247E-02 -6 0 *********** SCCC-tyr-gly - 1 -3.10423E-01 -1.44986E+00 - 2 2.68664E-01 -1.23816E-01 - 3 -1.40153E-01 -2.63547E-01 - 4 -5.56126E-02 6.16195E-02 - 5 -6.48660E-03 -7.50127E-02 - 6 -6.30250E-02 -4.38229E-01 -6 0 *********** SCCC-tyr-thr - 1 4.22990E-01 1.07838E+00 - 2 -1.91985E-01 2.51424E-01 - 3 -2.65052E-01 -1.50556E-01 - 4 -1.07905E-01 4.36114E-02 - 5 -8.76546E-02 -5.99876E-02 - 6 -3.78330E-02 -6.14384E-02 -6 0 *********** SCCC-tyr-ser - 1 9.87886E-01 1.36339E+00 - 2 9.32898E-02 1.73190E-01 - 3 -7.77915E-02 -1.89577E-01 - 4 6.69395E-02 4.79750E-02 - 5 -9.58565E-02 -3.99793E-02 - 6 2.44360E-03 1.18981E-02 -6 0 *********** SCCC-tyr-gln - 1 5.78628E-01 9.99929E-01 - 2 1.12167E-01 2.92817E-01 - 3 -2.26094E-01 1.80037E-02 - 4 -1.01705E-01 9.05918E-02 - 5 -1.50426E-01 -3.30887E-02 - 6 -3.61936E-02 -1.03155E-02 -6 0 *********** SCCC-tyr-asn - 1 3.64161E-01 1.12751E+00 - 2 3.06439E-01 1.28891E-01 - 3 -8.29484E-02 1.66061E-02 - 4 1.93738E-02 1.02736E-01 - 5 -1.68598E-01 -2.94224E-02 - 6 1.33536E-02 6.09864E-02 -6 0 *********** SCCC-tyr-glu - 1 6.43778E-01 1.07914E+00 - 2 6.68468E-02 2.98558E-01 - 3 -2.30189E-01 -1.09329E-02 - 4 -9.92603E-02 8.05593E-02 - 5 -1.42769E-01 -4.23907E-02 - 6 -3.25037E-02 -1.59568E-02 -6 0 *********** SCCC-tyr-asp - 1 3.53271E-01 1.25806E+00 - 2 2.64172E-02 1.43050E-01 - 3 -1.84971E-01 -4.59769E-02 - 4 -5.52261E-02 9.99940E-02 - 5 -1.93195E-01 -5.15819E-02 - 6 1.08132E-03 2.32952E-02 -6 0 *********** SCCC-tyr-his - 1 7.03805E-01 1.25026E+00 - 2 1.98988E-01 2.71874E-01 - 3 -1.48827E-01 -3.21828E-02 - 4 -2.55129E-03 6.90244E-02 - 5 -1.32259E-01 -3.59772E-02 - 6 5.56321E-04 2.98027E-02 -6 0 *********** SCCC-tyr-arg - 1 4.95442E-01 9.82505E-01 - 2 -6.30979E-02 3.42137E-01 - 3 -3.09784E-01 3.64336E-03 - 4 -1.40592E-01 8.34503E-02 - 5 -1.23934E-01 -4.52381E-02 - 6 -3.71473E-02 -4.02231E-02 -6 0 *********** SCCC-tyr-lys - 1 4.60818E-01 9.77265E-01 - 2 -6.85366E-02 3.59299E-01 - 3 -3.18552E-01 8.36295E-03 - 4 -1.46488E-01 7.99203E-02 - 5 -1.24764E-01 -4.75067E-02 - 6 -3.86440E-02 -4.75867E-02 -6 0 *********** SCCC-tyr-pro - 1 -1.43358E+01 -2.10206E+00 - 2 1.53249E+01 -2.32030E-01 - 3 -1.40349E+01 -5.69962E-01 - 4 1.39352E+01 -1.53945E-01 - 5 -1.39867E+01 -1.71566E-01 - 6 6.84512E+00 -7.11341E-01 -6 0 *********** SCCC-ala-cys - 1 -4.72234E-02 -4.57745E-01 - 2 3.56775E-01 -4.90053E-01 - 3 -2.61589E-01 -1.47351E-01 - 4 1.52747E-01 -2.54017E-02 - 5 -2.65539E-01 -2.29734E-02 - 6 8.33854E-02 3.07298E-03 -6 0 *********** SCCC-ala-met - 1 -1.03127E-01 -4.08371E-01 - 2 1.82092E-01 -3.50028E-01 - 3 -2.59257E-01 -9.65053E-02 - 4 1.40834E-01 1.16843E-02 - 5 -2.38310E-01 -1.23107E-02 - 6 7.10268E-02 -1.98458E-02 -6 0 *********** SCCC-ala-phe - 1 -8.17274E-02 -4.06801E-01 - 2 2.20384E-01 -3.65099E-01 - 3 -2.45776E-01 -1.07379E-01 - 4 1.52841E-01 2.39586E-04 - 5 -2.41882E-01 -1.95839E-02 - 6 7.57888E-02 -1.73280E-02 -6 0 *********** SCCC-ala-ile - 1 -1.19760E-01 -4.46679E-01 - 2 7.95757E-02 -3.59717E-01 - 3 -2.80051E-01 -1.02623E-01 - 4 8.18465E-02 2.41845E-02 - 5 -2.24914E-01 -7.94906E-03 - 6 4.80583E-02 -3.02887E-02 -6 0 *********** SCCC-ala-leu - 1 -8.56590E-02 -4.04951E-01 - 2 1.48218E-01 -3.49390E-01 - 3 -2.49709E-01 -1.04514E-01 - 4 1.43924E-01 9.09383E-03 - 5 -2.36775E-01 -1.74153E-02 - 6 6.79240E-02 -2.50369E-02 -6 0 *********** SCCC-ala-val - 1 -1.10682E-01 -4.31077E-01 - 2 7.47146E-02 -3.38920E-01 - 3 -2.66845E-01 -1.04154E-01 - 4 9.10318E-02 1.56650E-02 - 5 -2.26267E-01 -1.52883E-02 - 6 4.82302E-02 -3.43669E-02 -6 0 *********** SCCC-ala-trp - 1 -9.80232E-02 -4.11407E-01 - 2 2.23312E-01 -3.67078E-01 - 3 -2.51113E-01 -1.02750E-01 - 4 1.43110E-01 2.11031E-03 - 5 -2.39177E-01 -1.75085E-02 - 6 7.36262E-02 -1.22768E-02 -6 0 *********** SCCC-ala-tyr - 1 -8.35871E-02 -4.05962E-01 - 2 2.19559E-01 -3.63114E-01 - 3 -2.45825E-01 -1.06221E-01 - 4 1.53566E-01 7.31578E-04 - 5 -2.41598E-01 -1.93763E-02 - 6 7.59375E-02 -2.17717E-02 -6 0 *********** SCCC-ala-ala - 1 -1.12072E-01 -3.55877E-01 - 2 1.79448E-01 -2.69909E-01 - 3 -2.46881E-01 -7.17584E-02 - 4 1.77527E-01 1.58803E-02 - 5 -2.42365E-01 -1.05245E-02 - 6 7.90238E-02 -2.15854E-02 -6 0 *********** SCCC-ala-gly - 1 -1.14363E+00 1.31306E-01 - 2 4.79888E-01 2.36611E-01 - 3 -7.90134E-02 -1.27081E-01 - 4 -5.99170E-02 8.76003E-02 - 5 -2.76277E-02 -1.06128E-05 - 6 -2.45918E-02 -1.68238E-01 -6 0 *********** SCCC-ala-thr - 1 -5.15330E-02 -4.00985E-01 - 2 1.80424E-01 -3.62093E-01 - 3 -2.33610E-01 -1.18466E-01 - 4 9.15995E-02 9.48742E-03 - 5 -2.35524E-01 -1.99568E-02 - 6 4.94697E-02 -3.93897E-02 -6 0 *********** SCCC-ala-ser - 1 7.50194E-02 -5.78525E-01 - 2 3.01747E-01 -6.93358E-01 - 3 -2.86366E-01 -2.68207E-01 - 4 6.21866E-02 -8.34327E-02 - 5 -3.13864E-01 -5.42099E-02 - 6 4.17846E-02 -5.25894E-04 -6 0 *********** SCCC-ala-gln - 1 -1.04064E-01 -4.21506E-01 - 2 2.65529E-01 -3.88428E-01 - 3 -2.65512E-01 -1.01935E-01 - 4 1.44301E-01 3.51838E-03 - 5 -2.45250E-01 -1.13032E-02 - 6 7.85852E-02 -5.72991E-03 -6 0 *********** SCCC-ala-asn - 1 -7.09877E-02 -4.01600E-01 - 2 5.68618E-01 -4.04161E-01 - 3 -2.55980E-01 -1.15102E-01 - 4 2.44533E-01 -3.24625E-02 - 5 -2.97769E-01 -2.14430E-02 - 6 1.16416E-01 9.17882E-03 -6 0 *********** SCCC-ala-glu - 1 -7.56173E-02 -4.46306E-01 - 2 2.53680E-01 -4.32546E-01 - 3 -2.64285E-01 -1.27345E-01 - 4 1.25118E-01 -7.55815E-03 - 5 -2.48157E-01 -1.80572E-02 - 6 7.05856E-02 -7.98159E-03 -6 0 *********** SCCC-ala-asp - 1 -3.71504E-02 -4.13112E-01 - 2 4.32148E-01 -4.26733E-01 - 3 -2.35568E-01 -1.25450E-01 - 4 2.13724E-01 -2.56847E-02 - 5 -2.64058E-01 -2.05016E-02 - 6 1.00963E-01 8.29918E-03 -6 0 *********** SCCC-ala-his - 1 -3.33788E-02 -4.71157E-01 - 2 4.27097E-01 -5.11784E-01 - 3 -2.78457E-01 -1.65469E-01 - 4 1.53039E-01 -3.99350E-02 - 5 -2.95105E-01 -2.36970E-02 - 6 8.02113E-02 1.46523E-02 -6 0 *********** SCCC-ala-arg - 1 -1.04573E-01 -3.97009E-01 - 2 1.65555E-01 -3.22867E-01 - 3 -2.55674E-01 -9.28299E-02 - 4 1.46464E-01 9.69913E-03 - 5 -2.38133E-01 -1.22190E-02 - 6 6.76459E-02 -2.41947E-02 -6 0 *********** SCCC-ala-lys - 1 -1.07988E-01 -3.83821E-01 - 2 1.73039E-01 -2.98455E-01 - 3 -2.56152E-01 -8.52959E-02 - 4 1.50522E-01 1.26872E-02 - 5 -2.42498E-01 -1.15646E-02 - 6 7.07238E-02 -2.52455E-02 -6 0 *********** SCCC-ala-pro - 1 -3.65783E+01 -1.73364E-01 - 2 2.05370E+01 3.29453E-01 - 3 3.61601E-01 2.95808E-01 - 4 -2.02371E+01 -1.26263E-01 - 5 3.48729E+01 -6.63178E-02 - 6 -2.02682E+01 1.96069E-01 -6 0 *********** SCCC-gly-cys +20 +1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 +4 0 *********** SCCC-cys-cys + 1 -4.55603E-01 9.65298E-01 + 2 1.95846E-01 3.64979E-02 + 3 1.27866E-01 -3.36766E-02 + 4 -7.49954E-02 5.32498E-02 +4 0 *********** SCCC-cys-met + 1 -4.71114E-01 7.60987E-01 + 2 2.41181E-01 -1.03322E-01 + 3 1.43073E-01 -2.21854E-02 + 4 -2.93892E-02 1.08616E-02 +4 0 *********** SCCC-cys-phe + 1 -5.43396E-01 7.51679E-01 + 2 2.52192E-01 -7.71157E-02 + 3 1.40708E-01 -3.86572E-02 + 4 -4.11594E-02 2.05621E-02 +4 0 *********** SCCC-cys-ile + 1 -4.15806E-01 8.73796E-01 + 2 2.29085E-01 -1.02595E-01 + 3 1.21883E-01 -9.13890E-03 + 4 -2.22851E-02 1.91175E-02 +4 0 *********** SCCC-cys-leu + 1 -5.26311E-01 7.03011E-01 + 2 2.80452E-01 -1.19168E-01 + 3 1.34515E-01 -3.58673E-02 + 4 -2.40376E-02 9.69179E-03 +4 0 *********** SCCC-cys-val + 1 -4.50652E-01 8.05820E-01 + 2 2.48129E-01 -1.17441E-01 + 3 1.28793E-01 -1.49132E-02 + 4 -1.99449E-02 1.32748E-02 +4 0 *********** SCCC-cys-trp + 1 -4.95125E-01 8.17010E-01 + 2 2.15768E-01 -6.24687E-02 + 3 1.40598E-01 -2.33209E-02 + 4 -4.46050E-02 1.94235E-02 +4 0 *********** SCCC-cys-tyr + 1 -5.34800E-01 7.50959E-01 + 2 2.51394E-01 -8.01634E-02 + 3 1.41953E-01 -3.79765E-02 + 4 -4.01579E-02 2.01270E-02 +4 0 *********** SCCC-cys-ala + 1 -4.83889E-01 6.03960E-01 + 2 2.55589E-01 -1.33319E-01 + 3 1.49137E-01 -5.00761E-02 + 4 -2.06741E-02 1.06316E-03 +4 0 *********** SCCC-cys-gly + 1 1.20094E+00 2.04058E-01 + 2 -2.22725E-01 3.56473E-02 + 3 3.83425E-02 1.81060E-02 + 4 2.28548E-02 8.61259E-02 +4 0 *********** SCCC-cys-thr + 1 -3.77258E-01 7.85308E-01 + 2 1.49953E-01 -7.25764E-03 + 3 1.49630E-01 -3.22510E-02 + 4 -4.07046E-02 2.90824E-02 +4 0 *********** SCCC-cys-ser + 1 -4.81540E-01 1.07811E+00 + 2 2.09279E-01 9.45944E-02 + 3 9.23704E-02 -2.88524E-02 + 4 -1.02030E-01 6.70852E-02 +4 0 *********** SCCC-cys-gln + 1 -3.87554E-01 9.02705E-01 + 2 1.74805E-01 -3.32422E-02 + 3 1.45982E-01 -1.62072E-02 + 4 -5.27937E-02 2.83693E-02 +4 0 *********** SCCC-cys-asn + 1 -3.70700E-01 9.94732E-01 + 2 1.34714E-01 1.19083E-01 + 3 1.16032E-01 -5.44814E-02 + 4 -8.00815E-02 6.18519E-02 +4 0 *********** SCCC-cys-glu + 1 -4.29864E-01 9.28142E-01 + 2 2.02016E-01 -2.67383E-02 + 3 1.35661E-01 -2.12449E-02 + 4 -5.61243E-02 3.68305E-02 +4 0 *********** SCCC-cys-asp + 1 -2.52349E-01 1.04564E+00 + 2 7.83457E-02 9.28812E-02 + 3 1.44901E-01 -1.95968E-02 + 4 -9.85960E-02 4.74697E-02 +4 0 *********** SCCC-cys-his + 1 -4.66699E-01 1.01960E+00 + 2 1.98413E-01 2.61999E-02 + 3 1.09956E-01 -8.07657E-03 + 4 -7.45758E-02 4.28546E-02 +4 0 *********** SCCC-cys-arg + 1 -4.30417E-01 7.40954E-01 + 2 2.24651E-01 -1.22910E-01 + 3 1.50932E-01 -2.40954E-02 + 4 -2.74423E-02 8.27042E-03 +4 0 *********** SCCC-cys-lys + 1 -4.67892E-01 6.80128E-01 + 2 2.62052E-01 -1.43745E-01 + 3 1.37999E-01 -3.02356E-02 + 4 -1.46706E-02 2.84023E-03 +4 0 *********** SCCC-cys-pro + 1 -7.02091E-01 1.00140E+00 + 2 2.07932E-01 1.73568E-01 + 3 1.52497E-01 4.91816E-02 + 4 -1.66631E-01 -4.06736E-02 +4 0 *********** SCCC-met-cys + 1 -4.42033E-01 5.40221E-01 + 2 -7.19269E-02 -5.83381E-02 + 3 5.06300E-02 5.91374E-03 + 4 -3.06477E-02 7.69493E-03 +4 0 *********** SCCC-met-met + 1 -3.80151E-01 4.08235E-01 + 2 -1.32467E-02 -2.03466E-02 + 3 2.32344E-02 1.47494E-02 + 4 -2.18032E-02 -1.81317E-03 +4 0 *********** SCCC-met-phe + 1 -4.12081E-01 3.97153E-01 + 2 -1.01234E-02 -2.48314E-02 + 3 2.61280E-02 1.71898E-02 + 4 -2.43607E-02 -2.72779E-03 +4 0 *********** SCCC-met-ile + 1 -3.70397E-01 4.83444E-01 + 2 -3.81252E-02 -2.93627E-02 + 3 2.84816E-02 6.10235E-03 + 4 -2.03401E-02 3.65369E-03 +4 0 *********** SCCC-met-leu + 1 -3.84551E-01 3.68011E-01 + 2 4.38518E-03 -1.18971E-02 + 3 2.00069E-02 1.65791E-02 + 4 -2.13427E-02 -2.57694E-03 +4 0 *********** SCCC-met-val + 1 -3.71389E-01 4.38942E-01 + 2 -2.05676E-02 -2.22868E-02 + 3 2.41561E-02 1.09053E-02 + 4 -2.05373E-02 8.06848E-04 +4 0 *********** SCCC-met-trp + 1 -4.10891E-01 4.37976E-01 + 2 -2.77981E-02 -3.48201E-02 + 3 3.03730E-02 1.46661E-02 + 4 -2.46401E-02 -1.20686E-03 +4 0 *********** SCCC-met-tyr + 1 -4.08076E-01 3.97592E-01 + 2 -1.01833E-02 -2.39038E-02 + 3 2.57854E-02 1.69156E-02 + 4 -2.41161E-02 -2.56675E-03 +4 0 *********** SCCC-met-ala + 1 -3.54616E-01 3.02973E-01 + 2 2.16168E-02 -3.67977E-04 + 3 1.45739E-02 1.81415E-02 + 4 -1.79436E-02 -3.96459E-03 +4 0 *********** SCCC-met-gly + 1 7.05567E-01 3.10084E-01 + 2 1.25016E-01 2.75261E-02 + 3 4.64330E-02 4.30485E-02 + 4 7.31928E-04 3.22684E-02 +4 0 *********** SCCC-met-thr + 1 -3.77492E-01 4.31285E-01 + 2 -3.91945E-02 -3.09277E-02 + 3 2.78681E-02 1.16254E-02 + 4 -2.06920E-02 -1.15606E-03 +4 0 *********** SCCC-met-ser + 1 -4.77373E-01 6.03159E-01 + 2 -9.65197E-02 -8.07901E-02 + 3 6.83339E-02 4.71440E-04 + 4 -3.56763E-02 1.68021E-02 +4 0 *********** SCCC-met-gln + 1 -3.89750E-01 5.00505E-01 + 2 -5.57875E-02 -4.01181E-02 + 3 3.61437E-02 7.13884E-03 + 4 -2.44424E-02 3.20141E-03 +4 0 *********** SCCC-met-asn + 1 -4.37272E-01 5.59017E-01 + 2 -9.01724E-02 -6.36490E-02 + 3 5.60663E-02 1.24581E-03 + 4 -2.96767E-02 1.05188E-02 +4 0 *********** SCCC-met-glu + 1 -4.09213E-01 5.16766E-01 + 2 -5.89015E-02 -4.48149E-02 + 3 4.07367E-02 6.19682E-03 + 4 -2.66186E-02 5.34112E-03 +4 0 *********** SCCC-met-asp + 1 -3.89525E-01 5.77519E-01 + 2 -1.02402E-01 -5.64349E-02 + 3 5.16894E-02 -3.22193E-03 + 4 -2.65854E-02 1.07219E-02 +4 0 *********** SCCC-met-his + 1 -4.50410E-01 5.61700E-01 + 2 -7.85717E-02 -6.63989E-02 + 3 5.36455E-02 3.90730E-03 + 4 -3.01252E-02 9.50125E-03 +4 0 *********** SCCC-met-arg + 1 -3.57078E-01 3.96667E-01 + 2 -8.94391E-03 -1.59360E-02 + 3 2.05189E-02 1.44722E-02 + 4 -1.99195E-02 -1.46327E-03 +4 0 *********** SCCC-met-lys + 1 -3.54616E-01 3.55248E-01 + 2 8.91179E-03 -7.06650E-03 + 3 1.62862E-02 1.57910E-02 + 4 -1.82233E-02 -2.25638E-03 +4 0 *********** SCCC-met-pro + 1 -6.09554E-01 5.47230E-01 + 2 -6.90890E-02 -1.30340E-01 + 3 6.56985E-02 3.67062E-02 + 4 -4.53500E-02 -1.31158E-02 +4 0 *********** SCCC-phe-cys + 1 -4.62579E-01 4.10888E-01 + 2 -2.26015E-01 -1.46696E-01 + 3 -1.94976E-02 -1.11131E-01 + 4 -5.01894E-02 -1.27132E-02 +4 0 *********** SCCC-phe-met + 1 -3.78872E-01 2.75711E-01 + 2 -1.59495E-01 -4.07052E-02 + 3 -7.52546E-02 -9.37566E-02 + 4 -2.65095E-02 -3.99488E-02 +4 0 *********** SCCC-phe-phe + 1 -4.00143E-01 2.57150E-01 + 2 -1.51048E-01 -5.67289E-02 + 3 -7.24239E-02 -9.40932E-02 + 4 -3.19414E-02 -3.87639E-02 +4 0 *********** SCCC-phe-ile + 1 -3.88149E-01 3.52969E-01 + 2 -1.93576E-01 -5.53308E-02 + 3 -6.39139E-02 -9.09914E-02 + 4 -2.47252E-02 -2.93166E-02 +4 0 *********** SCCC-phe-leu + 1 -3.70079E-01 2.35036E-01 + 2 -1.45513E-01 -2.07186E-02 + 3 -9.01374E-02 -9.11654E-02 + 4 -2.56875E-02 -4.08861E-02 +4 0 *********** SCCC-phe-val + 1 -3.77876E-01 3.07980E-01 + 2 -1.74240E-01 -3.92289E-02 + 3 -7.45993E-02 -9.02785E-02 + 4 -2.30187E-02 -3.49072E-02 +4 0 *********** SCCC-phe-trp + 1 -4.12306E-01 2.98390E-01 + 2 -1.65326E-01 -7.91134E-02 + 3 -5.53723E-02 -9.47400E-02 + 4 -3.33398E-02 -3.58108E-02 +4 0 *********** SCCC-phe-tyr + 1 -3.97064E-01 2.58593E-01 + 2 -1.52086E-01 -5.41079E-02 + 3 -7.35758E-02 -9.43540E-02 + 4 -3.15097E-02 -3.87731E-02 +4 0 *********** SCCC-phe-ala + 1 -3.36157E-01 1.77519E-01 + 2 -1.17424E-01 9.69066E-03 + 3 -1.01062E-01 -8.78362E-02 + 4 -2.22926E-02 -4.51096E-02 +4 0 *********** SCCC-phe-gly + 1 6.01182E-01 3.73727E-01 + 2 3.30566E-01 4.52419E-02 + 3 1.00750E-01 -6.31009E-02 + 4 3.63753E-04 3.44768E-02 +4 0 *********** SCCC-phe-thr + 1 -3.95492E-01 3.00352E-01 + 2 -1.60716E-01 -8.77644E-02 + 3 -4.19793E-02 -9.88401E-02 + 4 -2.69269E-02 -3.01506E-02 +4 0 *********** SCCC-phe-ser + 1 -5.09102E-01 4.86450E-01 + 2 -2.67160E-01 -2.00589E-01 + 3 1.62078E-02 -1.17665E-01 + 4 -6.48941E-02 1.30471E-02 +4 0 *********** SCCC-phe-gln + 1 -4.13035E-01 3.70012E-01 + 2 -1.99598E-01 -9.56424E-02 + 3 -4.25024E-02 -1.02604E-01 + 4 -3.86357E-02 -2.84432E-02 +4 0 *********** SCCC-phe-asn + 1 -4.74125E-01 4.37822E-01 + 2 -2.34663E-01 -1.77057E-01 + 3 4.97599E-04 -1.14090E-01 + 4 -5.52800E-02 -2.01378E-03 +4 0 *********** SCCC-phe-glu + 1 -4.28227E-01 3.85340E-01 + 2 -2.11873E-01 -1.05524E-01 + 3 -4.15752E-02 -1.04415E-01 + 4 -4.19641E-02 -2.34032E-02 +4 0 *********** SCCC-phe-asp + 1 -4.43901E-01 4.61468E-01 + 2 -2.41169E-01 -1.58233E-01 + 3 -2.36848E-03 -1.19346E-01 + 4 -6.11572E-02 -6.37633E-03 +4 0 *********** SCCC-phe-his + 1 -4.75995E-01 4.33527E-01 + 2 -2.35847E-01 -1.59983E-01 + 3 -5.89940E-03 -1.10557E-01 + 4 -4.73175E-02 -7.16909E-03 +4 0 *********** SCCC-phe-arg + 1 -3.59730E-01 2.67583E-01 + 2 -1.52185E-01 -2.40627E-02 + 3 -8.10757E-02 -8.94407E-02 + 4 -2.49723E-02 -4.03733E-02 +4 0 *********** SCCC-phe-lys + 1 -3.46674E-01 2.28404E-01 + 2 -1.39061E-01 -1.64743E-03 + 3 -9.34945E-02 -8.62849E-02 + 4 -1.92633E-02 -4.11896E-02 +4 0 *********** SCCC-phe-pro + 1 -6.17241E-01 4.52603E-01 + 2 -1.85757E-01 -3.00525E-01 + 3 7.35871E-02 -1.20146E-01 + 4 -4.20338E-02 -8.11095E-02 +4 0 *********** SCCC-ile-cys + 1 -6.04603E-01 6.22953E-01 + 2 -1.07202E-01 -9.11979E-02 + 3 1.80581E-01 -5.32300E-02 + 4 -5.33906E-02 2.69991E-02 +4 0 *********** SCCC-ile-met + 1 -4.98356E-01 4.32072E-01 + 2 -8.68054E-03 -1.44039E-02 + 3 9.97463E-02 -3.66053E-02 + 4 -2.99125E-02 -1.76010E-03 +4 0 *********** SCCC-ile-phe + 1 -5.36203E-01 4.14006E-01 + 2 -8.15075E-03 -1.25844E-02 + 3 1.12158E-01 -3.54614E-02 + 4 -3.86935E-02 -3.72408E-03 +4 0 *********** SCCC-ile-ile + 1 -4.98343E-01 5.32806E-01 + 2 -4.01467E-02 -3.69391E-02 + 3 1.01120E-01 -5.31072E-02 + 4 -2.39305E-02 1.25986E-02 +4 0 *********** SCCC-ile-leu + 1 -4.98957E-01 3.77555E-01 + 2 1.41813E-02 5.96541E-03 + 3 9.45394E-02 -4.12774E-02 + 4 -3.13142E-02 -1.96469E-03 +4 0 *********** SCCC-ile-val + 1 -4.92613E-01 4.72043E-01 + 2 -1.58054E-02 -2.05223E-02 + 3 9.47257E-02 -4.57798E-02 + 4 -2.58082E-02 6.16207E-03 +4 0 *********** SCCC-ile-trp + 1 -5.40919E-01 4.71089E-01 + 2 -3.11140E-02 -3.60351E-02 + 3 1.19081E-01 -3.23843E-02 + 4 -3.76778E-02 -8.72224E-04 +4 0 *********** SCCC-ile-tyr + 1 -5.31300E-01 4.14938E-01 + 2 -7.95744E-03 -1.20449E-02 + 3 1.10947E-01 -3.60107E-02 + 4 -3.79362E-02 -3.40210E-03 +4 0 *********** SCCC-ile-ala + 1 -4.53534E-01 3.02214E-01 + 2 3.54264E-02 2.04753E-02 + 3 8.37150E-02 -3.51964E-02 + 4 -2.54678E-02 -6.69398E-03 +4 0 *********** SCCC-ile-gly + 1 8.95801E-01 4.66810E-01 + 2 2.18402E-01 6.07274E-02 + 3 2.19337E-01 1.09960E-01 + 4 4.59913E-02 9.51908E-02 +4 0 *********** SCCC-ile-thr + 1 -4.97030E-01 4.69824E-01 + 2 -4.67561E-02 -4.01289E-02 + 3 1.16124E-01 -2.32173E-02 + 4 -3.33238E-02 -6.74730E-04 +4 0 *********** SCCC-ile-ser + 1 -6.82521E-01 7.46737E-01 + 2 -1.66838E-01 -1.58009E-01 + 3 2.50198E-01 -7.33204E-02 + 4 -7.21986E-02 7.43273E-02 +4 0 *********** SCCC-ile-gln + 1 -5.25896E-01 5.61891E-01 + 2 -7.20761E-02 -6.01625E-02 + 3 1.32414E-01 -4.25460E-02 + 4 -3.51978E-02 8.25566E-03 +4 0 *********** SCCC-ile-asn + 1 -6.07023E-01 6.64836E-01 + 2 -1.42383E-01 -1.14830E-01 + 3 2.05263E-01 -5.70534E-02 + 4 -5.57412E-02 4.15312E-02 +4 0 *********** SCCC-ile-glu + 1 -5.54083E-01 5.82928E-01 + 2 -7.96853E-02 -6.47652E-02 + 3 1.44558E-01 -5.23117E-02 + 4 -3.98567E-02 1.57250E-02 +4 0 *********** SCCC-ile-asp + 1 -5.50756E-01 6.98214E-01 + 2 -1.58363E-01 -1.20098E-01 + 3 1.94070E-01 -5.54957E-02 + 4 -4.63837E-02 3.30493E-02 +4 0 *********** SCCC-ile-his + 1 -6.22802E-01 6.58897E-01 + 2 -1.17083E-01 -1.10923E-01 + 3 1.87541E-01 -5.44786E-02 + 4 -5.27283E-02 3.60895E-02 +4 0 *********** SCCC-ile-arg + 1 -4.68316E-01 4.20180E-01 + 2 -1.60693E-03 -1.14180E-02 + 3 9.02561E-02 -3.53904E-02 + 4 -2.60790E-02 -1.83804E-03 +4 0 *********** SCCC-ile-lys + 1 -4.60625E-01 3.66307E-01 + 2 2.28230E-02 6.88499E-03 + 3 8.09885E-02 -3.86622E-02 + 4 -2.37433E-02 -8.00729E-04 +4 0 *********** SCCC-ile-pro + 1 -9.11814E-01 7.56200E-01 + 2 -1.68031E-01 -3.15787E-01 + 3 3.77243E-01 6.35252E-02 + 4 -1.52893E-01 6.08670E-02 +4 0 *********** SCCC-leu-cys + 1 -5.92878E-01 2.63423E-01 + 2 -4.46107E-01 1.45946E-02 + 3 8.85691E-02 1.25335E-01 + 4 3.46958E-03 -3.44148E-02 +4 0 *********** SCCC-leu-met + 1 -5.04044E-01 1.18074E-01 + 2 -2.75735E-01 1.37015E-01 + 3 1.80417E-02 1.20871E-01 + 4 -1.96858E-02 -6.73149E-02 +4 0 *********** SCCC-leu-phe + 1 -5.23790E-01 7.82255E-02 + 2 -3.03285E-01 1.12738E-01 + 3 2.60212E-02 1.47188E-01 + 4 -9.12905E-03 -6.95404E-02 +4 0 *********** SCCC-leu-ile + 1 -5.22824E-01 2.10748E-01 + 2 -3.07049E-01 1.41478E-01 + 3 4.78934E-02 8.75128E-02 + 4 -2.40663E-02 -6.14111E-02 +4 0 *********** SCCC-leu-leu + 1 -4.96301E-01 5.48648E-02 + 2 -2.65529E-01 1.68077E-01 + 3 2.10832E-02 1.38336E-01 + 4 -1.97833E-02 -7.72021E-02 +4 0 *********** SCCC-leu-val + 1 -5.09257E-01 1.53623E-01 + 2 -2.82467E-01 1.55153E-01 + 3 3.36493E-02 1.03923E-01 + 4 -2.47317E-02 -6.84244E-02 +4 0 *********** SCCC-leu-trp + 1 -5.36915E-01 1.41614E-01 + 2 -3.16123E-01 8.21513E-02 + 3 3.10676E-02 1.28035E-01 + 4 -1.05901E-02 -5.87549E-02 +4 0 *********** SCCC-leu-tyr + 1 -5.20832E-01 8.15940E-02 + 2 -3.00203E-01 1.16652E-01 + 3 2.49572E-02 1.45017E-01 + 4 -1.03220E-02 -6.96037E-02 +4 0 *********** SCCC-leu-ala + 1 -4.53690E-01 1.60448E-02 + 2 -2.01402E-01 1.80136E-01 + 3 -6.40669E-03 1.38012E-01 + 4 -2.27126E-02 -6.80365E-02 +4 0 *********** SCCC-leu-gly + 1 5.13032E-01 5.43657E-01 + 2 4.85228E-01 -2.44057E-01 + 3 6.72842E-02 1.86750E-01 + 4 -1.00748E-02 -3.90944E-02 +4 0 *********** SCCC-leu-thr + 1 -5.11060E-01 1.84664E-01 + 2 -2.91864E-01 4.05042E-02 + 3 5.02939E-03 1.09568E-01 + 4 -5.49041E-03 -4.27811E-02 +4 0 *********** SCCC-leu-ser + 1 -6.55966E-01 3.49434E-01 + 2 -5.56569E-01 -4.24392E-02 + 3 1.67513E-01 1.18900E-01 + 4 1.08718E-02 3.65601E-03 +4 0 *********** SCCC-leu-gln + 1 -5.39982E-01 2.45285E-01 + 2 -3.44086E-01 6.50880E-02 + 3 4.03263E-02 1.00921E-01 + 4 -1.28837E-02 -4.48029E-02 +4 0 *********** SCCC-leu-asn + 1 -6.07636E-01 3.30162E-01 + 2 -4.72874E-01 -5.25555E-02 + 3 1.03963E-01 1.19980E-01 + 4 5.25450E-03 -4.88500E-03 +4 0 *********** SCCC-leu-glu + 1 -5.58718E-01 2.42544E-01 + 2 -3.81869E-01 6.88831E-02 + 3 6.30436E-02 1.09829E-01 + 4 -9.56560E-03 -4.83048E-02 +4 0 *********** SCCC-leu-asp + 1 -5.76809E-01 3.97867E-01 + 2 -4.25845E-01 -4.30068E-02 + 3 7.14207E-02 8.60899E-02 + 4 -5.73144E-03 1.54473E-03 +4 0 *********** SCCC-leu-his + 1 -6.10042E-01 2.93401E-01 + 2 -4.60094E-01 7.29031E-03 + 3 1.05630E-01 1.06369E-01 + 4 2.94319E-03 -2.77707E-02 +4 0 *********** SCCC-leu-arg + 1 -4.84492E-01 1.24026E-01 + 2 -2.41674E-01 1.50040E-01 + 3 7.75272E-03 1.12210E-01 + 4 -2.47593E-02 -6.27088E-02 +4 0 *********** SCCC-leu-lys + 1 -4.71699E-01 6.92459E-02 + 2 -2.22373E-01 1.85162E-01 + 3 8.73867E-03 1.19745E-01 + 4 -2.71969E-02 -7.09850E-02 +4 0 *********** SCCC-leu-pro + 1 -7.44731E-01 2.85611E-01 + 2 -5.87121E-01 -2.89125E-01 + 3 1.11616E-01 2.21559E-01 + 4 -2.15154E-02 3.19252E-02 +4 0 *********** SCCC-val-cys + 1 -6.37671E-01 4.29531E-01 + 2 -3.54652E-01 -9.45011E-02 + 3 1.30803E-01 5.39195E-02 + 4 1.68066E-02 -5.89187E-03 +4 0 *********** SCCC-val-met + 1 -5.19785E-01 2.30211E-01 + 2 -2.02858E-01 5.02491E-02 + 3 3.89614E-02 5.37683E-02 + 4 1.11168E-02 -3.69718E-02 +4 0 *********** SCCC-val-phe + 1 -5.44730E-01 2.00795E-01 + 2 -2.15635E-01 3.21726E-02 + 3 4.76527E-02 7.31636E-02 + 4 1.53060E-02 -4.12280E-02 +4 0 *********** SCCC-val-ile + 1 -5.37729E-01 3.36320E-01 + 2 -2.39123E-01 3.72545E-02 + 3 6.13247E-02 2.26126E-02 + 4 6.42153E-03 -2.79011E-02 +4 0 *********** SCCC-val-leu + 1 -5.08982E-01 1.69477E-01 + 2 -1.85919E-01 8.01706E-02 + 3 3.52565E-02 6.27795E-02 + 4 1.04221E-02 -4.18706E-02 +4 0 *********** SCCC-val-val + 1 -5.22276E-01 2.71776E-01 + 2 -2.11599E-01 5.73754E-02 + 3 4.72046E-02 3.69249E-02 + 4 6.29363E-03 -3.41850E-02 +4 0 *********** SCCC-val-trp + 1 -5.61184E-01 2.64153E-01 + 2 -2.34313E-01 -3.03607E-04 + 3 5.74963E-02 6.30770E-02 + 4 1.37481E-02 -3.39654E-02 +4 0 *********** SCCC-val-tyr + 1 -5.41140E-01 2.02967E-01 + 2 -2.14128E-01 3.53404E-02 + 3 4.65146E-02 7.12986E-02 + 4 1.48945E-02 -4.10807E-02 +4 0 *********** SCCC-val-ala + 1 -4.63528E-01 1.08009E-01 + 2 -1.37427E-01 1.04421E-01 + 3 1.47077E-02 6.60091E-02 + 4 1.01403E-02 -3.82779E-02 +4 0 *********** SCCC-val-gly + 1 7.80222E-01 5.62809E-01 + 2 4.98015E-01 -5.06927E-02 + 3 1.96403E-01 1.85531E-01 + 4 5.49617E-02 3.22318E-02 +4 0 *********** SCCC-val-thr + 1 -5.36024E-01 2.87204E-01 + 2 -2.23081E-01 -2.57248E-02 + 3 4.58625E-02 5.62526E-02 + 4 1.49919E-02 -2.83341E-02 +4 0 *********** SCCC-val-ser + 1 -7.36521E-01 5.92936E-01 + 2 -4.71167E-01 -2.09715E-01 + 3 2.46981E-01 3.63319E-02 + 4 2.49886E-03 7.07794E-02 +4 0 *********** SCCC-val-gln + 1 -5.67291E-01 3.71453E-01 + 2 -2.74806E-01 -2.75425E-02 + 3 7.39046E-02 4.04525E-02 + 4 1.46551E-02 -2.27218E-02 +4 0 *********** SCCC-val-asn + 1 -6.64590E-01 5.01935E-01 + 2 -3.89259E-01 -1.62453E-01 + 3 1.66000E-01 5.45842E-02 + 4 9.89117E-03 2.04990E-02 +4 0 *********** SCCC-val-glu + 1 -5.89071E-01 3.85900E-01 + 2 -3.02715E-01 -3.38776E-02 + 3 9.27025E-02 4.14834E-02 + 4 1.51151E-02 -2.06967E-02 +4 0 *********** SCCC-val-asp + 1 -6.27245E-01 5.48540E-01 + 2 -3.72164E-01 -1.46947E-01 + 3 1.39515E-01 2.80768E-02 + 4 1.64719E-02 1.88088E-02 +4 0 *********** SCCC-val-his + 1 -6.59237E-01 4.70083E-01 + 2 -3.71977E-01 -1.13978E-01 + 3 1.50304E-01 3.90954E-02 + 4 1.44891E-02 8.97853E-03 +4 0 *********** SCCC-val-arg + 1 -4.97016E-01 2.25840E-01 + 2 -1.78561E-01 6.55208E-02 + 3 2.88421E-02 4.79617E-02 + 4 7.81065E-03 -3.59868E-02 +4 0 *********** SCCC-val-lys + 1 -4.80377E-01 1.69852E-01 + 2 -1.56685E-01 9.80185E-02 + 3 2.38504E-02 5.07570E-02 + 4 5.44637E-03 -3.75260E-02 +4 0 *********** SCCC-val-pro + 1 -9.65053E-01 6.96480E-01 + 2 -4.20317E-01 -5.55247E-01 + 3 3.34534E-01 1.03603E-01 + 4 -1.90510E-01 1.26764E-01 +4 0 *********** SCCC-trp-cys + 1 -2.78524E-01 4.85646E-01 + 2 6.41275E-02 -2.16323E-01 + 3 3.18075E-02 -2.93624E-02 + 4 -2.22648E-02 7.05087E-03 +4 0 *********** SCCC-trp-met + 1 -2.30591E-01 4.06685E-01 + 2 3.77207E-02 -1.78384E-01 + 3 1.43886E-02 -4.18351E-02 + 4 -1.23092E-02 4.18442E-03 +4 0 *********** SCCC-trp-phe + 1 -2.60440E-01 4.03440E-01 + 2 5.68400E-02 -1.80060E-01 + 3 1.15195E-02 -3.96156E-02 + 4 -1.25504E-02 3.13314E-03 +4 0 *********** SCCC-trp-ile + 1 -2.16552E-01 4.53965E-01 + 2 2.09254E-02 -1.95954E-01 + 3 2.14445E-02 -3.54838E-02 + 4 -1.43223E-02 6.53411E-03 +4 0 *********** SCCC-trp-leu + 1 -2.39189E-01 3.84951E-01 + 2 4.15813E-02 -1.76380E-01 + 3 6.21918E-03 -4.42101E-02 + 4 -1.02856E-02 3.23994E-03 +4 0 *********** SCCC-trp-val + 1 -2.20970E-01 4.27583E-01 + 2 2.66601E-02 -1.87827E-01 + 3 1.61804E-02 -3.85142E-02 + 4 -1.25531E-02 4.99406E-03 +4 0 *********** SCCC-trp-trp + 1 -2.54225E-01 4.25270E-01 + 2 5.31925E-02 -1.83758E-01 + 3 1.94121E-02 -3.58574E-02 + 4 -1.48848E-02 3.88371E-03 +4 0 *********** SCCC-trp-tyr + 1 -2.56868E-01 4.03337E-01 + 2 5.45916E-02 -1.79887E-01 + 3 1.15892E-02 -4.02659E-02 + 4 -1.24425E-02 3.36618E-03 +4 0 *********** SCCC-trp-ala + 1 -2.17129E-01 3.33640E-01 + 2 3.23038E-02 -1.51703E-01 + 3 -1.01237E-03 -5.25382E-02 + 4 -6.86667E-03 2.97654E-03 +4 0 *********** SCCC-trp-gly + 1 5.94221E-01 1.67050E-01 + 2 6.49413E-02 2.51562E-01 + 3 4.24071E-02 2.99356E-02 + 4 7.25430E-04 2.69304E-02 +4 0 *********** SCCC-trp-thr + 1 -2.22689E-01 4.05291E-01 + 2 4.89623E-02 -1.57996E-01 + 3 2.17295E-02 -4.04462E-02 + 4 -1.10784E-02 5.11588E-03 +4 0 *********** SCCC-trp-ser + 1 -3.09857E-01 5.25488E-01 + 2 7.36706E-02 -2.46687E-01 + 3 4.02618E-02 -1.84896E-02 + 4 -2.98467E-02 7.31333E-03 +4 0 *********** SCCC-trp-gln + 1 -2.30145E-01 4.56534E-01 + 2 3.82070E-02 -1.90604E-01 + 3 2.79157E-02 -3.82039E-02 + 4 -1.71306E-02 7.82471E-03 +4 0 *********** SCCC-trp-asn + 1 -2.69658E-01 4.83847E-01 + 2 6.85080E-02 -2.04815E-01 + 3 3.21313E-02 -3.18899E-02 + 4 -2.04228E-02 7.53084E-03 +4 0 *********** SCCC-trp-glu + 1 -2.49321E-01 4.72181E-01 + 2 4.47376E-02 -2.05998E-01 + 3 2.81910E-02 -3.45353E-02 + 4 -1.92147E-02 7.74112E-03 +4 0 *********** SCCC-trp-asp + 1 -2.19803E-01 4.83941E-01 + 2 3.98107E-02 -1.88327E-01 + 3 3.78892E-02 -4.46591E-02 + 4 -1.94208E-02 1.40890E-02 +4 0 *********** SCCC-trp-his + 1 -2.83887E-01 5.00226E-01 + 2 6.19914E-02 -2.25158E-01 + 3 3.72174E-02 -2.30486E-02 + 4 -2.49218E-02 6.60551E-03 +4 0 *********** SCCC-trp-arg + 1 -2.09871E-01 3.95271E-01 + 2 2.57150E-02 -1.69532E-01 + 3 1.21004E-02 -4.50086E-02 + 4 -1.03571E-02 4.93005E-03 +4 0 *********** SCCC-trp-lys + 1 -2.12544E-01 3.71164E-01 + 2 2.58459E-02 -1.66757E-01 + 3 5.22659E-03 -4.62493E-02 + 4 -8.27350E-03 3.41783E-03 +4 0 *********** SCCC-trp-pro + 1 -4.42199E-01 5.02993E-01 + 2 1.65099E-01 -2.50806E-01 + 3 5.62381E-02 -9.12310E-03 + 4 -3.65576E-02 2.98371E-03 +4 0 *********** SCCC-tyr-cys + 1 -4.45048E-01 3.51850E-01 + 2 -1.56000E-01 -2.07395E-01 + 3 4.54257E-02 -1.13902E-01 + 4 -2.94059E-02 1.01806E-02 +4 0 *********** SCCC-tyr-met + 1 -3.59076E-01 2.42112E-01 + 2 -1.24780E-01 -1.04515E-01 + 3 -7.86907E-03 -1.11506E-01 + 4 -4.63654E-03 -1.08826E-02 +4 0 *********** SCCC-tyr-phe + 1 -3.77667E-01 2.25542E-01 + 2 -1.12647E-01 -1.16054E-01 + 3 -5.00073E-03 -1.11364E-01 + 4 -1.03726E-02 -1.09456E-02 +4 0 *********** SCCC-tyr-ile + 1 -3.72246E-01 3.08265E-01 + 2 -1.52206E-01 -1.24337E-01 + 3 -4.21208E-04 -1.07332E-01 + 4 -2.98009E-03 -1.72568E-03 +4 0 *********** SCCC-tyr-leu + 1 -3.48424E-01 2.08197E-01 + 2 -1.17477E-01 -8.54272E-02 + 3 -2.08894E-02 -1.14484E-01 + 4 -2.97589E-03 -1.22439E-02 +4 0 *********** SCCC-tyr-val + 1 -3.60127E-01 2.70511E-01 + 2 -1.38881E-01 -1.07027E-01 + 3 -9.07811E-03 -1.09077E-01 + 4 -1.42119E-03 -6.39666E-03 +4 0 *********** SCCC-tyr-trp + 1 -3.90987E-01 2.59420E-01 + 2 -1.19812E-01 -1.36990E-01 + 3 8.92199E-03 -1.06309E-01 + 4 -1.31699E-02 -8.39469E-03 +4 0 *********** SCCC-tyr-tyr + 1 -3.74966E-01 2.26930E-01 + 2 -1.14291E-01 -1.14163E-01 + 3 -5.85492E-03 -1.11965E-01 + 4 -9.72865E-03 -1.08598E-02 +4 0 *********** SCCC-tyr-ala + 1 -3.12234E-01 1.57801E-01 + 2 -1.01142E-01 -5.08100E-02 + 3 -3.20892E-02 -1.13819E-01 + 4 -3.03066E-04 -1.71860E-02 +4 0 *********** SCCC-tyr-gly + 1 5.21249E-01 3.73505E-01 + 2 2.77449E-01 1.38874E-01 + 3 1.28523E-01 -1.16458E-02 + 4 2.12469E-02 4.66123E-02 +4 0 *********** SCCC-tyr-thr + 1 -3.74902E-01 2.58212E-01 + 2 -1.11953E-01 -1.38220E-01 + 3 1.84392E-02 -1.01615E-01 + 4 -1.27215E-02 -6.08377E-03 +4 0 *********** SCCC-tyr-ser + 1 -4.90127E-01 4.11518E-01 + 2 -1.80164E-01 -2.59300E-01 + 3 7.59210E-02 -1.14620E-01 + 4 -4.35756E-02 2.91465E-02 +4 0 *********** SCCC-tyr-gln + 1 -3.96272E-01 3.19344E-01 + 2 -1.46228E-01 -1.57896E-01 + 3 2.24448E-02 -1.10761E-01 + 4 -1.60657E-02 -1.82447E-03 +4 0 *********** SCCC-tyr-asn + 1 -4.55058E-01 3.69713E-01 + 2 -1.55377E-01 -2.29677E-01 + 3 6.06865E-02 -1.10740E-01 + 4 -3.66774E-02 1.57932E-02 +4 0 *********** SCCC-tyr-glu + 1 -4.11987E-01 3.32970E-01 + 2 -1.54730E-01 -1.70628E-01 + 3 2.47803E-02 -1.14047E-01 + 4 -1.83778E-02 2.69377E-03 +4 0 *********** SCCC-tyr-asp + 1 -4.27679E-01 3.89628E-01 + 2 -1.67273E-01 -2.13504E-01 + 3 5.99795E-02 -1.17236E-01 + 4 -3.51338E-02 1.27111E-02 +4 0 *********** SCCC-tyr-his + 1 -4.57549E-01 3.69384E-01 + 2 -1.61130E-01 -2.19712E-01 + 3 5.49814E-02 -1.08614E-01 + 4 -3.01313E-02 1.46920E-02 +4 0 *********** SCCC-tyr-arg + 1 -3.40333E-01 2.35484E-01 + 2 -1.23496E-01 -8.78982E-02 + 3 -1.48072E-02 -1.09426E-01 + 4 -2.20178E-03 -1.20122E-02 +4 0 *********** SCCC-tyr-lys + 1 -3.25541E-01 2.02599E-01 + 2 -1.17571E-01 -6.65914E-02 + 3 -2.65377E-02 -1.10293E-01 + 4 2.04292E-03 -1.28717E-02 +4 0 *********** SCCC-tyr-pro + 1 -5.63016E-01 3.51117E-01 + 2 -8.02641E-02 -3.73003E-01 + 3 1.39426E-01 -1.23307E-01 + 4 -8.75532E-02 -7.51550E-02 +4 0 *********** SCCC-ala-cys + 1 -3.77726E-01 5.98890E-01 + 2 -2.97365E-01 1.52563E-01 + 3 2.45306E-01 9.87104E-02 + 4 -3.32744E-02 -4.90398E-03 +4 0 *********** SCCC-ala-met + 1 -3.37099E-01 4.08982E-01 + 2 -1.14201E-01 1.59266E-01 + 3 2.01176E-01 1.30610E-01 + 4 -4.51059E-02 1.97629E-03 +4 0 *********** SCCC-ala-phe + 1 -3.77173E-01 3.94631E-01 + 2 -1.44796E-01 1.47119E-01 + 3 2.25901E-01 1.43875E-01 + 4 -4.94759E-02 -8.09483E-03 +4 0 *********** SCCC-ala-ile + 1 -3.08743E-01 5.00302E-01 + 2 -1.42373E-01 1.83090E-01 + 3 1.90801E-01 8.07317E-02 + 4 -3.96607E-02 1.15764E-02 +4 0 *********** SCCC-ala-leu + 1 -3.52027E-01 3.52741E-01 + 2 -9.00989E-02 1.67136E-01 + 3 2.21634E-01 1.37574E-01 + 4 -5.19605E-02 -2.58277E-03 +4 0 *********** SCCC-ala-val + 1 -3.20525E-01 4.42837E-01 + 2 -1.13915E-01 1.75920E-01 + 3 1.97451E-01 1.03258E-01 + 4 -4.55199E-02 9.28782E-03 +4 0 *********** SCCC-ala-trp + 1 -3.65472E-01 4.53849E-01 + 2 -1.72051E-01 1.40110E-01 + 3 2.07740E-01 1.29915E-01 + 4 -4.16369E-02 -2.77301E-03 +4 0 *********** SCCC-ala-tyr + 1 -3.72513E-01 3.94795E-01 + 2 -1.40660E-01 1.49201E-01 + 3 2.24346E-01 1.43019E-01 + 4 -4.93708E-02 -7.27975E-03 +4 0 *********** SCCC-ala-ala + 1 -3.35311E-01 2.89927E-01 + 2 -3.40832E-02 1.42388E-01 + 3 1.94384E-01 1.32260E-01 + 4 -4.40129E-02 6.83620E-03 +4 0 *********** SCCC-ala-gly + 1 8.22151E-01 1.90559E-01 + 2 2.80038E-01 -3.33499E-01 + 3 1.83010E-01 1.85465E-01 + 4 1.76027E-02 1.47276E-02 +4 0 *********** SCCC-ala-thr + 1 -3.33347E-01 4.61786E-01 + 2 -1.71559E-01 1.22081E-01 + 3 1.67931E-01 1.38621E-01 + 4 -3.43815E-02 -4.49115E-03 +4 0 *********** SCCC-ala-ser + 1 -4.06949E-01 7.14967E-01 + 2 -4.06057E-01 1.53751E-01 + 3 2.89739E-01 4.70485E-02 + 4 -1.53989E-02 3.02970E-03 +4 0 *********** SCCC-ala-gln + 1 -3.26276E-01 5.37287E-01 + 2 -2.01614E-01 1.55365E-01 + 3 1.92868E-01 1.08395E-01 + 4 -3.12948E-02 -7.08043E-05 +4 0 *********** SCCC-ala-asn + 1 -3.75404E-01 6.51825E-01 + 2 -3.45384E-01 1.30874E-01 + 3 2.45000E-01 9.04840E-02 + 4 -2.17245E-02 -7.23659E-05 +4 0 *********** SCCC-ala-glu + 1 -3.43866E-01 5.54211E-01 + 2 -2.28051E-01 1.66137E-01 + 3 2.18724E-01 9.82461E-02 + 4 -3.50013E-02 -1.14697E-03 +4 0 *********** SCCC-ala-asp + 1 -3.12738E-01 6.68777E-01 + 2 -3.05225E-01 1.41344E-01 + 3 1.92434E-01 9.72087E-02 + 4 -9.11393E-03 -8.32133E-03 +4 0 *********** SCCC-ala-his + 1 -3.76833E-01 6.34999E-01 + 2 -3.15185E-01 1.55491E-01 + 3 2.33508E-01 7.55190E-02 + 4 -2.55150E-02 -1.56111E-03 +4 0 *********** SCCC-ala-arg + 1 -3.16390E-01 3.97000E-01 + 2 -8.43265E-02 1.56751E-01 + 3 1.90132E-01 1.26901E-01 + 4 -4.23054E-02 7.02945E-03 +4 0 *********** SCCC-ala-lys + 1 -3.22229E-01 3.43068E-01 + 2 -5.16360E-02 1.65308E-01 + 3 1.99295E-01 1.28740E-01 + 4 -4.76730E-02 7.50734E-03 +4 0 *********** SCCC-ala-pro + 1 -5.56238E-01 6.96095E-01 + 2 -5.44022E-01 4.66789E-04 + 3 3.39968E-01 2.04296E-01 + 4 -8.56865E-03 7.00255E-02 +4 0 *********** SCCC-gly-cys 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-met +4 0 *********** SCCC-gly-met 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-phe +4 0 *********** SCCC-gly-phe 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-ile +4 0 *********** SCCC-gly-ile 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-leu +4 0 *********** SCCC-gly-leu 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-val +4 0 *********** SCCC-gly-val 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-trp +4 0 *********** SCCC-gly-trp 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-tyr +4 0 *********** SCCC-gly-tyr 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-ala +4 0 *********** SCCC-gly-ala 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-gly +4 0 *********** SCCC-gly-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-thr +4 0 *********** SCCC-gly-thr 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-ser +4 0 *********** SCCC-gly-ser 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-gln +4 0 *********** SCCC-gly-gln 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-asn +4 0 *********** SCCC-gly-asn 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-glu +4 0 *********** SCCC-gly-glu 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-asp +4 0 *********** SCCC-gly-asp 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-his +4 0 *********** SCCC-gly-his 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-arg +4 0 *********** SCCC-gly-arg 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-lys +4 0 *********** SCCC-gly-lys 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-gly-pro +4 0 *********** SCCC-gly-pro 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCC-thr-cys - 1 8.32563E-01 8.08879E-01 - 2 3.57328E-01 9.78401E-02 - 3 -3.63137E-01 -9.70564E-03 - 4 2.66765E-01 1.17309E-01 - 5 -4.37874E-01 3.16611E-02 - 6 1.16901E-01 4.67637E-02 -6 0 *********** SCCC-thr-met - 1 5.98636E-01 6.99714E-01 - 2 3.33001E-01 2.93033E-01 - 3 -4.75809E-01 1.82632E-01 - 4 2.24419E-01 1.68548E-01 - 5 -5.07322E-01 5.23455E-02 - 6 1.03476E-01 3.73493E-02 -6 0 *********** SCCC-thr-phe - 1 5.88356E-01 7.88856E-01 - 2 2.66394E-01 2.79391E-01 - 3 -4.74637E-01 1.30112E-01 - 4 2.42054E-01 1.54923E-01 - 5 -4.92848E-01 5.25536E-02 - 6 1.05678E-01 4.26401E-02 -6 0 *********** SCCC-thr-ile - 1 7.05281E-01 5.48646E-01 - 2 4.38507E-01 3.15510E-01 - 3 -4.98788E-01 2.27750E-01 - 4 2.33374E-01 1.75804E-01 - 5 -5.34601E-01 6.37072E-02 - 6 1.07677E-01 3.65862E-02 -6 0 *********** SCCC-thr-leu - 1 5.86404E-01 7.78484E-01 - 2 2.55581E-01 3.74103E-01 - 3 -5.38578E-01 2.01393E-01 - 4 2.20606E-01 1.65948E-01 - 5 -5.30680E-01 5.31875E-02 - 6 1.00590E-01 3.45381E-02 -6 0 *********** SCCC-thr-val - 1 6.43916E-01 6.00922E-01 - 2 3.87998E-01 3.60398E-01 - 3 -5.24131E-01 2.36106E-01 - 4 2.33285E-01 1.74430E-01 - 5 -5.51531E-01 6.30644E-02 - 6 1.05033E-01 3.86181E-02 -6 0 *********** SCCC-thr-trp - 1 5.96859E-01 6.90340E-01 - 2 3.32129E-01 2.02591E-01 - 3 -4.29449E-01 1.03608E-01 - 4 2.61626E-01 1.41779E-01 - 5 -4.68141E-01 4.37905E-02 - 6 1.18551E-01 3.49514E-02 -6 0 *********** SCCC-thr-tyr - 1 5.83316E-01 7.80132E-01 - 2 2.70011E-01 2.73516E-01 - 3 -4.70458E-01 1.29151E-01 - 4 2.44468E-01 1.54790E-01 - 5 -4.91058E-01 5.21678E-02 - 6 1.06702E-01 4.47762E-02 -6 0 *********** SCCC-thr-ala - 1 3.97083E-01 6.93290E-01 - 2 2.70774E-01 2.83717E-01 - 3 -4.66583E-01 1.71510E-01 - 4 2.36669E-01 1.50950E-01 - 5 -4.88763E-01 3.86267E-02 - 6 1.08873E-01 3.85994E-02 -6 0 *********** SCCC-thr-gly - 1 -1.02721E+00 -1.33067E+00 - 2 4.63522E-01 -3.81224E-01 - 3 -5.76703E-01 -1.14932E-01 - 4 3.20096E-01 -1.40888E-01 - 5 -5.62349E-01 -4.72779E-02 - 6 1.30272E-01 -1.29983E-01 -6 0 *********** SCCC-thr-thr - 1 5.48269E-01 8.35271E-01 - 2 1.54579E-01 3.26590E-01 - 3 -5.77112E-01 7.37547E-02 - 4 2.21575E-01 9.24808E-02 - 5 -4.71171E-01 4.35522E-02 - 6 1.05411E-01 3.62209E-02 -6 0 *********** SCCC-thr-ser - 1 1.32606E+00 8.98733E-01 - 2 3.98753E-01 8.37870E-02 - 3 -4.00202E-01 -2.03287E-01 - 4 3.92374E-01 -7.12615E-03 - 5 -4.15711E-01 3.72993E-02 - 6 1.28295E-01 5.38160E-02 -6 0 *********** SCCC-thr-gln - 1 6.85037E-01 6.82197E-01 - 2 4.02586E-01 1.74420E-01 - 3 -3.92886E-01 1.06238E-01 - 4 2.41866E-01 1.46791E-01 - 5 -4.60859E-01 3.95459E-02 - 6 1.13622E-01 4.29170E-02 -6 0 *********** SCCC-thr-asn - 1 6.57885E-01 8.63875E-01 - 2 3.75405E-01 -6.01477E-02 - 3 -2.04212E-01 -9.19281E-02 - 4 2.58741E-01 9.43649E-02 - 5 -3.65557E-01 -1.76104E-02 - 6 1.21870E-01 2.97593E-02 -6 0 *********** SCCC-thr-glu - 1 7.75619E-01 7.38694E-01 - 2 3.83901E-01 2.13260E-01 - 3 -4.24967E-01 1.01456E-01 - 4 2.43435E-01 1.45638E-01 - 5 -4.77337E-01 4.62659E-02 - 6 1.10827E-01 3.10636E-02 -6 0 *********** SCCC-thr-asp - 1 6.23849E-01 1.01012E+00 - 2 1.67001E-01 1.37058E-01 - 3 -3.77943E-01 -2.15966E-02 - 4 2.47393E-01 1.48768E-01 - 5 -4.45190E-01 5.06968E-02 - 6 9.20057E-02 5.77854E-02 -6 0 *********** SCCC-thr-his - 1 1.00870E+00 8.73694E-01 - 2 4.69437E-01 1.29476E-01 - 3 -3.08284E-01 3.06236E-03 - 4 2.55697E-01 1.03148E-01 - 5 -4.08824E-01 1.28049E-02 - 6 1.16857E-01 5.43271E-02 -6 0 *********** SCCC-thr-arg - 1 5.58705E-01 7.08321E-01 - 2 3.18998E-01 3.26964E-01 - 3 -4.86797E-01 1.97854E-01 - 4 2.26461E-01 1.65600E-01 - 5 -5.12786E-01 5.03267E-02 - 6 1.02765E-01 3.93492E-02 -6 0 *********** SCCC-thr-lys - 1 5.26444E-01 7.17485E-01 - 2 3.21766E-01 3.44961E-01 - 3 -4.86557E-01 2.13152E-01 - 4 2.11916E-01 1.71711E-01 - 5 -5.17160E-01 5.24634E-02 - 6 9.62397E-02 4.47782E-02 -6 0 *********** SCCC-thr-pro - 1 -4.91137E+01 1.05163E+01 - 2 3.95211E+01 -2.26299E+01 - 3 -2.51407E+01 2.52838E+01 - 4 1.27288E+01 -2.25806E+01 - 5 -3.01432E+00 1.27718E+01 - 6 -5.89347E-02 4.71121E+01 -6 0 *********** SCCC-ser-cys - 1 5.96568E-02 -2.64486E-02 - 2 2.90314E-01 -1.77525E-01 - 3 1.08183E-02 -3.16420E-01 - 4 -3.03842E-01 2.44837E-01 - 5 1.45360E-01 -1.48637E-01 - 6 -1.71990E-01 -3.94255E-01 -6 0 *********** SCCC-ser-met - 1 3.82460E-02 -6.50637E-04 - 2 1.30530E-01 -9.03176E-02 - 3 -3.07079E-02 -2.20618E-01 - 4 -2.09057E-01 2.45648E-01 - 5 1.08448E-01 -9.65036E-02 - 6 -1.20940E-01 -3.24224E-01 -6 0 *********** SCCC-ser-phe - 1 3.16874E-02 1.51406E-02 - 2 1.49908E-01 -1.02225E-01 - 3 -1.21842E-02 -2.21147E-01 - 4 -2.15510E-01 2.47439E-01 - 5 1.17487E-01 -1.03605E-01 - 6 -1.24396E-01 -3.29061E-01 -6 0 *********** SCCC-ser-ile - 1 8.02785E-02 -4.88144E-02 - 2 7.19947E-02 -1.07412E-01 - 3 -6.19658E-02 -2.40820E-01 - 4 -1.90729E-01 2.23428E-01 - 5 8.67548E-02 -8.83213E-02 - 6 -1.12671E-01 -3.16937E-01 -6 0 *********** SCCC-ser-leu - 1 3.61863E-02 1.15400E-02 - 2 8.20527E-02 -1.02535E-01 - 3 -2.80915E-02 -2.03699E-01 - 4 -1.93852E-01 2.57197E-01 - 5 1.03572E-01 -9.02309E-02 - 6 -1.09038E-01 -3.06033E-01 -6 0 *********** SCCC-ser-val - 1 6.76412E-02 -2.87681E-02 - 2 5.10756E-02 -9.39284E-02 - 3 -5.22726E-02 -2.22931E-01 - 4 -1.71271E-01 2.19417E-01 - 5 8.62457E-02 -8.65418E-02 - 6 -1.09418E-01 -3.08644E-01 -6 0 *********** SCCC-ser-trp - 1 3.99646E-02 -8.68878E-04 - 2 1.70158E-01 -1.03379E-01 - 3 -1.51019E-02 -2.36384E-01 - 4 -2.20868E-01 2.37546E-01 - 5 1.19729E-01 -1.07667E-01 - 6 -1.31324E-01 -3.35997E-01 -6 0 *********** SCCC-ser-tyr - 1 3.14511E-02 1.47403E-02 - 2 1.49614E-01 -1.01222E-01 - 3 -1.25592E-02 -2.20533E-01 - 4 -2.14980E-01 2.47535E-01 - 5 1.17541E-01 -1.03255E-01 - 6 -1.24167E-01 -3.19233E-01 -6 0 *********** SCCC-ser-ala - 1 1.59316E-03 3.25206E-02 - 2 1.02072E-01 -3.08049E-02 - 3 -3.74334E-02 -1.70457E-01 - 4 -1.86500E-01 2.46005E-01 - 5 9.38317E-02 -8.28787E-02 - 6 -1.10836E-01 -3.06507E-01 -6 0 *********** SCCC-ser-gly - 1 -6.91339E-01 -3.35750E-01 - 2 3.48382E-01 1.28857E-01 - 3 3.87594E-02 -2.88128E-02 - 4 -4.67894E-02 6.29824E-02 - 5 7.48372E-02 -3.80196E-02 - 6 -8.72946E-02 -1.89737E-01 -6 0 *********** SCCC-ser-thr - 1 4.00985E-02 2.34336E-02 - 2 1.20183E-01 -1.09421E-01 - 3 -2.72808E-02 -2.17048E-01 - 4 -2.20068E-01 2.04931E-01 - 5 9.42640E-02 -9.75846E-02 - 6 -1.09821E-01 -2.85694E-01 -6 0 *********** SCCC-ser-ser - 1 1.40588E-01 -7.79212E-02 - 2 2.20739E-01 -3.38745E-01 - 3 1.47935E-02 -3.73264E-01 - 4 -3.28036E-01 2.86341E-01 - 5 1.30602E-01 -1.57721E-01 - 6 -1.54242E-01 -4.10916E-01 -6 0 *********** SCCC-ser-gln - 1 4.36549E-02 -1.44905E-02 - 2 2.21604E-01 -1.04888E-01 - 3 -1.64046E-02 -2.63291E-01 - 4 -2.47573E-01 2.34899E-01 - 5 1.26273E-01 -1.18725E-01 - 6 -1.47698E-01 -3.55301E-01 -6 0 *********** SCCC-ser-asn - 1 -1.59205E-02 -4.55770E-03 - 2 4.53040E-01 -6.12706E-02 - 3 2.02264E-02 -3.29615E-01 - 4 -3.37749E-01 2.05347E-01 - 5 1.87789E-01 -1.71679E-01 - 6 -2.24535E-01 -4.28821E-01 -6 0 *********** SCCC-ser-glu - 1 6.26798E-02 -2.02219E-02 - 2 2.08694E-01 -1.43014E-01 - 3 -8.43012E-03 -2.77504E-01 - 4 -2.49906E-01 2.37234E-01 - 5 1.25206E-01 -1.23453E-01 - 6 -1.45452E-01 -3.64876E-01 -6 0 *********** SCCC-ser-asp - 1 -2.06605E-03 2.20246E-02 - 2 3.12050E-01 -1.03313E-01 - 3 1.57789E-02 -2.66623E-01 - 4 -2.98573E-01 2.62920E-01 - 5 1.65979E-01 -1.38166E-01 - 6 -1.67273E-01 -3.84371E-01 -6 0 *********** SCCC-ser-his - 1 6.08383E-02 -3.57776E-02 - 2 3.54080E-01 -1.64782E-01 - 3 1.17786E-02 -3.49443E-01 - 4 -3.33582E-01 2.29328E-01 - 5 1.46423E-01 -1.66063E-01 - 6 -1.93310E-01 -4.16547E-01 -6 0 *********** SCCC-ser-arg - 1 3.00992E-02 8.83367E-03 - 2 1.06994E-01 -6.99820E-02 - 3 -3.25076E-02 -2.03833E-01 - 4 -1.91898E-01 2.46992E-01 - 5 1.04150E-01 -8.99912E-02 - 6 -1.12257E-01 -3.22987E-01 -6 0 *********** SCCC-ser-lys - 1 2.12652E-02 1.82776E-02 - 2 1.10454E-01 -4.58432E-02 - 3 -3.63510E-02 -1.93235E-01 - 4 -1.88380E-01 2.35245E-01 - 5 9.60389E-02 -8.79188E-02 - 6 -1.13128E-01 -3.11062E-01 -6 0 *********** SCCC-ser-pro - 1 -5.17468E+01 1.34634E+01 - 2 4.14445E+01 -2.37927E+01 - 3 -2.70753E+01 2.77597E+01 - 4 1.37519E+01 -2.34356E+01 - 5 -3.50940E+00 1.34343E+01 - 6 1.01337E-01 5.09372E+01 -6 0 *********** SCCC-gln-cys - 1 6.19920E-01 8.13881E-01 - 2 1.49287E-01 -1.64915E-01 - 3 -5.69623E-02 -9.08789E-02 - 4 1.46020E-02 1.04750E-01 - 5 -1.40402E-01 -4.99878E-02 - 6 2.49452E-02 3.03150E-02 -6 0 *********** SCCC-gln-met - 1 5.05788E-01 7.24826E-01 - 2 3.66015E-02 9.02199E-02 - 3 -2.36417E-01 2.97539E-02 - 4 2.11385E-02 1.07834E-01 - 5 -1.63119E-01 -9.81862E-03 - 6 2.16788E-02 2.12837E-02 -6 0 *********** SCCC-gln-phe - 1 4.70961E-01 7.98361E-01 - 2 2.54748E-03 3.76038E-02 - 3 -1.85176E-01 7.79596E-03 - 4 1.89187E-02 1.37878E-01 - 5 -1.74129E-01 -1.37478E-02 - 6 2.25815E-02 1.98994E-02 -6 0 *********** SCCC-gln-ile - 1 6.28377E-01 6.04000E-01 - 2 6.35174E-02 1.33723E-01 - 3 -2.97090E-01 1.34064E-02 - 4 4.98742E-02 6.90106E-02 - 5 -1.66040E-01 -8.02895E-03 - 6 3.69449E-02 5.56713E-03 -6 0 *********** SCCC-gln-leu - 1 4.72890E-01 7.79488E-01 - 2 -6.28442E-02 1.03885E-01 - 3 -2.51321E-01 2.08490E-02 - 4 3.39730E-02 1.24225E-01 - 5 -1.76517E-01 -4.80265E-03 - 6 2.23859E-02 1.71108E-02 -6 0 *********** SCCC-gln-val - 1 5.74721E-01 6.46458E-01 - 2 1.06278E-02 1.57654E-01 - 3 -2.97707E-01 2.43488E-02 - 4 4.86666E-02 8.12344E-02 - 5 -1.80013E-01 -8.52930E-03 - 6 3.68176E-02 4.07321E-03 -6 0 *********** SCCC-gln-trp - 1 5.13153E-01 7.30318E-01 - 2 7.54208E-02 2.21936E-02 - 3 -1.73995E-01 7.50318E-03 - 4 2.11849E-02 1.20679E-01 - 5 -1.64720E-01 -1.74507E-02 - 6 2.51947E-02 1.72030E-02 -6 0 *********** SCCC-gln-tyr - 1 4.70921E-01 7.92874E-01 - 2 6.77366E-03 3.82328E-02 - 3 -1.84698E-01 1.05276E-02 - 4 1.88059E-02 1.38061E-01 - 5 -1.73829E-01 -1.36343E-02 - 6 2.25507E-02 1.36583E-02 -6 0 *********** SCCC-gln-ala - 1 3.69251E-01 7.27444E-01 - 2 6.63929E-03 1.36479E-01 - 3 -2.45551E-01 8.02141E-02 - 4 9.06175E-03 1.28499E-01 - 5 -1.77548E-01 -4.38042E-03 - 6 9.20073E-03 2.85368E-02 -6 0 *********** SCCC-gln-gly - 1 -7.22745E-01 -1.09045E+00 - 2 5.44142E-01 -2.09872E-01 - 3 -2.18223E-01 -1.29760E-01 - 4 2.46786E-01 -8.85457E-02 - 5 -2.13314E-01 -3.32257E-02 - 6 1.01561E-01 -1.39519E-01 -6 0 *********** SCCC-gln-thr - 1 4.22293E-01 8.31313E-01 - 2 -8.32731E-02 4.80400E-02 - 3 -2.35615E-01 -6.42757E-02 - 4 2.22581E-02 1.48531E-01 - 5 -2.21094E-01 -2.36333E-02 - 6 3.54847E-02 -2.75290E-02 -6 0 *********** SCCC-gln-ser - 1 8.69877E-01 8.46565E-01 - 2 7.30682E-02 -3.98258E-01 - 3 4.51137E-02 -3.06602E-01 - 4 5.38263E-02 7.88580E-02 - 5 -2.01244E-01 -1.06450E-01 - 6 3.67810E-02 -1.98634E-02 -6 0 *********** SCCC-gln-gln - 1 5.67770E-01 7.16574E-01 - 2 1.50798E-01 2.45099E-03 - 3 -1.64339E-01 -5.00639E-03 - 4 1.63129E-02 9.22049E-02 - 5 -1.42578E-01 -2.16609E-02 - 6 2.00936E-02 3.52049E-02 -6 0 *********** SCCC-gln-asn - 1 4.62889E-01 8.68838E-01 - 2 3.11602E-01 -2.06151E-01 - 3 3.61334E-02 -5.29737E-02 - 4 -1.11575E-02 1.01190E-01 - 5 -9.44999E-02 -5.65185E-02 - 6 3.78825E-03 6.85606E-02 -6 0 *********** SCCC-gln-glu - 1 6.09398E-01 7.52307E-01 - 2 1.07718E-01 -2.77827E-02 - 3 -1.52611E-01 -4.61129E-02 - 4 3.19422E-02 9.27534E-02 - 5 -1.47599E-01 -2.55141E-02 - 6 2.67408E-02 2.14595E-02 -6 0 *********** SCCC-gln-asp - 1 3.97986E-01 9.69397E-01 - 2 5.71165E-02 -1.68059E-01 - 3 -2.55939E-02 -3.65483E-02 - 4 -3.84171E-02 1.82735E-01 - 5 -1.69647E-01 -6.00285E-02 - 6 1.51944E-02 2.41515E-02 -6 0 *********** SCCC-gln-his - 1 6.88039E-01 8.30782E-01 - 2 2.41703E-01 -1.64020E-01 - 3 -3.34982E-02 -1.27367E-01 - 4 5.09587E-02 6.00642E-02 - 5 -9.87080E-02 -4.28469E-02 - 6 1.53293E-02 5.48758E-02 -6 0 *********** SCCC-gln-arg - 1 4.76559E-01 7.32603E-01 - 2 1.32051E-02 1.26312E-01 - 3 -2.47640E-01 4.30225E-02 - 4 2.92951E-02 1.08682E-01 - 5 -1.69216E-01 -1.01814E-02 - 6 2.00014E-02 1.54620E-02 -6 0 *********** SCCC-gln-lys - 1 4.47638E-01 7.37720E-01 - 2 1.93114E-02 1.55042E-01 - 3 -2.60444E-01 5.62681E-02 - 4 2.06389E-02 1.10199E-01 - 5 -1.72985E-01 -5.46247E-03 - 6 1.69432E-02 2.26239E-02 -6 0 *********** SCCC-gln-pro - 1 -4.27841E+01 9.97581E+00 - 2 3.50155E+01 -1.92289E+01 - 3 -2.18771E+01 2.26068E+01 - 4 1.12115E+01 -1.93011E+01 - 5 -2.67923E+00 1.13642E+01 - 6 -1.44351E-01 4.14659E+01 -6 0 *********** SCCC-asn-cys - 1 7.57387E-01 1.17372E+00 - 2 2.08398E-01 3.61800E-01 - 3 -1.28940E-01 -1.34420E-01 - 4 -3.78568E-01 2.55784E-01 - 5 1.27969E-02 -9.23544E-02 - 6 -2.14845E-01 -2.48316E-01 -6 0 *********** SCCC-asn-met - 1 6.58423E-01 1.08695E+00 - 2 -1.01501E-02 5.41949E-01 - 3 -3.24950E-01 -6.13778E-03 - 4 -3.78494E-01 2.75292E-01 - 5 -2.17686E-02 -4.45267E-02 - 6 -1.80203E-01 -2.28603E-01 -6 0 *********** SCCC-asn-phe - 1 6.04183E-01 1.15616E+00 - 2 -2.22415E-02 4.88891E-01 - 3 -2.74865E-01 -1.35301E-02 - 4 -3.89501E-01 2.94722E-01 - 5 -2.66922E-02 -4.88006E-02 - 6 -1.93140E-01 -2.14603E-01 -6 0 *********** SCCC-asn-ile - 1 8.68767E-01 1.00859E+00 - 2 1.08194E-02 5.96323E-01 - 3 -3.55379E-01 2.69439E-02 - 4 -3.65665E-01 2.69668E-01 - 5 -6.80051E-02 -2.87496E-02 - 6 -1.69257E-01 -2.23131E-01 -6 0 *********** SCCC-asn-leu - 1 6.39806E-01 1.18521E+00 - 2 -1.47182E-01 5.47256E-01 - 3 -3.79392E-01 -2.05691E-02 - 4 -3.87483E-01 2.62638E-01 - 5 -9.68320E-03 -5.49221E-02 - 6 -1.63309E-01 -2.17459E-01 -6 0 *********** SCCC-asn-val - 1 8.01419E-01 1.05188E+00 - 2 -6.25009E-02 6.01031E-01 - 3 -3.69780E-01 3.37962E-02 - 4 -3.66816E-01 2.58004E-01 - 5 -6.44692E-02 -4.02186E-02 - 6 -1.67954E-01 -2.15854E-01 -6 0 *********** SCCC-asn-trp - 1 6.29497E-01 1.04140E+00 - 2 7.64047E-02 4.51765E-01 - 3 -2.25870E-01 -1.59904E-02 - 4 -3.62734E-01 2.90501E-01 - 5 -2.33286E-02 -4.19931E-02 - 6 -1.96059E-01 -2.15681E-01 -6 0 *********** SCCC-asn-tyr - 1 6.03020E-01 1.14475E+00 - 2 -1.42640E-02 4.87177E-01 - 3 -2.71612E-01 -8.62896E-03 - 4 -3.89546E-01 2.98438E-01 - 5 -2.81881E-02 -4.66818E-02 - 6 -1.94007E-01 -2.18886E-01 -6 0 *********** SCCC-asn-ala - 1 4.44178E-01 9.82931E-01 - 2 -3.99901E-02 4.87516E-01 - 3 -3.01729E-01 1.18280E-03 - 4 -3.58125E-01 2.98468E-01 - 5 -1.25165E-02 -3.03695E-02 - 6 -1.78807E-01 -2.23879E-01 -6 0 *********** SCCC-asn-gly - 1 -4.09165E-01 -1.51631E+00 - 2 4.37973E-01 -1.76964E-01 - 3 -2.26521E-01 -1.86394E-01 - 4 2.98630E-02 1.18900E-01 - 5 3.27733E-03 -2.59213E-03 - 6 -1.39487E-02 -3.69516E-01 -6 0 *********** SCCC-asn-thr - 1 4.96208E-01 1.18979E+00 - 2 -2.28681E-01 3.61901E-01 - 3 -2.94898E-01 -2.11223E-01 - 4 -3.14997E-01 1.24589E-01 - 5 3.17801E-02 -9.16300E-02 - 6 -1.27034E-01 -1.86999E-01 -6 0 *********** SCCC-asn-ser - 1 1.23481E+00 1.37669E+00 - 2 1.99543E-01 1.94487E-01 - 3 -1.48591E-02 -3.48656E-01 - 4 -2.78271E-01 1.86041E-01 - 5 5.08018E-02 -1.38951E-01 - 6 -1.77798E-01 -2.50169E-01 -6 0 *********** SCCC-asn-gln - 1 7.05576E-01 1.05754E+00 - 2 1.58314E-01 4.94734E-01 - 3 -2.25017E-01 -3.59773E-02 - 4 -3.65323E-01 2.70171E-01 - 5 -9.78863E-03 -5.55620E-02 - 6 -2.00555E-01 -2.36492E-01 -6 0 *********** SCCC-asn-asn - 1 4.56341E-01 1.06248E+00 - 2 4.15620E-01 2.75930E-01 - 3 3.50941E-02 -1.79722E-01 - 4 -3.60820E-01 2.53045E-01 - 5 8.30759E-02 -1.28723E-01 - 6 -2.35606E-01 -2.85719E-01 -6 0 *********** SCCC-asn-glu - 1 7.87120E-01 1.15846E+00 - 2 1.06329E-01 4.95932E-01 - 3 -2.35554E-01 -5.44747E-02 - 4 -3.71067E-01 2.59159E-01 - 5 -1.40937E-02 -6.53582E-02 - 6 -1.96601E-01 -2.27803E-01 -6 0 *********** SCCC-asn-asp - 1 4.57735E-01 1.29630E+00 - 2 1.08738E-01 3.62424E-01 - 3 -1.16064E-01 -7.77284E-02 - 4 -4.46510E-01 3.50590E-01 - 5 1.34213E-02 -9.38743E-02 - 6 -2.18759E-01 -2.57333E-01 -6 0 *********** SCCC-asn-his - 1 8.95034E-01 1.28940E+00 - 2 2.81060E-01 4.57974E-01 - 3 -1.06631E-01 -1.77331E-01 - 4 -3.43081E-01 2.33512E-01 - 5 4.07713E-02 -1.01495E-01 - 6 -2.10261E-01 -2.74472E-01 -6 0 *********** SCCC-asn-arg - 1 6.23023E-01 1.08801E+00 - 2 -5.19791E-02 5.56089E-01 - 3 -3.36815E-01 6.89070E-03 - 4 -3.67225E-01 2.81556E-01 - 5 -1.55243E-02 -3.76958E-02 - 6 -1.69889E-01 -2.21766E-01 -6 0 *********** SCCC-asn-lys - 1 5.95443E-01 1.09271E+00 - 2 -5.50234E-02 5.88178E-01 - 3 -3.48887E-01 1.39484E-02 - 4 -3.76230E-01 2.72531E-01 - 5 -2.99277E-02 -4.43221E-02 - 6 -1.74704E-01 -2.29840E-01 -6 0 *********** SCCC-asn-pro - 1 -4.36021E+01 9.31235E+00 - 2 3.61388E+01 -2.08332E+01 - 3 -2.29444E+01 2.28950E+01 - 4 1.11539E+01 -2.03052E+01 - 5 -2.99632E+00 1.12710E+01 - 6 -1.81921E-01 4.34059E+01 -6 0 *********** SCCC-glu-cys - 1 3.33512E-01 4.66991E-01 - 2 1.59731E-01 -4.01375E-01 - 3 -3.44602E-02 -1.51384E-01 - 4 5.19689E-03 6.91636E-02 - 5 -1.38117E-01 -6.87922E-02 - 6 2.46165E-02 2.36173E-02 -6 0 *********** SCCC-glu-met - 1 2.56991E-01 4.21078E-01 - 2 4.76280E-02 -1.53929E-01 - 3 -1.55020E-01 -6.06431E-02 - 4 6.80921E-02 8.66971E-02 - 5 -1.32350E-01 -1.54234E-02 - 6 3.03586E-02 1.75688E-02 -6 0 *********** SCCC-glu-phe - 1 2.35017E-01 4.69454E-01 - 2 3.87623E-02 -1.96182E-01 - 3 -1.12899E-01 -6.76006E-02 - 4 5.66148E-02 1.06752E-01 - 5 -1.36615E-01 -2.78645E-02 - 6 3.59205E-02 1.53178E-02 -6 0 *********** SCCC-glu-ile - 1 3.39594E-01 3.25064E-01 - 2 3.06237E-02 -1.22277E-01 - 3 -2.24279E-01 -8.99189E-02 - 4 9.20531E-02 5.77965E-02 - 5 -1.54817E-01 -2.89931E-03 - 6 3.39350E-02 5.44686E-03 -6 0 *********** SCCC-glu-leu - 1 2.34542E-01 4.50329E-01 - 2 -2.42988E-02 -1.42929E-01 - 3 -1.56639E-01 -5.72378E-02 - 4 8.04432E-02 1.06976E-01 - 5 -1.41417E-01 -1.31820E-02 - 6 3.37294E-02 7.81027E-03 -6 0 *********** SCCC-glu-val - 1 3.04441E-01 3.57569E-01 - 2 -1.82237E-03 -9.72650E-02 - 3 -2.13031E-01 -7.56021E-02 - 4 9.67684E-02 6.88332E-02 - 5 -1.59675E-01 -5.53540E-03 - 6 3.78832E-02 -2.20207E-03 -6 0 *********** SCCC-glu-trp - 1 2.66542E-01 4.32477E-01 - 2 8.08095E-02 -2.06363E-01 - 3 -1.16454E-01 -7.70560E-02 - 4 5.41125E-02 8.82847E-02 - 5 -1.35835E-01 -3.01160E-02 - 6 3.47368E-02 1.85433E-02 -6 0 *********** SCCC-glu-tyr - 1 2.35221E-01 4.67152E-01 - 2 4.04274E-02 -1.94587E-01 - 3 -1.13486E-01 -6.62534E-02 - 4 5.69888E-02 1.06227E-01 - 5 -1.36087E-01 -2.76945E-02 - 6 3.58774E-02 1.27312E-02 -6 0 *********** SCCC-glu-ala - 1 1.69035E-01 4.42803E-01 - 2 2.89655E-02 -7.52938E-02 - 3 -1.63365E-01 -7.44405E-03 - 4 6.54211E-02 1.00646E-01 - 5 -1.29408E-01 -1.14088E-02 - 6 2.27846E-02 1.60999E-02 -6 0 *********** SCCC-glu-gly - 1 -8.22924E-01 -6.34724E-01 - 2 6.76612E-01 -9.84566E-02 - 3 -1.68396E-01 -1.15440E-01 - 4 2.46173E-01 -4.77953E-02 - 5 -1.51223E-01 -1.97809E-02 - 6 1.04465E-01 -1.11350E-01 -6 0 *********** SCCC-glu-thr - 1 2.09783E-01 4.78479E-01 - 2 -3.90372E-03 -1.78651E-01 - 3 -1.45133E-01 -1.04531E-01 - 4 4.69095E-02 1.37830E-01 - 5 -1.87970E-01 -3.53152E-02 - 6 4.61696E-02 -4.02489E-02 -6 0 *********** SCCC-glu-ser - 1 4.98899E-01 4.37018E-01 - 2 6.44045E-02 -6.41362E-01 - 3 6.21033E-03 -3.17047E-01 - 4 -4.79588E-02 5.38711E-02 - 5 -2.56969E-01 -1.43913E-01 - 6 1.99031E-02 -3.10343E-02 -6 0 *********** SCCC-glu-gln - 1 2.97195E-01 4.17592E-01 - 2 1.41035E-01 -2.37389E-01 - 3 -1.12095E-01 -9.31183E-02 - 4 4.31058E-02 6.44466E-02 - 5 -1.25040E-01 -3.15769E-02 - 6 2.50882E-02 2.66721E-02 -6 0 *********** SCCC-glu-asn - 1 2.29189E-01 5.21923E-01 - 2 3.46864E-01 -3.90701E-01 - 3 2.45942E-02 -1.02180E-01 - 4 -1.74140E-02 3.97879E-02 - 5 -7.74177E-02 -6.87613E-02 - 6 2.36128E-04 8.19054E-02 -6 0 *********** SCCC-glu-glu - 1 3.24613E-01 4.28595E-01 - 2 1.08077E-01 -2.77879E-01 - 3 -1.00919E-01 -1.23536E-01 - 4 4.50556E-02 6.94494E-02 - 5 -1.39812E-01 -3.87442E-02 - 6 2.94975E-02 2.38997E-02 -6 0 *********** SCCC-glu-asp - 1 1.92328E-01 5.62603E-01 - 2 1.54220E-01 -3.59290E-01 - 3 -5.51252E-03 -8.00701E-02 - 4 -1.02797E-02 1.10605E-01 - 5 -1.12974E-01 -6.69321E-02 - 6 2.21348E-02 4.10611E-02 -6 0 *********** SCCC-glu-his - 1 3.61271E-01 4.62013E-01 - 2 2.39313E-01 -4.17066E-01 - 3 -2.69128E-02 -1.78088E-01 - 4 6.86302E-03 3.31232E-02 - 5 -1.27321E-01 -6.85221E-02 - 6 5.82074E-03 4.29599E-02 -6 0 *********** SCCC-glu-arg - 1 2.36243E-01 4.27868E-01 - 2 3.00951E-02 -1.15300E-01 - 3 -1.61246E-01 -4.69868E-02 - 4 7.99735E-02 8.78959E-02 - 5 -1.35201E-01 -1.49564E-02 - 6 2.89505E-02 1.16463E-02 -6 0 *********** SCCC-glu-lys - 1 2.14334E-01 4.32615E-01 - 2 3.99828E-02 -8.56384E-02 - 3 -1.68950E-01 -3.51767E-02 - 4 7.57784E-02 8.95872E-02 - 5 -1.33896E-01 -9.75700E-03 - 6 2.75828E-02 1.12341E-02 -6 0 *********** SCCC-glu-pro - 1 -6.03395E+01 1.53246E+01 - 2 4.89956E+01 -2.73422E+01 - 3 -3.13918E+01 3.21252E+01 - 4 1.58801E+01 -2.73551E+01 - 5 -4.12205E+00 1.60651E+01 - 6 -1.48054E-01 5.94634E+01 -6 0 *********** SCCC-asp-cys - 1 1.01398E-01 1.01843E+00 - 2 2.96713E-01 7.77802E-01 - 3 -4.55789E-02 -6.04555E-01 - 4 -4.81945E-01 5.47073E-01 - 5 4.96561E-01 -3.47182E-01 - 6 -3.68281E-01 -1.03058E+00 -6 0 *********** SCCC-asp-met - 1 8.64902E-02 8.97343E-01 - 2 6.60725E-02 7.60140E-01 - 3 -2.33228E-01 -5.34709E-01 - 4 -4.78839E-01 4.19404E-01 - 5 3.94621E-01 -3.16580E-01 - 6 -3.12523E-01 -9.24131E-01 -6 0 *********** SCCC-asp-phe - 1 -6.78943E-03 9.44651E-01 - 2 6.92742E-02 7.51693E-01 - 3 -1.95415E-01 -5.85413E-01 - 4 -5.01166E-01 4.72714E-01 - 5 4.34243E-01 -3.37999E-01 - 6 -3.38153E-01 -9.88144E-01 -6 0 *********** SCCC-asp-ile - 1 3.12614E-01 8.47119E-01 - 2 7.40958E-02 7.84262E-01 - 3 -2.59309E-01 -4.76651E-01 - 4 -4.56980E-01 3.78389E-01 - 5 3.40993E-01 -2.96626E-01 - 6 -2.88272E-01 -8.56722E-01 -6 0 *********** SCCC-asp-leu - 1 3.55098E-02 9.60300E-01 - 2 -3.88031E-02 7.51152E-01 - 3 -2.69089E-01 -6.01118E-01 - 4 -4.89976E-01 4.13485E-01 - 5 4.25671E-01 -3.39868E-01 - 6 -3.11910E-01 -9.66482E-01 -6 0 *********** SCCC-asp-val - 1 2.29753E-01 8.63296E-01 - 2 1.01385E-02 7.76378E-01 - 3 -2.79216E-01 -5.06076E-01 - 4 -4.63388E-01 3.78804E-01 - 5 3.67536E-01 -3.11700E-01 - 6 -2.86511E-01 -8.93944E-01 -6 0 *********** SCCC-asp-trp - 1 5.69106E-02 8.67403E-01 - 2 1.59223E-01 7.45429E-01 - 3 -1.58954E-01 -5.29807E-01 - 4 -4.84359E-01 4.83913E-01 - 5 4.06436E-01 -3.10669E-01 - 6 -3.40126E-01 -9.54656E-01 -6 0 *********** SCCC-asp-tyr - 1 -5.10236E-03 9.35541E-01 - 2 7.58840E-02 7.50839E-01 - 3 -1.93921E-01 -5.79236E-01 - 4 -5.01772E-01 4.74580E-01 - 5 4.31052E-01 -3.35790E-01 - 6 -3.38679E-01 -9.86093E-01 -6 0 *********** SCCC-asp-ala - 1 -8.08351E-02 7.94725E-01 - 2 3.70684E-02 7.02856E-01 - 3 -2.29891E-01 -5.20819E-01 - 4 -4.73518E-01 4.32160E-01 - 5 3.84946E-01 -2.99467E-01 - 6 -3.12142E-01 -9.09367E-01 -6 0 *********** SCCC-asp-gly - 1 -1.65198E-01 -1.51943E+00 - 2 3.27189E-01 1.89363E-02 - 3 -8.58367E-02 -3.34181E-01 - 4 -9.91970E-02 1.41845E-01 - 5 7.61626E-02 -9.77067E-02 - 6 -8.86111E-02 -6.11984E-01 -6 0 *********** SCCC-asp-thr - 1 -1.12033E-01 9.35801E-01 - 2 -3.89147E-02 6.61969E-01 - 3 -1.48782E-01 -7.27168E-01 - 4 -4.32844E-01 4.58700E-01 - 5 4.62044E-01 -3.32022E-01 - 6 -3.43672E-01 -1.01887E+00 -6 0 *********** SCCC-asp-ser - 1 3.81392E-01 1.24313E+00 - 2 3.41849E-01 8.26265E-01 - 3 9.29866E-02 -8.18342E-01 - 4 -4.20737E-01 6.50498E-01 - 5 6.27310E-01 -3.96091E-01 - 6 -3.96315E-01 -1.24023E+00 -6 0 *********** SCCC-asp-gln - 1 1.32284E-01 9.00285E-01 - 2 2.14708E-01 7.79347E-01 - 3 -1.48803E-01 -5.02366E-01 - 4 -4.65926E-01 4.63513E-01 - 5 4.03169E-01 -3.03228E-01 - 6 -3.29913E-01 -9.20420E-01 -6 0 *********** SCCC-asp-asn - 1 -1.31518E-01 9.31468E-01 - 2 4.59779E-01 7.46661E-01 - 3 5.92646E-02 -5.21946E-01 - 4 -4.53030E-01 5.87231E-01 - 5 5.37192E-01 -3.17063E-01 - 6 -3.68526E-01 -1.00485E+00 -6 0 *********** SCCC-asp-glu - 1 1.70171E-01 9.85753E-01 - 2 1.80671E-01 7.94937E-01 - 3 -1.48722E-01 -5.49940E-01 - 4 -4.72931E-01 4.71782E-01 - 5 4.29471E-01 -3.23801E-01 - 6 -3.35350E-01 -9.57310E-01 -6 0 *********** SCCC-asp-asp - 1 -2.45680E-01 1.04072E+00 - 2 2.09688E-01 7.42404E-01 - 3 -5.74763E-02 -7.04516E-01 - 4 -5.51587E-01 5.89004E-01 - 5 5.69082E-01 -4.13143E-01 - 6 -3.89834E-01 -1.15660E+00 -6 0 *********** SCCC-asp-his - 1 2.28403E-01 1.15853E+00 - 2 3.19682E-01 8.47017E-01 - 3 -2.47299E-02 -5.51595E-01 - 4 -4.27205E-01 5.27373E-01 - 5 4.85977E-01 -3.12781E-01 - 6 -3.44694E-01 -9.72863E-01 -6 0 *********** SCCC-asp-arg - 1 5.81290E-02 8.90656E-01 - 2 2.63559E-02 7.57680E-01 - 3 -2.49384E-01 -5.31822E-01 - 4 -4.75607E-01 4.15715E-01 - 5 3.92803E-01 -3.12919E-01 - 6 -3.06376E-01 -9.03384E-01 -6 0 *********** SCCC-asp-lys - 1 3.45183E-02 8.88160E-01 - 2 1.04661E-02 7.67404E-01 - 3 -2.62443E-01 -5.18705E-01 - 4 -4.73298E-01 4.01501E-01 - 5 3.84520E-01 -3.11496E-01 - 6 -2.99554E-01 -9.04348E-01 -6 0 *********** SCCC-asp-pro - 1 -5.87032E+01 1.37268E+01 - 2 4.84918E+01 -2.75769E+01 - 3 -3.14348E+01 3.13599E+01 - 4 1.56551E+01 -2.75889E+01 - 5 -3.90336E+00 1.57657E+01 - 6 -2.15304E-01 5.73576E+01 -6 0 *********** SCCC-his-cys - 1 4.26129E-01 1.05254E+00 - 2 1.06591E-01 5.80282E-02 - 3 -9.69817E-02 -1.30659E-01 - 4 -1.57220E-02 5.58248E-02 - 5 -1.00912E-01 -4.78612E-02 - 6 -1.55872E-02 1.15355E-02 -6 0 *********** SCCC-his-met - 1 3.90240E-01 9.14229E-01 - 2 -4.39902E-02 2.16123E-01 - 3 -2.36615E-01 -8.17604E-02 - 4 -1.03937E-01 7.07957E-02 - 5 -8.79604E-02 -4.01881E-02 - 6 -4.45437E-02 -4.22953E-02 -6 0 *********** SCCC-his-phe - 1 3.42384E-01 9.84345E-01 - 2 -6.26647E-02 1.68303E-01 - 3 -2.05466E-01 -9.04311E-02 - 4 -9.42496E-02 8.27885E-02 - 5 -1.01060E-01 -3.88787E-02 - 6 -4.23188E-02 -2.61596E-02 -6 0 *********** SCCC-his-ile - 1 5.51948E-01 8.15879E-01 - 2 -3.24603E-02 2.75203E-01 - 3 -2.48522E-01 -9.63950E-02 - 4 -1.22515E-01 6.59116E-02 - 5 -6.14312E-02 -5.14852E-02 - 6 -5.38528E-02 -9.07396E-02 -6 0 *********** SCCC-his-leu - 1 3.66037E-01 9.69061E-01 - 2 -1.41041E-01 2.07952E-01 - 3 -2.58212E-01 -1.05945E-01 - 4 -1.08600E-01 7.56909E-02 - 5 -8.50347E-02 -3.86772E-02 - 6 -4.62328E-02 -4.63988E-02 -6 0 *********** SCCC-his-val - 1 4.99194E-01 8.44327E-01 - 2 -8.87063E-02 2.78190E-01 - 3 -2.59519E-01 -9.28997E-02 - 4 -1.28532E-01 7.26125E-02 - 5 -6.13436E-02 -5.18005E-02 - 6 -5.56577E-02 -8.97205E-02 -6 0 *********** SCCC-his-trp - 1 3.84661E-01 9.17199E-01 - 2 1.15855E-02 1.71292E-01 - 3 -1.84602E-01 -7.41864E-02 - 4 -9.07639E-02 8.09113E-02 - 5 -9.56377E-02 -3.98505E-02 - 6 -4.26114E-02 -3.02919E-02 -6 0 *********** SCCC-his-tyr - 1 3.42967E-01 9.77574E-01 - 2 -5.78579E-02 1.69299E-01 - 3 -2.05359E-01 -8.69298E-02 - 4 -9.55979E-02 8.36455E-02 - 5 -1.01229E-01 -3.89276E-02 - 6 -4.23963E-02 -2.67324E-02 -6 0 *********** SCCC-his-ala - 1 2.53050E-01 8.64863E-01 - 2 -7.16073E-02 2.20693E-01 - 3 -2.54647E-01 -4.72402E-02 - 4 -1.18961E-01 8.84736E-02 - 5 -9.61349E-02 -3.48066E-02 - 6 -4.62511E-02 -4.54801E-02 -6 0 *********** SCCC-his-gly - 1 -2.66886E-01 -1.24960E+00 - 2 3.78467E-01 -8.13890E-02 - 3 -9.73528E-02 -2.68074E-01 - 4 -3.27788E-02 5.12697E-02 - 5 -4.43474E-03 -7.16114E-02 - 6 -5.29240E-02 -4.11709E-01 -6 0 *********** SCCC-his-thr - 1 3.03006E-01 9.96978E-01 - 2 -1.71501E-01 1.47903E-01 - 3 -1.80822E-01 -1.91221E-01 - 4 -9.22776E-02 7.26861E-02 - 5 -7.27722E-02 -4.24418E-02 - 6 -5.89019E-02 -6.61935E-02 -6 0 *********** SCCC-his-ser - 1 6.53637E-01 1.19984E+00 - 2 1.66168E-02 -6.22641E-02 - 3 -1.08329E-02 -3.02487E-01 - 4 6.24382E-02 4.28881E-02 - 5 -9.14373E-02 -4.65228E-02 - 6 -1.92292E-02 3.36503E-03 -6 0 *********** SCCC-his-gln - 1 4.19198E-01 9.21543E-01 - 2 7.86171E-02 1.75810E-01 - 3 -1.74106E-01 -7.84628E-02 - 4 -6.65013E-02 6.09945E-02 - 5 -9.00536E-02 -4.05240E-02 - 6 -3.41653E-02 -2.03566E-02 -6 0 *********** SCCC-his-asn - 1 2.01316E-01 1.04213E+00 - 2 2.84094E-01 3.14468E-02 - 3 -4.46043E-02 -4.81519E-02 - 4 2.98118E-02 6.87913E-02 - 5 -1.09795E-01 -4.08500E-02 - 6 1.34180E-02 5.75471E-02 -6 0 *********** SCCC-his-glu - 1 4.61317E-01 9.82251E-01 - 2 3.64251E-02 1.54663E-01 - 3 -1.61095E-01 -1.13872E-01 - 4 -5.61697E-02 5.88642E-02 - 5 -8.55417E-02 -4.25759E-02 - 6 -3.42847E-02 -2.46142E-02 -6 0 *********** SCCC-his-asp - 1 1.92782E-01 1.14634E+00 - 2 4.09709E-02 1.62176E-02 - 3 -1.09297E-01 -1.00603E-01 - 4 -3.70310E-02 9.06706E-02 - 5 -1.44374E-01 -5.18442E-02 - 6 -4.40291E-03 2.88404E-02 -6 0 *********** SCCC-his-his - 1 4.50166E-01 1.10493E+00 - 2 1.54484E-01 7.75369E-02 - 3 -6.69497E-02 -1.52702E-01 - 4 4.25305E-02 4.50278E-02 - 5 -7.72572E-02 -3.42156E-02 - 6 -4.13087E-03 2.05909E-02 -6 0 *********** SCCC-his-arg - 1 3.66429E-01 9.10027E-01 - 2 -7.58621E-02 2.35845E-01 - 3 -2.48634E-01 -7.41660E-02 - 4 -1.10138E-01 7.88516E-02 - 5 -8.24854E-02 -3.84730E-02 - 6 -4.56445E-02 -4.91189E-02 -6 0 *********** SCCC-his-lys - 1 3.36164E-01 9.03706E-01 - 2 -7.88277E-02 2.55694E-01 - 3 -2.56257E-01 -6.70004E-02 - 4 -1.15889E-01 7.82520E-02 - 5 -8.53364E-02 -3.89155E-02 - 6 -4.83454E-02 -5.72482E-02 -6 0 *********** SCCC-his-pro - 1 -5.46443E+00 -1.47564E+00 - 2 6.52671E+00 7.04314E-02 - 3 -4.97142E+00 -3.16609E-01 - 4 5.19594E+00 -3.65501E-03 - 5 -4.84378E+00 -8.14439E-02 - 6 2.50003E+00 -4.59432E-01 -6 0 *********** SCCC-arg-cys - 1 -2.25457E-01 5.67972E-01 - 2 3.42882E-01 2.61249E-01 - 3 1.46928E-01 -6.26437E-01 - 4 -3.62334E-01 4.74250E-01 - 5 4.61470E-01 -3.01303E-01 - 6 -3.16685E-01 -8.88472E-01 -6 0 *********** SCCC-arg-met - 1 -1.24118E-01 5.29801E-01 - 2 1.28743E-01 3.16688E-01 - 3 -1.83513E-02 -5.14423E-01 - 4 -3.22792E-01 3.96598E-01 - 5 3.20530E-01 -2.12375E-01 - 6 -2.77398E-01 -7.12452E-01 -6 0 *********** SCCC-arg-phe - 1 -1.84054E-01 5.68944E-01 - 2 1.40271E-01 2.85097E-01 - 3 1.67048E-02 -5.15989E-01 - 4 -3.44075E-01 4.19413E-01 - 5 3.35360E-01 -2.30276E-01 - 6 -2.82041E-01 -7.19142E-01 -6 0 *********** SCCC-arg-ile - 1 1.55346E-02 4.71838E-01 - 2 1.01262E-01 3.43326E-01 - 3 -4.64782E-02 -5.48703E-01 - 4 -2.97131E-01 3.78357E-01 - 5 3.10700E-01 -2.05767E-01 - 6 -2.74514E-01 -7.42689E-01 -6 0 *********** SCCC-arg-leu - 1 -1.40090E-01 5.67735E-01 - 2 5.82977E-02 2.83795E-01 - 3 -2.77983E-02 -5.16684E-01 - 4 -3.30435E-01 4.04163E-01 - 5 3.04039E-01 -2.08328E-01 - 6 -2.74806E-01 -7.01813E-01 -6 0 *********** SCCC-arg-val - 1 -2.14751E-02 4.94226E-01 - 2 6.06540E-02 3.41011E-01 - 3 -5.59566E-02 -5.30383E-01 - 4 -3.05072E-01 3.87245E-01 - 5 3.06080E-01 -2.04132E-01 - 6 -2.71571E-01 -7.32951E-01 -6 0 *********** SCCC-arg-trp - 1 -1.53752E-01 5.26041E-01 - 2 1.89677E-01 3.11777E-01 - 3 2.06427E-02 -5.25678E-01 - 4 -3.37160E-01 4.17728E-01 - 5 3.54707E-01 -2.35608E-01 - 6 -2.85561E-01 -7.59638E-01 -6 0 *********** SCCC-arg-tyr - 1 -1.82462E-01 5.65355E-01 - 2 1.42110E-01 2.87551E-01 - 3 1.48546E-02 -5.14617E-01 - 4 -3.44050E-01 4.18743E-01 - 5 3.35568E-01 -2.29759E-01 - 6 -2.82126E-01 -7.35547E-01 -6 0 *********** SCCC-arg-ala - 1 -1.74358E-01 5.22331E-01 - 2 7.81634E-02 3.27369E-01 - 3 -6.62612E-02 -4.33995E-01 - 4 -3.22963E-01 3.69254E-01 - 5 2.76934E-01 -1.86144E-01 - 6 -2.58222E-01 -6.38771E-01 -6 0 *********** SCCC-arg-gly - 1 -2.82779E-01 -9.15168E-01 - 2 5.31762E-01 1.58568E-01 - 3 2.00000E-02 -2.43011E-01 - 4 2.06969E-02 9.98012E-02 - 5 7.49582E-02 -6.84532E-02 - 6 -2.79170E-02 -4.53229E-01 -6 0 *********** SCCC-arg-thr - 1 -1.84418E-01 5.95126E-01 - 2 6.77534E-02 2.42319E-01 - 3 3.57926E-02 -5.26318E-01 - 4 -3.32711E-01 4.05580E-01 - 5 2.88171E-01 -2.37246E-01 - 6 -2.63058E-01 -7.22033E-01 -6 0 *********** SCCC-arg-ser - 1 -2.41180E-01 5.89876E-01 - 2 3.60799E-01 1.83892E-01 - 3 2.70668E-01 -8.94535E-01 - 4 -4.59965E-01 6.30017E-01 - 5 5.48395E-01 -4.54071E-01 - 6 -3.73720E-01 -1.20895E+00 -6 0 *********** SCCC-arg-gln - 1 -1.43252E-01 5.16458E-01 - 2 2.50493E-01 3.25862E-01 - 3 4.27416E-02 -5.43829E-01 - 4 -3.22353E-01 4.14656E-01 - 5 3.77999E-01 -2.39455E-01 - 6 -2.88794E-01 -7.81924E-01 -6 0 *********** SCCC-arg-asn - 1 -3.75961E-01 5.63067E-01 - 2 4.97401E-01 3.18238E-01 - 3 1.77799E-01 -5.16703E-01 - 4 -3.45707E-01 4.62013E-01 - 5 5.20409E-01 -2.77766E-01 - 6 -3.09191E-01 -8.09121E-01 -6 0 *********** SCCC-arg-glu - 1 -1.43203E-01 5.43960E-01 - 2 2.38763E-01 2.96997E-01 - 3 7.07663E-02 -5.86704E-01 - 4 -3.32321E-01 4.38538E-01 - 5 3.94225E-01 -2.58363E-01 - 6 -2.97135E-01 -8.12682E-01 -6 0 *********** SCCC-arg-asp - 1 -3.50193E-01 6.43602E-01 - 2 2.98857E-01 2.09804E-01 - 3 1.31500E-01 -5.08409E-01 - 4 -3.81928E-01 4.39909E-01 - 5 4.11013E-01 -2.70170E-01 - 6 -2.97422E-01 -7.44492E-01 -6 0 *********** SCCC-arg-his - 1 -2.32389E-01 5.78747E-01 - 2 4.01715E-01 2.84016E-01 - 3 1.86732E-01 -6.38404E-01 - 4 -3.35151E-01 4.85710E-01 - 5 4.91499E-01 -3.03397E-01 - 6 -3.12691E-01 -8.88012E-01 -6 0 *********** SCCC-arg-arg - 1 -1.29656E-01 5.32369E-01 - 2 9.49252E-02 3.26845E-01 - 3 -3.69210E-02 -4.95022E-01 - 4 -3.19943E-01 3.94507E-01 - 5 3.08362E-01 -2.02564E-01 - 6 -2.71706E-01 -7.01639E-01 -6 0 *********** SCCC-arg-lys - 1 -1.39161E-01 5.32424E-01 - 2 8.57496E-02 3.41146E-01 - 3 -4.81295E-02 -4.70281E-01 - 4 -3.15563E-01 3.83893E-01 - 5 2.91910E-01 -1.93891E-01 - 6 -2.65753E-01 -6.74302E-01 -6 0 *********** SCCC-arg-pro - 1 -2.08968E+01 -7.11420E-01 - 2 1.64941E+01 4.30835E-01 - 3 -7.34251E+00 5.49948E-01 - 4 2.86843E-04 -2.10932E-02 - 5 5.62115E+00 3.21222E-01 - 6 -3.95025E+00 1.70430E-01 -6 0 *********** SCCC-lys-cys - 1 -5.42720E-01 3.12999E-01 - 2 3.68442E-01 1.38047E-01 - 3 1.60306E-01 -7.10412E-01 - 4 -3.42653E-01 4.52025E-01 - 5 4.66251E-01 -2.99678E-01 - 6 -3.31138E-01 -9.08035E-01 -6 0 *********** SCCC-lys-met - 1 -3.89792E-01 2.79118E-01 - 2 1.80705E-01 1.97709E-01 - 3 1.92015E-02 -6.10719E-01 - 4 -2.95412E-01 3.84344E-01 - 5 3.47854E-01 -2.18423E-01 - 6 -2.89281E-01 -7.72021E-01 -6 0 *********** SCCC-lys-phe - 1 -4.68655E-01 2.79610E-01 - 2 2.25775E-01 2.07030E-01 - 3 4.06352E-02 -6.42198E-01 - 4 -3.29870E-01 4.23752E-01 - 5 3.95701E-01 -2.49825E-01 - 6 -3.11593E-01 -8.46987E-01 -6 0 *********** SCCC-lys-ile - 1 -2.47983E-01 2.83280E-01 - 2 9.54783E-02 1.69539E-01 - 3 -3.72299E-03 -5.99401E-01 - 4 -2.67261E-01 3.46269E-01 - 5 2.93146E-01 -1.95308E-01 - 6 -2.69224E-01 -6.94742E-01 -6 0 *********** SCCC-lys-leu - 1 -4.06469E-01 2.86851E-01 - 2 1.45336E-01 1.98590E-01 - 3 -1.83581E-03 -6.37613E-01 - 4 -3.15501E-01 4.01855E-01 - 5 3.59173E-01 -2.23985E-01 - 6 -3.03819E-01 -7.92088E-01 -6 0 *********** SCCC-lys-val - 1 -2.78646E-01 2.80852E-01 - 2 8.58492E-02 1.87851E-01 - 3 -1.75277E-02 -5.92547E-01 - 4 -2.85639E-01 3.63589E-01 - 5 3.08732E-01 -2.05794E-01 - 6 -2.72583E-01 -7.25760E-01 -6 0 *********** SCCC-lys-trp - 1 -4.33202E-01 2.74302E-01 - 2 2.37621E-01 1.98114E-01 - 3 4.97520E-02 -6.23541E-01 - 4 -3.13508E-01 4.05198E-01 - 5 3.84083E-01 -2.44089E-01 - 6 -2.98660E-01 -8.13969E-01 -6 0 *********** SCCC-lys-tyr - 1 -4.66366E-01 2.78189E-01 - 2 2.25655E-01 2.08088E-01 - 3 3.91325E-02 -6.40535E-01 - 4 -3.28849E-01 4.22309E-01 - 5 3.94782E-01 -2.48695E-01 - 6 -3.11008E-01 -8.30544E-01 -6 0 *********** SCCC-lys-ala - 1 -4.23171E-01 2.43232E-01 - 2 1.63525E-01 2.59023E-01 - 3 -2.95240E-02 -5.69759E-01 - 4 -2.99258E-01 3.84530E-01 - 5 3.37869E-01 -2.03933E-01 - 6 -2.85762E-01 -7.66860E-01 -6 0 *********** SCCC-lys-gly - 1 -2.61171E-01 -5.08968E-01 - 2 6.39254E-01 2.38609E-01 - 3 -1.22228E-03 -1.85240E-01 - 4 3.65466E-02 1.17449E-01 - 5 3.58733E-02 -3.59188E-02 - 6 -5.65221E-03 -3.72145E-01 -6 0 *********** SCCC-lys-thr - 1 -4.60898E-01 2.91872E-01 - 2 1.82050E-01 1.90419E-01 - 3 6.37789E-02 -6.39614E-01 - 4 -3.37333E-01 4.33803E-01 - 5 3.60259E-01 -2.56430E-01 - 6 -3.14000E-01 -8.55935E-01 -6 0 *********** SCCC-lys-ser - 1 -5.60991E-01 3.64126E-01 - 2 3.39685E-01 3.15454E-02 - 3 2.13973E-01 -9.20063E-01 - 4 -4.38578E-01 5.22642E-01 - 5 5.07183E-01 -3.96482E-01 - 6 -3.98286E-01 -1.09478E+00 -6 0 *********** SCCC-lys-gln - 1 -4.23898E-01 2.82983E-01 - 2 2.70250E-01 1.81391E-01 - 3 7.92010E-02 -6.15073E-01 - 4 -2.90111E-01 3.90694E-01 - 5 3.77395E-01 -2.37630E-01 - 6 -2.87336E-01 -7.78800E-01 -6 0 *********** SCCC-lys-asn - 1 -6.85236E-01 2.74181E-01 - 2 5.37122E-01 2.25619E-01 - 3 2.08574E-01 -6.16476E-01 - 4 -3.23743E-01 4.75809E-01 - 5 5.29305E-01 -2.97807E-01 - 6 -3.13426E-01 -9.02178E-01 -6 0 *********** SCCC-lys-glu - 1 -4.33894E-01 3.05107E-01 - 2 2.62490E-01 1.51528E-01 - 3 9.64930E-02 -6.52116E-01 - 4 -3.10245E-01 4.08217E-01 - 5 3.92892E-01 -2.57230E-01 - 6 -2.99949E-01 -7.94285E-01 -6 0 *********** SCCC-lys-asp - 1 -7.29156E-01 2.57351E-01 - 2 4.51858E-01 2.59511E-01 - 3 1.41673E-01 -7.51786E-01 - 4 -3.99817E-01 5.34306E-01 - 5 5.66692E-01 -3.27218E-01 - 6 -3.87000E-01 -1.04673E+00 -6 0 *********** SCCC-lys-his - 1 -5.33792E-01 3.39798E-01 - 2 3.93177E-01 1.11429E-01 - 3 2.02530E-01 -6.68503E-01 - 4 -3.07473E-01 4.32888E-01 - 5 4.43312E-01 -2.83785E-01 - 6 -3.05073E-01 -8.43564E-01 -6 0 *********** SCCC-lys-arg - 1 -3.86581E-01 2.72758E-01 - 2 1.58151E-01 2.15924E-01 - 3 -2.21757E-03 -5.95358E-01 - 4 -2.94550E-01 3.82756E-01 - 5 3.43477E-01 -2.08764E-01 - 6 -2.87826E-01 -7.55516E-01 -6 0 *********** SCCC-lys-lys - 1 -3.92038E-01 2.64193E-01 - 2 1.56184E-01 2.35412E-01 - 3 -7.34176E-03 -5.72639E-01 - 4 -2.91507E-01 3.81741E-01 - 5 3.31098E-01 -2.05458E-01 - 6 -2.80892E-01 -7.51249E-01 -6 0 *********** SCCC-lys-pro - 1 -2.91173E+01 7.01501E+00 - 2 2.44183E+01 -1.28436E+01 - 3 -1.52367E+01 1.52397E+01 - 4 7.35246E+00 -1.35950E+01 - 5 -2.07135E+00 7.67088E+00 - 6 -3.80734E-01 2.84745E+01 -6 0 *********** SCCC-pro-cys - 1 -1.67732E+00 -1.88290E+00 - 2 5.04230E-02 4.44126E-01 - 3 2.69948E-01 -7.68592E-01 - 4 -3.71141E-01 5.35451E-01 - 5 4.41385E-01 -4.00571E-01 - 6 -3.21157E-01 -1.53964E+00 -6 0 *********** SCCC-pro-met - 1 -1.37356E+00 -1.97801E+00 - 2 -2.80114E-01 5.99548E-01 - 3 1.04133E-01 -6.65641E-01 - 4 -2.18489E-01 5.71603E-01 - 5 4.73404E-01 -4.07078E-01 - 6 -3.85237E-01 -1.58381E+00 -6 0 *********** SCCC-pro-phe - 1 -1.34069E+00 -1.96169E+00 - 2 -1.84307E-01 5.85846E-01 - 3 1.56691E-01 -7.60590E-01 - 4 -2.96895E-01 5.18536E-01 - 5 4.41350E-01 -3.78271E-01 - 6 -3.64750E-01 -1.56830E+00 -6 0 *********** SCCC-pro-ile - 1 -1.56778E+00 -2.11190E+00 - 2 -4.70335E-01 6.60598E-01 - 3 8.18942E-02 -5.51189E-01 - 4 -1.60381E-01 5.96065E-01 - 5 4.59210E-01 -4.61075E-01 - 6 -4.15776E-01 -1.64600E+00 -6 0 *********** SCCC-pro-leu - 1 -1.22353E+00 -2.08215E+00 - 2 -4.14520E-01 5.15048E-01 - 3 3.21619E-02 -6.61637E-01 - 4 -1.81700E-01 5.82300E-01 - 5 4.71747E-01 -4.32947E-01 - 6 -4.18487E-01 -1.60776E+00 -6 0 *********** SCCC-pro-val - 1 -1.43641E+00 -2.12344E+00 - 2 -5.01202E-01 6.34031E-01 - 3 5.15234E-02 -5.52367E-01 - 4 -1.65833E-01 5.88697E-01 - 5 4.64253E-01 -4.72521E-01 - 6 -4.20447E-01 -1.64086E+00 -6 0 *********** SCCC-pro-trp - 1 -1.46741E+00 -1.82010E+00 - 2 -6.19586E-02 6.25770E-01 - 3 1.78044E-01 -7.85048E-01 - 4 -3.35361E-01 5.24906E-01 - 5 4.46837E-01 -3.45456E-01 - 6 -3.35359E-01 -1.55832E+00 -6 0 *********** SCCC-pro-tyr - 1 -1.35100E+00 -1.95249E+00 - 2 -1.76243E-01 5.99418E-01 - 3 1.63870E-01 -7.65471E-01 - 4 -2.98811E-01 5.14151E-01 - 5 4.39580E-01 -3.73949E-01 - 6 -3.62622E-01 -1.56735E+00 -6 0 *********** SCCC-pro-ala - 1 -1.17650E+00 -1.73164E+00 - 2 -1.37735E-01 6.31757E-01 - 3 9.84337E-02 -7.84943E-01 - 4 -2.81918E-01 5.47824E-01 - 5 4.73760E-01 -3.23515E-01 - 6 -3.59031E-01 -1.52796E+00 -6 0 *********** SCCC-pro-gly - 1 -1.04162E+00 1.18134E+00 - 2 4.68550E-01 8.23358E-01 - 3 -9.21165E-02 -5.98772E-01 - 4 -8.89726E-02 4.77407E-01 - 5 2.59409E-01 -1.46359E-02 - 6 -2.44069E-01 -7.12548E-01 -6 0 *********** SCCC-pro-thr - 1 -9.65987E-01 -1.76950E+00 - 2 -2.35867E-01 1.59760E-01 - 3 -9.05434E-02 -6.83679E-01 - 4 -3.24679E-01 6.81381E-01 - 5 4.56582E-01 -4.18455E-01 - 6 -3.92698E-01 -1.49977E+00 -6 0 *********** SCCC-pro-ser - 1 -2.10042E+00 -1.93474E+00 - 2 1.18785E-01 -1.10217E-02 - 3 1.55161E-01 -8.27855E-01 - 4 -4.73521E-01 5.06755E-01 - 5 3.36582E-01 -4.55938E-01 - 6 -3.44135E-01 -1.45545E+00 -6 0 *********** SCCC-pro-gln - 1 -1.58591E+00 -1.91004E+00 - 2 -8.49389E-02 6.14066E-01 - 3 1.96943E-01 -6.81036E-01 - 4 -2.80559E-01 5.50499E-01 - 5 4.69871E-01 -3.96173E-01 - 6 -3.47409E-01 -1.56811E+00 -6 0 *********** SCCC-pro-asn - 1 -1.59213E+00 -1.64717E+00 - 2 4.24801E-01 4.32002E-01 - 3 3.61758E-01 -7.78850E-01 - 4 -4.24629E-01 5.04511E-01 - 5 4.61223E-01 -3.73766E-01 - 6 -2.73411E-01 -1.47307E+00 -6 0 *********** SCCC-pro-glu - 1 -1.60854E+00 -2.03208E+00 - 2 -1.60715E-01 5.49578E-01 - 3 1.74180E-01 -6.71794E-01 - 4 -2.80768E-01 5.53145E-01 - 5 4.58059E-01 -4.22328E-01 - 6 -3.61176E-01 -1.60459E+00 -6 0 *********** SCCC-pro-asp - 1 -1.32840E+00 -2.00553E+00 - 2 3.28393E-02 5.12413E-01 - 3 3.93885E-01 -8.35558E-01 - 4 -4.26656E-01 3.64434E-01 - 5 4.24414E-01 -3.10258E-01 - 6 -3.41923E-01 -1.41921E+00 -6 0 *********** SCCC-pro-his - 1 -1.94718E+00 -2.15304E+00 - 2 9.76240E-02 4.80223E-01 - 3 2.49290E-01 -7.27307E-01 - 4 -3.80464E-01 5.61481E-01 - 5 4.35333E-01 -3.80314E-01 - 6 -2.96993E-01 -1.58028E+00 -6 0 *********** SCCC-pro-arg - 1 -1.30907E+00 -1.96321E+00 - 2 -2.81577E-01 6.05268E-01 - 3 6.47645E-02 -6.87110E-01 - 4 -2.26167E-01 5.64475E-01 - 5 4.80269E-01 -3.73378E-01 - 6 -3.89758E-01 -1.54774E+00 -6 0 *********** SCCC-pro-lys - 1 -1.28039E+00 -2.02427E+00 - 2 -3.27808E-01 6.30072E-01 - 3 7.22401E-02 -6.32455E-01 - 4 -1.91723E-01 5.76167E-01 - 5 4.73378E-01 -4.23485E-01 - 6 -3.99281E-01 -1.59757E+00 -6 0 *********** SCCC-pro-pro - 1 -2.18431E+01 3.85091E+01 - 2 1.68382E+01 2.79941E+01 - 3 1.68049E+01 -6.75771E-01 - 4 -3.33389E-02 -1.11280E+00 - 5 5.03821E+00 9.40226E+00 - 6 7.45544E+00 5.53280E+00 -6 0 *********** CCCS-cys-cys - 1 -9.81745E-02 1.05860E-01 - 2 -1.54034E-01 4.57802E-01 - 3 -1.62409E-01 -4.95721E-02 - 4 -1.63960E-01 1.76700E-01 - 5 2.11840E-02 -8.95466E-02 - 6 -1.29535E-01 -3.54785E-01 -6 0 *********** CCCS-cys-met - 1 -1.61382E-01 4.41823E-02 - 2 2.04047E-01 2.36528E-01 - 3 -8.42628E-02 -3.79565E-02 - 4 -1.35987E-02 7.32780E-02 - 5 -6.18599E-02 -1.98650E-02 - 6 -2.57727E-02 -1.58606E-01 -6 0 *********** CCCS-cys-phe - 1 -1.70407E-01 5.66838E-02 - 2 3.11945E-01 1.24446E-01 - 3 -1.13676E-01 -6.09070E-02 - 4 2.63575E-03 3.55910E-02 - 5 -7.76774E-02 -2.80114E-02 - 6 -1.51044E-02 -1.08868E-01 -6 0 *********** CCCS-cys-ile - 1 -1.96794E-01 7.21041E-02 - 2 3.01761E-01 2.06343E-01 - 3 -1.60990E-01 2.24058E-02 - 4 1.35673E-01 4.06216E-02 - 5 -1.92407E-01 1.06326E-02 - 6 5.59099E-02 -5.83858E-02 -6 0 *********** CCCS-cys-leu - 1 -1.94629E-01 2.08782E-02 - 2 4.63980E-01 1.48911E-01 - 3 -4.12062E-02 -7.83901E-02 - 4 4.50108E-02 4.53411E-02 - 5 -1.56283E-02 -2.98441E-02 - 6 -5.31545E-03 -1.35551E-01 -6 0 *********** CCCS-cys-val - 1 -1.58667E-01 5.07564E-02 - 2 2.80077E-01 1.69397E-01 - 3 -7.46638E-02 -2.62789E-02 - 4 3.07309E-02 7.06392E-02 - 5 -7.07689E-02 -1.24936E-02 - 6 -6.84213E-03 -1.20979E-01 -6 0 *********** CCCS-cys-trp - 1 -1.70350E-01 6.23057E-02 - 2 2.90370E-01 1.53125E-01 - 3 -1.36668E-01 -3.18448E-02 - 4 3.70789E-02 3.32821E-02 - 5 -1.18722E-01 -1.59826E-02 - 6 5.39639E-03 -9.53532E-02 -6 0 *********** CCCS-cys-tyr - 1 -1.63243E-01 5.21610E-02 - 2 2.86898E-01 1.29025E-01 - 3 -1.03150E-01 -7.23908E-02 - 4 -2.22920E-02 4.55200E-02 - 5 -5.64695E-02 -3.42481E-02 - 6 -3.00337E-02 -1.28343E-01 -6 0 *********** CCCS-cys-ala - 1 -1.82384E-01 -1.97317E-02 - 2 1.50788E-01 4.42957E-01 - 3 -3.60925E-02 1.10769E-02 - 4 -5.10233E-02 1.29235E-01 - 5 -4.75376E-02 -1.00092E-02 - 6 -5.57854E-02 -2.39740E-01 -6 0 *********** CCCS-cys-gly +4 0 *********** SCCC-thr-cys + 1 -4.90024E-01 4.99375E-01 + 2 -2.61455E-01 3.68842E-01 + 3 1.46841E-01 -4.96766E-02 + 4 -6.38052E-02 9.61894E-03 +4 0 *********** SCCC-thr-met + 1 -4.61647E-01 3.24030E-01 + 2 -5.21712E-02 3.12550E-01 + 3 1.12628E-01 1.92250E-02 + 4 -7.86351E-02 3.52093E-02 +4 0 *********** SCCC-thr-phe + 1 -5.02279E-01 2.89948E-01 + 2 -8.82445E-02 3.22638E-01 + 3 1.43670E-01 1.65575E-02 + 4 -8.61400E-02 2.09730E-02 +4 0 *********** SCCC-thr-ile + 1 -4.39048E-01 4.23823E-01 + 2 -7.03309E-02 3.37190E-01 + 3 8.91131E-02 -1.89793E-02 + 4 -7.23148E-02 4.60728E-02 +4 0 *********** SCCC-thr-leu + 1 -4.82373E-01 2.57188E-01 + 2 -2.08155E-02 3.29115E-01 + 3 1.30920E-01 1.96853E-02 + 4 -8.86284E-02 3.57762E-02 +4 0 *********** SCCC-thr-val + 1 -4.50873E-01 3.61471E-01 + 2 -4.26064E-02 3.28680E-01 + 3 1.00254E-01 -8.35167E-04 + 4 -7.82746E-02 4.47010E-02 +4 0 *********** SCCC-thr-trp + 1 -4.86353E-01 3.59613E-01 + 2 -1.21384E-01 3.08268E-01 + 3 1.26119E-01 7.29395E-03 + 4 -7.52840E-02 2.30441E-02 +4 0 *********** SCCC-thr-tyr + 1 -4.97418E-01 2.92414E-01 + 2 -8.32210E-02 3.22340E-01 + 3 1.40823E-01 1.72882E-02 + 4 -8.55928E-02 2.26879E-02 +4 0 *********** SCCC-thr-ala + 1 -4.54346E-01 2.04123E-01 + 2 2.90041E-02 2.84000E-01 + 3 1.20336E-01 4.83944E-02 + 4 -7.64249E-02 3.42573E-02 +4 0 *********** SCCC-thr-gly + 1 7.28446E-01 3.43991E-01 + 2 1.15445E-01 -5.45032E-01 + 3 2.09564E-01 -1.56868E-02 + 4 -2.74504E-02 5.70935E-02 +4 0 *********** SCCC-thr-thr + 1 -4.38661E-01 3.94990E-01 + 2 -1.41118E-01 2.56087E-01 + 3 9.83967E-02 2.83491E-02 + 4 -5.71865E-02 1.25208E-02 +4 0 *********** SCCC-thr-ser + 1 -5.14363E-01 6.01150E-01 + 2 -3.83309E-01 4.28468E-01 + 3 1.70922E-01 -1.32749E-01 + 4 -3.71082E-02 -6.03075E-03 +4 0 *********** SCCC-thr-gln + 1 -4.41395E-01 4.65881E-01 + 2 -1.55335E-01 3.14635E-01 + 3 1.02257E-01 -6.64029E-03 + 4 -6.18051E-02 2.89429E-02 +4 0 *********** SCCC-thr-asn + 1 -4.72320E-01 5.74738E-01 + 2 -3.35595E-01 3.41979E-01 + 3 1.46187E-01 -6.66184E-02 + 4 -3.67170E-02 3.49577E-03 +4 0 *********** SCCC-thr-glu + 1 -4.62449E-01 4.68203E-01 + 2 -1.76282E-01 3.50327E-01 + 3 1.19657E-01 -2.73218E-02 + 4 -6.87305E-02 2.78182E-02 +4 0 *********** SCCC-thr-asp + 1 -4.08611E-01 6.38711E-01 + 2 -3.01493E-01 3.03020E-01 + 3 1.00627E-01 -4.21641E-02 + 4 -2.20284E-02 1.95056E-02 +4 0 *********** SCCC-thr-his + 1 -4.92681E-01 5.36284E-01 + 2 -2.78324E-01 3.74692E-01 + 3 1.33112E-01 -7.34918E-02 + 4 -5.65971E-02 1.01269E-02 +4 0 *********** SCCC-thr-arg + 1 -4.38676E-01 3.23477E-01 + 2 -2.00344E-02 2.91896E-01 + 3 9.97282E-02 2.83136E-02 + 4 -7.14757E-02 3.99299E-02 +4 0 *********** SCCC-thr-lys + 1 -4.49493E-01 2.63701E-01 + 2 2.00045E-02 3.02440E-01 + 3 1.06750E-01 2.81631E-02 + 4 -7.80944E-02 4.32498E-02 +4 0 *********** SCCC-thr-pro + 1 -6.16861E-01 5.06683E-01 + 2 -5.59323E-01 3.36619E-01 + 3 2.94052E-01 -2.36924E-03 + 4 -4.94889E-02 -7.00470E-03 +4 0 *********** SCCC-ser-cys + 1 -6.14117E-01 1.22660E+00 + 2 3.23261E-01 7.23900E-02 + 3 2.41012E-01 4.97487E-03 + 4 -2.49760E-02 9.82312E-02 +4 0 *********** SCCC-ser-met + 1 -7.07862E-01 9.52492E-01 + 2 4.09950E-01 -2.20340E-01 + 3 2.88134E-01 5.89095E-02 + 4 7.10558E-03 2.29656E-02 +4 0 *********** SCCC-ser-phe + 1 -8.29809E-01 9.37670E-01 + 2 4.27039E-01 -1.78466E-01 + 3 2.74963E-01 2.72161E-02 + 4 -8.49620E-03 4.09213E-02 +4 0 *********** SCCC-ser-ile + 1 -6.59256E-01 1.11401E+00 + 2 4.22297E-01 -2.32032E-01 + 3 2.53826E-01 8.84966E-02 + 4 2.36811E-02 2.29557E-02 +4 0 *********** SCCC-ser-leu + 1 -8.26008E-01 8.81905E-01 + 2 4.94684E-01 -2.48984E-01 + 3 2.57634E-01 4.86923E-02 + 4 1.86146E-02 1.20043E-02 +4 0 *********** SCCC-ser-val + 1 -7.12861E-01 1.02145E+00 + 2 4.48908E-01 -2.56107E-01 + 3 2.61644E-01 8.33860E-02 + 4 2.22000E-02 1.47398E-02 +4 0 *********** SCCC-ser-trp + 1 -7.35939E-01 1.02731E+00 + 2 3.56372E-01 -1.55509E-01 + 3 2.80891E-01 4.49671E-02 + 4 -1.09244E-02 4.27441E-02 +4 0 *********** SCCC-ser-tyr + 1 -8.13365E-01 9.36889E-01 + 2 4.24170E-01 -1.83317E-01 + 3 2.79732E-01 2.92346E-02 + 4 -8.21499E-03 3.97292E-02 +4 0 *********** SCCC-ser-ala + 1 -6.94355E-01 7.47595E-01 + 2 4.01523E-01 -2.42266E-01 + 3 3.03588E-01 3.89900E-03 + 4 7.86446E-03 2.13287E-02 +4 0 *********** SCCC-ser-gly + 1 1.77164E+00 3.10725E-01 + 2 -5.85324E-01 -7.31660E-02 + 3 -1.01387E-01 -4.59069E-02 + 4 1.34316E-01 8.63920E-02 +4 0 *********** SCCC-ser-thr + 1 -4.84627E-01 9.53395E-01 + 2 2.17104E-01 -2.83582E-02 + 3 2.94659E-01 6.90198E-03 + 4 -1.19554E-02 7.05146E-02 +4 0 *********** SCCC-ser-ser + 1 -6.21312E-01 1.49267E+00 + 2 3.92170E-01 3.28443E-01 + 3 4.93930E-02 3.05009E-02 + 4 -6.14712E-02 1.08455E-01 +4 0 *********** SCCC-ser-gln + 1 -5.33111E-01 1.14069E+00 + 2 2.83721E-01 -9.01366E-02 + 3 3.01803E-01 4.71410E-02 + 4 -1.19502E-02 5.74736E-02 +4 0 *********** SCCC-ser-asn + 1 -3.84750E-01 1.32124E+00 + 2 1.61357E-01 2.77083E-01 + 3 2.03604E-01 -4.29290E-02 + 4 -4.13240E-02 1.18300E-01 +4 0 *********** SCCC-ser-glu + 1 -6.14153E-01 1.17658E+00 + 2 3.45757E-01 -7.75638E-02 + 3 2.75174E-01 4.37628E-02 + 4 -7.45559E-03 6.14123E-02 +4 0 *********** SCCC-ser-asp + 1 -1.86171E-01 1.47209E+00 + 2 7.09682E-02 2.36619E-01 + 3 2.43295E-01 5.22303E-02 + 4 -7.72583E-02 7.89627E-02 +4 0 *********** SCCC-ser-his + 1 -6.69450E-01 1.34906E+00 + 2 3.61362E-01 5.03783E-02 + 3 1.78236E-01 7.50658E-02 + 4 -2.01136E-02 7.16205E-02 +4 0 *********** SCCC-ser-arg + 1 -6.37662E-01 9.32217E-01 + 2 3.70289E-01 -2.52955E-01 + 3 3.15748E-01 5.65638E-02 + 4 -4.68488E-03 2.00131E-02 +4 0 *********** SCCC-ser-lys + 1 -7.09024E-01 8.53952E-01 + 2 4.41752E-01 -2.78632E-01 + 3 2.81905E-01 5.04275E-02 + 4 1.69044E-02 1.04063E-02 +4 0 *********** SCCC-ser-pro + 1 -9.67425E-01 1.39575E+00 + 2 4.11182E-01 6.44769E-01 + 3 1.03654E-01 1.95730E-02 + 4 -1.72233E-01 9.52962E-02 +4 0 *********** SCCC-gln-cys + 1 -1.34193E-01 6.95126E-01 + 2 1.36249E-01 -1.25291E-01 + 3 -4.39939E-02 1.41835E-02 + 4 -3.51733E-02 1.31322E-02 +4 0 *********** SCCC-gln-met + 1 -1.40747E-01 5.93268E-01 + 2 9.50158E-02 -1.57052E-01 + 3 -3.29856E-02 -3.69531E-03 + 4 -3.20695E-02 1.00777E-03 +4 0 *********** SCCC-gln-phe + 1 -1.85231E-01 6.00285E-01 + 2 1.17086E-01 -1.55367E-01 + 3 -4.40100E-02 -1.46880E-04 + 4 -2.94278E-02 -5.55207E-04 +4 0 *********** SCCC-gln-ile + 1 -9.10324E-02 6.44284E-01 + 2 8.31625E-02 -1.60026E-01 + 3 -2.21222E-02 1.02181E-02 + 4 -3.45467E-02 4.52397E-03 +4 0 *********** SCCC-gln-leu + 1 -1.75889E-01 5.70684E-01 + 2 1.03674E-01 -1.74521E-01 + 3 -4.09702E-02 -8.32933E-04 + 4 -2.97803E-02 -3.97702E-03 +4 0 *********** SCCC-gln-val + 1 -1.17794E-01 6.14597E-01 + 2 8.70584E-02 -1.66263E-01 + 3 -2.69350E-02 4.96618E-03 + 4 -3.28492E-02 6.00545E-04 +4 0 *********** SCCC-gln-trp + 1 -1.53299E-01 6.24973E-01 + 2 1.08601E-01 -1.40354E-01 + 3 -3.62284E-02 -1.33675E-05 + 4 -3.25877E-02 3.49419E-03 +4 0 *********** SCCC-gln-tyr + 1 -1.80419E-01 5.98660E-01 + 2 1.14837E-01 -1.55866E-01 + 3 -4.31960E-02 -8.29990E-04 + 4 -2.94669E-02 -2.09085E-04 +4 0 *********** SCCC-gln-ala + 1 -1.72648E-01 5.03529E-01 + 2 8.54986E-02 -1.66546E-01 + 3 -4.37300E-02 -1.74088E-02 + 4 -2.66354E-02 -3.54927E-03 +4 0 *********** SCCC-gln-gly + 1 7.68867E-01 -4.83872E-02 + 2 -5.98158E-02 1.93480E-01 + 3 -5.95897E-02 8.53053E-04 + 4 -2.02137E-02 2.28224E-02 +4 0 *********** SCCC-gln-thr + 1 -1.03983E-01 5.91488E-01 + 2 9.45148E-02 -9.78836E-02 + 3 -3.46218E-02 -1.91000E-02 + 4 -2.38546E-02 7.09047E-03 +4 0 *********** SCCC-gln-ser + 1 -1.49127E-01 7.45891E-01 + 2 1.58965E-01 -1.33384E-01 + 3 -4.81319E-02 3.50647E-02 + 4 -4.05732E-02 1.50022E-02 +4 0 *********** SCCC-gln-gln + 1 -8.96216E-02 6.51434E-01 + 2 9.66373E-02 -1.23163E-01 + 3 -2.96888E-02 -1.83816E-03 + 4 -3.51919E-02 1.35639E-02 +4 0 *********** SCCC-gln-asn + 1 -1.04911E-01 6.91553E-01 + 2 1.37545E-01 -8.88920E-02 + 3 -5.17290E-02 6.11594E-03 + 4 -3.12069E-02 1.68626E-02 +4 0 *********** SCCC-gln-glu + 1 -1.10601E-01 6.73042E-01 + 2 1.11771E-01 -1.36486E-01 + 3 -3.39155E-02 9.31456E-03 + 4 -3.62744E-02 1.23069E-02 +4 0 *********** SCCC-gln-asp + 1 -2.98098E-02 6.82432E-01 + 2 1.00861E-01 -6.79492E-02 + 3 -3.73643E-02 -1.07486E-02 + 4 -3.56411E-02 3.22553E-02 +4 0 *********** SCCC-gln-his + 1 -1.32299E-01 7.16173E-01 + 2 1.32475E-01 -1.31555E-01 + 3 -3.64503E-02 2.25037E-02 + 4 -3.97687E-02 1.09480E-02 +4 0 *********** SCCC-gln-arg + 1 -1.19110E-01 5.73573E-01 + 2 7.84065E-02 -1.55219E-01 + 3 -2.99762E-02 -1.01147E-02 + 4 -2.99750E-02 3.08938E-03 +4 0 *********** SCCC-gln-lys + 1 -1.45598E-01 5.45942E-01 + 2 8.13527E-02 -1.73132E-01 + 3 -3.41550E-02 -7.35472E-03 + 4 -2.87123E-02 -3.80024E-03 +4 0 *********** SCCC-gln-pro + 1 -2.90448E-01 7.30120E-01 + 2 2.27678E-01 -9.03995E-02 + 3 -7.91464E-02 1.76609E-03 + 4 -6.82776E-02 -3.21575E-02 +4 0 *********** SCCC-asn-cys + 1 -1.62150E-01 9.56855E-01 + 2 3.46774E-01 6.06181E-02 + 3 -2.48439E-03 -1.07124E-01 + 4 -1.93826E-02 -5.75492E-03 +4 0 *********** SCCC-asn-met + 1 -2.65641E-01 7.96242E-01 + 2 2.76915E-01 -1.64132E-01 + 3 5.36865E-02 -1.30750E-01 + 4 6.16549E-03 -4.87446E-02 +4 0 *********** SCCC-asn-phe + 1 -3.43347E-01 7.96600E-01 + 2 3.02311E-01 -1.26055E-01 + 3 3.07028E-02 -1.49390E-01 + 4 9.55578E-03 -3.33538E-02 +4 0 *********** SCCC-asn-ile + 1 -1.76683E-01 8.81392E-01 + 2 2.91790E-01 -1.55566E-01 + 3 3.48252E-02 -8.64962E-02 + 4 8.49137E-03 -5.88552E-02 +4 0 *********** SCCC-asn-leu + 1 -3.48201E-01 7.56475E-01 + 2 3.06465E-01 -2.02490E-01 + 3 3.34965E-02 -1.42360E-01 + 4 1.40298E-02 -5.24899E-02 +4 0 *********** SCCC-asn-val + 1 -2.35641E-01 8.31220E-01 + 2 2.90214E-01 -1.84412E-01 + 3 4.13827E-02 -1.06222E-01 + 4 1.25033E-02 -5.92918E-02 +4 0 *********** SCCC-asn-trp + 1 -2.66358E-01 8.45706E-01 + 2 2.78264E-01 -8.90219E-02 + 3 4.03001E-02 -1.27723E-01 + 4 1.20405E-03 -3.48995E-02 +4 0 *********** SCCC-asn-tyr + 1 -3.34660E-01 7.94759E-01 + 2 2.99689E-01 -1.31033E-01 + 3 3.36287E-02 -1.49084E-01 + 4 9.12004E-03 -3.43792E-02 +4 0 *********** SCCC-asn-ala + 1 -3.30662E-01 6.69391E-01 + 2 2.48345E-01 -2.23211E-01 + 3 5.77542E-02 -1.72134E-01 + 4 3.82538E-03 -4.57734E-02 +4 0 *********** SCCC-asn-gly + 1 1.10894E+00 -1.29810E-01 + 2 -4.78939E-01 7.85185E-02 + 3 -7.36233E-02 -1.00234E-01 + 4 2.99417E-02 -9.03175E-03 +4 0 *********** SCCC-asn-thr + 1 -1.51014E-01 8.07619E-01 + 2 2.17752E-01 -1.99694E-02 + 3 7.28192E-02 -1.41545E-01 + 4 3.57693E-03 -7.97927E-03 +4 0 *********** SCCC-asn-ser + 1 -1.31708E-01 1.06546E+00 + 2 4.34947E-01 1.74209E-01 + 3 -7.78470E-02 -4.52594E-02 + 4 -4.85106E-02 1.14087E-02 +4 0 *********** SCCC-asn-gln + 1 -1.21385E-01 8.99405E-01 + 2 2.65511E-01 -4.19733E-02 + 3 5.20222E-02 -1.10583E-01 + 4 -1.46111E-02 -2.96657E-02 +4 0 *********** SCCC-asn-asn + 1 -5.08046E-02 9.88061E-01 + 2 3.16621E-01 1.83519E-01 + 3 -1.77269E-02 -1.06868E-01 + 4 -4.05749E-02 1.86697E-02 +4 0 *********** SCCC-asn-glu + 1 -1.57210E-01 9.22129E-01 + 2 3.11531E-01 -3.52836E-02 + 3 2.48361E-02 -1.04413E-01 + 4 -1.10658E-02 -3.04204E-02 +4 0 *********** SCCC-asn-asp + 1 9.55026E-02 1.01758E+00 + 2 2.48931E-01 1.71751E-01 + 3 3.33383E-02 -7.99290E-02 + 4 -7.93974E-02 1.49526E-02 +4 0 *********** SCCC-asn-his + 1 -1.50728E-01 1.00865E+00 + 2 3.59980E-01 6.26670E-02 + 3 -1.59120E-02 -6.06809E-02 + 4 -2.20277E-02 -1.97543E-02 +4 0 *********** SCCC-asn-arg + 1 -2.31193E-01 7.75213E-01 + 2 2.43046E-01 -1.87487E-01 + 3 6.78871E-02 -1.37402E-01 + 4 8.71368E-04 -4.71793E-02 +4 0 *********** SCCC-asn-lys + 1 -2.94462E-01 7.32113E-01 + 2 2.65544E-01 -2.33553E-01 + 3 5.34956E-02 -1.38595E-01 + 4 1.06220E-02 -5.66873E-02 +4 0 *********** SCCC-asn-pro + 1 -3.40017E-01 1.01307E+00 + 2 4.38875E-01 3.77154E-01 + 3 -3.01538E-02 -1.07491E-01 + 4 -1.02658E-01 -4.59325E-02 +4 0 *********** SCCC-glu-cys + 1 -1.75028E-01 7.93185E-01 + 2 1.39923E-01 -1.97325E-01 + 3 5.11717E-03 -4.48841E-02 + 4 -2.09619E-02 4.12788E-03 +4 0 *********** SCCC-glu-met + 1 -1.73920E-01 6.75570E-01 + 2 9.16265E-02 -2.19977E-01 + 3 5.01779E-03 -6.20543E-02 + 4 -1.83131E-02 -7.12865E-03 +4 0 *********** SCCC-glu-phe + 1 -2.26687E-01 6.83802E-01 + 2 1.18721E-01 -2.18522E-01 + 3 -5.53577E-03 -5.69417E-02 + 4 -1.52122E-02 -7.95138E-03 +4 0 *********** SCCC-glu-ile + 1 -1.22022E-01 7.37308E-01 + 2 7.53497E-02 -2.28979E-01 + 3 1.52193E-02 -4.74620E-02 + 4 -2.20326E-02 -5.38584E-03 +4 0 *********** SCCC-glu-leu + 1 -2.13868E-01 6.50347E-01 + 2 1.02371E-01 -2.38147E-01 + 3 -6.84325E-03 -5.99558E-02 + 4 -1.60185E-02 -1.25296E-02 +4 0 *********** SCCC-glu-val + 1 -1.50483E-01 7.02101E-01 + 2 8.11514E-02 -2.33310E-01 + 3 9.48777E-03 -5.28115E-02 + 4 -1.98443E-02 -8.59830E-03 +4 0 *********** SCCC-glu-trp + 1 -1.90709E-01 7.12034E-01 + 2 1.08397E-01 -2.03549E-01 + 3 5.93232E-03 -5.50367E-02 + 4 -1.87646E-02 -3.46632E-03 +4 0 *********** SCCC-glu-tyr + 1 -2.20808E-01 6.81845E-01 + 2 1.15825E-01 -2.18922E-01 + 3 -4.88019E-03 -5.82385E-02 + 4 -1.52258E-02 -7.67458E-03 +4 0 *********** SCCC-glu-ala + 1 -2.02807E-01 5.71139E-01 + 2 8.14762E-02 -2.19988E-01 + 3 -1.40082E-02 -7.89186E-02 + 4 -1.19888E-02 -1.04592E-02 +4 0 *********** SCCC-glu-gly + 1 8.97790E-01 -2.27123E-02 + 2 -2.62402E-02 2.74987E-01 + 3 7.65727E-03 1.24542E-02 + 4 -1.52370E-02 8.04106E-03 +4 0 *********** SCCC-glu-thr + 1 -1.26316E-01 6.66038E-01 + 2 9.50929E-02 -1.45733E-01 + 3 6.48408E-03 -7.28985E-02 + 4 -7.56382E-03 2.86168E-03 +4 0 *********** SCCC-glu-ser + 1 -2.03413E-01 8.58359E-01 + 2 1.66860E-01 -2.20439E-01 + 3 6.60586E-03 -2.01982E-02 + 4 -2.94999E-02 3.44116E-03 +4 0 *********** SCCC-glu-gln + 1 -1.16987E-01 7.41144E-01 + 2 9.21413E-02 -1.86101E-01 + 3 1.45002E-02 -6.22335E-02 + 4 -2.07142E-02 5.13481E-03 +4 0 *********** SCCC-glu-asn + 1 -1.34928E-01 7.81608E-01 + 2 1.43251E-01 -1.48459E-01 + 3 -4.90144E-03 -5.56245E-02 + 4 -1.31215E-02 7.87766E-03 +4 0 *********** SCCC-glu-glu + 1 -1.45328E-01 7.68498E-01 + 2 1.09251E-01 -2.06419E-01 + 3 1.10565E-02 -5.13099E-02 + 4 -2.27145E-02 2.62237E-03 +4 0 *********** SCCC-glu-asp + 1 -4.44767E-02 7.71662E-01 + 2 9.57822E-02 -1.23086E-01 + 3 1.18016E-02 -7.93886E-02 + 4 -1.81803E-02 2.32727E-02 +4 0 *********** SCCC-glu-his + 1 -1.77390E-01 8.22192E-01 + 2 1.35512E-01 -2.10222E-01 + 3 1.66831E-02 -3.09861E-02 + 4 -2.79671E-02 2.59738E-03 +4 0 *********** SCCC-glu-arg + 1 -1.46782E-01 6.52472E-01 + 2 7.13978E-02 -2.14584E-01 + 3 5.11771E-03 -7.00618E-02 + 4 -1.59487E-02 -4.55723E-03 +4 0 *********** SCCC-glu-lys + 1 -1.76250E-01 6.21346E-01 + 2 7.58678E-02 -2.33049E-01 + 3 -2.59398E-03 -6.66189E-02 + 4 -1.48581E-02 -1.15323E-02 +4 0 *********** SCCC-glu-pro + 1 -3.72547E-01 8.37364E-01 + 2 2.71202E-01 -1.95828E-01 + 3 -7.88203E-03 -1.39372E-02 + 4 -6.89503E-02 -3.81628E-02 +4 0 *********** SCCC-asp-cys + 1 6.89146E-03 9.62901E-01 + 2 2.89389E-01 2.34632E-01 + 3 6.71682E-02 -1.58876E-01 + 4 9.88754E-02 9.45503E-03 +4 0 *********** SCCC-asp-met + 1 -1.63376E-01 7.49125E-01 + 2 2.66037E-01 -2.98849E-02 + 3 1.20566E-01 -1.84298E-01 + 4 1.11529E-01 -1.32414E-02 +4 0 *********** SCCC-asp-phe + 1 -2.29384E-01 7.55678E-01 + 2 2.61144E-01 6.87120E-03 + 3 1.08042E-01 -2.08570E-01 + 4 1.11254E-01 9.27824E-04 +4 0 *********** SCCC-asp-ile + 1 -5.28974E-02 8.29422E-01 + 2 2.91790E-01 4.88933E-03 + 3 9.00759E-02 -1.52680E-01 + 4 1.19320E-01 -2.24447E-02 +4 0 *********** SCCC-asp-leu + 1 -2.40931E-01 7.02691E-01 + 2 2.86859E-01 -6.60185E-02 + 3 1.08285E-01 -2.05115E-01 + 4 1.14096E-01 -1.03636E-02 +4 0 *********** SCCC-asp-val + 1 -1.21797E-01 7.76321E-01 + 2 2.86876E-01 -3.45549E-02 + 3 1.02586E-01 -1.70534E-01 + 4 1.19843E-01 -1.94708E-02 +4 0 *********** SCCC-asp-trp + 1 -1.54704E-01 8.11497E-01 + 2 2.42768E-01 4.74845E-02 + 3 1.07068E-01 -1.83322E-01 + 4 1.08048E-01 -4.05058E-03 +4 0 *********** SCCC-asp-tyr + 1 -2.22323E-01 7.53145E-01 + 2 2.62355E-01 1.71466E-03 + 3 1.10226E-01 -2.07274E-01 + 4 1.11028E-01 -4.76266E-04 +4 0 *********** SCCC-asp-ala + 1 -2.58419E-01 6.24448E-01 + 2 2.47257E-01 -1.18176E-01 + 3 1.31675E-01 -2.10078E-01 + 4 8.96703E-02 -1.46616E-02 +4 0 *********** SCCC-asp-gly + 1 1.11938E+00 -4.79483E-01 + 2 -5.39968E-01 -2.59984E-01 + 3 -4.20150E-02 -6.95953E-02 + 4 6.07620E-02 -6.31520E-03 +4 0 *********** SCCC-asp-thr + 1 -7.14183E-02 7.90607E-01 + 2 1.99141E-01 8.39682E-02 + 3 1.38223E-01 -1.56640E-01 + 4 8.44660E-02 6.23549E-03 +4 0 *********** SCCC-asp-ser + 1 1.48522E-01 1.14542E+00 + 2 3.41212E-01 4.51183E-01 + 3 -4.27583E-02 -8.22659E-02 + 4 4.58009E-02 1.89451E-02 +4 0 *********** SCCC-asp-gln + 1 -3.80731E-03 8.76195E-01 + 2 2.52369E-01 1.02246E-01 + 3 1.12769E-01 -1.53550E-01 + 4 9.98621E-02 -1.12339E-02 +4 0 *********** SCCC-asp-asn + 1 1.22758E-01 1.04207E+00 + 2 2.53169E-01 3.63126E-01 + 3 3.65086E-02 -1.26146E-01 + 4 5.72966E-02 1.44893E-02 +4 0 *********** SCCC-asp-glu + 1 -1.60424E-02 8.99494E-01 + 2 2.84138E-01 1.27096E-01 + 3 9.00957E-02 -1.62470E-01 + 4 1.09158E-01 -7.72673E-03 +4 0 *********** SCCC-asp-asp + 1 2.59374E-01 1.06658E+00 + 2 2.34343E-01 3.50566E-01 + 3 7.94228E-02 -7.82301E-02 + 4 3.04771E-02 3.42785E-03 +4 0 *********** SCCC-asp-his + 1 4.14244E-02 1.01882E+00 + 2 3.00553E-01 2.68657E-01 + 3 3.96480E-02 -1.19962E-01 + 4 9.49039E-02 8.52413E-03 +4 0 *********** SCCC-asp-arg + 1 -1.43057E-01 7.27323E-01 + 2 2.47012E-01 -6.37525E-02 + 3 1.29984E-01 -1.81607E-01 + 4 9.96790E-02 -1.96414E-02 +4 0 *********** SCCC-asp-lys + 1 -2.06415E-01 6.77904E-01 + 2 2.68843E-01 -1.08164E-01 + 3 1.19636E-01 -1.89430E-01 + 4 1.04245E-01 -1.92013E-02 +4 0 *********** SCCC-asp-pro + 1 -1.38898E-01 1.27123E+00 + 2 1.99963E-01 7.69688E-01 + 3 1.91683E-01 -3.21063E-01 + 4 -1.48049E-01 2.78634E-01 +4 0 *********** SCCC-his-cys + 1 -3.69345E-01 1.02232E+00 + 2 2.73396E-01 -1.37066E-01 + 3 5.51468E-02 -1.69168E-01 + 4 -7.02196E-02 2.82981E-02 +4 0 *********** SCCC-his-met + 1 -3.81561E-01 8.61414E-01 + 2 2.24917E-01 -2.76206E-01 + 3 6.00277E-02 -1.65478E-01 + 4 -4.49430E-02 -4.76821E-02 +4 0 *********** SCCC-his-phe + 1 -4.68949E-01 8.57245E-01 + 2 2.62629E-01 -2.41380E-01 + 3 4.20567E-02 -1.82999E-01 + 4 -4.95250E-02 -3.34942E-02 +4 0 *********** SCCC-his-ile + 1 -3.28031E-01 9.70230E-01 + 2 2.22628E-01 -3.00284E-01 + 3 4.74535E-02 -1.22181E-01 + 4 -3.95713E-02 -4.37272E-02 +4 0 *********** SCCC-his-leu + 1 -4.56076E-01 8.14821E-01 + 2 2.65737E-01 -2.99845E-01 + 3 2.74991E-02 -1.75545E-01 + 4 -3.45093E-02 -5.38870E-02 +4 0 *********** SCCC-his-val + 1 -3.66815E-01 9.09384E-01 + 2 2.32540E-01 -3.08934E-01 + 3 4.54471E-02 -1.39055E-01 + 4 -3.53282E-02 -5.26108E-02 +4 0 *********** SCCC-his-trp + 1 -4.04562E-01 9.12636E-01 + 2 2.29576E-01 -2.23804E-01 + 3 6.41183E-02 -1.61855E-01 + 4 -5.73424E-02 -3.13866E-02 +4 0 *********** SCCC-his-tyr + 1 -4.58121E-01 8.55671E-01 + 2 2.57802E-01 -2.45122E-01 + 3 4.46526E-02 -1.83509E-01 + 4 -4.96869E-02 -3.37290E-02 +4 0 *********** SCCC-his-ala + 1 -3.95989E-01 7.05652E-01 + 2 2.07209E-01 -2.84327E-01 + 3 4.39849E-02 -2.13866E-01 + 4 -4.07812E-02 -5.09985E-02 +4 0 *********** SCCC-his-gly + 1 1.25852E+00 1.76141E-01 + 2 -2.77315E-01 3.24357E-01 + 3 4.54429E-02 -7.91989E-02 + 4 1.99718E-02 4.65286E-02 +4 0 *********** SCCC-his-thr + 1 -2.58759E-01 8.49473E-01 + 2 1.63800E-01 -1.27977E-01 + 3 9.93722E-02 -1.98211E-01 + 4 -4.68005E-02 1.04977E-03 +4 0 *********** SCCC-his-ser + 1 -4.42381E-01 1.12152E+00 + 2 3.64904E-01 -1.17923E-01 + 3 1.22945E-02 -1.22412E-01 + 4 -8.14961E-02 5.24886E-02 +4 0 *********** SCCC-his-gln + 1 -2.74803E-01 9.71741E-01 + 2 1.86757E-01 -1.96807E-01 + 3 9.06279E-02 -1.60756E-01 + 4 -7.07205E-02 -7.42647E-03 +4 0 *********** SCCC-his-asn + 1 -2.59373E-01 1.01077E+00 + 2 2.37818E-01 -1.69224E-02 + 3 5.04090E-02 -2.04248E-01 + 4 -6.44461E-02 6.27076E-02 +4 0 *********** SCCC-his-glu + 1 -3.34689E-01 1.00225E+00 + 2 2.34058E-01 -2.08542E-01 + 3 6.62179E-02 -1.52845E-01 + 4 -6.77561E-02 -2.88808E-03 +4 0 *********** SCCC-his-asp + 1 -1.13489E-01 1.05146E+00 + 2 1.36018E-01 -4.44790E-02 + 3 1.26749E-01 -1.82384E-01 + 4 -1.23555E-01 6.77906E-02 +4 0 *********** SCCC-his-his + 1 -3.94646E-01 1.08754E+00 + 2 2.91579E-01 -1.75765E-01 + 3 5.16481E-02 -1.10558E-01 + 4 -7.10761E-02 5.27062E-03 +4 0 *********** SCCC-his-arg + 1 -3.30066E-01 8.37721E-01 + 2 1.84874E-01 -2.86796E-01 + 3 7.31266E-02 -1.74279E-01 + 4 -5.31747E-02 -4.43825E-02 +4 0 *********** SCCC-his-lys + 1 -3.81706E-01 7.86125E-01 + 2 2.18531E-01 -3.16421E-01 + 3 4.33274E-02 -1.75276E-01 + 4 -3.24525E-02 -5.78990E-02 +4 0 *********** SCCC-his-pro + 1 -7.30659E-01 1.01721E+00 + 2 4.71006E-01 1.74010E-02 + 3 6.27875E-02 -1.49403E-01 + 4 -1.64736E-01 2.55952E-02 +4 0 *********** SCCC-arg-cys + 1 -4.73342E-01 2.53493E-01 + 2 -1.04818E-01 -1.89795E-02 + 3 7.72408E-02 4.49749E-02 + 4 -7.40174E-02 1.81466E-04 +4 0 *********** SCCC-arg-met + 1 -4.05113E-01 1.78167E-01 + 2 -6.21818E-02 2.92029E-02 + 3 4.53693E-02 3.55998E-02 + 4 -5.40860E-02 -8.65102E-03 +4 0 *********** SCCC-arg-phe + 1 -4.23597E-01 1.58949E-01 + 2 -6.66038E-02 2.47021E-02 + 3 5.03868E-02 4.11327E-02 + 4 -5.78930E-02 -1.16976E-02 +4 0 *********** SCCC-arg-ile + 1 -4.15299E-01 2.35567E-01 + 2 -7.24346E-02 2.09376E-02 + 3 5.24164E-02 2.56747E-02 + 4 -5.30972E-02 2.01269E-03 +4 0 *********** SCCC-arg-leu + 1 -3.98462E-01 1.45644E-01 + 2 -5.52901E-02 4.37980E-02 + 3 4.47608E-02 3.54917E-02 + 4 -5.30811E-02 -9.74845E-03 +4 0 *********** SCCC-arg-val + 1 -4.06142E-01 2.03001E-01 + 2 -6.40740E-02 3.03356E-02 + 3 4.75051E-02 2.89481E-02 + 4 -5.20303E-02 -2.96945E-03 +4 0 *********** SCCC-arg-trp + 1 -4.32196E-01 1.90354E-01 + 2 -7.31097E-02 1.01073E-02 + 3 5.22349E-02 4.08552E-02 + 4 -5.90120E-02 -1.00659E-02 +4 0 *********** SCCC-arg-tyr + 1 -4.21075E-01 1.60625E-01 + 2 -6.61055E-02 2.56922E-02 + 3 4.99396E-02 4.05342E-02 + 4 -5.75701E-02 -1.11830E-02 +4 0 *********** SCCC-arg-ala + 1 -3.62189E-01 1.07613E-01 + 2 -3.86273E-02 5.48172E-02 + 3 3.46412E-02 3.64830E-02 + 4 -4.69961E-02 -1.27651E-02 +4 0 *********** SCCC-arg-gly + 1 4.00182E-01 4.17668E-01 + 2 1.17543E-01 -2.63219E-02 + 3 3.09356E-02 8.67132E-02 + 4 -2.98912E-02 6.19530E-02 +4 0 *********** SCCC-arg-thr + 1 -4.09575E-01 1.99549E-01 + 2 -7.32878E-02 -1.88423E-03 + 3 4.29009E-02 4.11909E-02 + 4 -5.15602E-02 -1.08618E-02 +4 0 *********** SCCC-arg-ser + 1 -5.05874E-01 2.84182E-01 + 2 -1.22501E-01 -3.54938E-02 + 3 9.70116E-02 4.64495E-02 + 4 -8.46584E-02 8.18824E-03 +4 0 *********** SCCC-arg-gln + 1 -4.32396E-01 2.43546E-01 + 2 -8.51436E-02 -2.74496E-03 + 3 5.82585E-02 3.79556E-02 + 4 -6.26550E-02 -2.04682E-03 +4 0 *********** SCCC-arg-asn + 1 -4.75275E-01 2.70643E-01 + 2 -1.13187E-01 -3.66262E-02 + 3 8.06719E-02 4.89900E-02 + 4 -7.54243E-02 1.54695E-03 +4 0 *********** SCCC-arg-glu + 1 -4.47872E-01 2.47488E-01 + 2 -9.19302E-02 -2.85206E-03 + 3 6.66418E-02 3.77559E-02 + 4 -6.67127E-02 9.88276E-04 +4 0 *********** SCCC-arg-asp + 1 -4.50217E-01 3.01442E-01 + 2 -1.09243E-01 -3.98998E-02 + 3 7.44890E-02 4.61206E-02 + 4 -7.56613E-02 6.91330E-03 +4 0 *********** SCCC-arg-his + 1 -4.81948E-01 2.64773E-01 + 2 -1.06189E-01 -2.30736E-02 + 3 7.85526E-02 4.26425E-02 + 4 -7.31967E-02 7.22995E-04 +4 0 *********** SCCC-arg-arg + 1 -3.87081E-01 1.77815E-01 + 2 -5.42853E-02 3.40488E-02 + 3 4.03228E-02 3.28638E-02 + 4 -5.03760E-02 -6.84053E-03 +4 0 *********** SCCC-arg-lys + 1 -3.75245E-01 1.46792E-01 + 2 -4.51987E-02 4.94359E-02 + 3 3.73444E-02 3.13716E-02 + 4 -4.69384E-02 -8.52284E-03 +4 0 *********** SCCC-arg-pro + 1 -5.95886E-01 2.06751E-01 + 2 -8.81570E-02 -8.15618E-02 + 3 7.14983E-02 1.19196E-01 + 4 -9.18050E-02 -5.95070E-02 +4 0 *********** SCCC-lys-cys + 1 -5.47372E-01 3.16605E-01 + 2 -2.87129E-01 -4.87277E-02 + 3 6.85147E-02 7.82231E-02 + 4 -2.52364E-02 4.46998E-03 +4 0 *********** SCCC-lys-met + 1 -4.60087E-01 1.84547E-01 + 2 -1.81462E-01 5.61335E-02 + 3 1.04843E-02 7.62134E-02 + 4 -2.33361E-02 -2.58159E-02 +4 0 *********** SCCC-lys-phe + 1 -4.80395E-01 1.59179E-01 + 2 -1.92525E-01 3.81188E-02 + 3 1.47921E-02 9.02307E-02 + 4 -2.21454E-02 -2.78217E-02 +4 0 *********** SCCC-lys-ile + 1 -4.74587E-01 2.65560E-01 + 2 -2.07996E-01 5.01665E-02 + 3 3.24299E-02 5.54556E-02 + 4 -2.36470E-02 -1.53426E-02 +4 0 *********** SCCC-lys-leu + 1 -4.50611E-01 1.36362E-01 + 2 -1.69617E-01 7.94878E-02 + 3 7.67290E-03 8.55450E-02 + 4 -2.33045E-02 -3.10951E-02 +4 0 *********** SCCC-lys-val + 1 -4.62113E-01 2.17145E-01 + 2 -1.88623E-01 6.44282E-02 + 3 2.02094E-02 6.54751E-02 + 4 -2.39116E-02 -2.27431E-02 +4 0 *********** SCCC-lys-trp + 1 -4.93534E-01 2.07583E-01 + 2 -2.05569E-01 1.39425E-02 + 3 2.22329E-02 8.05416E-02 + 4 -2.31722E-02 -2.09946E-02 +4 0 *********** SCCC-lys-tyr + 1 -4.77446E-01 1.61184E-01 + 2 -1.91261E-01 4.10369E-02 + 3 1.41410E-02 8.90870E-02 + 4 -2.22685E-02 -2.77056E-02 +4 0 *********** SCCC-lys-ala + 1 -4.11165E-01 8.80741E-02 + 2 -1.28881E-01 9.94373E-02 + 3 -1.00122E-02 8.75506E-02 + 4 -2.35571E-02 -3.16775E-02 +4 0 *********** SCCC-lys-gly + 1 5.25954E-01 4.79788E-01 + 2 3.34916E-01 -8.65974E-02 + 3 4.33983E-02 1.24438E-01 + 4 -4.31657E-04 3.26861E-02 +4 0 *********** SCCC-lys-thr + 1 -4.71676E-01 2.27090E-01 + 2 -1.95394E-01 -8.01890E-03 + 3 9.16728E-03 7.08142E-02 + 4 -1.90784E-02 -1.71305E-02 +4 0 *********** SCCC-lys-ser + 1 -5.98217E-01 3.88338E-01 + 2 -3.47037E-01 -9.79754E-02 + 3 1.20162E-01 7.50527E-02 + 4 -3.17903E-02 3.51891E-02 +4 0 *********** SCCC-lys-gln + 1 -4.96946E-01 2.88272E-01 + 2 -2.31370E-01 -2.69509E-03 + 3 3.46963E-02 6.54058E-02 + 4 -2.40756E-02 -7.89120E-03 +4 0 *********** SCCC-lys-asn + 1 -5.59296E-01 3.57317E-01 + 2 -3.04425E-01 -9.17428E-02 + 3 8.18186E-02 7.82304E-02 + 4 -2.80689E-02 1.91804E-02 +4 0 *********** SCCC-lys-glu + 1 -5.13847E-01 2.95140E-01 + 2 -2.52457E-01 -6.09678E-03 + 3 4.88495E-02 6.92841E-02 + 4 -2.43858E-02 -5.26692E-03 +4 0 *********** SCCC-lys-asp + 1 -5.31340E-01 3.98318E-01 + 2 -2.85858E-01 -8.13740E-02 + 3 6.60168E-02 6.04862E-02 + 4 -2.90134E-02 2.37989E-02 +4 0 *********** SCCC-lys-his + 1 -5.61080E-01 3.38925E-01 + 2 -2.96162E-01 -5.72318E-02 + 3 7.95857E-02 6.88026E-02 + 4 -2.57550E-02 1.00336E-02 +4 0 *********** SCCC-lys-arg + 1 -4.40771E-01 1.83017E-01 + 2 -1.61779E-01 6.94934E-02 + 3 3.89153E-03 7.17533E-02 + 4 -2.44256E-02 -2.49766E-02 +4 0 *********** SCCC-lys-lys + 1 -4.26222E-01 1.38297E-01 + 2 -1.45744E-01 9.62439E-02 + 3 1.82849E-04 7.59947E-02 + 4 -2.42978E-02 -2.99072E-02 +4 0 *********** SCCC-lys-pro + 1 -6.87509E-01 3.29153E-01 + 2 -3.35348E-01 -2.51436E-01 + 3 9.98007E-02 1.67332E-01 + 4 -6.37424E-02 2.57929E-02 +4 0 *********** SCCC-pro-cys + 1 4.82339E-01 1.42614E+00 + 2 2.73463E-03 -2.46321E-01 + 3 -7.23621E-02 -1.95558E-01 + 4 4.91904E-02 -1.21700E-01 +4 0 *********** SCCC-pro-met + 1 1.68794E-01 1.23060E+00 + 2 -2.47973E-01 -3.80756E-01 + 3 -4.89137E-02 -2.85234E-01 + 4 7.05047E-02 -3.45015E-02 +4 0 *********** SCCC-pro-phe + 1 7.60068E-02 1.33126E+00 + 2 -2.43027E-01 -4.13656E-01 + 3 -4.27590E-02 -2.69401E-01 + 4 8.27960E-02 -4.00862E-02 +4 0 *********** SCCC-pro-ile + 1 3.32850E-01 1.34111E+00 + 2 -2.82477E-01 -3.67684E-01 + 3 -2.03285E-02 -3.17522E-01 + 4 7.74037E-02 -4.01822E-02 +4 0 *********** SCCC-pro-leu + 1 3.23707E-02 1.27574E+00 + 2 -2.95285E-01 -4.75463E-01 + 3 -1.57196E-02 -2.72307E-01 + 4 6.35484E-02 -5.02080E-03 +4 0 *********** SCCC-pro-val + 1 2.19698E-01 1.30074E+00 + 2 -2.99856E-01 -4.07424E-01 + 3 -1.76088E-02 -3.10886E-01 + 4 7.62449E-02 -2.36822E-02 +4 0 *********** SCCC-pro-trp + 1 2.11003E-01 1.32354E+00 + 2 -2.08207E-01 -3.28018E-01 + 3 -5.88735E-02 -2.76918E-01 + 4 8.41015E-02 -5.71559E-02 +4 0 *********** SCCC-pro-tyr + 1 8.63762E-02 1.31518E+00 + 2 -2.42393E-01 -4.09271E-01 + 3 -4.62516E-02 -2.71177E-01 + 4 8.18826E-02 -4.06253E-02 +4 0 *********** SCCC-pro-ala + 1 1.64853E-02 1.04884E+00 + 2 -2.43450E-01 -3.94165E-01 + 3 -8.69073E-02 -2.30146E-01 + 4 4.56232E-02 -2.01726E-02 +4 0 *********** SCCC-pro-gly + 1 2.23240E+00 -2.14457E+00 + 2 -3.37741E-01 -2.83765E-01 + 3 -1.50305E-01 1.76072E-01 + 4 -3.74656E-02 1.51697E-02 +4 0 *********** SCCC-pro-thr + 1 3.32017E-01 1.04626E+00 + 2 -2.75534E-02 -1.86874E-01 + 3 -1.12255E-01 -1.98801E-01 + 4 3.64635E-02 -8.80600E-02 +4 0 *********** SCCC-pro-ser + 1 8.54398E-01 1.81527E+00 + 2 2.24325E-01 -1.46596E-01 + 3 8.57517E-02 -1.83586E-02 + 4 6.03122E-02 -7.05508E-02 +4 0 *********** SCCC-pro-gln + 1 4.44934E-01 1.25153E+00 + 2 -1.09365E-01 -2.30544E-01 + 3 -9.20696E-02 -2.62958E-01 + 4 5.94435E-02 -9.22573E-02 +4 0 *********** SCCC-pro-asn + 1 7.57578E-01 1.34308E+00 + 2 2.45102E-01 -2.32651E-02 + 3 -1.13890E-01 -5.77947E-02 + 4 1.66961E-02 -1.36478E-01 +4 0 *********** SCCC-pro-glu + 1 4.22861E-01 1.36719E+00 + 2 -1.29948E-01 -2.86940E-01 + 3 -6.70773E-02 -2.68784E-01 + 4 6.70893E-02 -9.16566E-02 +4 0 *********** SCCC-pro-asp + 1 1.06001E+00 1.34100E+00 + 2 2.62307E-01 1.31717E-01 + 3 -1.07909E-01 -9.61497E-02 + 4 4.65401E-02 -1.17995E-01 +4 0 *********** SCCC-pro-his + 1 5.51527E-01 1.63451E+00 + 2 -8.43776E-02 -2.48776E-01 + 3 -4.05782E-03 -2.49672E-01 + 4 9.46899E-02 -9.34989E-02 +4 0 *********** SCCC-pro-arg + 1 1.99155E-01 1.15004E+00 + 2 -2.60709E-01 -3.42296E-01 + 3 -7.54445E-02 -2.89494E-01 + 4 7.36528E-02 -3.76496E-02 +4 0 *********** SCCC-pro-lys + 1 9.07982E-02 1.14370E+00 + 2 -2.90373E-01 -4.16148E-01 + 3 -4.75112E-02 -2.68886E-01 + 4 5.70155E-02 -1.21007E-02 +4 0 *********** SCCC-pro-pro + 1 1.24017E+00 3.27182E+00 + 2 -1.12460E-01 4.91637E-01 + 3 -2.82130E-01 -1.04332E-01 + 4 7.16332E-02 -3.05457E-01 +4 0 *********** CCCS-cys-cys + 1 -9.81529E-01 -4.99751E-01 + 2 -1.09644E-01 -1.41325E-01 + 3 1.66508E-01 -1.41587E-01 + 4 -4.39297E-02 9.10503E-02 +4 0 *********** CCCS-cys-met + 1 -6.99952E-01 -1.25466E-02 + 2 -2.85225E-01 1.14027E-01 + 3 6.25110E-02 -1.10927E-01 + 4 9.64240E-03 4.31923E-02 +4 0 *********** CCCS-cys-phe + 1 -7.96678E-01 7.62314E-03 + 2 -1.22507E-01 3.16194E-01 + 3 -9.63393E-02 -6.52523E-02 + 4 6.16694E-02 3.56698E-02 +4 0 *********** CCCS-cys-ile + 1 -8.79423E-01 -5.23081E-02 + 2 -4.10713E-01 1.40084E-01 + 3 7.18166E-02 -1.91023E-01 + 4 -6.05884E-03 3.82424E-02 +4 0 *********** CCCS-cys-leu + 1 -5.81163E-01 2.21705E-01 + 2 -4.81013E-01 3.58596E-01 + 3 5.49719E-03 -8.37178E-02 + 4 -9.77924E-03 1.48720E-02 +4 0 *********** CCCS-cys-val + 1 -7.84291E-01 -2.84432E-03 + 2 -4.57495E-01 1.99446E-01 + 3 7.75864E-02 -1.60314E-01 + 4 5.15416E-03 3.40983E-02 +4 0 *********** CCCS-cys-trp + 1 -8.29444E-01 4.82686E-02 + 2 -1.53370E-01 2.12974E-01 + 3 -6.19886E-02 -9.43079E-02 + 4 5.74415E-02 3.56113E-02 +4 0 *********** CCCS-cys-tyr + 1 -7.86153E-01 1.26779E-02 + 2 -1.02654E-01 2.97115E-01 + 3 -9.95401E-02 -6.43182E-02 + 4 7.04470E-02 4.13411E-02 +4 0 *********** CCCS-cys-ala + 1 -5.17854E-01 7.94199E-03 + 2 -5.79857E-01 -2.26360E-01 + 3 1.02776E-01 -3.73167E-02 + 4 -7.85419E-03 -2.22182E-02 +4 0 *********** CCCS-cys-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-cys-thr - 1 -2.03248E-01 5.51263E-02 - 2 3.07864E-01 3.35407E-01 - 3 -1.31526E-01 5.76879E-02 - 4 7.76138E-02 4.93284E-02 - 5 -2.03731E-01 2.87956E-02 - 6 3.61882E-02 -8.21649E-02 -6 0 *********** CCCS-cys-ser - 1 -6.48842E-02 1.36898E-01 - 2 -2.85369E-01 7.40534E-01 - 3 -2.30651E-01 -5.16896E-02 - 4 -2.32559E-01 2.76752E-01 - 5 9.56038E-02 -1.75199E-01 - 6 -1.97013E-01 -5.81647E-01 -6 0 *********** CCCS-cys-gln - 1 -1.19089E-01 6.39442E-02 - 2 4.15720E-02 3.88808E-01 - 3 -1.11318E-01 -1.10958E-02 - 4 -6.28745E-02 9.33107E-02 - 5 -6.14000E-02 -2.63730E-02 - 6 -5.23861E-02 -2.09296E-01 -6 0 *********** CCCS-cys-asn - 1 -6.61606E-02 1.85503E-01 - 2 -3.57518E-01 3.10699E-01 - 3 -2.66973E-01 -9.44250E-02 - 4 -1.73004E-01 1.61665E-01 - 5 5.18439E-02 -1.19069E-01 - 6 -1.15785E-01 -3.27812E-01 -6 0 *********** CCCS-cys-glu - 1 -1.17844E-01 6.06832E-02 - 2 1.21560E-01 4.13331E-01 - 3 -9.32532E-02 4.89565E-03 - 4 -2.69192E-02 9.16398E-02 - 5 -7.55637E-02 -1.09408E-02 - 6 -3.02019E-02 -1.96423E-01 -6 0 *********** CCCS-cys-asp - 1 -4.71794E-02 1.49414E-01 - 2 -3.44561E-01 3.54102E-01 - 3 -2.33539E-01 1.73815E-02 - 4 -1.21900E-01 1.55722E-01 - 5 -2.29310E-02 -9.35304E-02 - 6 -1.09390E-01 -2.70399E-01 -6 0 *********** CCCS-cys-his - 1 -1.55821E-02 2.14894E-01 - 2 -3.18337E-01 2.50889E-01 - 3 -2.76280E-01 5.03498E-02 - 4 -5.66532E-02 9.58135E-02 - 5 -1.15559E-01 -4.55931E-02 - 6 -4.50136E-02 -1.25442E-01 -6 0 *********** CCCS-cys-arg - 1 -1.72816E-01 2.76509E-02 - 2 2.85707E-01 1.72018E-01 - 3 -6.59164E-02 -7.43103E-02 - 4 -1.07827E-02 6.06648E-02 - 5 -3.12455E-02 -3.30765E-02 - 6 -3.11861E-02 -1.57759E-01 -6 0 *********** CCCS-cys-lys - 1 -1.89653E-01 3.09404E-02 - 2 3.35374E-01 1.48211E-01 - 3 -7.36330E-02 -5.62915E-02 - 4 2.94976E-02 4.52978E-02 - 5 -5.82360E-02 -2.29557E-02 - 6 -6.81123E-03 -1.19752E-01 -6 0 *********** CCCS-cys-pro - 1 2.25358E-01 -5.76858E-01 - 2 -6.53319E-01 -6.51871E-01 - 3 -2.90103E-01 -4.75061E-01 - 4 -1.86345E-01 4.74952E-01 - 5 2.36789E-01 -9.96302E-02 - 6 -1.64613E-01 -5.16826E-01 -6 0 *********** CCCS-met-cys - 1 -7.34487E-01 3.09506E-01 - 2 -1.39018E-01 4.32778E-01 - 3 -1.92234E-01 -6.32933E-02 - 4 -1.21518E-01 7.41625E-02 - 5 -6.77158E-02 -4.29534E-02 - 6 -5.92468E-02 -2.18930E-01 -6 0 *********** CCCS-met-met - 1 -5.38620E-01 4.44836E-01 - 2 1.93475E-01 1.34595E-01 - 3 -1.07309E-01 1.13417E-02 - 4 -5.92730E-03 8.34853E-02 - 5 -1.17862E-01 -1.15213E-02 - 6 -1.13171E-02 -3.37062E-02 -6 0 *********** CCCS-met-phe - 1 -4.83090E-01 5.63426E-01 - 2 1.74892E-01 3.47418E-02 - 3 -5.34814E-02 -6.82614E-02 - 4 -2.15618E-02 6.91379E-02 - 5 -6.09399E-02 -3.46480E-02 - 6 -2.25282E-02 -3.01455E-02 -6 0 *********** CCCS-met-ile - 1 -5.75739E-01 5.47566E-01 - 2 2.52908E-01 1.03034E-01 - 3 -1.41761E-01 7.81617E-02 - 4 4.22093E-02 8.28848E-02 - 5 -1.64437E-01 2.79027E-03 - 6 1.18836E-02 4.28437E-02 -6 0 *********** CCCS-met-leu - 1 -4.62855E-01 4.96060E-01 - 2 3.75177E-01 6.70144E-03 - 3 7.00004E-02 -1.55550E-02 - 4 -2.89849E-02 9.18811E-02 - 5 4.07282E-02 -3.92495E-02 - 6 -2.99345E-02 -2.49611E-02 -6 0 *********** CCCS-met-val - 1 -5.30011E-01 5.34488E-01 - 2 2.55351E-01 3.50534E-02 - 3 -8.43881E-02 5.41744E-02 - 4 -7.14225E-03 8.91348E-02 - 5 -1.02092E-01 -9.93436E-03 - 6 -1.26755E-02 3.60313E-02 -6 0 *********** CCCS-met-trp - 1 -4.50958E-01 5.35591E-01 - 2 1.56596E-01 1.03637E-01 - 3 -7.30201E-02 -4.82778E-02 - 4 -2.59621E-02 7.66675E-02 - 5 -6.64170E-02 -2.92903E-02 - 6 -2.50582E-02 -4.72217E-02 -6 0 *********** CCCS-met-tyr - 1 -4.59146E-01 5.43189E-01 - 2 1.35086E-01 6.22810E-02 - 3 -2.61321E-02 -1.01795E-01 - 4 -6.90679E-02 9.18397E-02 - 5 -1.00117E-02 -4.86673E-02 - 6 -5.24642E-02 -8.88875E-02 -6 0 *********** CCCS-met-ala - 1 -6.49265E-01 1.57538E-01 - 2 2.94074E-01 4.38016E-01 - 3 -7.55584E-02 3.20068E-02 - 4 -1.49637E-01 1.84947E-01 - 5 9.80198E-03 -2.37352E-02 - 6 -1.18694E-01 -2.48590E-01 -6 0 *********** CCCS-met-gly +4 0 *********** CCCS-cys-thr + 1 -8.30177E-01 -3.53848E-02 + 2 -3.94740E-01 -2.22237E-03 + 3 7.19076E-02 -1.22610E-01 + 4 -7.73690E-03 1.97299E-02 +4 0 *********** CCCS-cys-ser + 1 -1.11675E+00 -9.04310E-01 + 2 7.00369E-03 -1.63392E-01 + 3 1.63316E-01 -1.70663E-01 + 4 -6.93816E-02 2.63151E-02 +4 0 *********** CCCS-cys-gln + 1 -8.54563E-01 -1.07630E-01 + 2 -1.87343E-01 -9.34115E-02 + 3 -5.30520E-02 -1.16920E-01 + 4 1.54047E-02 5.70308E-02 +4 0 *********** CCCS-cys-asn + 1 -9.28088E-01 -6.02095E-01 + 2 6.86611E-02 -2.44584E-01 + 3 6.24537E-02 -7.51201E-02 + 4 3.79455E-03 6.64844E-02 +4 0 *********** CCCS-cys-glu + 1 -9.51407E-01 -6.55904E-02 + 2 -2.34702E-01 -7.11047E-03 + 3 -5.47458E-02 -1.46273E-01 + 4 2.51454E-02 3.57427E-02 +4 0 *********** CCCS-cys-asp + 1 -1.01534E+00 -7.17179E-01 + 2 9.03379E-03 -2.23320E-01 + 3 9.16158E-02 -5.34428E-02 + 4 8.89365E-03 4.84009E-02 +4 0 *********** CCCS-cys-his + 1 -9.54598E-01 -5.73454E-01 + 2 1.16190E-01 -1.27483E-01 + 3 1.71071E-01 -1.29127E-01 + 4 -2.06691E-02 2.05881E-03 +4 0 *********** CCCS-cys-arg + 1 -6.59082E-01 1.28634E-01 + 2 -2.34765E-01 2.44536E-01 + 3 -2.41314E-02 -9.57468E-02 + 4 -7.47069E-03 1.40142E-02 +4 0 *********** CCCS-cys-lys + 1 -5.54585E-01 1.93256E-01 + 2 -3.58461E-01 2.39395E-01 + 3 5.44631E-02 -7.44114E-02 + 4 5.48193E-03 1.04960E-02 +4 0 *********** CCCS-cys-pro + 1 -1.57268E+00 -7.21704E-01 + 2 -4.01256E-02 5.03049E-02 + 3 -4.96014E-02 -4.51269E-01 + 4 -9.56046E-02 1.16484E-01 +4 0 *********** CCCS-met-cys + 1 -8.66203E-01 -4.35658E-01 + 2 7.94597E-02 -4.44697E-02 + 3 9.94323E-02 -1.10620E-01 + 4 -8.77444E-04 3.10846E-02 +4 0 *********** CCCS-met-met + 1 -6.13072E-01 2.17128E-02 + 2 -1.48139E-01 -1.24288E-02 + 3 2.29492E-02 -5.93085E-02 + 4 -9.03098E-03 4.49343E-02 +4 0 *********** CCCS-met-phe + 1 -6.58045E-01 8.08007E-02 + 2 -8.59951E-02 1.04368E-01 + 3 -1.01504E-01 -3.30910E-02 + 4 5.29134E-02 5.26243E-02 +4 0 *********** CCCS-met-ile + 1 -7.69788E-01 1.00031E-02 + 2 -1.68608E-01 -3.98670E-02 + 3 1.35835E-02 -1.08701E-01 + 4 -3.93300E-02 3.99990E-02 +4 0 *********** CCCS-met-leu + 1 -5.35859E-01 2.37305E-01 + 2 -3.01175E-01 7.29295E-02 + 3 -1.45616E-02 -3.71859E-02 + 4 -2.09997E-02 8.33643E-02 +4 0 *********** CCCS-met-val + 1 -6.85812E-01 6.09897E-02 + 2 -2.24886E-01 -1.19862E-02 + 3 1.67065E-02 -8.80205E-02 + 4 -3.09468E-02 6.03288E-02 +4 0 *********** CCCS-met-trp + 1 -7.04443E-01 9.44303E-02 + 2 -8.35085E-02 3.90069E-02 + 3 -6.82070E-02 -4.74457E-02 + 4 3.89369E-02 4.52790E-02 +4 0 *********** CCCS-met-tyr + 1 -6.48983E-01 7.76534E-02 + 2 -7.60514E-02 1.00872E-01 + 3 -9.86517E-02 -3.30396E-02 + 4 5.61998E-02 5.41831E-02 +4 0 *********** CCCS-met-ala + 1 -4.83644E-01 -5.25651E-03 + 2 -2.57531E-01 -2.26794E-01 + 3 5.53271E-02 -1.77366E-02 + 4 -6.24025E-02 -6.47984E-02 +4 0 *********** CCCS-met-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-met-thr - 1 -7.69075E-01 3.76115E-01 - 2 4.14929E-01 2.57125E-01 - 3 -1.53909E-01 8.25365E-02 - 4 -5.13141E-03 1.09179E-01 - 5 -1.71437E-01 1.61365E-02 - 6 -1.34392E-02 -3.07864E-02 -6 0 *********** CCCS-met-ser - 1 -9.07193E-01 1.68373E-01 - 2 -2.88639E-01 8.65869E-01 - 3 -2.21049E-01 -1.20509E-01 - 4 -2.27648E-01 5.83930E-02 - 5 1.97080E-02 -9.41836E-02 - 6 -8.38721E-02 -4.48484E-01 -6 0 *********** CCCS-met-gln - 1 -5.93482E-01 3.93832E-01 - 2 5.98647E-02 3.40968E-01 - 3 -1.66852E-01 6.29466E-03 - 4 -3.41352E-02 7.27062E-02 - 5 -1.35957E-01 -6.24105E-03 - 6 -2.08760E-02 -1.05664E-01 -6 0 *********** CCCS-met-asn - 1 -7.22476E-01 1.26125E-01 - 2 -3.98561E-01 3.79292E-01 - 3 -2.21557E-01 -1.38797E-01 - 4 -1.05891E-01 5.17197E-02 - 5 -2.63333E-02 -6.16737E-02 - 6 -6.52542E-02 -2.61900E-01 -6 0 *********** CCCS-met-glu - 1 -6.05155E-01 4.77734E-01 - 2 1.42747E-01 3.44824E-01 - 3 -1.62993E-01 4.35318E-02 - 4 -2.85344E-02 8.67631E-02 - 5 -1.28201E-01 1.95046E-05 - 6 -2.29850E-02 -7.21142E-02 -6 0 *********** CCCS-met-asp - 1 -8.17165E-01 -9.92268E-02 - 2 -2.96896E-01 4.95997E-01 - 3 -1.73975E-01 -7.18698E-03 - 4 -1.11204E-01 4.30202E-02 - 5 -8.74157E-02 -4.95023E-02 - 6 -5.30422E-02 -2.55125E-01 -6 0 *********** CCCS-met-his - 1 -6.69783E-01 3.41680E-01 - 2 -3.38944E-01 3.23020E-01 - 3 -3.10841E-01 -5.76625E-02 - 4 -2.76787E-02 2.02445E-02 - 5 -1.20742E-01 -3.28862E-02 - 6 -1.88590E-02 -1.19370E-01 -6 0 *********** CCCS-met-arg - 1 -4.23522E-01 4.49574E-01 - 2 1.99695E-01 8.52442E-02 - 3 -8.89823E-03 -4.10933E-02 - 4 -4.70793E-02 9.93867E-02 - 5 -2.64343E-02 -3.90948E-02 - 6 -3.61360E-02 -7.52071E-02 -6 0 *********** CCCS-met-lys - 1 -4.55844E-01 4.44740E-01 - 2 2.82442E-01 3.74083E-02 - 3 -3.10465E-02 -4.02216E-04 - 4 5.14216E-03 7.42740E-02 - 5 -6.77792E-02 -2.49729E-02 - 6 -5.15147E-03 -1.60042E-02 -6 0 *********** CCCS-met-pro - 1 1.03716E+00 2.12432E-01 - 2 -8.96469E-01 -4.75144E-01 - 3 -7.25667E-01 -7.76986E-01 - 4 -2.97956E-01 4.13991E-01 - 5 2.70151E-01 1.51386E-01 - 6 -1.30370E-01 -3.52118E-01 -6 0 *********** CCCS-phe-cys - 1 -8.75866E-01 8.02341E-01 - 2 -7.43017E-02 3.05266E-01 - 3 -2.00033E-01 -9.44923E-03 - 4 -5.31874E-02 9.53384E-02 - 5 -1.64369E-01 -1.03167E-02 - 6 -2.69415E-02 -5.62436E-02 -6 0 *********** CCCS-phe-met - 1 -4.25798E-01 7.01409E-01 - 2 9.51487E-02 4.84016E-02 - 3 -6.42424E-02 -6.06696E-02 - 4 3.22998E-02 9.79369E-02 - 5 -1.24677E-01 -1.75984E-02 - 6 2.20636E-03 -8.00234E-03 -6 0 *********** CCCS-phe-phe - 1 -2.70491E-01 8.60280E-01 - 2 -3.28107E-02 1.65233E-02 - 3 -6.50143E-02 -1.85787E-01 - 4 -2.37802E-02 6.99972E-02 - 5 -5.14470E-02 -3.40117E-02 - 6 -4.48839E-02 -3.33341E-02 -6 0 *********** CCCS-phe-ile - 1 -4.32705E-01 8.93811E-01 - 2 5.99170E-02 -1.72911E-02 - 3 -1.70760E-04 -4.25378E-02 - 4 8.06998E-02 1.45841E-01 - 5 -1.77861E-01 -3.51218E-02 - 6 4.64841E-02 2.00795E-03 -6 0 *********** CCCS-phe-leu - 1 -2.59015E-01 7.21621E-01 - 2 2.00353E-01 -1.36702E-01 - 3 1.13915E-01 -1.46197E-01 - 4 1.62792E-03 1.05742E-01 - 5 -1.57547E-02 -7.03507E-02 - 6 -6.35271E-03 -4.32138E-02 -6 0 *********** CCCS-phe-val - 1 -3.79690E-01 8.34919E-01 - 2 6.30869E-02 -9.27999E-02 - 3 4.25173E-02 -4.87624E-02 - 4 2.78515E-02 1.52874E-01 - 5 -1.33283E-01 -5.42872E-02 - 6 2.86656E-02 -1.05697E-02 -6 0 *********** CCCS-phe-trp - 1 -2.64689E-01 8.13134E-01 - 2 2.01594E-02 7.80805E-02 - 3 -1.01997E-01 -1.25120E-01 - 4 6.34574E-03 6.34687E-02 - 5 -8.98983E-02 -2.27110E-02 - 6 -2.22645E-02 -1.56062E-02 -6 0 *********** CCCS-phe-tyr - 1 -2.56103E-01 8.27283E-01 - 2 -5.69698E-02 5.96913E-02 - 3 -4.92783E-02 -2.17641E-01 - 4 -7.21825E-02 9.32166E-02 - 5 4.77401E-03 -4.74386E-02 - 6 -7.84155E-02 -9.11324E-02 -6 0 *********** CCCS-phe-ala - 1 -6.37247E-01 3.68657E-01 - 2 3.13579E-01 2.31488E-01 - 3 6.16279E-02 3.86003E-03 - 4 -1.40953E-01 1.75144E-01 - 5 8.64393E-02 -4.42932E-02 - 6 -1.02731E-01 -1.70337E-01 -6 0 *********** CCCS-phe-gly +4 0 *********** CCCS-met-thr + 1 -7.50182E-01 -7.13862E-03 + 2 -1.48158E-01 -9.85241E-02 + 3 1.94110E-02 -7.67674E-02 + 4 -4.58864E-02 1.16702E-02 +4 0 *********** CCCS-met-ser + 1 -1.07466E+00 -7.84380E-01 + 2 2.10572E-01 1.30160E-01 + 3 1.52089E-01 -2.05490E-01 + 4 -2.60098E-03 2.08907E-02 +4 0 *********** CCCS-met-gln + 1 -7.74784E-01 -9.34274E-02 + 2 -2.29268E-02 -1.00496E-01 + 3 -3.94836E-02 -1.04385E-01 + 4 -1.86197E-02 2.35040E-02 +4 0 *********** CCCS-met-asn + 1 -8.44036E-01 -5.57180E-01 + 2 1.78936E-01 -2.85897E-02 + 3 6.62377E-02 -9.25476E-02 + 4 4.13624E-02 4.11267E-02 +4 0 *********** CCCS-met-glu + 1 -8.55736E-01 -3.94036E-02 + 2 -4.11801E-02 -8.11488E-02 + 3 -5.44869E-02 -1.09475E-01 + 4 -1.65591E-02 1.37735E-02 +4 0 *********** CCCS-met-asp + 1 -9.36592E-01 -6.29915E-01 + 2 1.82784E-01 9.07202E-03 + 3 7.49978E-02 -1.06031E-01 + 4 4.70710E-02 3.33531E-02 +4 0 *********** CCCS-met-his + 1 -8.21352E-01 -5.34210E-01 + 2 1.69094E-01 3.83050E-03 + 3 1.30048E-01 -7.46808E-02 + 4 4.58805E-02 -1.08123E-02 +4 0 *********** CCCS-met-arg + 1 -5.77822E-01 1.50675E-01 + 2 -1.54964E-01 6.02103E-02 + 3 -3.15758E-02 -4.90978E-02 + 4 -4.68353E-03 3.30582E-02 +4 0 *********** CCCS-met-lys + 1 -4.99865E-01 1.99580E-01 + 2 -2.33587E-01 4.70035E-02 + 3 1.86719E-02 -2.35417E-02 + 4 -4.26733E-03 4.46749E-02 +4 0 *********** CCCS-met-pro + 1 -1.57885E+00 -5.75661E-01 + 2 4.14501E-01 1.72252E-01 + 3 -1.15299E-01 -4.69122E-01 + 4 -4.85505E-02 7.85129E-02 +4 0 *********** CCCS-phe-cys + 1 -9.12821E-01 -3.77321E-01 + 2 5.08327E-02 -9.85651E-02 + 3 1.43259E-01 -1.00092E-01 + 4 -3.00755E-02 4.69906E-02 +4 0 *********** CCCS-phe-met + 1 -6.23026E-01 5.94970E-02 + 2 -1.77008E-01 -6.12113E-03 + 3 3.13060E-02 -7.63763E-02 + 4 -2.52265E-03 4.90769E-02 +4 0 *********** CCCS-phe-phe + 1 -6.64167E-01 1.14750E-01 + 2 -9.79301E-02 1.28721E-01 + 3 -1.13137E-01 -4.57718E-02 + 4 5.83560E-02 4.68812E-02 +4 0 *********** CCCS-phe-ile + 1 -7.81793E-01 6.96795E-02 + 2 -2.22359E-01 -3.45911E-02 + 3 2.71752E-02 -1.44893E-01 + 4 -2.01122E-02 5.20491E-02 +4 0 *********** CCCS-phe-leu + 1 -5.21894E-01 2.87467E-01 + 2 -3.41532E-01 1.18849E-01 + 3 -3.47587E-02 -5.97313E-02 + 4 -9.43166E-03 6.08606E-02 +4 0 *********** CCCS-phe-val + 1 -6.92182E-01 1.14383E-01 + 2 -2.74014E-01 3.81588E-03 + 3 2.32172E-02 -1.21091E-01 + 4 -1.19473E-02 6.27734E-02 +4 0 *********** CCCS-phe-trp + 1 -7.10971E-01 1.34770E-01 + 2 -1.05461E-01 5.39577E-02 + 3 -7.20510E-02 -6.44354E-02 + 4 4.74478E-02 4.36588E-02 +4 0 *********** CCCS-phe-tyr + 1 -6.55805E-01 1.10372E-01 + 2 -8.64232E-02 1.23200E-01 + 3 -1.09276E-01 -4.46766E-02 + 4 6.11438E-02 4.92978E-02 +4 0 *********** CCCS-phe-ala + 1 -4.94923E-01 4.12141E-02 + 2 -3.17716E-01 -2.32467E-01 + 3 7.38477E-02 -4.18254E-02 + 4 -4.40483E-02 -4.39782E-02 +4 0 *********** CCCS-phe-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-phe-thr - 1 -7.04004E-01 7.01768E-01 - 2 3.06269E-01 2.38893E-03 - 3 2.04523E-02 2.96475E-02 - 4 -4.14356E-02 1.42473E-01 - 5 -7.46043E-02 -2.53375E-02 - 6 -1.99975E-02 1.40425E-02 -6 0 *********** CCCS-phe-ser - 1 -1.32469E+00 9.83020E-01 - 2 -6.43813E-02 6.39437E-01 - 3 -2.71913E-01 -1.61845E-02 - 4 -1.66139E-01 7.55123E-02 - 5 -9.88461E-02 -4.28548E-02 - 6 -5.04866E-02 -1.49380E-01 -6 0 *********** CCCS-phe-gln - 1 -5.53128E-01 7.10054E-01 - 2 6.10555E-02 2.88718E-01 - 3 -1.67463E-01 -3.61561E-02 - 4 9.52812E-03 8.05631E-02 - 5 -1.41053E-01 5.82288E-04 - 6 -1.36401E-02 -5.26782E-02 -6 0 *********** CCCS-phe-asn - 1 -9.76155E-01 4.91425E-01 - 2 -2.02354E-01 4.09462E-01 - 3 -2.89774E-01 -3.94480E-02 - 4 -7.07615E-02 4.76484E-02 - 5 -1.18713E-01 -4.26408E-02 - 6 -2.73394E-02 -1.44275E-01 -6 0 *********** CCCS-phe-glu - 1 -5.12362E-01 8.65667E-01 - 2 6.87941E-02 2.59288E-01 - 3 -1.12944E-01 -2.73526E-02 - 4 1.76515E-02 1.00946E-01 - 5 -1.21820E-01 -1.82981E-03 - 6 -1.12696E-02 -2.95446E-02 -6 0 *********** CCCS-phe-asp - 1 -1.29226E+00 1.87274E-01 - 2 8.66474E-02 5.02081E-01 - 3 -2.01799E-01 3.13381E-02 - 4 -9.88631E-02 9.26440E-02 - 5 -1.39372E-01 -1.57149E-03 - 6 -5.21250E-02 -1.95147E-01 -6 0 *********** CCCS-phe-his - 1 -8.31552E-01 8.41300E-01 - 2 -3.21347E-01 3.17100E-01 - 3 -2.74849E-01 -9.16845E-04 - 4 -3.62619E-02 5.04124E-02 - 5 -1.51347E-01 -3.46561E-02 - 6 -2.06124E-02 -3.74396E-02 -6 0 *********** CCCS-phe-arg - 1 -2.59406E-01 6.48163E-01 - 2 7.98773E-02 2.50510E-02 - 3 1.10208E-02 -1.42972E-01 - 4 -2.67348E-02 1.05750E-01 - 5 -3.11528E-02 -4.20598E-02 - 6 -4.18776E-02 -8.05745E-02 -6 0 *********** CCCS-phe-lys - 1 -2.97664E-01 6.37126E-01 - 2 1.60797E-01 -5.88156E-02 - 3 2.10598E-03 -9.88539E-02 - 4 3.20552E-02 8.59916E-02 - 5 -9.53357E-02 -4.25523E-02 - 6 8.74750E-03 -2.68642E-02 -6 0 *********** CCCS-phe-pro - 1 2.15341E+00 1.14340E-01 - 2 -4.88289E-01 4.75870E-01 - 3 -8.75525E-01 -4.53977E-02 - 4 -5.15634E-01 5.34940E-01 - 5 2.17152E-01 -1.25030E-01 - 6 -1.56500E-01 -6.35025E-01 -6 0 *********** CCCS-ile-cys - 1 -5.73011E-01 2.52405E-03 - 2 -1.07437E-01 5.10441E-01 - 3 -1.75866E-01 -6.95184E-02 - 4 -1.53361E-01 1.05673E-01 - 5 -3.27841E-02 -5.95557E-02 - 6 -8.39226E-02 -3.25037E-01 -6 0 *********** CCCS-ile-met - 1 -5.18834E-01 2.25246E-01 - 2 2.45879E-01 1.58040E-01 - 3 -1.10918E-01 1.23421E-02 - 4 -7.03527E-03 7.42941E-02 - 5 -9.96143E-02 -9.48177E-03 - 6 -1.73472E-02 -6.55431E-02 -6 0 *********** CCCS-ile-phe - 1 -5.09094E-01 3.25674E-01 - 2 2.70363E-01 2.49135E-02 - 3 -6.44719E-02 -3.72628E-02 - 4 1.00078E-02 5.21076E-02 - 5 -8.45311E-02 -2.69845E-02 - 6 -4.43885E-03 -2.77091E-02 -6 0 *********** CCCS-ile-ile - 1 -5.81496E-01 2.84982E-01 - 2 3.36025E-01 1.32887E-01 - 3 -1.77483E-01 7.15057E-02 - 4 6.04409E-02 5.98672E-02 - 5 -1.52115E-01 7.84380E-03 - 6 1.19657E-02 4.93603E-03 -6 0 *********** CCCS-ile-leu - 1 -5.30792E-01 3.05216E-01 - 2 4.37190E-01 6.90465E-03 - 3 5.56775E-02 -1.00981E-02 - 4 -3.16785E-02 7.32942E-02 - 5 6.18049E-02 -3.36712E-02 - 6 -4.03159E-02 -3.42927E-02 -6 0 *********** CCCS-ile-val - 1 -5.49509E-01 2.96691E-01 - 2 3.34550E-01 6.47504E-02 - 3 -1.27608E-01 3.64371E-02 - 4 2.38475E-02 6.44173E-02 - 5 -9.98895E-02 -3.89407E-03 - 6 -6.99273E-03 -3.24192E-03 -6 0 *********** CCCS-ile-trp - 1 -4.74263E-01 2.94535E-01 - 2 2.33950E-01 9.58430E-02 - 3 -6.29003E-02 -3.09844E-02 - 4 -2.13975E-02 6.92349E-02 - 5 -5.85647E-02 -2.73006E-02 - 6 -2.36735E-02 -6.64104E-02 -6 0 *********** CCCS-ile-tyr - 1 -4.79586E-01 3.12223E-01 - 2 2.27558E-01 4.36960E-02 - 3 -3.68880E-02 -6.20833E-02 - 4 -3.31701E-02 6.91398E-02 - 5 -4.22499E-02 -3.78308E-02 - 6 -2.94874E-02 -7.25669E-02 -6 0 *********** CCCS-ile-ala - 1 -6.12077E-01 6.76544E-03 - 2 3.17361E-01 4.73605E-01 - 3 -1.04502E-01 1.62438E-02 - 4 -1.27505E-01 1.82777E-01 - 5 -7.28617E-03 -2.51552E-02 - 6 -1.07551E-01 -2.97104E-01 -6 0 *********** CCCS-ile-gly +4 0 *********** CCCS-phe-thr + 1 -7.64567E-01 5.15089E-02 + 2 -2.00158E-01 -1.03471E-01 + 3 3.79001E-02 -1.05455E-01 + 4 -3.12385E-02 2.81603E-02 +4 0 *********** CCCS-phe-ser + 1 -1.12981E+00 -7.16208E-01 + 2 1.91507E-01 4.50295E-02 + 3 1.90069E-01 -1.65163E-01 + 4 -3.93849E-02 9.87696E-03 +4 0 *********** CCCS-phe-gln + 1 -7.94613E-01 -4.34063E-02 + 2 -5.91753E-02 -1.15293E-01 + 3 -2.44069E-02 -1.20060E-01 + 4 -1.20418E-02 3.83564E-02 +4 0 *********** CCCS-phe-asn + 1 -8.98304E-01 -5.03805E-01 + 2 1.72085E-01 -9.29972E-02 + 3 9.05312E-02 -6.36999E-02 + 4 1.59051E-02 3.47806E-02 +4 0 *********** CCCS-phe-glu + 1 -8.71997E-01 1.95591E-02 + 2 -8.81181E-02 -8.83272E-02 + 3 -4.08957E-02 -1.37569E-01 + 4 -1.16297E-03 3.02536E-02 +4 0 *********** CCCS-phe-asp + 1 -9.94054E-01 -5.70525E-01 + 2 1.72008E-01 -6.27533E-02 + 3 1.05089E-01 -7.20248E-02 + 4 1.66953E-02 2.56074E-02 +4 0 *********** CCCS-phe-his + 1 -8.75873E-01 -4.84068E-01 + 2 1.65507E-01 -5.37154E-02 + 3 1.55029E-01 -5.28101E-02 + 4 1.71628E-02 -1.43560E-02 +4 0 *********** CCCS-phe-arg + 1 -5.76788E-01 1.88733E-01 + 2 -1.78800E-01 8.30768E-02 + 3 -3.94017E-02 -6.62495E-02 + 4 2.87128E-03 2.73581E-02 +4 0 *********** CCCS-phe-lys + 1 -4.94552E-01 2.37310E-01 + 2 -2.61761E-01 7.37263E-02 + 3 1.27529E-02 -3.97466E-02 + 4 2.80516E-03 3.62896E-02 +4 0 *********** CCCS-phe-pro + 1 -1.58954E+00 -4.98158E-01 + 2 3.17655E-01 1.36275E-01 + 3 -3.54154E-02 -4.99251E-01 + 4 -9.54859E-02 1.19848E-01 +4 0 *********** CCCS-ile-cys + 1 -7.99072E-01 -5.88558E-01 + 2 1.23647E-01 -3.55532E-03 + 3 4.75751E-02 -8.07983E-02 + 4 1.51485E-02 -1.48954E-03 +4 0 *********** CCCS-ile-met + 1 -6.12852E-01 -8.96823E-02 + 2 -1.25015E-01 -4.06714E-02 + 3 2.90473E-02 -1.99685E-02 + 4 -1.74554E-02 3.34820E-02 +4 0 *********** CCCS-ile-phe + 1 -6.67701E-01 -3.50544E-02 + 2 -1.10110E-01 7.16807E-02 + 3 -5.44385E-02 -2.49255E-02 + 4 3.62755E-02 5.45292E-02 +4 0 *********** CCCS-ile-ile + 1 -7.70788E-01 -1.34307E-01 + 2 -1.27139E-01 -8.17419E-02 + 3 2.84869E-02 -4.30272E-02 + 4 -5.56717E-02 2.66720E-02 +4 0 *********** CCCS-ile-leu + 1 -5.80727E-01 1.31484E-01 + 2 -3.01341E-01 -1.90859E-02 + 3 3.30606E-02 -1.28841E-02 + 4 -1.60604E-02 9.24141E-02 +4 0 *********** CCCS-ile-val + 1 -6.94111E-01 -7.08022E-02 + 2 -1.94394E-01 -6.79855E-02 + 3 3.72644E-02 -2.84876E-02 + 4 -4.27067E-02 5.25059E-02 +4 0 *********** CCCS-ile-trp + 1 -7.17546E-01 -2.94128E-02 + 2 -8.09592E-02 1.46264E-02 + 3 -3.45915E-02 -2.71973E-02 + 4 2.06150E-02 4.58232E-02 +4 0 *********** CCCS-ile-tyr + 1 -6.57428E-01 -3.53664E-02 + 2 -9.94968E-02 7.16473E-02 + 3 -5.42507E-02 -2.56544E-02 + 4 3.84820E-02 5.48977E-02 +4 0 *********** CCCS-ile-ala + 1 -4.76455E-01 -1.00541E-01 + 2 -1.74184E-01 -2.85022E-01 + 3 3.73976E-02 3.22917E-02 + 4 -7.65591E-02 -6.07851E-02 +4 0 *********** CCCS-ile-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-ile-thr - 1 -7.20520E-01 1.52370E-01 - 2 4.47203E-01 3.06725E-01 - 3 -1.70009E-01 6.15707E-02 - 4 2.86504E-02 1.01161E-01 - 5 -1.89243E-01 1.99947E-02 - 6 2.18471E-03 -9.53606E-02 -6 0 *********** CCCS-ile-ser - 1 -7.07592E-01 -2.08315E-01 - 2 -2.42370E-01 9.12389E-01 - 3 -2.06028E-01 -8.03538E-02 - 4 -2.46328E-01 1.14242E-01 - 5 3.63014E-02 -1.19776E-01 - 6 -1.16208E-01 -5.56712E-01 -6 0 *********** CCCS-ile-gln - 1 -5.26889E-01 1.35829E-01 - 2 1.08716E-01 3.62910E-01 - 3 -1.49245E-01 2.90572E-02 - 4 -4.93779E-02 7.70785E-02 - 5 -1.19565E-01 -6.65903E-03 - 6 -3.08893E-02 -1.42120E-01 -6 0 *********** CCCS-ile-asn - 1 -4.85394E-01 -1.02890E-01 - 2 -4.01240E-01 4.21931E-01 - 3 -2.38066E-01 -1.31306E-01 - 4 -1.23665E-01 6.40262E-02 - 5 -6.81329E-04 -8.44120E-02 - 6 -8.05069E-02 -3.41929E-01 -6 0 *********** CCCS-ile-glu - 1 -5.71877E-01 1.82794E-01 - 2 2.13713E-01 3.64811E-01 - 3 -1.51832E-01 5.95781E-02 - 4 -3.44665E-02 8.61727E-02 - 5 -1.11370E-01 2.90599E-03 - 6 -3.08437E-02 -1.17011E-01 -6 0 *********** CCCS-ile-asp - 1 -5.30826E-01 -2.23806E-01 - 2 -3.44451E-01 5.05943E-01 - 3 -2.21175E-01 -1.70086E-03 - 4 -1.05065E-01 3.92083E-02 - 5 -7.58232E-02 -6.39607E-02 - 6 -5.51117E-02 -2.89655E-01 -6 0 *********** CCCS-ile-his - 1 -4.52130E-01 4.77438E-02 - 2 -3.06429E-01 3.64720E-01 - 3 -3.27357E-01 -2.02378E-02 - 4 -3.29100E-02 5.63917E-03 - 5 -1.37403E-01 -3.13320E-02 - 6 -6.97865E-03 -1.50268E-01 -6 0 *********** CCCS-ile-arg - 1 -4.55087E-01 2.59936E-01 - 2 2.62757E-01 7.98537E-02 - 3 -2.06894E-02 -2.45616E-02 - 4 -3.82156E-02 8.20783E-02 - 5 -2.32183E-02 -3.41068E-02 - 6 -3.39236E-02 -7.34418E-02 -6 0 *********** CCCS-ile-lys - 1 -4.85284E-01 2.65430E-01 - 2 3.31206E-01 4.23026E-02 - 3 -3.64308E-02 3.02512E-03 - 4 5.85108E-04 6.14099E-02 - 5 -4.68705E-02 -2.19729E-02 - 6 -1.38392E-02 -2.86941E-02 -6 0 *********** CCCS-ile-pro - 1 6.64786E-01 3.79192E-01 - 2 -1.11100E+00 -5.06965E-01 - 3 -7.33920E-01 -7.89389E-01 - 4 -2.55024E-01 4.50527E-01 - 5 2.66792E-01 2.10900E-01 - 6 -1.43080E-01 -2.90779E-01 -6 0 *********** CCCS-leu-cys - 1 -8.40251E-01 1.00802E-01 - 2 -8.16807E-02 4.20015E-01 - 3 -2.16289E-01 -2.06175E-02 - 4 -1.11132E-01 5.87930E-02 - 5 -9.12802E-02 -3.83205E-02 - 6 -5.20517E-02 -2.13383E-01 -6 0 *********** CCCS-leu-met - 1 -6.63069E-01 3.77457E-01 - 2 2.12062E-01 1.23060E-01 - 3 -9.30805E-02 3.43046E-02 - 4 -1.60892E-02 8.21935E-02 - 5 -1.17614E-01 -1.09955E-02 - 6 -1.20346E-02 -2.90977E-02 -6 0 *********** CCCS-leu-phe - 1 -6.46549E-01 5.23379E-01 - 2 1.99176E-01 1.78638E-02 - 3 -3.20141E-02 -7.43670E-02 - 4 1.56120E-02 7.30362E-02 - 5 -8.81635E-02 -3.02478E-02 - 6 -1.05236E-03 -2.87964E-02 -6 0 *********** CCCS-leu-ile - 1 -7.53106E-01 4.91008E-01 - 2 3.01038E-01 5.96699E-02 - 3 -1.36821E-01 1.28990E-01 - 4 6.55114E-03 7.39211E-02 - 5 -1.39762E-01 7.66045E-03 - 6 -6.21255E-03 8.78048E-02 -6 0 *********** CCCS-leu-leu - 1 -6.38289E-01 4.66345E-01 - 2 3.88354E-01 1.30425E-02 - 3 1.07787E-01 -6.10914E-03 - 4 -5.55110E-02 1.03077E-01 - 5 6.76938E-02 -4.48706E-02 - 6 -3.86635E-02 -3.86073E-02 -6 0 *********** CCCS-leu-val - 1 -7.14354E-01 4.90254E-01 - 2 3.18672E-01 1.17585E-02 - 3 -9.85575E-02 9.25170E-02 - 4 -3.15035E-02 7.56187E-02 - 5 -8.54301E-02 -3.04924E-03 - 6 -2.68849E-02 6.21866E-02 -6 0 *********** CCCS-leu-trp - 1 -5.91617E-01 4.79218E-01 - 2 1.55865E-01 9.49150E-02 - 3 -2.59928E-02 -6.36427E-02 - 4 -3.42631E-02 9.31772E-02 - 5 -4.73945E-02 -3.49061E-02 - 6 -2.89798E-02 -7.90483E-02 -6 0 *********** CCCS-leu-tyr - 1 -6.09338E-01 5.04880E-01 - 2 1.52227E-01 4.22541E-02 - 3 -9.30336E-04 -1.04882E-01 - 4 -3.32304E-02 9.21869E-02 - 5 -3.72053E-02 -4.23444E-02 - 6 -3.07426E-02 -8.27124E-02 -6 0 *********** CCCS-leu-ala - 1 -7.38767E-01 7.96087E-02 - 2 3.07720E-01 4.58239E-01 - 3 -1.11960E-01 8.02842E-02 - 4 -1.60821E-01 1.90180E-01 - 5 1.51814E-03 -1.92657E-02 - 6 -1.34659E-01 -2.40499E-01 -6 0 *********** CCCS-leu-gly +4 0 *********** CCCS-ile-thr + 1 -7.47386E-01 -1.46975E-01 + 2 -9.51584E-02 -1.29410E-01 + 3 1.91541E-02 -1.92006E-02 + 4 -5.86822E-02 -1.65503E-03 +4 0 *********** CCCS-ile-ser + 1 -9.94110E-01 -9.71102E-01 + 2 2.52325E-01 2.10757E-01 + 3 1.03093E-01 -2.16366E-01 + 4 1.74220E-02 8.41007E-03 +4 0 *********** CCCS-ile-gln + 1 -7.57305E-01 -2.28078E-01 + 2 2.23368E-02 -1.03830E-01 + 3 -3.93002E-02 -6.68295E-02 + 4 -3.18245E-02 1.14887E-02 +4 0 *********** CCCS-ile-asn + 1 -7.61152E-01 -7.05377E-01 + 2 2.10926E-01 3.89055E-02 + 3 2.44067E-02 -1.02457E-01 + 4 5.35503E-02 3.20461E-02 +4 0 *********** CCCS-ile-glu + 1 -8.50983E-01 -1.89340E-01 + 2 5.54311E-03 -9.61889E-02 + 3 -4.10803E-02 -6.16716E-02 + 4 -3.77471E-02 5.80756E-03 +4 0 *********** CCCS-ile-asp + 1 -8.52091E-01 -7.95489E-01 + 2 2.15112E-01 8.00541E-02 + 3 2.82425E-02 -1.16007E-01 + 4 6.29191E-02 2.54734E-02 +4 0 *********** CCCS-ile-his + 1 -7.46169E-01 -6.79484E-01 + 2 1.93835E-01 7.73916E-02 + 3 9.23711E-02 -7.77609E-02 + 4 5.89622E-02 -2.07259E-02 +4 0 *********** CCCS-ile-arg + 1 -6.03530E-01 4.49668E-02 + 2 -1.50381E-01 1.57574E-02 + 3 1.94766E-03 -2.86723E-02 + 4 -9.81849E-03 3.56490E-02 +4 0 *********** CCCS-ile-lys + 1 -5.32611E-01 1.04805E-01 + 2 -2.25289E-01 -1.27024E-02 + 3 4.20610E-02 3.97173E-05 + 4 -5.82282E-03 4.52674E-02 +4 0 *********** CCCS-ile-pro + 1 -1.66140E+00 -8.34673E-01 + 2 5.62777E-01 2.67693E-01 + 3 -1.53787E-01 -4.90724E-01 + 4 -7.63610E-02 1.03160E-01 +4 0 *********** CCCS-leu-cys + 1 -8.83472E-01 -3.69309E-01 + 2 1.30546E-01 -8.87150E-02 + 3 1.24376E-01 -7.94938E-02 + 4 -1.34697E-02 2.23745E-02 +4 0 *********** CCCS-leu-met + 1 -6.01407E-01 6.00353E-02 + 2 -1.49399E-01 -6.36190E-02 + 3 2.99718E-02 -6.02270E-02 + 4 -1.27417E-02 5.22786E-02 +4 0 *********** CCCS-leu-phe + 1 -6.27773E-01 1.18058E-01 + 2 -1.11521E-01 5.87123E-02 + 3 -1.10639E-01 -4.50077E-02 + 4 5.50946E-02 5.85454E-02 +4 0 *********** CCCS-leu-ile + 1 -7.59421E-01 7.79081E-02 + 2 -1.70199E-01 -1.16861E-01 + 3 3.22644E-02 -1.19301E-01 + 4 -4.07583E-02 5.36117E-02 +4 0 *********** CCCS-leu-leu + 1 -5.18840E-01 2.89879E-01 + 2 -3.31220E-01 8.23065E-03 + 3 -2.64552E-02 -5.21540E-02 + 4 -1.59381E-02 9.00404E-02 +4 0 *********** CCCS-leu-val + 1 -6.73797E-01 1.23189E-01 + 2 -2.32712E-01 -8.68288E-02 + 3 2.67392E-02 -9.91950E-02 + 4 -3.09881E-02 7.45260E-02 +4 0 *********** CCCS-leu-trp + 1 -6.79078E-01 1.33816E-01 + 2 -1.03038E-01 -9.55088E-03 + 3 -6.76500E-02 -5.67908E-02 + 4 3.96792E-02 5.27205E-02 +4 0 *********** CCCS-leu-tyr + 1 -6.19580E-01 1.12348E-01 + 2 -1.00853E-01 5.77271E-02 + 3 -1.06287E-01 -4.38666E-02 + 4 5.70379E-02 6.01592E-02 +4 0 *********** CCCS-leu-ala + 1 -4.92916E-01 3.87462E-02 + 2 -2.21693E-01 -2.82741E-01 + 3 6.98050E-02 -2.62396E-02 + 4 -6.80469E-02 -6.42838E-02 +4 0 *********** CCCS-leu-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-leu-thr - 1 -9.44976E-01 2.81662E-01 - 2 4.57023E-01 2.69065E-01 - 3 -1.67209E-01 1.24601E-01 - 4 -1.53398E-03 8.22582E-02 - 5 -1.92059E-01 2.92207E-02 - 6 -6.80514E-03 -1.35932E-02 -6 0 *********** CCCS-leu-ser - 1 -1.08287E+00 -2.15647E-01 - 2 -2.07611E-01 8.12190E-01 - 3 -2.28541E-01 2.00641E-02 - 4 -1.85992E-01 4.50519E-02 - 5 -7.00618E-02 -6.68383E-02 - 6 -6.21199E-02 -3.93147E-01 -6 0 *********** CCCS-leu-gln - 1 -6.98790E-01 2.70156E-01 - 2 6.12661E-02 3.08678E-01 - 3 -1.38534E-01 4.38656E-02 - 4 -3.60664E-02 7.31595E-02 - 5 -1.48461E-01 -3.28734E-03 - 6 -1.77037E-02 -8.85479E-02 -6 0 *********** CCCS-leu-asn - 1 -7.54994E-01 -1.16709E-01 - 2 -3.52580E-01 3.83384E-01 - 3 -2.71652E-01 -1.20290E-01 - 4 -1.15614E-01 6.27016E-03 - 5 -5.33093E-02 -5.05946E-02 - 6 -3.80107E-02 -2.60580E-01 -6 0 *********** CCCS-leu-glu - 1 -7.53419E-01 3.56209E-01 - 2 1.53377E-01 2.96526E-01 - 3 -1.26185E-01 8.40089E-02 - 4 -4.22315E-02 9.01787E-02 - 5 -1.29072E-01 9.33139E-04 - 6 -2.31643E-02 -6.46703E-02 -6 0 *********** CCCS-leu-asp - 1 -8.66276E-01 -3.31253E-01 - 2 -2.78418E-01 5.02737E-01 - 3 -2.69254E-01 1.77367E-02 - 4 -9.34069E-02 2.18574E-02 - 5 -1.10387E-01 -5.72619E-02 - 6 -3.09226E-02 -2.82398E-01 -6 0 *********** CCCS-leu-his - 1 -7.43431E-01 9.36579E-02 - 2 -2.27067E-01 3.21488E-01 - 3 -3.82464E-01 -4.32883E-02 - 4 -6.49708E-02 1.42101E-02 - 5 -1.14016E-01 -4.26873E-02 - 6 -8.45458E-03 -1.53789E-01 -6 0 *********** CCCS-leu-arg - 1 -5.52704E-01 4.13274E-01 - 2 2.09452E-01 7.23066E-02 - 3 1.45104E-02 -2.16023E-02 - 4 -4.58486E-02 1.03337E-01 - 5 -3.15514E-02 -4.09788E-02 - 6 -2.81009E-02 -7.00674E-02 -6 0 *********** CCCS-leu-lys - 1 -5.85557E-01 4.08022E-01 - 2 2.94199E-01 3.78251E-02 - 3 -8.05245E-03 1.87303E-02 - 4 -9.35505E-03 7.69004E-02 - 5 -5.36147E-02 -2.46563E-02 - 6 -1.00591E-02 -6.38502E-03 -6 0 *********** CCCS-leu-pro - 1 9.37267E-01 1.09257E+00 - 2 -1.28178E+00 -1.32431E-01 - 3 -8.40609E-01 -6.47204E-01 - 4 -2.62117E-01 3.61860E-01 - 5 3.22252E-01 8.87552E-02 - 6 -1.22798E-01 -2.75118E-01 -6 0 *********** CCCS-val-cys - 1 -9.31733E-01 7.95694E-01 - 2 -1.09754E-01 2.73388E-01 - 3 -1.94723E-01 -2.57618E-02 - 4 -5.31735E-02 8.76989E-02 - 5 -1.61679E-01 -1.94824E-02 - 6 -2.07609E-02 -5.05500E-02 -6 0 *********** CCCS-val-met - 1 -4.68807E-01 6.91549E-01 - 2 9.89204E-02 5.77414E-02 - 3 -6.82308E-02 -4.24866E-02 - 4 2.04072E-02 1.02593E-01 - 5 -1.30538E-01 -1.96040E-02 - 6 1.54853E-03 -7.85266E-03 -6 0 *********** CCCS-val-phe - 1 -3.28219E-01 8.59353E-01 - 2 -2.46470E-02 1.72249E-02 - 3 -5.02301E-02 -1.74244E-01 - 4 -1.78072E-02 7.96368E-02 - 5 -5.19923E-02 -3.08529E-02 - 6 -4.26532E-02 -2.91724E-02 -6 0 *********** CCCS-val-ile - 1 -4.86424E-01 8.87967E-01 - 2 6.83523E-02 -1.21687E-02 - 3 -8.91055E-03 -1.28102E-02 - 4 5.57300E-02 1.54714E-01 - 5 -1.88677E-01 -4.01679E-02 - 6 4.39675E-02 4.29683E-03 -6 0 *********** CCCS-val-leu - 1 -3.07630E-01 7.12302E-01 - 2 2.24875E-01 -1.01911E-01 - 3 1.14643E-01 -1.07445E-01 - 4 -5.95384E-03 1.13843E-01 - 5 -4.42700E-03 -6.75108E-02 - 6 -5.53945E-03 -3.57943E-02 -6 0 *********** CCCS-val-val - 1 -4.29242E-01 8.24832E-01 - 2 8.22405E-02 -7.97123E-02 - 3 3.27294E-02 -1.75155E-02 - 4 3.95067E-03 1.56381E-01 - 5 -1.36706E-01 -5.62789E-02 - 6 2.41571E-02 2.81685E-03 -6 0 *********** CCCS-val-trp - 1 -3.14388E-01 8.13012E-01 - 2 1.70565E-02 8.36171E-02 - 3 -9.16184E-02 -1.18553E-01 - 4 4.45647E-03 7.18890E-02 - 5 -8.61243E-02 -2.17649E-02 - 6 -2.33130E-02 -2.14881E-02 -6 0 *********** CCCS-val-tyr - 1 -3.12025E-01 8.26923E-01 - 2 -5.11317E-02 5.88251E-02 - 3 -3.32706E-02 -2.08271E-01 - 4 -6.58936E-02 1.02342E-01 - 5 4.60532E-03 -4.42193E-02 - 6 -7.63638E-02 -9.41839E-02 -6 0 *********** CCCS-val-ala - 1 -6.59296E-01 3.43805E-01 - 2 3.04834E-01 2.74204E-01 - 3 2.42929E-02 1.97779E-02 - 4 -1.53481E-01 1.75727E-01 - 5 7.10213E-02 -3.60654E-02 - 6 -1.13199E-01 -1.77736E-01 -6 0 *********** CCCS-val-gly +4 0 *********** CCCS-leu-thr + 1 -7.48126E-01 5.36400E-02 + 2 -1.36944E-01 -1.66974E-01 + 3 3.79913E-02 -8.60512E-02 + 4 -5.13726E-02 2.28052E-02 +4 0 *********** CCCS-leu-ser + 1 -1.15042E+00 -7.04513E-01 + 2 3.26201E-01 1.12553E-01 + 3 1.49148E-01 -1.77258E-01 + 4 1.05327E-02 1.48867E-02 +4 0 *********** CCCS-leu-gln + 1 -7.72562E-01 -4.87872E-02 + 2 -4.88318E-03 -1.43881E-01 + 3 -1.59783E-02 -1.17718E-01 + 4 -2.80108E-02 2.86915E-02 +4 0 *********** CCCS-leu-asn + 1 -8.87326E-01 -5.02879E-01 + 2 2.51793E-01 -3.85589E-02 + 3 7.67035E-02 -6.57596E-02 + 4 4.15438E-02 2.99316E-02 +4 0 *********** CCCS-leu-glu + 1 -8.47484E-01 1.78466E-02 + 2 -3.32501E-02 -1.40402E-01 + 3 -3.12201E-02 -1.26185E-01 + 4 -2.13970E-02 2.31718E-02 +4 0 *********** CCCS-leu-asp + 1 -9.93265E-01 -5.60702E-01 + 2 2.77596E-01 -1.04388E-02 + 3 7.34092E-02 -7.85378E-02 + 4 5.41183E-02 2.29368E-02 +4 0 *********** CCCS-leu-his + 1 -8.46472E-01 -4.84671E-01 + 2 2.20827E-01 -1.54265E-02 + 3 1.43643E-01 -3.01633E-02 + 4 4.99535E-02 -2.51100E-02 +4 0 *********** CCCS-leu-arg + 1 -5.57785E-01 1.85912E-01 + 2 -1.76423E-01 1.42674E-02 + 3 -3.34123E-02 -5.84849E-02 + 4 -1.83894E-05 3.72004E-02 +4 0 *********** CCCS-leu-lys + 1 -4.86399E-01 2.34143E-01 + 2 -2.51865E-01 -3.85145E-03 + 3 1.29808E-02 -2.60015E-02 + 4 -1.91373E-03 5.05694E-02 +4 0 *********** CCCS-leu-pro + 1 -1.67903E+00 -4.70773E-01 + 2 5.54447E-01 8.50613E-02 + 3 -1.34828E-01 -4.71213E-01 + 4 -2.44529E-02 7.41320E-02 +4 0 *********** CCCS-val-cys + 1 -8.11321E-01 -5.17223E-01 + 2 1.51724E-01 -1.99653E-02 + 3 6.31270E-02 -6.52135E-02 + 4 5.14056E-03 -1.25929E-03 +4 0 *********** CCCS-val-met + 1 -6.01096E-01 -4.45278E-02 + 2 -1.13496E-01 -6.61089E-02 + 3 3.02441E-02 -2.41046E-02 + 4 -1.84852E-02 3.38525E-02 +4 0 *********** CCCS-val-phe + 1 -6.42676E-01 1.42475E-02 + 2 -1.06398E-01 4.14502E-02 + 3 -6.27418E-02 -3.39537E-02 + 4 3.33549E-02 5.44284E-02 +4 0 *********** CCCS-val-ile + 1 -7.58454E-01 -6.72337E-02 + 2 -1.10880E-01 -1.16333E-01 + 3 3.27005E-02 -5.39716E-02 + 4 -5.04593E-02 2.90219E-02 +4 0 *********** CCCS-val-leu + 1 -5.60959E-01 1.79672E-01 + 2 -2.92865E-01 -4.58013E-02 + 3 1.71482E-02 -2.37541E-02 + 4 -1.52076E-02 8.04829E-02 +4 0 *********** CCCS-val-val + 1 -6.80817E-01 -9.47510E-03 + 2 -1.78723E-01 -1.00930E-01 + 3 3.64941E-02 -4.04977E-02 + 4 -3.93449E-02 5.11311E-02 +4 0 *********** CCCS-val-trp + 1 -6.93612E-01 2.05325E-02 + 2 -7.83321E-02 -1.61338E-02 + 3 -3.68244E-02 -3.41858E-02 + 4 1.92010E-02 4.64430E-02 +4 0 *********** CCCS-val-tyr + 1 -6.32868E-01 1.15912E-02 + 2 -9.66461E-02 4.26149E-02 + 3 -6.11962E-02 -3.36105E-02 + 4 3.45472E-02 5.48246E-02 +4 0 *********** CCCS-val-ala + 1 -4.80804E-01 -6.01286E-02 + 2 -1.51599E-01 -2.89045E-01 + 3 4.55108E-02 1.82308E-02 + 4 -6.30640E-02 -5.31570E-02 +4 0 *********** CCCS-val-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-val-thr - 1 -7.47951E-01 6.75964E-01 - 2 3.21877E-01 4.09560E-02 - 3 -1.36681E-02 6.10249E-02 - 4 -5.47045E-02 1.35170E-01 - 5 -8.81747E-02 -1.86486E-02 - 6 -2.58343E-02 2.25461E-02 -6 0 *********** CCCS-val-ser - 1 -1.42726E+00 1.08638E+00 - 2 -2.04211E-01 5.57211E-01 - 3 -2.52679E-01 -8.66213E-02 - 4 -1.28479E-01 5.26400E-02 - 5 -1.00717E-01 -4.21256E-02 - 6 -3.41090E-02 -1.28864E-01 -6 0 *********** CCCS-val-gln - 1 -5.90631E-01 7.02754E-01 - 2 3.32836E-02 2.86757E-01 - 3 -1.69451E-01 -3.69050E-02 - 4 5.87834E-03 8.14178E-02 - 5 -1.47893E-01 6.51650E-04 - 6 -1.36200E-02 -5.65464E-02 -6 0 *********** CCCS-val-asn - 1 -1.01693E+00 4.69995E-01 - 2 -2.43651E-01 3.57862E-01 - 3 -2.75440E-01 -6.71032E-02 - 4 -6.00956E-02 3.50603E-02 - 5 -1.11978E-01 -4.30591E-02 - 6 -2.24426E-02 -1.33332E-01 -6 0 *********** CCCS-val-glu - 1 -5.59980E-01 8.65508E-01 - 2 4.11141E-02 2.62655E-01 - 3 -1.22103E-01 -2.42915E-02 - 4 9.34924E-03 1.04475E-01 - 5 -1.32434E-01 -9.42781E-04 - 6 -1.35726E-02 -2.83718E-02 -6 0 *********** CCCS-val-asp - 1 -1.31348E+00 1.44330E-01 - 2 4.79496E-02 4.85842E-01 - 3 -2.14355E-01 2.04123E-02 - 4 -8.99395E-02 6.82523E-02 - 5 -1.45692E-01 -9.49633E-03 - 6 -3.69758E-02 -1.81553E-01 -6 0 *********** CCCS-val-his - 1 -8.99073E-01 8.53730E-01 - 2 -3.73013E-01 2.49257E-01 - 3 -2.52540E-01 -4.56975E-02 - 4 -2.56035E-02 5.33466E-02 - 5 -1.41568E-01 -3.64147E-02 - 6 -2.02090E-02 -3.58438E-02 -6 0 *********** CCCS-val-arg - 1 -3.02527E-01 6.43574E-01 - 2 8.91040E-02 3.91895E-02 - 3 1.34633E-02 -1.18997E-01 - 4 -3.33846E-02 1.12908E-01 - 5 -3.14435E-02 -4.40267E-02 - 6 -3.86711E-02 -7.78766E-02 -6 0 *********** CCCS-val-lys - 1 -3.39807E-01 6.29389E-01 - 2 1.75850E-01 -3.66938E-02 - 3 -1.10228E-05 -7.12032E-02 - 4 2.48918E-02 9.08821E-02 - 5 -9.22117E-02 -4.10071E-02 - 6 8.92198E-03 -1.86038E-02 -6 0 *********** CCCS-val-pro - 1 3.21307E+00 -3.94220E-02 - 2 -2.78755E-02 1.89446E-02 - 3 -9.87983E-01 -2.92751E-01 - 4 -7.55573E-01 6.16164E-01 - 5 1.74247E-01 9.52974E-02 - 6 -8.93030E-02 -4.86632E-01 -6 0 *********** CCCS-trp-cys - 1 -3.97134E-01 1.05061E+00 - 2 -3.85648E-03 3.02391E-01 - 3 -1.82440E-01 1.13105E-03 - 4 -3.77466E-02 9.38833E-02 - 5 -1.30142E-01 -1.04941E-02 - 6 -2.80018E-02 3.77225E-03 -6 0 *********** CCCS-trp-met - 1 1.82023E-02 6.93022E-01 - 2 -2.85857E-03 6.82080E-02 - 3 -1.42618E-01 -1.22356E-01 - 4 2.77510E-02 4.43934E-02 - 5 -1.12740E-01 -2.48754E-02 - 6 -7.00662E-03 -1.75459E-02 -6 0 *********** CCCS-trp-phe - 1 2.31905E-01 7.43031E-01 - 2 -1.28161E-01 1.38385E-01 - 3 -1.80478E-01 -1.48245E-01 - 4 -8.12194E-02 7.04150E-02 - 5 -5.61558E-02 -4.95481E-02 - 6 -5.20948E-02 -8.44131E-02 -6 0 *********** CCCS-trp-ile - 1 9.75949E-02 8.35541E-01 - 2 -2.57778E-02 1.05144E-01 - 3 -1.78553E-01 -1.77678E-01 - 4 1.23933E-01 1.57000E-02 - 5 -1.50275E-01 4.01914E-03 - 6 1.32825E-02 3.38209E-03 -6 0 *********** CCCS-trp-leu - 1 2.15784E-01 6.26957E-01 - 2 -6.18246E-02 -1.33064E-01 - 3 -1.39062E-01 -2.60829E-01 - 4 1.47643E-02 1.23307E-02 - 5 -1.42165E-01 -3.58314E-02 - 6 -3.04756E-02 -2.27094E-02 -6 0 *********** CCCS-trp-val - 1 1.25631E-01 7.59136E-01 - 2 -8.43207E-02 4.63817E-02 - 3 -1.45060E-01 -2.05638E-01 - 4 1.03299E-01 2.86576E-02 - 5 -1.33009E-01 3.21596E-03 - 6 -5.07361E-03 -1.32676E-02 -6 0 *********** CCCS-trp-trp - 1 1.77647E-01 7.20610E-01 - 2 -8.40165E-03 1.15882E-01 - 3 -2.17606E-01 -8.09954E-02 - 4 1.16264E-02 4.29526E-02 - 5 -1.55612E-01 -2.75210E-02 - 6 3.17444E-03 -1.44132E-02 -6 0 *********** CCCS-trp-tyr - 1 2.24103E-01 7.14455E-01 - 2 -1.26090E-01 1.67622E-01 - 3 -1.68541E-01 -1.53912E-01 - 4 -1.12549E-01 9.01766E-02 - 5 -2.26787E-02 -6.11012E-02 - 6 -6.91377E-02 -1.23264E-01 -6 0 *********** CCCS-trp-ala - 1 -2.67774E-01 5.54286E-01 - 2 1.62497E-01 -5.38060E-02 - 3 5.97171E-02 -1.50107E-01 - 4 -2.97727E-02 1.02047E-01 - 5 1.32197E-02 -6.16920E-02 - 6 -3.67700E-02 -8.49759E-02 -6 0 *********** CCCS-trp-gly +4 0 *********** CCCS-val-thr + 1 -7.41105E-01 -8.58838E-02 + 2 -7.65292E-02 -1.56129E-01 + 3 2.61954E-02 -3.03294E-02 + 4 -5.35762E-02 3.18180E-03 +4 0 *********** CCCS-val-ser + 1 -1.03479E+00 -8.80148E-01 + 2 2.99630E-01 2.10209E-01 + 3 1.08220E-01 -1.97563E-01 + 4 1.03976E-02 1.23403E-02 +4 0 *********** CCCS-val-gln + 1 -7.52281E-01 -1.75271E-01 + 2 3.61440E-02 -1.19884E-01 + 3 -2.25685E-02 -7.45521E-02 + 4 -3.14194E-02 1.38717E-02 +4 0 *********** CCCS-val-asn + 1 -7.89163E-01 -6.41908E-01 + 2 2.38744E-01 3.99889E-02 + 3 3.67868E-02 -8.34565E-02 + 4 4.36729E-02 2.55220E-02 +4 0 *********** CCCS-val-glu + 1 -8.39244E-01 -1.26711E-01 + 2 1.81508E-02 -1.21894E-01 + 3 -2.83981E-02 -7.34440E-02 + 4 -3.31335E-02 1.08919E-02 +4 0 *********** CCCS-val-asp + 1 -8.85374E-01 -7.18477E-01 + 2 2.56118E-01 7.91874E-02 + 3 3.64225E-02 -1.01706E-01 + 4 5.26699E-02 2.33682E-02 +4 0 *********** CCCS-val-his + 1 -7.62378E-01 -6.17991E-01 + 2 2.12535E-01 6.59066E-02 + 3 9.61069E-02 -5.06113E-02 + 4 5.03004E-02 -2.14882E-02 +4 0 *********** CCCS-val-arg + 1 -5.82822E-01 8.66612E-02 + 2 -1.48760E-01 -1.05968E-02 + 3 -3.89609E-03 -3.52453E-02 + 4 -6.76220E-03 3.38389E-02 +4 0 *********** CCCS-val-lys + 1 -5.15770E-01 1.41804E-01 + 2 -2.18761E-01 -3.71672E-02 + 3 3.18685E-02 -4.50041E-03 + 4 -6.12151E-03 4.17786E-02 +4 0 *********** CCCS-val-pro + 1 -1.69771E+00 -7.30645E-01 + 2 6.21176E-01 2.56416E-01 + 3 -1.48812E-01 -5.14417E-01 + 4 -7.45039E-02 1.45455E-01 +4 0 *********** CCCS-trp-cys + 1 -8.77762E-01 -4.48673E-01 + 2 1.40893E-02 -6.78055E-02 + 3 1.30039E-01 -1.09771E-01 + 4 -2.48853E-02 4.66347E-02 +4 0 *********** CCCS-trp-met + 1 -6.30061E-01 1.32246E-02 + 2 -1.77339E-01 2.36419E-02 + 3 3.20545E-02 -7.16448E-02 + 4 -3.91275E-03 4.19304E-02 +4 0 *********** CCCS-trp-phe + 1 -6.85702E-01 6.67469E-02 + 2 -8.95124E-02 1.59155E-01 + 3 -9.94553E-02 -4.30629E-02 + 4 4.97309E-02 4.48958E-02 +4 0 *********** CCCS-trp-ile + 1 -7.87149E-01 -4.93625E-03 + 2 -2.22227E-01 1.19320E-02 + 3 2.44076E-02 -1.31783E-01 + 4 -2.27316E-02 3.93693E-02 +4 0 *********** CCCS-trp-leu + 1 -5.40530E-01 2.29644E-01 + 2 -3.27799E-01 1.50827E-01 + 3 -1.96314E-02 -4.78857E-02 + 4 -1.73037E-02 5.22990E-02 +4 0 *********** CCCS-trp-val + 1 -7.01424E-01 4.61020E-02 + 2 -2.71200E-01 4.65081E-02 + 3 2.58690E-02 -1.07495E-01 + 4 -1.61709E-02 4.94049E-02 +4 0 *********** CCCS-trp-trp + 1 -7.28796E-01 8.42478E-02 + 2 -9.68316E-02 8.60848E-02 + 3 -6.51644E-02 -6.08109E-02 + 4 4.07017E-02 4.06057E-02 +4 0 *********** CCCS-trp-tyr + 1 -6.76477E-01 6.48958E-02 + 2 -7.80312E-02 1.52082E-01 + 3 -9.69848E-02 -4.24221E-02 + 4 5.31404E-02 4.74066E-02 +4 0 *********** CCCS-trp-ala + 1 -4.85783E-01 -6.57016E-03 + 2 -3.34110E-01 -2.08892E-01 + 3 6.33967E-02 -2.88700E-02 + 4 -3.46260E-02 -4.54350E-02 +4 0 *********** CCCS-trp-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-trp-thr - 1 -1.33711E-01 8.17432E-01 - 2 -1.02112E-02 -9.19769E-02 - 3 4.19834E-02 -1.79869E-01 - 4 -9.29733E-03 1.05978E-01 - 5 -2.01058E-02 -4.84127E-02 - 6 -3.48484E-02 -3.62224E-02 -6 0 *********** CCCS-trp-ser - 1 -6.89338E-01 1.52558E+00 - 2 1.70338E-03 5.33969E-01 - 3 -1.21646E-01 -4.78040E-02 - 4 -3.17402E-01 2.32192E-01 - 5 9.22405E-02 -7.06805E-02 - 6 -1.87593E-01 -1.64748E-01 -6 0 *********** CCCS-trp-gln - 1 -1.12832E-01 7.85502E-01 - 2 9.54529E-02 2.06424E-01 - 3 -1.28797E-01 -2.71431E-02 - 4 -4.92651E-02 8.04872E-02 - 5 -7.21551E-02 -3.04355E-02 - 6 -3.21518E-02 -3.10111E-02 -6 0 *********** CCCS-trp-asn - 1 -6.86239E-01 8.42747E-01 - 2 2.69186E-02 4.03207E-01 - 3 -1.94512E-01 6.01381E-02 - 4 -1.02355E-01 8.87088E-02 - 5 -1.26219E-01 -1.27314E-02 - 6 -4.63795E-02 -3.84224E-02 -6 0 *********** CCCS-trp-glu - 1 1.44529E-02 9.00922E-01 - 2 7.20218E-02 2.08210E-01 - 3 -1.08150E-01 -6.56768E-02 - 4 -3.40755E-02 7.66866E-02 - 5 -6.10424E-02 -3.39727E-02 - 6 -2.86226E-02 -3.32357E-02 -6 0 *********** CCCS-trp-asp - 1 -1.02470E+00 7.22539E-01 - 2 2.12162E-01 2.74323E-01 - 3 -4.62482E-02 -2.96736E-02 - 4 -1.77971E-01 1.60746E-01 - 5 5.32729E-02 -4.00140E-02 - 6 -1.18745E-01 -1.35881E-01 -6 0 *********** CCCS-trp-his - 1 -4.22600E-01 1.06516E+00 - 2 -1.05595E-01 5.03339E-01 - 3 -2.42471E-01 1.75686E-02 - 4 -6.37491E-02 7.36291E-02 - 5 -1.68057E-01 -1.00104E-02 - 6 -2.17606E-02 -4.53861E-02 -6 0 *********** CCCS-trp-arg - 1 1.47244E-01 5.70904E-01 - 2 -4.13970E-02 4.66966E-02 - 3 -1.21413E-01 -1.84092E-01 - 4 -5.57780E-02 5.98553E-02 - 5 -4.10924E-02 -4.84950E-02 - 6 -5.42543E-02 -9.47073E-02 -6 0 *********** CCCS-trp-lys - 1 1.13950E-01 5.69648E-01 - 2 -1.84162E-02 -4.75211E-02 - 3 -1.56160E-01 -1.82586E-01 - 4 2.97052E-02 2.50834E-02 - 5 -1.35742E-01 -2.73430E-02 - 6 -1.16050E-02 -2.35796E-02 -6 0 *********** CCCS-trp-pro - 1 2.23324E+00 -1.42887E+00 - 2 3.07739E-01 1.78965E-01 - 3 -2.86215E-01 1.28548E-02 - 4 -9.97448E-02 6.25659E-01 - 5 3.17447E-01 -1.17601E-01 - 6 -1.94426E-01 -8.11239E-01 -6 0 *********** CCCS-tyr-cys - 1 -8.74910E-01 8.12394E-01 - 2 -7.70954E-02 3.01194E-01 - 3 -1.99725E-01 -1.16268E-02 - 4 -5.14576E-02 9.50848E-02 - 5 -1.64644E-01 -1.04927E-02 - 6 -2.62137E-02 -4.41084E-02 -6 0 *********** CCCS-tyr-met - 1 -4.21087E-01 7.03401E-01 - 2 9.26359E-02 4.87118E-02 - 3 -6.54305E-02 -6.12420E-02 - 4 3.22947E-02 9.75565E-02 - 5 -1.24815E-01 -1.75518E-02 - 6 2.02043E-03 -1.58925E-02 -6 0 *********** CCCS-tyr-phe - 1 -2.64457E-01 8.61701E-01 - 2 -3.63555E-02 1.83615E-02 - 3 -6.58356E-02 -1.85778E-01 - 4 -2.41505E-02 7.04592E-02 - 5 -5.10864E-02 -3.38210E-02 - 6 -4.53203E-02 -3.36515E-02 -6 0 *********** CCCS-tyr-ile - 1 -4.26569E-01 8.95743E-01 - 2 5.63556E-02 -1.49566E-02 - 3 -2.37221E-03 -4.50355E-02 - 4 8.15204E-02 1.45289E-01 - 5 -1.78474E-01 -3.41528E-02 - 6 4.58348E-02 1.18181E-04 -6 0 *********** CCCS-tyr-leu - 1 -2.53351E-01 7.22150E-01 - 2 1.96335E-01 -1.35416E-01 - 3 1.10728E-01 -1.45961E-01 - 4 2.26006E-03 1.05097E-01 - 5 -1.74685E-02 -6.96317E-02 - 6 -6.34319E-03 -4.24044E-02 -6 0 *********** CCCS-tyr-val - 1 -3.73573E-01 8.35714E-01 - 2 5.96991E-02 -9.02958E-02 - 3 4.04047E-02 -5.07499E-02 - 4 2.90275E-02 1.52315E-01 - 5 -1.34453E-01 -5.36123E-02 - 6 2.86081E-02 -8.52329E-03 -6 0 *********** CCCS-tyr-trp - 1 -2.59607E-01 8.14954E-01 - 2 1.78745E-02 7.89787E-02 - 3 -1.03772E-01 -1.24602E-01 - 4 6.81744E-03 6.32072E-02 - 5 -9.08015E-02 -2.25481E-02 - 6 -2.18776E-02 -1.78094E-02 -6 0 *********** CCCS-tyr-tyr - 1 -2.50521E-01 8.28663E-01 - 2 -5.99588E-02 6.13240E-02 - 3 -5.03925E-02 -2.17278E-01 - 4 -7.22479E-02 9.34489E-02 - 5 4.84293E-03 -4.72076E-02 - 6 -7.85830E-02 -9.20778E-02 -6 0 *********** CCCS-tyr-ala - 1 -6.33522E-01 3.71638E-01 - 2 3.11053E-01 2.28452E-01 - 3 6.05647E-02 1.78918E-03 - 4 -1.39879E-01 1.74405E-01 - 5 8.54206E-02 -4.45713E-02 - 6 -1.01848E-01 -1.69962E-01 -6 0 *********** CCCS-tyr-gly +4 0 *********** CCCS-trp-thr + 1 -7.62215E-01 -1.66209E-02 + 2 -2.05828E-01 -6.25774E-02 + 3 3.09343E-02 -9.31738E-02 + 4 -2.80790E-02 1.68598E-02 +4 0 *********** CCCS-trp-ser + 1 -1.03154E+00 -7.94259E-01 + 2 1.02630E-01 4.54645E-02 + 3 1.91038E-01 -1.62561E-01 + 4 -3.94659E-02 3.14862E-03 +4 0 *********** CCCS-trp-gln + 1 -7.87206E-01 -9.82181E-02 + 2 -7.02570E-02 -8.76610E-02 + 3 -3.43813E-02 -1.09824E-01 + 4 -7.50564E-03 3.42076E-02 +4 0 *********** CCCS-trp-asn + 1 -8.38710E-01 -5.63729E-01 + 2 1.18971E-01 -8.41619E-02 + 3 8.62810E-02 -7.55688E-02 + 4 1.21475E-02 4.06533E-02 +4 0 *********** CCCS-trp-glu + 1 -8.70081E-01 -4.81074E-02 + 2 -9.38332E-02 -4.96216E-02 + 3 -4.83154E-02 -1.25695E-01 + 4 1.54378E-04 2.43171E-02 +4 0 *********** CCCS-trp-asp + 1 -9.21223E-01 -6.43630E-01 + 2 1.00987E-01 -4.96197E-02 + 3 1.07722E-01 -8.08610E-02 + 4 1.17774E-02 2.99547E-02 +4 0 *********** CCCS-trp-his + 1 -8.31417E-01 -5.39018E-01 + 2 1.27308E-01 -3.60611E-02 + 3 1.50432E-01 -7.61718E-02 + 4 1.07643E-02 -5.57927E-03 +4 0 *********** CCCS-trp-arg + 1 -5.93732E-01 1.45068E-01 + 2 -1.68473E-01 1.09384E-01 + 3 -3.23216E-02 -6.11325E-02 + 4 -2.80306E-03 2.38843E-02 +4 0 *********** CCCS-trp-lys + 1 -5.08905E-01 1.95584E-01 + 2 -2.53051E-01 9.98052E-02 + 3 2.09620E-02 -3.54569E-02 + 4 -2.35324E-03 2.99840E-02 +4 0 *********** CCCS-trp-pro + 1 -1.44668E+00 -5.89494E-01 + 2 1.83382E-01 1.54639E-01 + 3 -5.66479E-03 -4.45790E-01 + 4 -9.42532E-02 7.55533E-02 +4 0 *********** CCCS-tyr-cys + 1 -9.09006E-01 -3.79759E-01 + 2 4.91124E-02 -9.52209E-02 + 3 1.43726E-01 -1.01207E-01 + 4 -2.96906E-02 4.71193E-02 +4 0 *********** CCCS-tyr-met + 1 -6.22207E-01 5.78449E-02 + 2 -1.75559E-01 -5.22250E-03 + 3 3.06699E-02 -7.62937E-02 + 4 -2.83009E-03 4.88203E-02 +4 0 *********** CCCS-tyr-phe + 1 -6.63789E-01 1.13326E-01 + 2 -9.63951E-02 1.28701E-01 + 3 -1.13383E-01 -4.57057E-02 + 4 5.77765E-02 4.72835E-02 +4 0 *********** CCCS-tyr-ile + 1 -7.80595E-01 6.65728E-02 + 2 -2.19900E-01 -3.24672E-02 + 3 2.60002E-02 -1.44710E-01 + 4 -2.05834E-02 5.11673E-02 +4 0 *********** CCCS-tyr-leu + 1 -5.21881E-01 2.84544E-01 + 2 -3.38132E-01 1.18754E-01 + 3 -3.51877E-02 -5.87236E-02 + 4 -1.08133E-02 6.11789E-02 +4 0 *********** CCCS-tyr-val + 1 -6.91368E-01 1.11588E-01 + 2 -2.71285E-01 5.22627E-03 + 3 2.21618E-02 -1.20641E-01 + 4 -1.27789E-02 6.21999E-02 +4 0 *********** CCCS-tyr-trp + 1 -7.10331E-01 1.32960E-01 + 2 -1.03873E-01 5.44967E-02 + 3 -7.25142E-02 -6.43234E-02 + 4 4.69414E-02 4.37841E-02 +4 0 *********** CCCS-tyr-tyr + 1 -6.55384E-01 1.09023E-01 + 2 -8.50300E-02 1.23183E-01 + 3 -1.09497E-01 -4.46240E-02 + 4 6.06009E-02 4.96820E-02 +4 0 *********** CCCS-tyr-ala + 1 -4.93753E-01 3.88784E-02 + 2 -3.15706E-01 -2.29990E-01 + 3 7.28305E-02 -4.18762E-02 + 4 -4.38649E-02 -4.54387E-02 +4 0 *********** CCCS-tyr-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-tyr-thr - 1 -6.96774E-01 7.05012E-01 - 2 3.00191E-01 8.08979E-04 - 3 2.16170E-02 2.79656E-02 - 4 -4.32462E-02 1.42628E-01 - 5 -7.21369E-02 -2.67662E-02 - 6 -2.07074E-02 4.98846E-03 -6 0 *********** CCCS-tyr-ser - 1 -1.32920E+00 1.01674E+00 - 2 -7.69095E-02 6.26341E-01 - 3 -2.68708E-01 -2.52823E-02 - 4 -1.65000E-01 7.64946E-02 - 5 -9.52082E-02 -4.46636E-02 - 6 -5.10471E-02 -1.47506E-01 -6 0 *********** CCCS-tyr-gln - 1 -5.49194E-01 7.14487E-01 - 2 5.95044E-02 2.87362E-01 - 3 -1.68381E-01 -3.66365E-02 - 4 8.95640E-03 8.01203E-02 - 5 -1.40299E-01 3.72645E-04 - 6 -1.39944E-02 -5.22733E-02 -6 0 *********** CCCS-tyr-asn - 1 -9.78500E-01 4.99941E-01 - 2 -2.01265E-01 4.05049E-01 - 3 -2.88032E-01 -3.96732E-02 - 4 -6.91792E-02 4.65139E-02 - 5 -1.18774E-01 -4.22230E-02 - 6 -2.69835E-02 -1.32417E-01 -6 0 *********** CCCS-tyr-glu - 1 -5.07025E-01 8.71111E-01 - 2 6.53347E-02 2.58831E-01 - 3 -1.14301E-01 -2.89383E-02 - 4 1.71746E-02 1.00381E-01 - 5 -1.21433E-01 -1.63917E-03 - 6 -1.20017E-02 -2.37310E-02 -6 0 *********** CCCS-tyr-asp - 1 -1.29545E+00 1.96747E-01 - 2 9.02428E-02 4.96857E-01 - 3 -2.01190E-01 2.93247E-02 - 4 -9.95175E-02 9.23017E-02 - 5 -1.36887E-01 -2.51745E-03 - 6 -5.21902E-02 -1.94364E-01 -6 0 *********** CCCS-tyr-his - 1 -8.32839E-01 8.53486E-01 - 2 -3.26148E-01 3.13931E-01 - 3 -2.71164E-01 -5.87415E-03 - 4 -3.39861E-02 5.05028E-02 - 5 -1.52008E-01 -3.40467E-02 - 6 -2.00727E-02 -2.71200E-02 -6 0 *********** CCCS-tyr-arg - 1 -2.54799E-01 6.48923E-01 - 2 7.74452E-02 2.60184E-02 - 3 9.31987E-03 -1.43055E-01 - 4 -2.70483E-02 1.05449E-01 - 5 -3.09097E-02 -4.19048E-02 - 6 -4.21302E-02 -8.15534E-02 -6 0 *********** CCCS-tyr-lys - 1 -2.92966E-01 6.37739E-01 - 2 1.57946E-01 -5.78773E-02 - 3 6.54551E-05 -9.91841E-02 - 4 3.22857E-02 8.56176E-02 - 5 -9.58168E-02 -4.21040E-02 - 6 8.52339E-03 -1.65671E-02 -6 0 *********** CCCS-tyr-pro - 1 2.22771E+00 9.52366E-02 - 2 -4.27668E-01 4.71305E-01 - 3 -8.63619E-01 -2.87620E-02 - 4 -5.28150E-01 5.68096E-01 - 5 1.99111E-01 -9.44542E-02 - 6 -1.62728E-01 -6.03297E-01 -6 0 *********** CCCS-ala-cys - 1 2.09544E-01 1.51086E-01 - 2 -9.32640E-02 3.53246E-01 - 3 -1.87861E-01 -6.81242E-02 - 4 -1.58488E-01 1.78597E-01 - 5 2.36952E-02 -7.92151E-02 - 6 -1.16908E-01 -3.11933E-01 -6 0 *********** CCCS-ala-met - 1 8.08658E-02 -7.98845E-02 - 2 1.64198E-01 2.11674E-01 - 3 -8.33974E-02 -2.40838E-02 - 4 -1.18256E-02 7.36953E-02 - 5 -6.02118E-02 -2.57788E-02 - 6 -2.91481E-02 -1.67912E-01 -6 0 *********** CCCS-ala-phe - 1 6.94077E-02 -1.24168E-01 - 2 2.75029E-01 1.36820E-01 - 3 -8.70868E-02 -5.18404E-02 - 4 5.45502E-03 4.56749E-02 - 5 -7.55886E-02 -2.66537E-02 - 6 -1.60765E-02 -1.43502E-01 -6 0 *********** CCCS-ala-ile - 1 6.57519E-02 -7.54499E-02 - 2 2.54933E-01 1.69169E-01 - 3 -1.54127E-01 4.20736E-02 - 4 1.42866E-01 2.85581E-02 - 5 -1.94794E-01 7.37758E-03 - 6 5.80261E-02 -5.91508E-02 -6 0 *********** CCCS-ala-leu - 1 1.70521E-02 -1.52559E-01 - 2 3.69633E-01 1.81837E-01 - 3 -6.35927E-02 -2.82942E-02 - 4 7.09564E-02 3.26750E-02 - 5 -9.74730E-02 -8.68669E-03 - 6 2.06930E-02 -1.32808E-01 -6 0 *********** CCCS-ala-val - 1 7.94939E-02 -1.19920E-01 - 2 2.26859E-01 1.78737E-01 - 3 -5.23242E-02 -2.45068E-02 - 4 1.92040E-02 7.36224E-02 - 5 -5.15181E-02 -1.95582E-02 - 6 -1.61085E-02 -1.56407E-01 -6 0 *********** CCCS-ala-trp - 1 5.02124E-02 -1.02579E-01 - 2 2.69417E-01 1.26043E-01 - 3 -1.46006E-01 -6.62372E-03 - 4 7.47036E-02 2.14196E-02 - 5 -1.60095E-01 -8.58666E-03 - 6 2.64333E-02 -8.68919E-02 -6 0 *********** CCCS-ala-tyr - 1 6.63612E-02 -1.23359E-01 - 2 2.61873E-01 1.32742E-01 - 3 -8.79662E-02 -5.78034E-02 - 4 -5.16327E-03 4.88838E-02 - 5 -6.94572E-02 -2.97959E-02 - 6 -2.23449E-02 -1.52417E-01 -6 0 *********** CCCS-ala-ala - 1 8.51209E-02 -2.47944E-02 - 2 5.07424E-02 3.52086E-01 - 3 -1.00328E-01 4.72799E-02 - 4 -2.70699E-02 1.01296E-01 - 5 -7.57268E-02 -2.21811E-02 - 6 -4.67199E-02 -1.83470E-01 -6 0 *********** CCCS-ala-gly +4 0 *********** CCCS-tyr-thr + 1 -7.63070E-01 4.85054E-02 + 2 -1.98173E-01 -1.00968E-01 + 3 3.67143E-02 -1.05619E-01 + 4 -3.12982E-02 2.71395E-02 +4 0 *********** CCCS-tyr-ser + 1 -1.12069E+00 -7.18785E-01 + 2 1.83787E-01 4.83379E-02 + 3 1.94718E-01 -1.64962E-01 + 4 -4.05226E-02 9.58595E-03 +4 0 *********** CCCS-tyr-gln + 1 -7.92835E-01 -4.56580E-02 + 2 -5.84674E-02 -1.12935E-01 + 3 -2.47702E-02 -1.20699E-01 + 4 -1.19943E-02 3.78514E-02 +4 0 *********** CCCS-tyr-asn + 1 -8.92656E-01 -5.05654E-01 + 2 1.67840E-01 -9.02306E-02 + 3 9.28662E-02 -6.45290E-02 + 4 1.52571E-02 3.55943E-02 +4 0 *********** CCCS-tyr-glu + 1 -8.70245E-01 1.66227E-02 + 2 -8.67099E-02 -8.56785E-02 + 3 -4.16259E-02 -1.38101E-01 + 4 -1.21162E-03 2.96354E-02 +4 0 *********** CCCS-tyr-asp + 1 -9.87024E-01 -5.72803E-01 + 2 1.66527E-01 -5.94872E-02 + 3 1.08314E-01 -7.30065E-02 + 4 1.55906E-02 2.64798E-02 +4 0 *********** CCCS-tyr-his + 1 -8.70789E-01 -4.85639E-01 + 2 1.61844E-01 -5.15013E-02 + 3 1.56654E-01 -5.32494E-02 + 4 1.71858E-02 -1.36583E-02 +4 0 *********** CCCS-tyr-arg + 1 -5.76451E-01 1.86974E-01 + 2 -1.77028E-01 8.31900E-02 + 3 -3.97542E-02 -6.58250E-02 + 4 2.32992E-03 2.74026E-02 +4 0 *********** CCCS-tyr-lys + 1 -4.94302E-01 2.35360E-01 + 2 -2.59575E-01 7.37574E-02 + 3 1.22432E-02 -3.91132E-02 + 4 2.12332E-03 3.63422E-02 +4 0 *********** CCCS-tyr-pro + 1 -1.57814E+00 -5.05783E-01 + 2 3.10335E-01 1.45772E-01 + 3 -2.88148E-02 -5.03989E-01 + 4 -9.96755E-02 1.20665E-01 +4 0 *********** CCCS-ala-cys + 1 -8.23119E-01 -2.98213E-01 + 2 1.01944E-01 -3.12124E-02 + 3 1.11175E-01 -1.12750E-01 + 4 8.21128E-03 2.89820E-02 +4 0 *********** CCCS-ala-met + 1 -5.58872E-01 1.00798E-01 + 2 -9.75431E-02 -3.76572E-02 + 3 -8.93879E-04 -6.04168E-02 + 4 -5.95098E-03 4.35541E-02 +4 0 *********** CCCS-ala-phe + 1 -5.82985E-01 1.69299E-01 + 2 -4.93783E-02 4.21883E-02 + 3 -1.23128E-01 -2.01764E-02 + 4 5.47857E-02 4.91434E-02 +4 0 *********** CCCS-ala-ile + 1 -7.07307E-01 1.12039E-01 + 2 -9.73446E-02 -6.42191E-02 + 3 -1.97691E-02 -1.14987E-01 + 4 -3.33150E-02 3.53489E-02 +4 0 *********** CCCS-ala-leu + 1 -4.77868E-01 2.92749E-01 + 2 -2.15940E-01 2.53932E-02 + 3 -5.38848E-02 -2.48878E-02 + 4 -2.48870E-02 8.98947E-02 +4 0 *********** CCCS-ala-val + 1 -6.27675E-01 1.52286E-01 + 2 -1.45924E-01 -4.27431E-02 + 3 -2.12772E-02 -9.07665E-02 + 4 -2.87085E-02 5.83967E-02 +4 0 *********** CCCS-ala-trp + 1 -6.25869E-01 1.79848E-01 + 2 -5.04153E-02 -8.97269E-03 + 3 -8.73481E-02 -3.76793E-02 + 4 3.99514E-02 3.98532E-02 +4 0 *********** CCCS-ala-tyr + 1 -5.73991E-01 1.63445E-01 + 2 -4.37848E-02 4.09536E-02 + 3 -1.17701E-01 -2.02245E-02 + 4 5.77646E-02 5.01472E-02 +4 0 *********** CCCS-ala-ala + 1 -4.56331E-01 4.60183E-02 + 2 -1.76124E-01 -1.66119E-01 + 3 4.46991E-02 -4.74663E-02 + 4 -5.96800E-02 -5.29220E-02 +4 0 *********** CCCS-ala-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-ala-thr - 1 1.13047E-01 -1.23960E-02 - 2 2.18880E-01 2.98764E-01 - 3 -1.51347E-01 1.03076E-01 - 4 7.51537E-02 5.26880E-02 - 5 -2.09815E-01 1.72523E-02 - 6 2.60870E-02 -7.22198E-02 -6 0 *********** CCCS-ala-ser - 1 2.81029E-01 3.00033E-01 - 2 -2.10672E-01 6.34637E-01 - 3 -3.04209E-01 -1.43905E-01 - 4 -2.63362E-01 2.75132E-01 - 5 1.41483E-01 -1.59470E-01 - 6 -1.80790E-01 -5.44148E-01 -6 0 *********** CCCS-ala-gln - 1 1.57779E-01 2.41022E-03 - 2 3.10357E-02 2.98564E-01 - 3 -1.28707E-01 -1.55013E-02 - 4 -6.15053E-02 1.03456E-01 - 5 -3.84837E-02 -4.39229E-02 - 6 -6.01907E-02 -2.12940E-01 -6 0 *********** CCCS-ala-asn - 1 1.70297E-01 3.18618E-01 - 2 -2.30254E-01 2.49968E-01 - 3 -2.35872E-01 -1.73680E-01 - 4 -1.89383E-01 1.70956E-01 - 5 5.05196E-02 -9.24758E-02 - 6 -1.17843E-01 -3.08245E-01 -6 0 *********** CCCS-ala-glu - 1 1.84988E-01 -2.89421E-02 - 2 8.40119E-02 3.20125E-01 - 3 -1.05228E-01 1.72245E-02 - 4 -2.42931E-02 9.53286E-02 - 5 -5.62194E-02 -2.89932E-02 - 6 -4.07861E-02 -1.93078E-01 -6 0 *********** CCCS-ala-asp - 1 2.11813E-01 3.53614E-01 - 2 -2.65496E-01 3.04920E-01 - 3 -2.52910E-01 -9.99053E-02 - 4 -1.49552E-01 1.54690E-01 - 5 6.21563E-03 -6.88708E-02 - 6 -1.02592E-01 -2.53131E-01 -6 0 *********** CCCS-ala-his - 1 2.34307E-01 2.85648E-01 - 2 -1.90718E-01 1.70237E-01 - 3 -2.53326E-01 -2.73589E-02 - 4 -7.61866E-02 1.13211E-01 - 5 -1.00401E-01 -2.25034E-02 - 6 -4.82999E-02 -1.17152E-01 -6 0 *********** CCCS-ala-arg - 1 2.97079E-02 -1.31641E-01 - 2 2.32455E-01 1.76777E-01 - 3 -6.79132E-02 -5.56482E-02 - 4 -4.60863E-03 5.79520E-02 - 5 -5.01631E-02 -3.06966E-02 - 6 -2.55406E-02 -1.71339E-01 -6 0 *********** CCCS-ala-lys - 1 1.04076E-02 -1.25139E-01 - 2 2.67193E-01 1.66676E-01 - 3 -8.10161E-02 -2.95132E-02 - 4 3.72983E-02 4.05951E-02 - 5 -9.00418E-02 -1.61797E-02 - 6 1.52228E-03 -1.32515E-01 -6 0 *********** CCCS-ala-pro - 1 6.87749E-02 -9.39532E-01 - 2 -2.71845E-01 -6.79470E-01 - 3 -2.48730E-01 -4.40063E-01 - 4 -3.25926E-01 2.86940E-01 - 5 1.25922E-01 -2.21273E-01 - 6 -2.08755E-01 -5.38499E-01 -6 0 *********** CCCS-gly-cys - 1 6.01435E-01 1.80977E-01 - 2 1.74454E-01 1.74251E-01 - 3 -9.03909E-02 -1.95994E-01 - 4 -1.35065E-01 9.16175E-02 - 5 -8.46503E-03 -5.52195E-02 - 6 -9.19744E-02 -2.34320E-01 -6 0 *********** CCCS-gly-met - 1 3.53993E-01 -1.75955E-01 - 2 1.29616E-01 5.95656E-02 - 3 -1.50906E-01 -2.04218E-02 - 4 -3.24127E-02 7.64497E-02 - 5 -8.97846E-02 -2.82295E-02 - 6 -2.99701E-02 -1.35507E-01 -6 0 *********** CCCS-gly-phe - 1 3.51795E-01 -2.84766E-01 - 2 1.62590E-01 5.93443E-02 - 3 -7.08266E-02 5.41716E-03 - 4 -2.88027E-03 8.09942E-02 - 5 -5.90019E-02 -3.11981E-02 - 6 -1.82615E-02 -1.44434E-01 -6 0 *********** CCCS-gly-ile - 1 3.81749E-01 -2.29510E-01 - 2 2.01331E-01 -2.61401E-02 - 3 -2.48470E-01 4.44326E-03 - 4 9.80798E-02 5.05726E-02 - 5 -1.75030E-01 6.46738E-04 - 6 3.83858E-02 -6.40724E-02 -6 0 *********** CCCS-gly-leu - 1 2.66112E-01 -3.35984E-01 - 2 1.23816E-01 7.72979E-02 - 3 -1.46219E-01 1.00603E-01 - 4 3.51830E-02 4.00618E-02 - 5 -2.08323E-01 -1.01636E-03 - 6 1.91208E-02 -5.76509E-02 -6 0 *********** CCCS-gly-val - 1 3.52084E-01 -2.67382E-01 - 2 1.51180E-01 2.98225E-02 - 3 -1.42671E-01 -2.62835E-02 - 4 -1.13435E-03 8.19561E-02 - 5 -9.66041E-02 -3.18810E-03 - 6 -2.16153E-02 -1.28410E-01 -6 0 *********** CCCS-gly-trp - 1 3.20821E-01 -2.55603E-01 - 2 1.99437E-01 -8.94510E-03 - 3 -1.80656E-01 3.67239E-02 - 4 9.62791E-02 2.31220E-02 - 5 -1.89895E-01 -1.62191E-02 - 6 3.33412E-02 -5.07471E-02 -6 0 *********** CCCS-gly-tyr - 1 3.42685E-01 -2.75664E-01 - 2 1.63606E-01 5.62233E-02 - 3 -7.01064E-02 9.02606E-04 - 4 -3.54681E-03 7.64142E-02 - 5 -6.40909E-02 -3.04437E-02 - 6 -2.14171E-02 -1.42291E-01 -6 0 *********** CCCS-gly-ala - 1 3.03649E-01 -1.03199E-02 - 2 1.70126E-02 1.19360E-01 - 3 -2.95039E-01 4.62437E-03 - 4 6.86487E-04 3.52072E-02 - 5 -1.18686E-01 -4.74139E-02 - 6 -2.58228E-02 -1.03776E-01 -6 0 *********** CCCS-gly-gly +4 0 *********** CCCS-ala-thr + 1 -6.95288E-01 8.55479E-02 + 2 -8.20308E-02 -1.00411E-01 + 3 -5.82995E-03 -9.47505E-02 + 4 -4.27445E-02 1.02087E-02 +4 0 *********** CCCS-ala-ser + 1 -1.00835E+00 -5.95504E-01 + 2 1.93668E-01 1.39001E-01 + 3 1.94025E-01 -1.73473E-01 + 4 -1.09209E-03 6.13657E-03 +4 0 *********** CCCS-ala-gln + 1 -7.19265E-01 -1.05762E-03 + 2 1.15061E-02 -9.06152E-02 + 3 -3.77212E-02 -1.21326E-01 + 4 -1.76413E-02 1.76405E-02 +4 0 *********** CCCS-ala-asn + 1 -8.10659E-01 -4.23361E-01 + 2 1.67923E-01 1.70979E-03 + 3 1.05131E-01 -8.22832E-02 + 4 3.91616E-02 3.28299E-02 +4 0 *********** CCCS-ala-glu + 1 -7.84610E-01 6.15637E-02 + 2 1.32832E-03 -8.67880E-02 + 3 -6.37268E-02 -1.23711E-01 + 4 -1.43390E-02 7.42007E-03 +4 0 *********** CCCS-ala-asp + 1 -8.93182E-01 -4.73106E-01 + 2 1.79835E-01 3.43482E-02 + 3 1.14421E-01 -9.97471E-02 + 4 4.01887E-02 2.70028E-02 +4 0 *********** CCCS-ala-his + 1 -7.73834E-01 -4.02384E-01 + 2 1.48911E-01 7.25476E-03 + 3 1.51588E-01 -4.98250E-02 + 4 5.04625E-02 -1.63792E-02 +4 0 *********** CCCS-ala-arg + 1 -5.12065E-01 2.16366E-01 + 2 -1.12670E-01 1.55364E-02 + 3 -5.43212E-02 -3.82911E-02 + 4 -3.41771E-03 3.40400E-02 +4 0 *********** CCCS-ala-lys + 1 -4.43114E-01 2.49535E-01 + 2 -1.72275E-01 9.35242E-03 + 3 -1.23287E-02 -1.35432E-02 + 4 -5.90737E-03 4.85906E-02 +4 0 *********** CCCS-ala-pro + 1 -1.31202E+00 -3.46891E-01 + 2 3.15762E-01 9.73094E-02 + 3 -2.67777E-02 -4.03770E-01 + 4 -5.78122E-02 1.51256E-02 +4 0 *********** CCCS-gly-cys + 1 1.05530E+00 -2.71210E-01 + 2 -2.81933E-01 7.48024E-02 + 3 1.25272E-01 1.80323E-01 + 4 1.43032E-01 -5.75962E-02 +4 0 *********** CCCS-gly-met + 1 5.59661E-01 -4.30726E-01 + 2 1.59708E-02 3.21011E-01 + 3 1.41705E-01 5.97102E-02 + 4 6.16920E-03 -2.23828E-02 +4 0 *********** CCCS-gly-phe + 1 6.31592E-01 -4.93574E-01 + 2 2.94775E-01 2.32488E-01 + 3 8.39615E-02 -7.91540E-02 + 4 9.13991E-03 -2.63888E-02 +4 0 *********** CCCS-gly-ile + 1 7.12981E-01 -5.34709E-01 + 2 -1.27405E-02 5.03012E-01 + 3 2.43981E-01 5.88027E-02 + 4 -3.82917E-02 -2.25025E-02 +4 0 *********** CCCS-gly-leu + 1 3.09062E-01 -5.62007E-01 + 2 2.56275E-01 6.35740E-01 + 3 1.29028E-01 -3.73514E-02 + 4 -3.11769E-02 1.22591E-01 +4 0 *********** CCCS-gly-val + 1 6.23875E-01 -5.04248E-01 + 2 6.09769E-02 5.57747E-01 + 3 2.26979E-01 6.63831E-02 + 4 -4.14762E-02 1.60595E-02 +4 0 *********** CCCS-gly-trp + 1 6.24927E-01 -5.51690E-01 + 2 1.61460E-01 2.34716E-01 + 3 1.14487E-01 -5.02453E-02 + 4 -7.56294E-03 -3.44011E-02 +4 0 *********** CCCS-gly-tyr + 1 6.17606E-01 -4.90395E-01 + 2 2.79775E-01 2.04943E-01 + 3 7.73478E-02 -8.23004E-02 + 4 9.25598E-03 -4.02325E-02 +4 0 *********** CCCS-gly-ala + 1 3.79194E-01 -3.71425E-01 + 2 -4.16749E-01 5.89014E-01 + 3 1.03228E-01 1.14247E-01 + 4 -1.09076E-01 -9.45324E-02 +4 0 *********** CCCS-gly-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-gly-thr - 1 4.57424E-01 -8.67678E-02 - 2 1.28569E-01 1.09103E-01 - 3 -2.33037E-01 4.60976E-02 - 4 -5.93924E-02 1.08358E-02 - 5 -2.15120E-01 -6.32073E-02 - 6 -2.37075E-02 -8.99065E-02 -6 0 *********** CCCS-gly-ser - 1 6.67576E-01 2.48115E-01 - 2 2.38248E-01 3.85789E-01 - 3 -1.28001E-01 -5.20498E-01 - 4 -2.18853E-01 2.92302E-01 - 5 1.91238E-01 -1.54118E-01 - 6 -2.04810E-01 -6.73284E-01 -6 0 *********** CCCS-gly-gln - 1 4.76782E-01 -5.98358E-02 - 2 1.24267E-01 7.76858E-02 - 3 -1.69073E-01 -9.98932E-02 - 4 -8.12065E-02 9.06815E-02 - 5 -3.06432E-02 -4.47218E-02 - 6 -6.58390E-02 -1.85102E-01 -6 0 *********** CCCS-gly-asn - 1 4.86179E-01 4.08421E-01 - 2 1.16672E-01 2.14662E-01 - 3 1.87335E-02 -2.47021E-01 - 4 -1.61836E-01 1.35914E-01 - 5 -7.66595E-03 -8.39430E-02 - 6 -1.15627E-01 -2.88805E-01 -6 0 *********** CCCS-gly-glu - 1 5.44361E-01 -1.50876E-01 - 2 1.37788E-01 4.74025E-02 - 3 -2.00329E-01 -6.97037E-02 - 4 -3.74576E-02 1.09528E-01 - 5 -2.98151E-02 -5.92646E-02 - 6 -6.12747E-02 -2.00258E-01 -6 0 *********** CCCS-gly-asp - 1 4.75990E-01 4.78872E-01 - 2 -9.63724E-03 2.27361E-01 - 3 -9.55173E-02 -2.98200E-01 - 4 -1.37522E-01 8.86822E-02 - 5 -3.18774E-02 -6.14416E-02 - 6 -1.06927E-01 -2.59530E-01 -6 0 *********** CCCS-gly-his - 1 5.94418E-01 3.24725E-01 - 2 1.84943E-01 8.32994E-02 - 3 -2.74370E-02 -2.01278E-01 - 4 -4.19998E-02 5.08601E-02 - 5 -1.18496E-01 -2.77518E-02 - 6 -3.69596E-02 -1.30117E-01 -6 0 *********** CCCS-gly-arg - 1 2.76896E-01 -2.70128E-01 - 2 1.05035E-01 6.31898E-02 - 3 -1.15581E-01 5.97726E-03 - 4 -2.61558E-02 5.42305E-02 - 5 -8.91478E-02 -3.61035E-02 - 6 -4.06115E-02 -1.30985E-01 -6 0 *********** CCCS-gly-lys - 1 2.40455E-01 -2.59200E-01 - 2 1.17128E-01 6.12889E-02 - 3 -1.55424E-01 5.08981E-02 - 4 2.31521E-02 4.06903E-02 - 5 -1.55136E-01 -1.45200E-02 - 6 -4.88160E-04 -8.09440E-02 -6 0 *********** CCCS-gly-pro - 1 -8.20714E-01 -1.07499E+00 - 2 1.19829E-01 -7.99437E-02 - 3 -3.15940E-01 -5.47967E-02 - 4 -3.13390E-01 -2.21335E-01 - 5 3.89615E-01 -4.80336E-01 - 6 -1.26530E-01 -4.35970E-01 -6 0 *********** CCCS-thr-cys - 1 -9.73915E-01 7.35774E-01 - 2 -1.23609E-01 2.61624E-01 - 3 -1.92760E-01 -3.09095E-02 - 4 -5.81870E-02 7.80827E-02 - 5 -1.54105E-01 -2.55381E-02 - 6 -1.88546E-02 -5.50090E-02 -6 0 *********** CCCS-thr-met - 1 -5.18933E-01 6.68105E-01 - 2 1.11495E-01 6.72371E-02 - 3 -7.20765E-02 -2.46471E-02 - 4 9.44287E-03 1.04709E-01 - 5 -1.34117E-01 -2.08467E-02 - 6 6.10031E-04 -1.04424E-02 -6 0 *********** CCCS-thr-phe - 1 -3.97853E-01 8.41275E-01 - 2 -4.54986E-04 1.36538E-02 - 3 -3.78885E-02 -1.58583E-01 - 4 -1.52024E-02 8.64865E-02 - 5 -5.48202E-02 -3.08228E-02 - 6 -3.82294E-02 -3.01918E-02 -6 0 *********** CCCS-thr-ile - 1 -5.49217E-01 8.62893E-01 - 2 9.33589E-02 -7.92132E-03 - 3 -2.10370E-02 2.15014E-02 - 4 3.30795E-02 1.54745E-01 - 5 -1.92171E-01 -4.17530E-02 - 6 3.95512E-02 9.72977E-03 -6 0 *********** CCCS-thr-leu - 1 -3.68031E-01 6.93374E-01 - 2 2.56670E-01 -7.03700E-02 - 3 1.14024E-01 -7.56363E-02 - 4 -1.66834E-02 1.18747E-01 - 5 8.28342E-03 -6.35328E-02 - 6 -9.39555E-03 -3.39105E-02 -6 0 *********** CCCS-thr-val - 1 -4.88856E-01 8.01321E-01 - 2 1.14009E-01 -6.78863E-02 - 3 1.89288E-02 1.53374E-02 - 4 -1.78451E-02 1.51567E-01 - 5 -1.31760E-01 -5.29118E-02 - 6 1.53807E-02 1.96228E-02 -6 0 *********** CCCS-thr-trp - 1 -3.74161E-01 7.95824E-01 - 2 2.55345E-02 8.55719E-02 - 3 -7.83362E-02 -1.11102E-01 - 4 -1.87679E-03 8.02720E-02 - 5 -8.07349E-02 -2.29455E-02 - 6 -2.51424E-02 -2.56810E-02 -6 0 *********** CCCS-thr-tyr - 1 -3.78591E-01 8.09980E-01 - 2 -3.08368E-02 5.37961E-02 - 3 -1.82949E-02 -1.95112E-01 - 4 -6.37520E-02 1.09526E-01 - 5 2.76774E-03 -4.42103E-02 - 6 -7.25785E-02 -9.24006E-02 -6 0 *********** CCCS-thr-ala - 1 -6.83703E-01 3.09597E-01 - 2 3.00326E-01 3.21246E-01 - 3 -6.61942E-03 3.53475E-02 - 4 -1.64570E-01 1.78561E-01 - 5 5.63825E-02 -2.94821E-02 - 6 -1.22402E-01 -1.85362E-01 -6 0 *********** CCCS-thr-gly +4 0 *********** CCCS-gly-thr + 1 6.57016E-01 -5.23203E-01 + 2 -1.55691E-01 4.36606E-01 + 3 1.63097E-01 7.72411E-02 + 4 -5.08481E-02 -5.09956E-02 +4 0 *********** CCCS-gly-ser + 1 1.38111E+00 -7.82855E-02 + 2 -4.27476E-01 -9.10809E-02 + 3 4.91534E-02 1.05681E-01 + 4 2.23522E-01 -1.77805E-02 +4 0 *********** CCCS-gly-gln + 1 7.31678E-01 -4.64989E-01 + 2 -1.82075E-01 1.90454E-01 + 3 9.44762E-02 -1.36678E-02 + 4 -8.83443E-03 -7.23257E-02 +4 0 *********** CCCS-gly-asn + 1 1.10318E+00 -1.62883E-01 + 2 -3.33718E-01 -1.57769E-01 + 3 8.30386E-03 8.43924E-02 + 4 1.23489E-01 -1.06169E-02 +4 0 *********** CCCS-gly-glu + 1 7.71618E-01 -5.64459E-01 + 2 -1.20693E-01 2.82620E-01 + 3 1.39428E-01 -3.01628E-02 + 4 -4.97869E-02 -6.92910E-02 +4 0 *********** CCCS-gly-asp + 1 1.23444E+00 -1.45663E-01 + 2 -3.65504E-01 -1.04661E-01 + 3 -1.21221E-02 1.18730E-01 + 4 1.36505E-01 -1.49867E-02 +4 0 *********** CCCS-gly-his + 1 1.09895E+00 -2.02858E-01 + 2 -1.98594E-01 -1.80072E-01 + 3 9.81912E-02 1.20783E-01 + 4 1.24016E-01 1.69661E-03 +4 0 *********** CCCS-gly-arg + 1 4.39569E-01 -5.16540E-01 + 2 1.63975E-01 3.16742E-01 + 3 1.08828E-01 -4.63823E-02 + 4 -3.80107E-03 2.77407E-02 +4 0 *********** CCCS-gly-lys + 1 3.13346E-01 -5.05745E-01 + 2 1.34799E-01 4.28675E-01 + 3 1.08750E-01 2.69008E-02 + 4 -6.54716E-03 3.14417E-02 +4 0 *********** CCCS-gly-pro + 1 9.51272E-01 -2.20991E-01 + 2 -1.33605E-01 -2.03419E-01 + 3 9.40695E-02 4.48809E-01 + 4 -2.76656E-01 -6.75602E-01 +4 0 *********** CCCS-thr-cys + 1 -9.08281E-01 -3.01908E-01 + 2 1.22001E-01 -1.73580E-01 + 3 9.59156E-02 -5.68275E-02 + 4 -4.85807E-02 3.02211E-02 +4 0 *********** CCCS-thr-met + 1 -5.99276E-01 8.31179E-02 + 2 -1.73015E-01 -4.98070E-02 + 3 3.09270E-02 -4.60290E-02 + 4 1.14955E-02 4.59420E-02 +4 0 *********** CCCS-thr-phe + 1 -6.12939E-01 1.38964E-01 + 2 -1.40114E-01 8.16806E-02 + 3 -7.88300E-02 -1.33683E-02 + 4 5.48961E-02 1.08369E-02 +4 0 *********** CCCS-thr-ile + 1 -7.53367E-01 1.22220E-01 + 2 -2.23915E-01 -1.18124E-01 + 3 2.93067E-02 -1.05475E-01 + 4 1.74803E-02 7.51009E-02 +4 0 *********** CCCS-thr-leu + 1 -5.00823E-01 3.19599E-01 + 2 -3.79156E-01 6.48330E-02 + 3 -2.52279E-02 -5.60938E-02 + 4 6.15224E-02 3.48828E-02 +4 0 *********** CCCS-thr-val + 1 -6.66163E-01 1.57839E-01 + 2 -2.82039E-01 -6.85402E-02 + 3 2.84170E-02 -8.92678E-02 + 4 3.68848E-02 7.29793E-02 +4 0 *********** CCCS-thr-trp + 1 -6.67197E-01 1.59905E-01 + 2 -1.32462E-01 4.54309E-03 + 3 -4.41262E-02 -2.98930E-02 + 4 4.77017E-02 2.33546E-02 +4 0 *********** CCCS-thr-tyr + 1 -6.05186E-01 1.32909E-01 + 2 -1.27191E-01 7.92306E-02 + 3 -7.55896E-02 -1.24676E-02 + 4 5.38346E-02 1.19690E-02 +4 0 *********** CCCS-thr-ala + 1 -4.92108E-01 7.29893E-02 + 2 -2.94868E-01 -2.89306E-01 + 3 7.57361E-02 -3.65210E-02 + 4 -5.57898E-02 3.86971E-02 +4 0 *********** CCCS-thr-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-thr-thr - 1 -8.04850E-01 6.34067E-01 - 2 3.53480E-01 8.59159E-02 - 3 -5.51317E-02 8.72910E-02 - 4 -5.34245E-02 1.25794E-01 - 5 -1.11279E-01 -7.55151E-03 - 6 -2.66515E-02 1.99144E-02 -6 0 *********** CCCS-thr-ser - 1 -1.45861E+00 9.59043E-01 - 2 -2.38011E-01 5.51363E-01 - 3 -2.48847E-01 -9.56814E-02 - 4 -1.12450E-01 2.70957E-02 - 5 -1.00017E-01 -3.40204E-02 - 6 -2.86364E-02 -1.32637E-01 -6 0 *********** CCCS-thr-gln - 1 -6.32372E-01 6.71550E-01 - 2 1.63728E-02 2.86149E-01 - 3 -1.66417E-01 -3.37439E-02 - 4 1.77423E-03 8.19872E-02 - 5 -1.53942E-01 -1.53292E-04 - 6 -1.23639E-02 -5.53743E-02 -6 0 *********** CCCS-thr-asn - 1 -1.03433E+00 4.09047E-01 - 2 -2.77161E-01 3.24094E-01 - 3 -2.62541E-01 -8.78655E-02 - 4 -5.51330E-02 3.17565E-02 - 5 -1.05941E-01 -4.02931E-02 - 6 -2.30689E-02 -1.37470E-01 -6 0 *********** CCCS-thr-glu - 1 -6.15271E-01 8.33101E-01 - 2 3.32850E-02 2.65117E-01 - 3 -1.25442E-01 -1.37410E-02 - 4 1.53345E-04 1.07136E-01 - 5 -1.41108E-01 -2.34588E-03 - 6 -1.35197E-02 -3.23064E-02 -6 0 *********** CCCS-thr-asp - 1 -1.29908E+00 7.05288E-02 - 2 -1.02899E-02 4.83748E-01 - 3 -2.18954E-01 1.36122E-02 - 4 -8.30945E-02 4.89137E-02 - 5 -1.48283E-01 -1.60566E-02 - 6 -2.75662E-02 -1.94595E-01 -6 0 *********** CCCS-thr-his - 1 -9.44876E-01 7.91166E-01 - 2 -3.79635E-01 2.05229E-01 - 3 -2.47076E-01 -6.06951E-02 - 4 -2.57910E-02 5.47849E-02 - 5 -1.30011E-01 -3.77452E-02 - 6 -2.29715E-02 -3.97199E-02 -6 0 *********** CCCS-thr-arg - 1 -3.54177E-01 6.29749E-01 - 2 1.05175E-01 5.01073E-02 - 3 1.57998E-02 -9.68862E-02 - 4 -3.96656E-02 1.17640E-01 - 5 -3.29978E-02 -4.53935E-02 - 6 -3.60784E-02 -7.69516E-02 -6 0 *********** CCCS-thr-lys - 1 -3.90529E-01 6.13558E-01 - 2 1.97040E-01 -1.71595E-02 - 3 -2.14843E-03 -4.64495E-02 - 4 1.69210E-02 9.34230E-02 - 5 -8.87130E-02 -3.88683E-02 - 6 7.46317E-03 -9.07747E-03 -6 0 *********** CCCS-thr-pro - 1 2.59928E+00 8.74400E-02 - 2 -3.29028E-01 -1.48846E-01 - 3 -1.01892E+00 -5.35085E-01 - 4 -6.92488E-01 4.11673E-01 - 5 2.67418E-01 2.24527E-03 - 6 -2.38086E-02 -4.75161E-01 -6 0 *********** CCCS-ser-cys - 1 -2.01357E-01 2.33386E-01 - 2 -5.79785E-02 3.69954E-01 - 3 -1.14049E-01 -5.67362E-02 - 4 -1.29814E-01 1.56102E-01 - 5 -2.51327E-03 -6.13662E-02 - 6 -1.01032E-01 -2.67833E-01 -6 0 *********** CCCS-ser-met - 1 -1.40766E-01 1.79386E-01 - 2 1.58556E-01 1.48664E-01 - 3 -1.09079E-01 -5.49521E-02 - 4 -3.00591E-03 5.83452E-02 - 5 -7.75879E-02 -2.40944E-02 - 6 -1.92557E-02 -1.08483E-01 -6 0 *********** CCCS-ser-phe - 1 -9.54761E-02 1.79887E-01 - 2 1.92788E-01 8.40652E-02 - 3 -1.27432E-01 -8.12442E-02 - 4 -3.59069E-02 4.67367E-02 - 5 -6.84345E-02 -3.72774E-02 - 6 -3.48405E-02 -1.00470E-01 -6 0 *********** CCCS-ser-ile - 1 -1.55023E-01 2.13465E-01 - 2 2.30533E-01 1.25745E-01 - 3 -1.59405E-01 -1.36217E-02 - 4 1.05861E-01 3.24593E-02 - 5 -1.74385E-01 -4.36821E-03 - 6 4.20326E-02 -3.72277E-02 -6 0 *********** CCCS-ser-leu - 1 -9.72519E-02 1.51748E-01 - 2 2.89010E-01 4.09925E-02 - 3 -9.53202E-02 -9.28013E-02 - 4 1.15867E-02 2.91369E-02 - 5 -6.70235E-02 -3.61754E-02 - 6 -1.62530E-02 -8.47683E-02 -6 0 *********** CCCS-ser-val - 1 -1.11154E-01 1.85372E-01 - 2 1.92527E-01 9.21050E-02 - 3 -9.83184E-02 -4.32966E-02 - 4 2.26004E-02 5.34533E-02 - 5 -8.77735E-02 -2.26007E-02 - 6 -4.93527E-03 -7.82858E-02 -6 0 *********** CCCS-ser-trp - 1 -1.15520E-01 1.92161E-01 - 2 2.09433E-01 9.51733E-02 - 3 -1.61579E-01 -4.67171E-02 - 4 2.51823E-02 3.19336E-02 - 5 -1.29825E-01 -2.16786E-02 - 6 2.36862E-03 -6.41219E-02 -6 0 *********** CCCS-ser-tyr - 1 -9.40078E-02 1.70314E-01 - 2 1.74206E-01 9.85230E-02 - 3 -1.13731E-01 -9.69467E-02 - 4 -6.33172E-02 6.18644E-02 - 5 -3.87677E-02 -4.57224E-02 - 6 -5.17542E-02 -1.34357E-01 -6 0 *********** CCCS-ser-ala - 1 -2.14778E-01 1.04168E-01 - 2 1.55360E-01 2.76206E-01 - 3 -2.42691E-02 -6.94838E-02 - 4 -4.80736E-02 1.14306E-01 - 5 1.26398E-04 -3.30005E-02 - 6 -5.25844E-02 -2.14116E-01 -6 0 *********** CCCS-ser-gly +4 0 *********** CCCS-thr-thr + 1 -7.45482E-01 9.60972E-02 + 2 -1.90156E-01 -1.75476E-01 + 3 3.87974E-02 -7.26032E-02 + 4 -1.74927E-02 6.66013E-02 +4 0 *********** CCCS-thr-ser + 1 -1.16265E+00 -5.77886E-01 + 2 3.67680E-01 -6.64155E-02 + 3 4.94013E-02 -1.47955E-01 + 4 -2.71067E-02 -2.54014E-03 +4 0 *********** CCCS-thr-gln + 1 -7.72626E-01 -6.76124E-03 + 2 -4.44939E-02 -1.74265E-01 + 3 -2.88422E-02 -7.51459E-02 + 4 -1.11548E-02 5.16024E-02 +4 0 *********** CCCS-thr-asn + 1 -9.17112E-01 -4.37406E-01 + 2 2.66940E-01 -1.36434E-01 + 3 3.00889E-02 -4.33786E-02 + 4 9.23315E-03 -1.18078E-02 +4 0 *********** CCCS-thr-glu + 1 -8.40641E-01 6.81535E-02 + 2 -8.78889E-02 -1.68137E-01 + 3 -3.19566E-02 -9.00317E-02 + 4 5.28663E-03 5.34595E-02 +4 0 *********** CCCS-thr-asp + 1 -1.02189E+00 -4.79146E-01 + 2 2.95262E-01 -1.28086E-01 + 3 2.31717E-02 -4.87398E-02 + 4 1.39044E-02 -1.88108E-02 +4 0 *********** CCCS-thr-his + 1 -8.80916E-01 -4.26539E-01 + 2 2.42448E-01 -9.14291E-02 + 3 1.05248E-01 -4.81750E-02 + 4 -1.92150E-02 -4.72863E-02 +4 0 *********** CCCS-thr-arg + 1 -5.48048E-01 2.09077E-01 + 2 -1.99186E-01 4.01057E-02 + 3 -2.39989E-02 -5.14240E-02 + 4 2.87024E-02 2.01337E-02 +4 0 *********** CCCS-thr-lys + 1 -4.75450E-01 2.54118E-01 + 2 -2.76141E-01 3.68121E-02 + 3 1.98402E-02 -3.23959E-02 + 4 3.17753E-02 2.41329E-02 +4 0 *********** CCCS-thr-pro + 1 -1.46596E+00 -1.78082E-01 + 2 3.64356E-01 -2.36500E-01 + 3 -2.18599E-01 -2.65481E-01 + 4 2.98444E-02 -8.51331E-03 +4 0 *********** CCCS-ser-cys + 1 -1.04837E+00 -6.10995E-01 + 2 -1.41701E-01 -2.85795E-01 + 3 2.72913E-01 -5.66441E-02 + 4 -1.15430E-01 1.18261E-01 +4 0 *********** CCCS-ser-met + 1 -7.53509E-01 -1.07956E-01 + 2 -3.96696E-01 7.46363E-02 + 3 1.45212E-01 -1.03265E-01 + 4 -5.12330E-03 4.33481E-02 +4 0 *********** CCCS-ser-phe + 1 -8.86745E-01 -1.49766E-01 + 2 -2.52304E-01 3.97771E-01 + 3 -3.63396E-02 -1.43342E-01 + 4 3.20231E-02 6.09485E-02 +4 0 *********** CCCS-ser-ile + 1 -9.81862E-01 -1.93356E-01 + 2 -6.47003E-01 1.05026E-01 + 3 2.60292E-01 -1.94239E-01 + 4 -1.34015E-03 -6.87713E-04 +4 0 *********** CCCS-ser-leu + 1 -6.55843E-01 1.84284E-01 + 2 -7.79829E-01 3.69260E-01 + 3 9.90230E-02 -1.49577E-01 + 4 2.84450E-02 -5.30532E-02 +4 0 *********** CCCS-ser-val + 1 -8.84912E-01 -1.43814E-01 + 2 -7.19527E-01 1.80517E-01 + 3 2.55997E-01 -1.65153E-01 + 4 2.14705E-02 -1.71934E-02 +4 0 *********** CCCS-ser-trp + 1 -9.10824E-01 -7.98788E-02 + 2 -2.66428E-01 2.50268E-01 + 3 1.56151E-03 -1.57157E-01 + 4 3.90715E-02 6.46676E-02 +4 0 *********** CCCS-ser-tyr + 1 -8.72452E-01 -1.33650E-01 + 2 -2.16929E-01 3.71744E-01 + 3 -4.87209E-02 -1.43150E-01 + 4 4.01808E-02 7.72556E-02 +4 0 *********** CCCS-ser-ala + 1 -5.84042E-01 2.54320E-02 + 2 -7.23774E-01 -4.84211E-01 + 3 2.01131E-01 1.15642E-02 + 4 2.58175E-02 4.67270E-02 +4 0 *********** CCCS-ser-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-ser-thr - 1 -1.97745E-01 1.94350E-01 - 2 2.45763E-01 1.88788E-01 - 3 -1.29060E-01 -2.02534E-02 - 4 6.11179E-02 5.19690E-02 - 5 -1.31878E-01 -2.90480E-03 - 6 1.64361E-02 -7.65508E-02 -6 0 *********** CCCS-ser-ser - 1 -2.59272E-01 2.20590E-01 - 2 -8.91058E-02 5.87615E-01 - 3 -7.83145E-02 -1.25140E-01 - 4 -2.04629E-01 2.79464E-01 - 5 8.99667E-02 -9.65788E-02 - 6 -1.92229E-01 -4.95892E-01 -6 0 *********** CCCS-ser-gln - 1 -1.57205E-01 2.02914E-01 - 2 7.69219E-02 2.87705E-01 - 3 -1.06061E-01 -4.95700E-02 - 4 -4.76242E-02 8.41118E-02 - 5 -5.30026E-02 -2.77578E-02 - 6 -4.30948E-02 -1.67343E-01 -6 0 *********** CCCS-ser-asn - 1 -2.42118E-01 2.33249E-01 - 2 -1.86843E-01 3.29565E-01 - 3 -1.67620E-01 -6.04880E-02 - 4 -1.59874E-01 1.75704E-01 - 5 2.45600E-02 -9.72523E-02 - 6 -1.17590E-01 -3.03357E-01 -6 0 *********** CCCS-ser-glu - 1 -1.30009E-01 2.18036E-01 - 2 1.29245E-01 2.86502E-01 - 3 -9.11889E-02 -4.26156E-02 - 4 -1.88100E-02 7.31172E-02 - 5 -5.91435E-02 -1.66696E-02 - 6 -2.80203E-02 -1.41942E-01 -6 0 *********** CCCS-ser-asp - 1 -2.47345E-01 2.00869E-01 - 2 -1.61372E-01 3.33032E-01 - 3 -1.23519E-01 -8.38555E-03 - 4 -1.23644E-01 1.68634E-01 - 5 -1.94355E-02 -7.11329E-02 - 6 -1.08142E-01 -2.56694E-01 -6 0 *********** CCCS-ser-his - 1 -1.76492E-01 2.94431E-01 - 2 -1.61494E-01 2.67854E-01 - 3 -1.87059E-01 5.16473E-02 - 4 -5.30497E-02 1.06951E-01 - 5 -1.20051E-01 -3.62362E-02 - 6 -5.13175E-02 -1.17525E-01 -6 0 *********** CCCS-ser-arg - 1 -1.09439E-01 1.45623E-01 - 2 1.86111E-01 1.04780E-01 - 3 -8.84665E-02 -9.85560E-02 - 4 -3.40626E-02 6.04373E-02 - 5 -3.74758E-02 -4.22340E-02 - 6 -3.85764E-02 -1.34467E-01 -6 0 *********** CCCS-ser-lys - 1 -1.24755E-01 1.51129E-01 - 2 2.25444E-01 6.38137E-02 - 3 -1.11822E-01 -7.39267E-02 - 4 1.80943E-02 3.58601E-02 - 5 -9.00375E-02 -2.91304E-02 - 6 -7.55953E-03 -8.05218E-02 -6 0 *********** CCCS-ser-pro - 1 1.23702E-01 -4.50490E-01 - 2 -4.02980E-01 -2.53802E-02 - 3 -1.16646E-01 -3.27125E-01 - 4 2.09094E-02 3.66850E-01 - 5 2.13675E-01 -1.35415E-01 - 6 -2.40190E-01 -5.90493E-01 -6 0 *********** CCCS-gln-cys - 1 -9.11312E-01 4.86885E-01 - 2 -1.46991E-01 3.27481E-01 - 3 -1.98803E-01 -5.36682E-02 - 4 -8.46335E-02 5.74431E-02 - 5 -1.06336E-01 -3.30588E-02 - 6 -3.59060E-02 -1.25129E-01 -6 0 *********** CCCS-gln-met - 1 -5.83890E-01 5.57960E-01 - 2 1.54372E-01 1.07370E-01 - 3 -9.64036E-02 1.08135E-02 - 4 -8.64409E-03 9.44125E-02 - 5 -1.31562E-01 -1.63110E-02 - 6 -6.61752E-03 -1.32402E-02 -6 0 *********** CCCS-gln-phe - 1 -5.10151E-01 7.11157E-01 - 2 9.52633E-02 2.44852E-02 - 3 -3.42555E-02 -9.90817E-02 - 4 -2.15379E-02 8.59399E-02 - 5 -5.93982E-02 -3.53258E-02 - 6 -2.67572E-02 -2.43571E-02 -6 0 *********** CCCS-gln-ile - 1 -6.26222E-01 7.06991E-01 - 2 1.88476E-01 5.54091E-02 - 3 -1.01818E-01 8.27453E-02 - 4 1.62938E-02 1.11930E-01 - 5 -1.77627E-01 -1.19435E-02 - 6 1.40951E-02 4.82151E-02 -6 0 *********** CCCS-gln-leu - 1 -4.72781E-01 5.98271E-01 - 2 3.29982E-01 3.21820E-03 - 3 8.55752E-02 -1.49748E-02 - 4 -3.65208E-02 1.10640E-01 - 5 2.90995E-02 -4.52029E-02 - 6 -2.63046E-02 -2.09016E-02 -6 0 *********** CCCS-gln-val - 1 -5.71526E-01 6.71004E-01 - 2 2.05800E-01 -2.14564E-03 - 3 -5.13530E-02 6.69360E-02 - 4 -3.45057E-02 1.12505E-01 - 5 -1.11706E-01 -2.25606E-02 - 6 -1.18741E-02 4.71185E-02 -6 0 *********** CCCS-gln-trp - 1 -4.72439E-01 6.75729E-01 - 2 8.52127E-02 9.84391E-02 - 3 -6.43783E-02 -7.28928E-02 - 4 -2.35855E-02 8.75507E-02 - 5 -6.96126E-02 -2.92815E-02 - 6 -2.66099E-02 -4.08272E-02 -6 0 *********** CCCS-gln-tyr - 1 -4.86038E-01 6.86094E-01 - 2 5.71755E-02 5.68739E-02 - 3 -8.13157E-03 -1.36463E-01 - 4 -6.98789E-02 1.09435E-01 - 5 -4.18579E-03 -4.95531E-02 - 6 -5.90516E-02 -9.01847E-02 -6 0 *********** CCCS-gln-ala - 1 -6.95425E-01 2.17216E-01 - 2 2.84597E-01 4.17930E-01 - 3 -7.23778E-02 4.76704E-02 - 4 -1.68734E-01 1.85224E-01 - 5 1.82523E-02 -2.31172E-02 - 6 -1.28547E-01 -2.21400E-01 -6 0 *********** CCCS-gln-gly +4 0 *********** CCCS-ser-thr + 1 -9.04091E-01 -1.14038E-01 + 2 -5.51718E-01 -1.18229E-01 + 3 1.98503E-01 -1.00926E-01 + 4 -5.00525E-03 2.62573E-02 +4 0 *********** CCCS-ser-ser + 1 -1.21903E+00 -1.13590E+00 + 2 1.10102E-01 -4.44413E-01 + 3 8.51782E-02 -3.48694E-02 + 4 -1.04601E-01 1.08914E-02 +4 0 *********** CCCS-ser-gln + 1 -9.00164E-01 -1.94782E-01 + 2 -2.32413E-01 -1.81809E-01 + 3 3.42637E-03 -1.34012E-01 + 4 -1.13839E-02 8.78613E-02 +4 0 *********** CCCS-ser-asn + 1 -1.00506E+00 -7.20429E-01 + 2 1.84999E-01 -3.76506E-01 + 3 2.75927E-02 -1.52767E-02 + 4 -3.90060E-02 7.92171E-02 +4 0 *********** CCCS-ser-glu + 1 -1.01415E+00 -1.64873E-01 + 2 -3.38425E-01 -8.18384E-02 + 3 2.91754E-02 -1.74557E-01 + 4 2.24211E-02 6.18333E-02 +4 0 *********** CCCS-ser-asp + 1 -1.10875E+00 -8.78415E-01 + 2 1.03249E-01 -4.25272E-01 + 3 1.91494E-02 6.71157E-02 + 4 -1.74906E-02 6.09993E-02 +4 0 *********** CCCS-ser-his + 1 -1.05074E+00 -6.95434E-01 + 2 2.12439E-01 -1.69617E-01 + 3 2.07914E-01 -5.80538E-02 + 4 -2.43340E-02 -1.00341E-02 +4 0 *********** CCCS-ser-arg + 1 -7.23069E-01 4.20717E-02 + 2 -3.65552E-01 2.58250E-01 + 3 4.00962E-02 -1.34337E-01 + 4 -1.39705E-02 -6.73942E-03 +4 0 *********** CCCS-ser-lys + 1 -6.17249E-01 1.39288E-01 + 2 -5.23413E-01 2.16254E-01 + 3 1.24271E-01 -8.68823E-02 + 4 1.69791E-02 -1.07765E-02 +4 0 *********** CCCS-ser-pro + 1 -1.80852E+00 -1.13946E+00 + 2 -2.23424E-01 -3.04544E-01 + 3 -2.06562E-02 -2.72521E-01 + 4 -1.05566E-01 1.34185E-01 +4 0 *********** CCCS-gln-cys + 1 -8.92424E-01 -5.07387E-01 + 2 -2.42104E-02 -4.24023E-02 + 3 1.02088E-01 -1.39458E-01 + 4 -9.38427E-03 5.45754E-02 +4 0 *********** CCCS-gln-met + 1 -6.52447E-01 -1.51895E-02 + 2 -1.90492E-01 6.94244E-02 + 3 3.21838E-02 -7.49475E-02 + 4 -2.23481E-03 3.86823E-02 +4 0 *********** CCCS-gln-phe + 1 -7.26817E-01 3.40560E-02 + 2 -7.70293E-02 2.09886E-01 + 3 -9.15611E-02 -3.53401E-02 + 4 5.22865E-02 4.07427E-02 +4 0 *********** CCCS-gln-ile + 1 -8.16693E-01 -5.89724E-02 + 2 -2.43021E-01 7.80091E-02 + 3 2.10517E-02 -1.25236E-01 + 4 -3.01370E-02 3.17542E-02 +4 0 *********** CCCS-gln-leu + 1 -5.65181E-01 1.91999E-01 + 2 -3.29198E-01 2.16747E-01 + 3 2.92818E-03 -4.08838E-02 + 4 -2.38458E-02 5.62057E-02 +4 0 *********** CCCS-gln-val + 1 -7.29652E-01 -3.69959E-03 + 2 -2.89669E-01 1.14813E-01 + 3 3.10314E-02 -1.01801E-01 + 4 -2.27825E-02 4.27327E-02 +4 0 *********** CCCS-gln-trp + 1 -7.66079E-01 5.39907E-02 + 2 -9.03988E-02 1.34352E-01 + 3 -6.45456E-02 -5.61522E-02 + 4 4.25952E-02 3.57759E-02 +4 0 *********** CCCS-gln-tyr + 1 -7.16548E-01 3.52292E-02 + 2 -6.46610E-02 1.99411E-01 + 3 -9.15575E-02 -3.54834E-02 + 4 5.79160E-02 4.34072E-02 +4 0 *********** CCCS-gln-ala + 1 -4.87342E-01 -3.86897E-02 + 2 -3.86278E-01 -1.76526E-01 + 3 5.77582E-02 -1.40930E-02 + 4 -3.92891E-02 -5.27642E-02 +4 0 *********** CCCS-gln-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-gln-thr - 1 -8.50426E-01 4.89491E-01 - 2 3.99478E-01 2.09026E-01 - 3 -1.41284E-01 1.10074E-01 - 4 -3.46067E-02 1.07820E-01 - 5 -1.58159E-01 1.26326E-02 - 6 -2.36300E-02 1.51098E-03 -6 0 *********** CCCS-gln-ser - 1 -1.17660E+00 4.15931E-01 - 2 -3.09073E-01 7.38224E-01 - 3 -2.33517E-01 -1.31343E-01 - 4 -1.66299E-01 1.19421E-02 - 5 -2.90927E-02 -5.55764E-02 - 6 -5.19929E-02 -3.03291E-01 -6 0 *********** CCCS-gln-gln - 1 -6.65168E-01 5.29683E-01 - 2 1.33416E-02 3.02582E-01 - 3 -1.66643E-01 -1.01792E-02 - 4 -1.88124E-02 7.46125E-02 - 5 -1.51702E-01 -5.00831E-03 - 6 -1.36721E-02 -7.48026E-02 -6 0 *********** CCCS-gln-asn - 1 -9.21672E-01 2.24533E-01 - 2 -3.68596E-01 3.07480E-01 - 3 -2.19442E-01 -1.34108E-01 - 4 -7.48838E-02 3.57739E-02 - 5 -6.55911E-02 -3.98105E-02 - 6 -4.46918E-02 -1.89147E-01 -6 0 *********** CCCS-gln-glu - 1 -6.71126E-01 6.53436E-01 - 2 7.06504E-02 3.01008E-01 - 3 -1.52336E-01 2.65357E-02 - 4 -2.46344E-02 9.46027E-02 - 5 -1.41873E-01 -2.62087E-03 - 6 -1.84508E-02 -4.30433E-02 -6 0 *********** CCCS-gln-asp - 1 -1.07041E+00 -8.04267E-02 - 2 -2.16234E-01 4.79218E-01 - 3 -1.80989E-01 -9.83985E-03 - 4 -1.04428E-01 2.70860E-02 - 5 -1.09274E-01 -3.65505E-02 - 6 -3.50950E-02 -2.36589E-01 -6 0 *********** CCCS-gln-his - 1 -8.73104E-01 5.15609E-01 - 2 -3.54744E-01 2.29926E-01 - 3 -2.83231E-01 -8.62836E-02 - 4 -2.19414E-02 3.58189E-02 - 5 -1.13149E-01 -2.96843E-02 - 6 -2.63492E-02 -8.21583E-02 -6 0 *********** CCCS-gln-arg - 1 -4.39318E-01 5.47481E-01 - 2 1.54876E-01 8.03944E-02 - 3 3.33358E-03 -4.84185E-02 - 4 -5.17340E-02 1.13528E-01 - 5 -3.25373E-02 -4.28430E-02 - 6 -3.52592E-02 -7.06679E-02 -6 0 *********** CCCS-gln-lys - 1 -4.73087E-01 5.33347E-01 - 2 2.48300E-01 3.16521E-02 - 3 -2.28692E-02 4.71487E-04 - 4 2.11293E-03 8.64580E-02 - 5 -7.88371E-02 -2.82020E-02 - 6 -1.78632E-03 -4.88562E-03 -6 0 *********** CCCS-gln-pro - 1 1.45069E+00 2.94471E-01 - 2 -7.56812E-01 -4.03113E-01 - 3 -8.17387E-01 -7.63617E-01 - 4 -3.99971E-01 3.80166E-01 - 5 2.98555E-01 1.23289E-01 - 6 -7.36956E-02 -3.46063E-01 -6 0 *********** CCCS-asn-cys - 1 -9.81243E-01 7.38947E-01 - 2 -1.27216E-01 2.64776E-01 - 3 -1.89802E-01 -2.42134E-02 - 4 -6.40229E-02 8.29857E-02 - 5 -1.58300E-01 -2.72951E-02 - 6 -1.91836E-02 -6.96382E-02 -6 0 *********** CCCS-asn-met - 1 -5.28844E-01 6.71403E-01 - 2 1.13849E-01 6.62739E-02 - 3 -6.58071E-02 -2.43809E-02 - 4 9.86111E-03 1.07713E-01 - 5 -1.34817E-01 -2.10671E-02 - 6 1.44374E-03 -6.33229E-03 -6 0 *********** CCCS-asn-phe - 1 -4.09384E-01 8.49907E-01 - 2 8.47627E-04 1.23925E-02 - 3 -3.57423E-02 -1.65132E-01 - 4 -1.03322E-02 8.46689E-02 - 5 -5.54326E-02 -2.93923E-02 - 6 -3.75781E-02 -3.35103E-02 -6 0 *********** CCCS-asn-ile - 1 -5.64930E-01 8.72982E-01 - 2 9.55892E-02 -1.75407E-02 - 3 -9.29467E-03 2.53323E-02 - 4 3.21160E-02 1.61331E-01 - 5 -1.92475E-01 -4.48645E-02 - 6 4.18638E-02 1.77003E-02 -6 0 *********** CCCS-asn-leu - 1 -3.78356E-01 6.97780E-01 - 2 2.66114E-01 -7.37090E-02 - 3 1.27426E-01 -7.85588E-02 - 4 -1.59465E-02 1.21971E-01 - 5 1.23339E-02 -6.63152E-02 - 6 -7.42130E-03 -4.14076E-02 -6 0 *********** CCCS-asn-val - 1 -5.04308E-01 8.10321E-01 - 2 1.18691E-01 -7.66508E-02 - 3 2.96895E-02 1.85849E-02 - 4 -2.11599E-02 1.57982E-01 - 5 -1.30426E-01 -5.59587E-02 - 6 1.61186E-02 1.32968E-02 -6 0 *********** CCCS-asn-trp - 1 -3.82884E-01 8.01736E-01 - 2 2.56529E-02 8.67306E-02 - 3 -7.40116E-02 -1.16420E-01 - 4 -3.60492E-04 8.04250E-02 - 5 -7.83570E-02 -2.24886E-02 - 6 -2.59654E-02 -2.75688E-02 -6 0 *********** CCCS-asn-tyr - 1 -3.88971E-01 8.18540E-01 - 2 -3.06476E-02 5.30580E-02 - 3 -1.58166E-02 -2.02065E-01 - 4 -5.97860E-02 1.08157E-01 - 5 2.45092E-03 -4.32023E-02 - 6 -7.22697E-02 -9.84011E-02 -6 0 *********** CCCS-asn-ala - 1 -6.90939E-01 3.08987E-01 - 2 3.07003E-01 3.25144E-01 - 3 -3.00035E-03 4.85177E-02 - 4 -1.66492E-01 1.79254E-01 - 5 5.68450E-02 -2.69275E-02 - 6 -1.24516E-01 -1.76014E-01 -6 0 *********** CCCS-asn-gly +4 0 *********** CCCS-gln-thr + 1 -7.80760E-01 -6.13841E-02 + 2 -2.35612E-01 -1.24991E-02 + 3 2.49293E-02 -8.20607E-02 + 4 -3.06675E-02 6.90262E-03 +4 0 *********** CCCS-gln-ser + 1 -1.03788E+00 -8.88069E-01 + 2 5.34243E-02 5.10125E-02 + 3 1.50205E-01 -2.08371E-01 + 4 -3.01558E-02 2.63968E-02 +4 0 *********** CCCS-gln-gln + 1 -8.04285E-01 -1.29508E-01 + 2 -9.34017E-02 -6.37414E-02 + 3 -5.87788E-02 -9.53064E-02 + 4 1.00333E-03 3.02731E-02 +4 0 *********** CCCS-gln-asn + 1 -8.38358E-01 -6.14264E-01 + 2 8.17134E-02 -9.24163E-02 + 3 5.51736E-02 -1.03468E-01 + 4 2.68239E-02 5.56177E-02 +4 0 *********** CCCS-gln-glu + 1 -8.96027E-01 -8.93257E-02 + 2 -1.12126E-01 -9.88092E-03 + 3 -6.86206E-02 -1.06623E-01 + 4 1.56355E-03 1.49187E-02 +4 0 *********** CCCS-gln-asp + 1 -9.22333E-01 -7.13812E-01 + 2 5.02866E-02 -5.05264E-02 + 3 7.80833E-02 -1.05819E-01 + 4 2.92431E-02 4.29878E-02 +4 0 *********** CCCS-gln-his + 1 -8.45032E-01 -5.83060E-01 + 2 1.08484E-01 -2.73417E-02 + 3 1.30266E-01 -1.25573E-01 + 4 1.45373E-02 5.90930E-03 +4 0 *********** CCCS-gln-arg + 1 -6.20503E-01 1.20432E-01 + 2 -1.63002E-01 1.57146E-01 + 3 -2.74049E-02 -5.80091E-02 + 4 -8.73186E-03 2.35626E-02 +4 0 *********** CCCS-gln-lys + 1 -5.28575E-01 1.73025E-01 + 2 -2.56094E-01 1.51170E-01 + 3 3.46088E-02 -3.83785E-02 + 4 -5.83263E-03 2.90363E-02 +4 0 *********** CCCS-gln-pro + 1 -1.54478E+00 -7.03617E-01 + 2 1.77756E-01 2.22580E-01 + 3 -8.84134E-02 -4.66760E-01 + 4 -7.23375E-02 1.06350E-01 +4 0 *********** CCCS-asn-cys + 1 -9.82525E-01 -3.51632E-01 + 2 -2.52515E-01 -1.11964E-01 + 3 1.39576E-01 -1.96110E-01 + 4 -4.65103E-03 8.79464E-02 +4 0 *********** CCCS-asn-met + 1 -6.91677E-01 7.41541E-02 + 2 -2.56189E-01 2.36130E-01 + 3 1.10713E-02 -1.44067E-01 + 4 3.06039E-02 2.74767E-02 +4 0 *********** CCCS-asn-phe + 1 -8.00835E-01 1.00115E-01 + 2 -1.07459E-02 3.91741E-01 + 3 -1.24297E-01 -2.84366E-02 + 4 6.54387E-02 -3.93435E-03 +4 0 *********** CCCS-asn-ile + 1 -8.79446E-01 4.35312E-02 + 2 -3.90415E-01 3.37774E-01 + 3 -7.49679E-03 -2.43611E-01 + 4 6.60991E-03 3.25451E-02 +4 0 *********** CCCS-asn-leu + 1 -5.41915E-01 2.78822E-01 + 2 -3.53500E-01 5.72411E-01 + 3 -4.69218E-02 -8.76969E-02 + 4 -2.13995E-02 -1.69204E-03 +4 0 *********** CCCS-asn-val + 1 -7.84056E-01 7.67350E-02 + 2 -4.05088E-01 4.09834E-01 + 3 6.41454E-03 -2.09256E-01 + 4 1.00253E-02 1.59303E-02 +4 0 *********** CCCS-asn-trp + 1 -8.15609E-01 1.46881E-01 + 2 -8.28472E-02 2.99037E-01 + 3 -1.03392E-01 -7.04564E-02 + 4 6.42105E-02 -3.85424E-03 +4 0 *********** CCCS-asn-tyr + 1 -7.88025E-01 1.06272E-01 + 2 2.66433E-03 3.62107E-01 + 3 -1.27500E-01 -2.45225E-02 + 4 7.87480E-02 -3.57991E-03 +4 0 *********** CCCS-asn-ala + 1 -5.02302E-01 7.78595E-02 + 2 -6.89111E-01 -3.28269E-03 + 3 8.33343E-02 -1.01783E-01 + 4 4.50539E-03 -2.04876E-02 +4 0 *********** CCCS-asn-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-asn-thr - 1 -8.20768E-01 6.36954E-01 - 2 3.63215E-01 8.57219E-02 - 3 -4.68729E-02 9.70987E-02 - 4 -5.60658E-02 1.28204E-01 - 5 -1.17309E-01 -3.91918E-03 - 6 -2.61601E-02 3.27244E-02 -6 0 *********** CCCS-asn-ser - 1 -1.48082E+00 9.73863E-01 - 2 -2.39555E-01 5.47266E-01 - 3 -2.48177E-01 -6.78655E-02 - 4 -1.22407E-01 3.06881E-02 - 5 -1.14624E-01 -3.91831E-02 - 6 -2.09710E-02 -1.05869E-01 -6 0 *********** CCCS-asn-gln - 1 -6.38601E-01 6.72814E-01 - 2 1.27532E-02 2.90820E-01 - 3 -1.61997E-01 -3.36020E-02 - 4 3.71112E-03 8.47105E-02 - 5 -1.56262E-01 1.06251E-03 - 6 -1.20994E-02 -5.67135E-02 -6 0 *********** CCCS-asn-asn - 1 -1.03529E+00 4.09695E-01 - 2 -2.82458E-01 3.32931E-01 - 3 -2.72391E-01 -8.49418E-02 - 4 -6.30248E-02 3.01867E-02 - 5 -1.08756E-01 -4.42677E-02 - 6 -1.90216E-02 -1.43975E-01 -6 0 *********** CCCS-asn-glu - 1 -6.24444E-01 8.36389E-01 - 2 3.08403E-02 2.67311E-01 - 3 -1.18897E-01 -1.36358E-02 - 4 3.23561E-03 1.10909E-01 - 5 -1.41815E-01 -2.03370E-03 - 6 -1.20046E-02 -3.04993E-02 -6 0 *********** CCCS-asn-asp - 1 -1.31230E+00 6.08324E-02 - 2 -4.66516E-03 4.89280E-01 - 3 -2.31381E-01 2.65240E-02 - 4 -7.91981E-02 5.00199E-02 - 5 -1.61024E-01 -1.30875E-02 - 6 -2.50555E-02 -1.88560E-01 -6 0 *********** CCCS-asn-his - 1 -9.47521E-01 8.00586E-01 - 2 -3.84671E-01 2.07295E-01 - 3 -2.53791E-01 -5.33085E-02 - 4 -3.84714E-02 5.82850E-02 - 5 -1.28432E-01 -4.38477E-02 - 6 -2.18627E-02 -3.71136E-02 -6 0 *********** CCCS-asn-arg - 1 -3.62848E-01 6.33833E-01 - 2 1.08980E-01 4.83759E-02 - 3 2.27920E-02 -9.80776E-02 - 4 -3.78147E-02 1.19442E-01 - 5 -3.38356E-02 -4.62190E-02 - 6 -3.48041E-02 -8.54747E-02 -6 0 *********** CCCS-asn-lys - 1 -3.99732E-01 6.17116E-01 - 2 2.02924E-01 -1.94415E-02 - 3 6.02302E-03 -4.65917E-02 - 4 1.73784E-02 9.51469E-02 - 5 -8.75523E-02 -4.03373E-02 - 6 8.76732E-03 -1.66787E-02 -6 0 *********** CCCS-asn-pro - 1 2.80167E+00 4.81081E-01 - 2 -2.07001E-01 4.08283E-01 - 3 -9.00332E-01 -5.09962E-02 - 4 -6.53642E-01 6.46736E-01 - 5 1.64194E-01 4.80608E-02 - 6 -1.25901E-01 -4.74117E-01 -6 0 *********** CCCS-glu-cys - 1 -6.44744E-01 1.94963E-01 - 2 -1.23400E-01 4.72754E-01 - 3 -1.80354E-01 -6.53012E-02 - 4 -1.39261E-01 9.03505E-02 - 5 -4.92369E-02 -4.94551E-02 - 6 -7.20235E-02 -2.70591E-01 -6 0 *********** CCCS-glu-met - 1 -5.11248E-01 3.59750E-01 - 2 2.15710E-01 1.46131E-01 - 3 -1.10520E-01 8.35251E-03 - 4 -4.87025E-03 7.79767E-02 - 5 -1.08686E-01 -1.06252E-02 - 6 -1.36649E-02 -4.54532E-02 -6 0 *********** CCCS-glu-phe - 1 -4.70125E-01 4.63112E-01 - 2 2.17518E-01 3.42238E-02 - 3 -6.31706E-02 -5.49241E-02 - 4 -1.55684E-02 6.04560E-02 - 5 -6.59428E-02 -3.24503E-02 - 6 -1.88665E-02 -2.84531E-02 -6 0 *********** CCCS-glu-ile - 1 -5.52165E-01 4.40316E-01 - 2 2.86501E-01 1.19971E-01 - 3 -1.57400E-01 7.00972E-02 - 4 5.58583E-02 7.03934E-02 - 5 -1.59460E-01 5.39092E-03 - 6 1.42226E-02 3.27814E-02 -6 0 *********** CCCS-glu-leu - 1 -4.63638E-01 4.18084E-01 - 2 3.98640E-01 5.96325E-03 - 3 5.68312E-02 -1.85701E-02 - 4 -2.67309E-02 8.01931E-02 - 5 4.54554E-02 -3.67789E-02 - 6 -3.30695E-02 -2.59191E-02 -6 0 *********** CCCS-glu-val - 1 -5.10509E-01 4.37881E-01 - 2 2.83195E-01 4.98836E-02 - 3 -1.01193E-01 4.27127E-02 - 4 9.21017E-03 7.68823E-02 - 5 -9.97160E-02 -6.91023E-03 - 6 -9.61703E-03 1.81664E-02 -6 0 *********** CCCS-glu-trp - 1 -4.41061E-01 4.37295E-01 - 2 1.95095E-01 1.00936E-01 - 3 -7.60768E-02 -3.90511E-02 - 4 -2.35785E-02 7.06695E-02 - 5 -6.54462E-02 -2.81214E-02 - 6 -2.40580E-02 -4.58926E-02 -6 0 *********** CCCS-glu-tyr - 1 -4.45689E-01 4.45616E-01 - 2 1.77315E-01 5.85893E-02 - 3 -3.58001E-02 -8.51341E-02 - 4 -6.16139E-02 8.15937E-02 - 5 -1.81915E-02 -4.55243E-02 - 6 -4.70711E-02 -8.39008E-02 -6 0 *********** CCCS-glu-ala - 1 -6.18616E-01 1.05845E-01 - 2 2.99093E-01 4.46810E-01 - 3 -7.80112E-02 1.64218E-02 - 4 -1.36393E-01 1.82471E-01 - 5 7.08592E-03 -2.56853E-02 - 6 -1.11483E-01 -2.70616E-01 -6 0 *********** CCCS-glu-gly +4 0 *********** CCCS-asn-thr + 1 -8.11013E-01 6.59891E-02 + 2 -4.26966E-01 1.74948E-01 + 3 1.65546E-02 -1.73767E-01 + 4 1.62799E-02 1.83886E-02 +4 0 *********** CCCS-asn-ser + 1 -1.06150E+00 -7.20223E-01 + 2 -2.64205E-01 -2.70668E-01 + 3 1.82935E-01 -1.36872E-01 + 4 -8.21001E-02 2.23903E-02 +4 0 *********** CCCS-asn-gln + 1 -8.31456E-01 6.94561E-03 + 2 -2.57390E-01 -6.59889E-04 + 3 -9.34647E-02 -1.03075E-01 + 4 5.54687E-02 4.35942E-02 +4 0 *********** CCCS-asn-asn + 1 -9.21745E-01 -4.50877E-01 + 2 -1.26524E-01 -3.04363E-01 + 3 8.91806E-02 -6.87808E-02 + 4 3.45027E-03 4.84865E-02 +4 0 *********** CCCS-asn-glu + 1 -9.20190E-01 5.35560E-02 + 2 -2.79315E-01 1.17997E-01 + 3 -1.06749E-01 -1.38302E-01 + 4 4.73823E-02 2.11619E-02 +4 0 *********** CCCS-asn-asp + 1 -1.00292E+00 -5.61433E-01 + 2 -2.15450E-01 -2.73663E-01 + 3 1.43746E-01 -4.62991E-02 + 4 -2.84376E-03 2.89608E-02 +4 0 *********** CCCS-asn-his + 1 -9.46800E-01 -4.06137E-01 + 2 -2.58896E-02 -2.06499E-01 + 3 1.62179E-01 -1.74252E-01 + 4 -5.68963E-02 -5.58782E-03 +4 0 *********** CCCS-asn-arg + 1 -6.34581E-01 2.06273E-01 + 2 -1.47943E-01 3.52432E-01 + 3 -7.07501E-02 -8.73140E-02 + 4 -7.27691E-03 1.39072E-02 +4 0 *********** CCCS-asn-lys + 1 -5.18691E-01 2.52763E-01 + 2 -2.70015E-01 3.87332E-01 + 3 1.24213E-02 -9.85008E-02 + 4 -1.38280E-04 -9.05424E-04 +4 0 *********** CCCS-asn-pro + 1 -1.35260E+00 -5.40866E-01 + 2 -4.23502E-01 7.57498E-02 + 3 -9.66377E-03 -3.95838E-01 + 4 -1.07416E-01 1.33385E-01 +4 0 *********** CCCS-glu-cys + 1 -9.26658E-01 -5.25130E-01 + 2 -1.46141E-02 -8.43380E-02 + 3 1.18348E-01 -1.11715E-01 + 4 -2.52483E-02 5.22072E-02 +4 0 *********** CCCS-glu-met + 1 -6.70146E-01 -2.45277E-02 + 2 -2.20947E-01 5.40152E-02 + 3 5.24231E-02 -7.59906E-02 + 4 -3.45329E-03 4.10627E-02 +4 0 *********** CCCS-glu-phe + 1 -7.46132E-01 1.54575E-02 + 2 -1.12917E-01 2.21803E-01 + 3 -8.16859E-02 -5.39614E-02 + 4 5.01778E-02 4.34568E-02 +4 0 *********** CCCS-glu-ile + 1 -8.39765E-01 -6.34876E-02 + 2 -2.92138E-01 4.98946E-02 + 3 5.66111E-02 -1.30373E-01 + 4 -2.67769E-02 3.74880E-02 +4 0 *********** CCCS-glu-leu + 1 -5.78575E-01 2.02103E-01 + 2 -3.98231E-01 2.12278E-01 + 3 1.49364E-02 -5.94025E-02 + 4 -1.26158E-02 4.46635E-02 +4 0 *********** CCCS-glu-val + 1 -7.49311E-01 -7.45102E-03 + 2 -3.44907E-01 9.25320E-02 + 3 6.20556E-02 -1.08748E-01 + 4 -1.58245E-02 4.46948E-02 +4 0 *********** CCCS-glu-trp + 1 -7.88016E-01 4.08201E-02 + 2 -1.22548E-01 1.35274E-01 + 3 -5.01657E-02 -7.13558E-02 + 4 4.18897E-02 4.10282E-02 +4 0 *********** CCCS-glu-tyr + 1 -7.36009E-01 1.70572E-02 + 2 -9.74425E-02 2.10864E-01 + 3 -8.25035E-02 -5.34527E-02 + 4 5.51966E-02 4.71361E-02 +4 0 *********** CCCS-glu-ala + 1 -5.03992E-01 -2.97856E-02 + 2 -4.18076E-01 -2.36919E-01 + 3 7.80568E-02 -7.41743E-03 + 4 -3.12610E-02 -3.56243E-02 +4 0 *********** CCCS-glu-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-glu-thr - 1 -7.21241E-01 2.93041E-01 - 2 4.22480E-01 2.75123E-01 - 3 -1.58471E-01 6.54361E-02 - 4 1.20432E-02 1.04930E-01 - 5 -1.74487E-01 1.65512E-02 - 6 -6.12906E-03 -6.50591E-02 -6 0 *********** CCCS-glu-ser - 1 -7.96094E-01 2.61733E-02 - 2 -2.55872E-01 8.87296E-01 - 3 -1.97595E-01 -1.07366E-01 - 4 -2.41049E-01 9.81757E-02 - 5 3.03423E-02 -1.01531E-01 - 6 -1.05699E-01 -5.02121E-01 -6 0 *********** CCCS-glu-gln - 1 -5.50017E-01 2.96221E-01 - 2 8.62141E-02 3.54391E-01 - 3 -1.59793E-01 1.20104E-02 - 4 -4.13417E-02 7.45607E-02 - 5 -1.25927E-01 -6.95700E-03 - 6 -2.55146E-02 -1.17098E-01 -6 0 *********** CCCS-glu-asn - 1 -6.09527E-01 5.05370E-02 - 2 -3.94989E-01 4.05200E-01 - 3 -2.23811E-01 -1.29032E-01 - 4 -1.18679E-01 6.37159E-02 - 5 -1.07685E-02 -7.52060E-02 - 6 -7.51705E-02 -3.02381E-01 -6 0 *********** CCCS-glu-glu - 1 -5.70372E-01 3.61149E-01 - 2 1.78779E-01 3.57460E-01 - 3 -1.59046E-01 4.52333E-02 - 4 -2.83182E-02 8.62852E-02 - 5 -1.19881E-01 6.03565E-04 - 6 -2.52658E-02 -9.67984E-02 -6 0 *********** CCCS-glu-asp - 1 -6.78440E-01 -1.23587E-01 - 2 -3.18349E-01 4.98469E-01 - 3 -1.81267E-01 -2.37933E-04 - 4 -1.07773E-01 4.92910E-02 - 5 -8.05626E-02 -5.58527E-02 - 6 -5.97200E-02 -2.69735E-01 -6 0 *********** CCCS-glu-his - 1 -5.61071E-01 2.29906E-01 - 2 -3.20855E-01 3.49371E-01 - 3 -3.09949E-01 -3.10144E-02 - 4 -3.18311E-02 1.79957E-02 - 5 -1.28616E-01 -3.38856E-02 - 6 -1.55391E-02 -1.38973E-01 -6 0 *********** CCCS-glu-arg - 1 -4.17167E-01 3.73858E-01 - 2 2.26484E-01 8.44185E-02 - 3 -1.76010E-02 -3.85900E-02 - 4 -4.34399E-02 8.99905E-02 - 5 -2.37963E-02 -3.70675E-02 - 6 -3.63097E-02 -7.94303E-02 -6 0 *********** CCCS-glu-lys - 1 -4.47727E-01 3.74205E-01 - 2 3.01625E-01 3.89187E-02 - 3 -3.71745E-02 -3.56318E-03 - 4 5.61010E-03 6.64647E-02 - 5 -6.05905E-02 -2.38101E-02 - 6 -7.99529E-03 -2.17338E-02 -6 0 *********** CCCS-glu-pro - 1 7.79796E-01 2.98627E-01 - 2 -9.38815E-01 -3.78320E-01 - 3 -6.13159E-01 -7.45476E-01 - 4 -2.01332E-01 3.83505E-01 - 5 2.53644E-01 1.08493E-01 - 6 -1.68969E-01 -3.72029E-01 -6 0 *********** CCCS-asp-cys - 1 -9.97031E-01 6.99023E-01 - 2 -1.26321E-01 2.57632E-01 - 3 -1.91182E-01 -2.36356E-02 - 4 -6.62200E-02 7.61185E-02 - 5 -1.53450E-01 -2.99479E-02 - 6 -1.83912E-02 -6.66655E-02 -6 0 *********** CCCS-asp-met - 1 -5.49775E-01 6.55826E-01 - 2 1.18803E-01 7.13744E-02 - 3 -6.92404E-02 -1.54224E-02 - 4 4.08586E-03 1.07368E-01 - 5 -1.36154E-01 -2.14907E-02 - 6 5.70187E-04 -1.86158E-02 -6 0 *********** CCCS-asp-phe - 1 -4.40009E-01 8.34433E-01 - 2 1.22408E-02 1.22408E-02 - 3 -3.01591E-02 -1.55765E-01 - 4 -1.03417E-02 8.83168E-02 - 5 -5.70103E-02 -3.00169E-02 - 6 -3.52175E-02 -2.88786E-02 -6 0 *********** CCCS-asp-ile - 1 -5.90845E-01 8.52911E-01 - 2 1.08821E-01 -1.12148E-02 - 3 -2.10850E-02 4.10654E-02 - 4 2.23100E-02 1.57328E-01 - 5 -1.92537E-01 -4.25249E-02 - 6 3.74685E-02 2.14973E-02 -6 0 *********** CCCS-asp-leu - 1 -4.04890E-01 6.84546E-01 - 2 2.76599E-01 -5.59060E-02 - 3 1.21962E-01 -6.29690E-02 - 4 -2.15471E-02 1.22683E-01 - 5 1.51119E-02 -6.30277E-02 - 6 -1.02194E-02 -3.84813E-02 -6 0 *********** CCCS-asp-val - 1 -5.28959E-01 7.91975E-01 - 2 1.34050E-01 -6.57810E-02 - 3 1.70532E-02 3.28594E-02 - 4 -2.96533E-02 1.52338E-01 - 5 -1.28133E-01 -5.16307E-02 - 6 1.04984E-02 1.72839E-02 -6 0 *********** CCCS-asp-trp - 1 -4.09982E-01 7.87692E-01 - 2 2.98843E-02 8.73770E-02 - 3 -6.82174E-02 -1.11088E-01 - 4 -3.77378E-03 8.44168E-02 - 5 -7.68584E-02 -2.35420E-02 - 6 -2.61672E-02 -2.81123E-02 -6 0 *********** CCCS-asp-tyr - 1 -4.18556E-01 8.03896E-01 - 2 -2.05075E-02 5.15386E-02 - 3 -9.20229E-03 -1.93185E-01 - 4 -5.94545E-02 1.11660E-01 - 5 5.98079E-04 -4.38009E-02 - 6 -6.96195E-02 -8.90867E-02 -6 0 *********** CCCS-asp-ala - 1 -6.98016E-01 2.92305E-01 - 2 3.01054E-01 3.44519E-01 - 3 -2.03807E-02 5.42119E-02 - 4 -1.69511E-01 1.79480E-01 - 5 4.78578E-02 -2.45370E-02 - 6 -1.27324E-01 -1.79644E-01 -6 0 *********** CCCS-asp-gly +4 0 *********** CCCS-glu-thr + 1 -8.04543E-01 -6.20769E-02 + 2 -2.72922E-01 -5.06136E-02 + 3 5.50426E-02 -8.02036E-02 + 4 -2.90912E-02 1.44716E-02 +4 0 *********** CCCS-glu-ser + 1 -1.10702E+00 -9.22384E-01 + 2 1.12736E-01 8.19736E-03 + 3 1.30474E-01 -1.88277E-01 + 4 -3.04892E-02 2.35917E-02 +4 0 *********** CCCS-glu-gln + 1 -8.28918E-01 -1.37209E-01 + 2 -1.06707E-01 -9.58291E-02 + 3 -4.04594E-02 -9.53937E-02 + 4 -3.91334E-03 3.89483E-02 +4 0 *********** CCCS-glu-asn + 1 -8.85321E-01 -6.34665E-01 + 2 1.27028E-01 -1.28080E-01 + 3 4.17177E-02 -8.32055E-02 + 4 2.28902E-02 5.16544E-02 +4 0 *********** CCCS-glu-glu + 1 -9.23757E-01 -9.51260E-02 + 2 -1.38889E-01 -4.10626E-02 + 3 -4.33032E-02 -1.12057E-01 + 4 7.27614E-04 2.53686E-02 +4 0 *********** CCCS-glu-asp + 1 -9.77706E-01 -7.38687E-01 + 2 9.78649E-02 -9.31284E-02 + 3 5.92724E-02 -7.92006E-02 + 4 3.02832E-02 3.85875E-02 +4 0 *********** CCCS-glu-his + 1 -8.93583E-01 -6.06150E-01 + 2 1.48877E-01 -4.86929E-02 + 3 1.28527E-01 -1.05344E-01 + 4 1.04248E-02 -9.87261E-05 +4 0 *********** CCCS-glu-arg + 1 -6.37452E-01 1.14969E-01 + 2 -2.00239E-01 1.56626E-01 + 3 -1.47293E-02 -7.20167E-02 + 4 -6.09780E-03 2.15053E-02 +4 0 *********** CCCS-glu-lys + 1 -5.43918E-01 1.75298E-01 + 2 -3.01529E-01 1.44322E-01 + 3 4.75835E-02 -4.73502E-02 + 4 -4.03201E-04 2.48047E-02 +4 0 *********** CCCS-glu-pro + 1 -1.67644E+00 -7.35341E-01 + 2 2.37419E-01 1.70243E-01 + 3 -1.04694E-01 -4.62205E-01 + 4 -6.66424E-02 1.21892E-01 +4 0 *********** CCCS-asp-cys + 1 -1.03238E+00 -2.69353E-01 + 2 -1.16102E-01 -1.92723E-01 + 3 2.01706E-01 -1.68112E-01 + 4 -5.06421E-02 1.21374E-01 +4 0 *********** CCCS-asp-met + 1 -6.63656E-01 1.16359E-01 + 2 -2.65698E-01 1.09534E-01 + 3 2.43880E-02 -1.33974E-01 + 4 3.02189E-02 4.72081E-02 +4 0 *********** CCCS-asp-phe + 1 -7.28477E-01 1.52816E-01 + 2 -8.33367E-02 2.72364E-01 + 3 -1.44641E-01 -3.77114E-02 + 4 8.48424E-02 1.22855E-02 +4 0 *********** CCCS-asp-ile + 1 -8.27894E-01 1.62544E-01 + 2 -3.95099E-01 1.22719E-01 + 3 5.87906E-03 -2.42851E-01 + 4 3.67157E-02 5.84739E-02 +4 0 *********** CCCS-asp-leu + 1 -4.99550E-01 3.71081E-01 + 2 -4.24432E-01 3.79671E-01 + 3 -7.57202E-02 -9.18426E-02 + 4 -2.69963E-03 1.11169E-02 +4 0 *********** CCCS-asp-val + 1 -7.27116E-01 1.90071E-01 + 2 -4.23302E-01 1.91954E-01 + 3 4.45001E-03 -2.05201E-01 + 4 3.69698E-02 4.86853E-02 +4 0 *********** CCCS-asp-trp + 1 -7.63719E-01 1.93795E-01 + 2 -1.35580E-01 1.80915E-01 + 3 -1.04279E-01 -8.15742E-02 + 4 8.03391E-02 1.55771E-02 +4 0 *********** CCCS-asp-tyr + 1 -7.21039E-01 1.50123E-01 + 2 -6.83138E-02 2.55494E-01 + 3 -1.41767E-01 -3.74128E-02 + 4 9.22455E-02 1.67042E-02 +4 0 *********** CCCS-asp-ala + 1 -5.09884E-01 1.39071E-01 + 2 -5.82229E-01 -1.54482E-01 + 3 9.77774E-02 -1.06883E-01 + 4 -2.92733E-03 -2.38612E-02 +4 0 *********** CCCS-asp-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-asp-thr - 1 -8.38573E-01 6.13571E-01 - 2 3.69770E-01 1.07496E-01 - 3 -6.45620E-02 1.08295E-01 - 4 -5.86976E-02 1.21760E-01 - 5 -1.23664E-01 8.22144E-04 - 6 -2.83985E-02 5.10016E-02 -6 0 *********** CCCS-asp-ser - 1 -1.48959E+00 8.64154E-01 - 2 -2.15943E-01 5.36815E-01 - 3 -2.46468E-01 -5.46087E-02 - 4 -1.22284E-01 2.46075E-02 - 5 -1.13558E-01 -3.72872E-02 - 6 -2.09380E-02 -1.19012E-01 -6 0 *********** CCCS-asp-gln - 1 -6.56019E-01 6.52627E-01 - 2 5.79576E-03 2.86406E-01 - 3 -1.59419E-01 -3.00292E-02 - 4 -1.00441E-04 8.48135E-02 - 5 -1.57816E-01 -4.13425E-04 - 6 -1.16900E-02 -6.65338E-02 -6 0 *********** CCCS-asp-asn - 1 -1.03975E+00 3.71734E-01 - 2 -2.89858E-01 3.14263E-01 - 3 -2.64437E-01 -9.13576E-02 - 4 -6.19805E-02 2.72515E-02 - 5 -1.06475E-01 -4.19360E-02 - 6 -1.93552E-02 -1.44348E-01 -6 0 *********** CCCS-asp-glu - 1 -6.48369E-01 8.13660E-01 - 2 2.82459E-02 2.64689E-01 - 3 -1.19550E-01 -7.04718E-03 - 4 -3.36693E-03 1.11845E-01 - 5 -1.44559E-01 -3.58371E-03 - 6 -1.24614E-02 -3.46108E-02 -6 0 *********** CCCS-asp-asp - 1 -1.29753E+00 2.65459E-02 - 2 -3.06469E-02 4.83209E-01 - 3 -2.33713E-01 2.04404E-02 - 4 -7.72705E-02 4.09645E-02 - 5 -1.58877E-01 -1.70410E-02 - 6 -2.10641E-02 -1.88636E-01 -6 0 *********** CCCS-asp-his - 1 -9.65353E-01 7.47449E-01 - 2 -3.68693E-01 1.89461E-01 - 3 -2.53524E-01 -5.36286E-02 - 4 -4.34655E-02 5.60329E-02 - 5 -1.22341E-01 -4.53601E-02 - 6 -2.21752E-02 -4.11459E-02 -6 0 *********** CCCS-asp-arg - 1 -3.85607E-01 6.23253E-01 - 2 1.14950E-01 5.46028E-02 - 3 2.17237E-02 -8.67396E-02 - 4 -4.15619E-02 1.20730E-01 - 5 -3.45807E-02 -4.66637E-02 - 6 -3.39816E-02 -8.10344E-02 -6 0 *********** CCCS-asp-lys - 1 -4.21597E-01 6.05804E-01 - 2 2.10311E-01 -8.25029E-03 - 3 2.02289E-03 -3.46247E-02 - 4 1.31257E-02 9.51840E-02 - 5 -8.64350E-02 -3.84507E-02 - 6 7.18128E-03 -8.27121E-03 -6 0 *********** CCCS-asp-pro - 1 2.26796E+00 6.23124E-01 - 2 -3.82677E-01 4.07630E-01 - 3 -8.18844E-01 -1.95232E-01 - 4 -5.05059E-01 4.13256E-01 - 5 2.75484E-01 -1.12268E-01 - 6 -7.84791E-02 -4.98608E-01 -6 0 *********** CCCS-his-cys - 1 -8.63888E-01 6.76378E-01 - 2 -4.48144E-02 3.37429E-01 - 3 -2.10632E-01 2.30033E-03 - 4 -6.76010E-02 8.56385E-02 - 5 -1.51094E-01 -1.27568E-02 - 6 -3.19859E-02 -5.75892E-02 -6 0 *********** CCCS-his-met - 1 -4.58613E-01 6.58648E-01 - 2 1.19148E-01 5.19708E-02 - 3 -6.44825E-02 -4.52051E-02 - 4 2.45626E-02 9.73142E-02 - 5 -1.23557E-01 -1.92512E-02 - 6 2.23192E-03 -1.10593E-02 -6 0 *********** CCCS-his-phe - 1 -3.21031E-01 8.10404E-01 - 2 7.09563E-03 3.62991E-03 - 3 -5.24106E-02 -1.67958E-01 - 4 -2.47272E-02 7.35316E-02 - 5 -5.45630E-02 -3.72492E-02 - 6 -3.95690E-02 -3.04668E-02 -6 0 *********** CCCS-his-ile - 1 -4.72358E-01 8.30524E-01 - 2 1.04724E-01 -1.35500E-02 - 3 -1.26273E-02 -9.34127E-03 - 4 6.51524E-02 1.35821E-01 - 5 -1.71130E-01 -3.57772E-02 - 6 4.36683E-02 6.58656E-03 -6 0 *********** CCCS-his-leu - 1 -3.05106E-01 6.92156E-01 - 2 2.30653E-01 -1.28555E-01 - 3 1.21764E-01 -1.27078E-01 - 4 -6.44528E-03 1.08273E-01 - 5 -4.07436E-03 -7.19991E-02 - 6 -6.57272E-03 -4.08188E-02 -6 0 *********** CCCS-his-val - 1 -4.18899E-01 7.85425E-01 - 2 1.03479E-01 -8.69921E-02 - 3 2.98356E-02 -2.24746E-02 - 4 1.54446E-02 1.42092E-01 - 5 -1.23853E-01 -5.13223E-02 - 6 2.31611E-02 3.44775E-03 -6 0 *********** CCCS-his-trp - 1 -3.09869E-01 7.66338E-01 - 2 4.66758E-02 6.87273E-02 - 3 -8.61790E-02 -1.14845E-01 - 4 4.88486E-06 6.95167E-02 - 5 -8.52984E-02 -2.57814E-02 - 6 -2.24417E-02 -2.26035E-02 -6 0 *********** CCCS-his-tyr - 1 -3.04282E-01 7.79295E-01 - 2 -2.07532E-02 4.57225E-02 - 3 -3.45587E-02 -2.01736E-01 - 4 -7.34067E-02 9.74022E-02 - 5 1.86468E-03 -5.05100E-02 - 6 -7.36737E-02 -9.41744E-02 -6 0 *********** CCCS-his-ala - 1 -6.54579E-01 3.31854E-01 - 2 3.21740E-01 2.61530E-01 - 3 4.41461E-02 1.61607E-02 - 4 -1.47027E-01 1.75176E-01 - 5 8.30415E-02 -3.88945E-02 - 6 -1.10139E-01 -1.76884E-01 -6 0 *********** CCCS-his-gly +4 0 *********** CCCS-asp-thr + 1 -7.99522E-01 1.54752E-01 + 2 -3.92486E-01 1.11183E-03 + 3 3.69199E-02 -1.83457E-01 + 4 1.94077E-02 4.26303E-02 +4 0 *********** CCCS-asp-ser + 1 -1.25847E+00 -5.72311E-01 + 2 2.41234E-02 -2.26461E-01 + 3 2.55803E-01 -1.50901E-01 + 4 -1.31135E-01 4.34524E-02 +4 0 *********** CCCS-asp-gln + 1 -8.42532E-01 4.91175E-02 + 2 -1.97402E-01 -9.06725E-02 + 3 -5.70772E-02 -1.42998E-01 + 4 3.33244E-02 5.77983E-02 +4 0 *********** CCCS-asp-asn + 1 -1.01863E+00 -3.89292E-01 + 2 5.89818E-02 -2.90567E-01 + 3 1.22241E-01 -5.43853E-02 + 4 -2.25735E-02 5.73810E-02 +4 0 *********** CCCS-asp-glu + 1 -9.17838E-01 1.24982E-01 + 2 -2.43633E-01 -1.51522E-02 + 3 -7.86179E-02 -1.80395E-01 + 4 5.14711E-02 3.89096E-02 +4 0 *********** CCCS-asp-asp + 1 -1.12525E+00 -4.49970E-01 + 2 2.53964E-02 -2.80697E-01 + 3 1.65651E-01 -4.47945E-02 + 4 -4.13656E-02 3.97728E-02 +4 0 *********** CCCS-asp-his + 1 -1.01495E+00 -3.76970E-01 + 2 9.53177E-02 -2.00818E-01 + 3 1.99753E-01 -1.02406E-01 + 4 -4.17618E-02 4.63318E-03 +4 0 *********** CCCS-asp-arg + 1 -5.98206E-01 2.46214E-01 + 2 -2.08682E-01 2.35004E-01 + 3 -6.96239E-02 -8.82442E-02 + 4 9.57137E-03 9.18069E-03 +4 0 *********** CCCS-asp-lys + 1 -4.95051E-01 2.98865E-01 + 2 -3.21343E-01 2.49375E-01 + 3 5.96272E-03 -8.47740E-02 + 4 1.62699E-02 1.39569E-02 +4 0 *********** CCCS-asp-pro + 1 -1.81100E+00 -4.71232E-01 + 2 -9.66277E-02 5.29642E-02 + 3 1.70153E-01 -5.59350E-01 + 4 -2.69981E-01 7.46327E-02 +4 0 *********** CCCS-his-cys + 1 -1.02326E+00 -5.38369E-01 + 2 -1.32305E-01 -1.06083E-01 + 3 1.17097E-01 -1.55663E-01 + 4 -1.53219E-02 7.94010E-02 +4 0 *********** CCCS-his-met + 1 -7.26939E-01 -1.67835E-02 + 2 -2.86022E-01 1.64223E-01 + 3 6.04967E-02 -1.20698E-01 + 4 1.14318E-02 4.16890E-02 +4 0 *********** CCCS-his-phe + 1 -8.46965E-01 -4.14579E-03 + 2 -9.31771E-02 3.69304E-01 + 3 -8.63275E-02 -6.75627E-02 + 4 6.24307E-02 3.01409E-02 +4 0 *********** CCCS-his-ile + 1 -9.33521E-01 -9.30719E-02 + 2 -4.16225E-01 2.26055E-01 + 3 8.54612E-02 -1.90131E-01 + 4 -3.00510E-02 3.43145E-02 +4 0 *********** CCCS-his-leu + 1 -6.13861E-01 2.03048E-01 + 2 -4.71376E-01 4.34944E-01 + 3 3.79951E-02 -1.01434E-01 + 4 -6.25438E-03 2.82259E-02 +4 0 *********** CCCS-his-val + 1 -8.32966E-01 -4.00007E-02 + 2 -4.62507E-01 2.88943E-01 + 3 9.71576E-02 -1.66055E-01 + 4 -1.14748E-02 2.99271E-02 +4 0 *********** CCCS-his-trp + 1 -8.72612E-01 4.41267E-02 + 2 -1.32333E-01 2.60605E-01 + 3 -6.21711E-02 -9.47167E-02 + 4 5.89300E-02 3.00581E-02 +4 0 *********** CCCS-his-tyr + 1 -8.33077E-01 5.75024E-03 + 2 -7.30970E-02 3.43517E-01 + 3 -9.45024E-02 -6.46969E-02 + 4 7.58655E-02 3.45212E-02 +4 0 *********** CCCS-his-ala + 1 -5.32467E-01 -8.03660E-03 + 2 -6.24910E-01 -1.79773E-01 + 3 1.14465E-01 -2.00410E-02 + 4 -3.26442E-02 -2.13283E-02 +4 0 *********** CCCS-his-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-his-thr - 1 -7.36235E-01 6.44239E-01 - 2 3.43857E-01 3.50071E-02 - 3 -9.35241E-03 4.74115E-02 - 4 -3.52879E-02 1.29880E-01 - 5 -8.52163E-02 -1.32760E-02 - 6 -2.13888E-02 2.27383E-02 -6 0 *********** CCCS-his-ser - 1 -1.24130E+00 6.77893E-01 - 2 -6.33190E-04 7.29075E-01 - 3 -2.77197E-01 4.81052E-03 - 4 -1.77465E-01 7.80874E-02 - 5 -1.01869E-01 -3.80840E-02 - 6 -5.42853E-02 -2.16540E-01 -6 0 *********** CCCS-his-gln - 1 -5.78786E-01 6.46575E-01 - 2 7.65898E-02 2.89929E-01 - 3 -1.61299E-01 -2.34924E-02 - 4 3.21698E-03 8.30028E-02 - 5 -1.44111E-01 -1.29599E-03 - 6 -1.25095E-02 -6.11898E-02 -6 0 *********** CCCS-his-asn - 1 -9.35299E-01 3.91974E-01 - 2 -2.16197E-01 4.30637E-01 - 3 -2.89033E-01 -4.98258E-02 - 4 -8.33456E-02 4.49129E-02 - 5 -1.03857E-01 -4.67215E-02 - 6 -3.10077E-02 -1.75331E-01 -6 0 *********** CCCS-his-glu - 1 -5.51318E-01 7.84378E-01 - 2 1.03068E-01 2.57869E-01 - 3 -1.10043E-01 -3.61504E-03 - 4 7.05936E-03 1.02703E-01 - 5 -1.24260E-01 -5.77171E-03 - 6 -9.19900E-03 -3.61945E-02 -6 0 *********** CCCS-his-asp - 1 -1.20848E+00 1.03365E-01 - 2 2.79780E-02 5.35411E-01 - 3 -1.98611E-01 2.38889E-02 - 4 -1.01629E-01 8.66784E-02 - 5 -1.40819E-01 -4.72075E-03 - 6 -4.95627E-02 -2.14357E-01 -6 0 *********** CCCS-his-his - 1 -8.11348E-01 6.88261E-01 - 2 -2.66593E-01 3.41290E-01 - 3 -3.05149E-01 2.11946E-02 - 4 -4.71392E-02 3.71800E-02 - 5 -1.41384E-01 -3.97507E-02 - 6 -2.02204E-02 -5.00102E-02 -6 0 *********** CCCS-his-arg - 1 -2.97511E-01 6.19416E-01 - 2 1.01676E-01 2.40197E-02 - 3 1.74290E-02 -1.27742E-01 - 4 -3.05833E-02 1.08117E-01 - 5 -3.13679E-02 -4.50270E-02 - 6 -3.86925E-02 -7.84691E-02 -6 0 *********** CCCS-his-lys - 1 -3.34450E-01 6.10628E-01 - 2 1.83074E-01 -5.44541E-02 - 3 6.67447E-03 -8.33039E-02 - 4 2.62249E-02 8.69086E-02 - 5 -9.03792E-02 -4.37099E-02 - 6 9.00931E-03 -1.70582E-02 -6 0 *********** CCCS-his-pro - 1 1.63748E+00 2.22976E-01 - 2 -7.95758E-01 3.84620E-01 - 3 -9.28068E-01 -2.35653E-01 - 4 -4.29013E-01 3.18648E-01 - 5 3.54836E-01 -2.58958E-01 - 6 -8.05196E-02 -6.34735E-01 -6 0 *********** CCCS-arg-cys - 1 -7.43848E-01 3.56902E-01 - 2 -8.24121E-02 4.44640E-01 - 3 -1.92858E-01 -4.09616E-02 - 4 -1.14124E-01 8.17029E-02 - 5 -8.58320E-02 -3.10637E-02 - 6 -5.56628E-02 -1.94905E-01 -6 0 *********** CCCS-arg-met - 1 -5.14149E-01 4.85539E-01 - 2 1.94901E-01 1.02124E-01 - 3 -9.72442E-02 -5.12524E-03 - 4 5.67349E-03 8.23158E-02 - 5 -1.14161E-01 -1.44556E-02 - 6 -6.32732E-03 -3.11200E-02 -6 0 *********** CCCS-arg-phe - 1 -4.37007E-01 6.06687E-01 - 2 1.53928E-01 4.63906E-03 - 3 -5.53030E-02 -8.77631E-02 - 4 -2.75897E-02 6.84397E-02 - 5 -6.00834E-02 -3.91405E-02 - 6 -2.62217E-02 -2.86815E-02 -6 0 *********** CCCS-arg-ile - 1 -5.40411E-01 5.94259E-01 - 2 2.37999E-01 6.11202E-02 - 3 -1.07228E-01 5.86885E-02 - 4 5.02940E-02 8.13752E-02 - 5 -1.52822E-01 -6.44111E-03 - 6 2.04929E-02 4.45662E-02 -6 0 *********** CCCS-arg-leu - 1 -4.21968E-01 5.39220E-01 - 2 3.48265E-01 -5.91477E-02 - 3 8.64748E-02 -5.23617E-02 - 4 -2.84161E-02 9.04500E-02 - 5 4.26981E-02 -5.29290E-02 - 6 -2.66049E-02 -2.32063E-02 -6 0 *********** CCCS-arg-val - 1 -4.93698E-01 5.82116E-01 - 2 2.31842E-01 -1.57760E-02 - 3 -5.50727E-02 3.82381E-02 - 4 2.55357E-03 8.66277E-02 - 5 -9.48211E-02 -1.82950E-02 - 6 -3.51998E-03 4.60979E-02 -6 0 *********** CCCS-arg-trp - 1 -4.10329E-01 5.74620E-01 - 2 1.48736E-01 7.62777E-02 - 3 -7.32776E-02 -6.34433E-02 - 4 -2.48010E-02 7.45463E-02 - 5 -6.72253E-02 -3.16917E-02 - 6 -2.52669E-02 -3.61220E-02 -6 0 *********** CCCS-arg-tyr - 1 -4.13258E-01 5.83099E-01 - 2 1.14583E-01 3.84648E-02 - 3 -2.79029E-02 -1.23228E-01 - 4 -7.83538E-02 9.33207E-02 - 5 -4.35886E-03 -5.31921E-02 - 6 -5.94226E-02 -8.40323E-02 -6 0 *********** CCCS-arg-ala - 1 -6.63524E-01 1.88102E-01 - 2 3.25433E-01 3.91884E-01 - 3 -2.77289E-02 4.00158E-03 - 4 -1.51767E-01 1.86905E-01 - 5 5.18823E-02 -3.15322E-02 - 6 -1.21096E-01 -2.53706E-01 -6 0 *********** CCCS-arg-gly +4 0 *********** CCCS-his-thr + 1 -8.64016E-01 -5.70001E-02 + 2 -4.14313E-01 5.71780E-02 + 3 8.06841E-02 -1.11773E-01 + 4 -2.45426E-02 1.30547E-02 +4 0 *********** CCCS-his-ser + 1 -1.18836E+00 -1.02027E+00 + 2 -3.02832E-02 -1.63870E-01 + 3 5.58247E-02 -1.64973E-01 + 4 -1.26828E-02 3.25314E-02 +4 0 *********** CCCS-his-gln + 1 -8.82102E-01 -1.08999E-01 + 2 -2.02016E-01 -7.18844E-02 + 3 -7.27482E-02 -9.03949E-02 + 4 2.13206E-02 5.10057E-02 +4 0 *********** CCCS-his-asn + 1 -9.72049E-01 -6.32958E-01 + 2 5.23451E-02 -2.54655E-01 + 3 -6.29446E-03 -7.50023E-02 + 4 5.00907E-02 6.28422E-02 +4 0 *********** CCCS-his-glu + 1 -9.90556E-01 -7.69450E-02 + 2 -2.40946E-01 3.04749E-02 + 3 -6.43926E-02 -1.15558E-01 + 4 1.53074E-02 2.73723E-02 +4 0 *********** CCCS-his-asp + 1 -1.06972E+00 -7.81364E-01 + 2 -2.56562E-02 -2.26331E-01 + 3 1.76280E-02 -3.63538E-02 + 4 6.90605E-02 3.88689E-02 +4 0 *********** CCCS-his-his + 1 -1.01054E+00 -5.91953E-01 + 2 1.27013E-01 -1.18587E-01 + 3 1.17117E-01 -1.66741E-01 + 4 -3.66351E-05 -6.02392E-03 +4 0 *********** CCCS-his-arg + 1 -6.86245E-01 1.26500E-01 + 2 -2.16154E-01 2.92860E-01 + 3 -1.88657E-02 -1.01456E-01 + 4 -1.30023E-02 2.10232E-02 +4 0 *********** CCCS-his-lys + 1 -5.72868E-01 1.92764E-01 + 2 -3.51296E-01 2.95718E-01 + 3 6.67583E-02 -9.19463E-02 + 4 5.95436E-03 1.30736E-02 +4 0 *********** CCCS-his-pro + 1 -1.81088E+00 -9.62880E-01 + 2 -4.13290E-02 1.60325E-01 + 3 -8.38799E-02 -3.98468E-01 + 4 -1.26949E-01 6.56677E-02 +4 0 *********** CCCS-arg-cys + 1 -8.60019E-01 -4.02387E-01 + 2 1.05000E-01 -3.71651E-02 + 3 9.80543E-02 -9.57294E-02 + 4 4.32441E-03 2.62327E-02 +4 0 *********** CCCS-arg-met + 1 -6.00045E-01 4.21913E-02 + 2 -1.25392E-01 -3.14466E-02 + 3 2.00347E-02 -5.65546E-02 + 4 -1.25662E-02 4.42769E-02 +4 0 *********** CCCS-arg-phe + 1 -6.36634E-01 1.05698E-01 + 2 -7.57701E-02 7.34952E-02 + 3 -1.02513E-01 -3.72951E-02 + 4 4.71804E-02 5.49243E-02 +4 0 *********** CCCS-arg-ile + 1 -7.57767E-01 3.93647E-02 + 2 -1.35431E-01 -6.39326E-02 + 3 1.45340E-02 -1.04796E-01 + 4 -4.19706E-02 3.75250E-02 +4 0 *********** CCCS-arg-leu + 1 -5.26314E-01 2.56016E-01 + 2 -2.72982E-01 3.62919E-02 + 3 -2.01340E-02 -4.05168E-02 + 4 -2.83457E-02 8.83989E-02 +4 0 *********** CCCS-arg-val + 1 -6.74523E-01 8.80890E-02 + 2 -1.91585E-01 -3.94856E-02 + 3 1.47026E-02 -8.64697E-02 + 4 -3.60785E-02 6.07020E-02 +4 0 *********** CCCS-arg-trp + 1 -6.83791E-01 1.17301E-01 + 2 -7.07344E-02 1.26248E-02 + 3 -6.78684E-02 -4.78957E-02 + 4 3.37021E-02 4.63795E-02 +4 0 *********** CCCS-arg-tyr + 1 -6.27300E-01 1.01348E-01 + 2 -6.73912E-02 7.15082E-02 + 3 -9.90035E-02 -3.67426E-02 + 4 5.00826E-02 5.62243E-02 +4 0 *********** CCCS-arg-ala + 1 -4.82163E-01 8.76203E-03 + 2 -2.13478E-01 -2.22078E-01 + 3 5.66511E-02 -1.93504E-02 + 4 -6.32620E-02 -7.50769E-02 +4 0 *********** CCCS-arg-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-arg-thr - 1 -7.91994E-01 4.26935E-01 - 2 4.55623E-01 1.88859E-01 - 3 -1.52123E-01 5.50360E-02 - 4 3.11653E-02 1.10653E-01 - 5 -1.64886E-01 7.09059E-03 - 6 1.31920E-03 -2.85022E-02 -6 0 *********** CCCS-arg-ser - 1 -9.59538E-01 1.82115E-01 - 2 -1.88992E-01 9.09706E-01 - 3 -1.80032E-01 -7.58705E-02 - 4 -2.34529E-01 6.24999E-02 - 5 -2.50220E-02 -6.07513E-02 - 6 -7.78592E-02 -4.04287E-01 -6 0 *********** CCCS-arg-gln - 1 -5.90155E-01 4.33470E-01 - 2 1.01846E-01 3.31759E-01 - 3 -1.64869E-01 8.35225E-04 - 4 -2.25878E-02 7.47515E-02 - 5 -1.38890E-01 -3.62787E-03 - 6 -1.64462E-02 -9.31211E-02 -6 0 *********** CCCS-arg-asn - 1 -7.43507E-01 1.54914E-01 - 2 -3.44468E-01 4.41200E-01 - 3 -2.36984E-01 -1.02789E-01 - 4 -1.08856E-01 5.65673E-02 - 5 -3.52958E-02 -6.42720E-02 - 6 -6.14817E-02 -2.64753E-01 -6 0 *********** CCCS-arg-glu - 1 -5.95159E-01 5.22574E-01 - 2 1.78679E-01 3.18022E-01 - 3 -1.49200E-01 3.62404E-02 - 4 -1.39426E-02 8.49471E-02 - 5 -1.26580E-01 -4.83751E-04 - 6 -1.60877E-02 -6.47144E-02 -6 0 *********** CCCS-arg-asp - 1 -8.74890E-01 -7.94188E-02 - 2 -2.30159E-01 5.51661E-01 - 3 -1.52693E-01 1.46517E-02 - 4 -1.10047E-01 5.21758E-02 - 5 -1.04372E-01 -3.47834E-02 - 6 -5.48866E-02 -2.65595E-01 -6 0 *********** CCCS-arg-his - 1 -6.75499E-01 3.74803E-01 - 2 -2.91058E-01 3.70037E-01 - 3 -3.17633E-01 -8.96044E-03 - 4 -2.67837E-02 2.42223E-02 - 5 -1.30398E-01 -4.07534E-02 - 6 -1.86325E-02 -1.23619E-01 -6 0 *********** CCCS-arg-arg - 1 -3.86964E-01 4.83295E-01 - 2 1.86480E-01 5.06476E-02 - 3 -6.73451E-04 -6.88351E-02 - 4 -4.32170E-02 9.86422E-02 - 5 -2.48919E-02 -4.35227E-02 - 6 -3.54270E-02 -7.29040E-02 -6 0 *********** CCCS-arg-lys - 1 -4.20647E-01 4.80757E-01 - 2 2.65916E-01 -8.29208E-03 - 3 -1.85791E-02 -2.87947E-02 - 4 1.04131E-02 7.39742E-02 - 5 -6.86880E-02 -3.30806E-02 - 6 -6.07656E-04 -7.68201E-03 -6 0 *********** CCCS-arg-pro - 1 9.74926E-01 5.19788E-01 - 2 -1.12683E+00 -1.72420E-01 - 3 -6.07089E-01 -8.60284E-01 - 4 -3.03973E-02 3.61044E-01 - 5 2.29955E-01 1.42384E-01 - 6 -2.56288E-01 -4.13432E-01 -6 0 *********** CCCS-lys-cys - 1 -6.02230E-01 3.01995E-03 - 2 -2.98937E-02 5.17508E-01 - 3 -2.03256E-01 -5.36881E-02 - 4 -1.25282E-01 1.07190E-01 - 5 -6.94863E-02 -4.87774E-02 - 6 -6.81029E-02 -3.11690E-01 -6 0 *********** CCCS-lys-met - 1 -5.22133E-01 2.39517E-01 - 2 2.59735E-01 1.16388E-01 - 3 -1.03895E-01 1.55879E-02 - 4 -6.12132E-04 7.09196E-02 - 5 -9.93577E-02 -1.05688E-02 - 6 -1.32654E-02 -5.42909E-02 -6 0 *********** CCCS-lys-phe - 1 -4.95423E-01 3.45034E-01 - 2 2.49802E-01 -1.99069E-02 - 3 -4.15070E-02 -4.97516E-02 - 4 6.99357E-03 6.43529E-02 - 5 -9.28881E-02 -3.58657E-02 - 6 -7.42763E-04 -3.03637E-02 -6 0 *********** CCCS-lys-ile - 1 -5.80792E-01 3.02283E-01 - 2 3.40828E-01 8.04031E-02 - 3 -1.53491E-01 8.43189E-02 - 4 4.63538E-02 4.42798E-02 - 5 -1.22754E-01 6.87427E-03 - 6 5.36731E-03 3.93173E-02 -6 0 *********** CCCS-lys-leu - 1 -5.18717E-01 3.38804E-01 - 2 4.03546E-01 -6.67436E-02 - 3 9.16556E-02 -2.75418E-02 - 4 -3.87499E-02 8.93877E-02 - 5 4.88300E-02 -5.27869E-02 - 6 -3.02332E-02 -3.82412E-02 -6 0 *********** CCCS-lys-val - 1 -5.44447E-01 3.13960E-01 - 2 3.27461E-01 1.46123E-02 - 3 -1.08087E-01 3.76512E-02 - 4 1.75716E-02 5.51607E-02 - 5 -8.01396E-02 -8.14887E-03 - 6 -8.78334E-03 1.82321E-02 -6 0 *********** CCCS-lys-trp - 1 -4.65195E-01 3.09005E-01 - 2 2.25634E-01 5.40043E-02 - 3 -4.22891E-02 -3.71968E-02 - 4 -2.51112E-02 7.62059E-02 - 5 -6.11602E-02 -3.41142E-02 - 6 -2.07623E-02 -6.61822E-02 -6 0 *********** CCCS-lys-tyr - 1 -4.65511E-01 3.29194E-01 - 2 2.07270E-01 3.25418E-03 - 3 -1.43564E-02 -7.59897E-02 - 4 -3.75895E-02 8.22471E-02 - 5 -4.83579E-02 -4.68575E-02 - 6 -2.70825E-02 -7.62800E-02 -6 0 *********** CCCS-lys-ala - 1 -6.35930E-01 2.65608E-02 - 2 3.59546E-01 4.19819E-01 - 3 -8.84120E-02 2.55692E-02 - 4 -1.36443E-01 1.67481E-01 - 5 2.61108E-02 -2.38085E-02 - 6 -1.14583E-01 -2.56733E-01 -6 0 *********** CCCS-lys-gly +4 0 *********** CCCS-arg-thr + 1 -7.41292E-01 1.79491E-02 + 2 -1.14110E-01 -1.12274E-01 + 3 2.09531E-02 -7.55140E-02 + 4 -4.83518E-02 7.54308E-03 +4 0 *********** CCCS-arg-ser + 1 -1.08178E+00 -7.42395E-01 + 2 2.36169E-01 1.54880E-01 + 3 1.50230E-01 -1.86019E-01 + 4 9.56662E-03 2.35742E-02 +4 0 *********** CCCS-arg-gln + 1 -7.63714E-01 -7.13278E-02 + 2 -2.44959E-04 -1.02773E-01 + 3 -2.83988E-02 -1.04252E-01 + 4 -2.19452E-02 1.74852E-02 +4 0 *********** CCCS-arg-asn + 1 -8.44769E-01 -5.28407E-01 + 2 1.94637E-01 -5.37759E-03 + 3 6.93261E-02 -8.06881E-02 + 4 4.46814E-02 4.06136E-02 +4 0 *********** CCCS-arg-glu + 1 -8.41862E-01 -1.32649E-02 + 2 -1.56056E-02 -9.31546E-02 + 3 -4.46320E-02 -1.08568E-01 + 4 -1.99048E-02 8.70813E-03 +4 0 *********** CCCS-arg-asp + 1 -9.40580E-01 -5.94497E-01 + 2 2.07471E-01 3.22621E-02 + 3 7.42922E-02 -9.60415E-02 + 4 5.22099E-02 3.43707E-02 +4 0 *********** CCCS-arg-his + 1 -8.12781E-01 -5.04109E-01 + 2 1.78450E-01 1.32505E-02 + 3 1.24235E-01 -5.28164E-02 + 4 5.58702E-02 -9.35862E-03 +4 0 *********** CCCS-arg-arg + 1 -5.62345E-01 1.68355E-01 + 2 -1.40534E-01 3.26282E-02 + 3 -3.29850E-02 -4.82963E-02 + 4 -6.41402E-03 3.43577E-02 +4 0 *********** CCCS-arg-lys + 1 -4.88191E-01 2.13645E-01 + 2 -2.11967E-01 1.95535E-02 + 3 1.16384E-02 -2.24441E-02 + 4 -8.30089E-03 4.76400E-02 +4 0 *********** CCCS-arg-pro + 1 -1.55006E+00 -5.29384E-01 + 2 4.43655E-01 1.48509E-01 + 3 -1.04577E-01 -4.50797E-01 + 4 -4.92987E-02 5.37380E-02 +4 0 *********** CCCS-lys-cys + 1 -8.57945E-01 -3.73314E-01 + 2 1.14933E-01 -2.11371E-02 + 3 8.36268E-02 -1.02175E-01 + 4 1.06463E-02 2.13660E-02 +4 0 *********** CCCS-lys-met + 1 -5.89273E-01 6.23451E-02 + 2 -1.04779E-01 -3.04958E-02 + 3 1.01108E-02 -5.32766E-02 + 4 -8.64647E-03 4.27045E-02 +4 0 *********** CCCS-lys-phe + 1 -6.22741E-01 1.30188E-01 + 2 -5.64494E-02 5.91916E-02 + 3 -1.03939E-01 -2.61833E-02 + 4 5.14258E-02 5.05484E-02 +4 0 *********** CCCS-lys-ile + 1 -7.47930E-01 6.24236E-02 + 2 -1.06004E-01 -6.04978E-02 + 3 -5.71686E-04 -9.85706E-02 + 4 -4.01148E-02 3.79531E-02 +4 0 *********** CCCS-lys-leu + 1 -5.15368E-01 2.67874E-01 + 2 -2.39334E-01 3.11397E-02 + 3 -2.58942E-02 -3.37048E-02 + 4 -1.85408E-02 9.02257E-02 +4 0 *********** CCCS-lys-val + 1 -6.64281E-01 1.08775E-01 + 2 -1.60067E-01 -3.75534E-02 + 3 1.05717E-03 -8.08583E-02 + 4 -3.17826E-02 6.07997E-02 +4 0 *********** CCCS-lys-trp + 1 -6.68837E-01 1.40711E-01 + 2 -5.24290E-02 3.18997E-03 + 3 -7.20523E-02 -3.78172E-02 + 4 3.65417E-02 4.20063E-02 +4 0 *********** CCCS-lys-tyr + 1 -6.13091E-01 1.25364E-01 + 2 -4.95788E-02 5.75949E-02 + 3 -1.00299E-01 -2.58421E-02 + 4 5.43450E-02 5.10909E-02 +4 0 *********** CCCS-lys-ala + 1 -4.77878E-01 1.91412E-02 + 2 -1.91670E-01 -1.94850E-01 + 3 4.91807E-02 -2.44385E-02 + 4 -7.07822E-02 -6.64245E-02 +4 0 *********** CCCS-lys-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-lys-thr - 1 -7.50639E-01 1.74206E-01 - 2 4.90877E-01 2.46492E-01 - 3 -1.67962E-01 5.84114E-02 - 4 4.15554E-02 1.06409E-01 - 5 -1.81180E-01 1.69280E-02 - 6 4.69751E-03 -8.42133E-02 -6 0 *********** CCCS-lys-ser - 1 -7.66887E-01 -1.96177E-01 - 2 -1.02778E-01 9.24461E-01 - 3 -2.54621E-01 -5.57384E-02 - 4 -2.08148E-01 1.14874E-01 - 5 -1.09620E-02 -9.32760E-02 - 6 -1.03855E-01 -5.26694E-01 -6 0 *********** CCCS-lys-gln - 1 -5.45066E-01 1.42537E-01 - 2 1.58834E-01 3.37685E-01 - 3 -1.51041E-01 4.17064E-02 - 4 -4.26816E-02 7.49185E-02 - 5 -1.21478E-01 -4.70664E-03 - 6 -2.75753E-02 -1.28892E-01 -6 0 *********** CCCS-lys-asn - 1 -5.28592E-01 -1.30237E-01 - 2 -3.39344E-01 5.03292E-01 - 3 -2.42832E-01 -1.45713E-01 - 4 -1.27021E-01 7.00802E-02 - 5 -1.88622E-02 -6.96551E-02 - 6 -6.96779E-02 -3.71631E-01 -6 0 *********** CCCS-lys-glu - 1 -5.86733E-01 1.98662E-01 - 2 2.55789E-01 3.13409E-01 - 3 -1.38397E-01 8.81366E-02 - 4 -4.08687E-02 6.57991E-02 - 5 -9.08640E-02 1.12822E-02 - 6 -3.58259E-02 -6.27429E-02 -6 0 *********** CCCS-lys-asp - 1 -6.01818E-01 -2.41511E-01 - 2 -2.47820E-01 5.85543E-01 - 3 -2.34350E-01 -3.32414E-02 - 4 -1.05742E-01 5.49222E-02 - 5 -9.48059E-02 -4.46984E-02 - 6 -4.52813E-02 -3.30641E-01 -6 0 *********** CCCS-lys-his - 1 -4.95835E-01 2.82517E-02 - 2 -2.29881E-01 4.26648E-01 - 3 -3.56636E-01 -2.62411E-02 - 4 -1.52709E-02 1.83840E-02 - 5 -1.52950E-01 -3.06618E-02 - 6 -2.78739E-03 -1.90989E-01 -6 0 *********** CCCS-lys-arg - 1 -4.46965E-01 2.77001E-01 - 2 2.51039E-01 3.41170E-02 - 3 9.21583E-04 -3.41144E-02 - 4 -4.34806E-02 9.03882E-02 - 5 -2.72861E-02 -4.46405E-02 - 6 -2.88453E-02 -8.04451E-02 -6 0 *********** CCCS-lys-lys - 1 -4.77369E-01 2.86128E-01 - 2 3.16700E-01 -1.04528E-02 - 3 -1.50073E-02 -6.34081E-03 - 4 -3.07878E-03 6.75630E-02 - 5 -5.21673E-02 -3.25405E-02 - 6 -8.05653E-03 -2.28501E-02 -6 0 *********** CCCS-lys-pro - 1 7.81033E-01 3.86633E-01 - 2 -8.85961E-01 -1.97254E-01 - 3 -5.86614E-01 -4.32830E-01 - 4 -3.27302E-01 7.08707E-01 - 5 -4.36193E-02 3.08966E-01 - 6 -3.54830E-01 -3.11488E-01 -6 0 *********** CCCS-pro-cys - 1 9.85489E-01 2.36991E-01 - 2 -3.54985E-03 2.93726E-03 - 3 -1.54961E-01 -2.06716E-01 - 4 -6.04452E-02 2.32695E-01 - 5 1.66816E-01 -5.35372E-02 - 6 -5.49626E-02 -2.62096E-01 -6 0 *********** CCCS-pro-met - 1 5.54373E-01 -2.19283E-01 - 2 4.93476E-02 2.99992E-01 - 3 -5.81622E-02 3.90212E-02 - 4 7.84420E-02 8.76785E-02 - 5 1.71796E-02 -6.29924E-02 - 6 -3.01909E-02 -2.29831E-01 -6 0 *********** CCCS-pro-phe - 1 5.83641E-01 -3.12690E-01 - 2 3.67635E-01 3.25859E-01 - 3 -6.02619E-02 -1.03419E-01 - 4 4.11098E-02 -1.76950E-02 - 5 -7.36235E-02 -5.01435E-02 - 6 -1.32399E-03 -2.42767E-01 -6 0 *********** CCCS-pro-ile - 1 7.23878E-01 -3.13312E-01 - 2 1.59590E-01 2.90108E-01 - 3 -1.78930E-02 3.44547E-01 - 4 3.41762E-01 8.31147E-02 - 5 -2.02750E-01 -3.63193E-02 - 6 4.53851E-02 -6.84121E-02 -6 0 *********** CCCS-pro-leu - 1 2.93568E-01 -5.44251E-01 - 2 9.03241E-02 7.06069E-01 - 3 3.11071E-02 1.66763E-01 - 4 1.42547E-01 -6.09245E-02 - 5 -1.50809E-01 3.46120E-02 - 6 7.52883E-02 -1.75628E-01 -6 0 *********** CCCS-pro-val - 1 6.47938E-01 -3.76160E-01 - 2 1.32510E-01 4.84379E-01 - 3 4.78833E-02 2.61158E-01 - 4 9.24696E-02 2.34331E-01 - 5 4.00620E-02 -1.20910E-01 - 6 -1.12681E-01 -3.55874E-01 -6 0 *********** CCCS-pro-trp - 1 5.39977E-01 -3.01300E-01 - 2 2.82541E-01 2.08083E-01 - 3 -1.56150E-01 -3.33156E-02 - 4 1.28191E-01 -4.32846E-02 - 5 -1.85930E-01 -8.52603E-03 - 6 6.65920E-02 -1.19828E-01 -6 0 *********** CCCS-pro-tyr - 1 5.67567E-01 -3.09601E-01 - 2 3.68313E-01 2.91200E-01 - 3 -7.23925E-02 -1.27058E-01 - 4 4.00739E-02 -2.23247E-02 - 5 -6.15331E-02 -6.03706E-02 - 6 2.62971E-03 -2.43875E-01 -6 0 *********** CCCS-pro-ala - 1 5.71181E-01 -2.05709E-01 - 2 -5.21818E-01 3.59463E-01 - 3 -2.25054E-01 3.44062E-01 - 4 5.92711E-02 3.13742E-01 - 5 -1.21830E-01 -9.66926E-02 - 6 -1.72966E-01 -2.78257E-01 -6 0 *********** CCCS-pro-gly +4 0 *********** CCCS-lys-thr + 1 -7.32265E-01 3.88917E-02 + 2 -8.93353E-02 -1.02472E-01 + 3 8.47632E-03 -7.36822E-02 + 4 -4.89634E-02 1.09405E-02 +4 0 *********** CCCS-lys-ser + 1 -1.09042E+00 -7.13201E-01 + 2 2.37665E-01 1.82376E-01 + 3 1.42143E-01 -2.00704E-01 + 4 7.02171E-03 2.05770E-02 +4 0 *********** CCCS-lys-gln + 1 -7.55053E-01 -4.83876E-02 + 2 1.35247E-02 -9.34263E-02 + 3 -3.62364E-02 -9.91817E-02 + 4 -2.13951E-02 1.67911E-02 +4 0 *********** CCCS-lys-asn + 1 -8.44846E-01 -5.00831E-01 + 2 1.90252E-01 1.19835E-02 + 3 6.41052E-02 -8.56566E-02 + 4 4.98239E-02 3.25985E-02 +4 0 *********** CCCS-lys-glu + 1 -8.31436E-01 1.12945E-02 + 2 3.97508E-03 -8.80877E-02 + 3 -5.42010E-02 -1.00588E-01 + 4 -2.13442E-02 8.60120E-03 +4 0 *********** CCCS-lys-asp + 1 -9.42319E-01 -5.64401E-01 + 2 2.07072E-01 5.29345E-02 + 3 6.84183E-02 -1.05422E-01 + 4 5.47873E-02 2.86901E-02 +4 0 *********** CCCS-lys-his + 1 -8.09040E-01 -4.76061E-01 + 2 1.72341E-01 2.31401E-02 + 3 1.15193E-01 -5.76260E-02 + 4 5.67028E-02 -1.78136E-02 +4 0 *********** CCCS-lys-arg + 1 -5.48948E-01 1.85786E-01 + 2 -1.20092E-01 2.45507E-02 + 3 -3.73639E-02 -4.06512E-02 + 4 -3.63217E-03 3.57043E-02 +4 0 *********** CCCS-lys-lys + 1 -4.75770E-01 2.26095E-01 + 2 -1.86851E-01 1.58762E-02 + 3 5.05309E-03 -1.86031E-02 + 4 -3.81038E-03 4.80344E-02 +4 0 *********** CCCS-lys-pro + 1 -1.58797E+00 -5.01675E-01 + 2 4.92487E-01 2.05297E-01 + 3 -1.27315E-01 -4.64023E-01 + 4 -4.01578E-02 6.91176E-02 +4 0 *********** CCCS-pro-cys + 1 -1.17004E+00 -3.98677E-01 + 2 -1.60362E-01 -1.93996E-01 + 3 1.73518E-01 -8.37873E-02 + 4 -5.92099E-02 1.18630E-01 +4 0 *********** CCCS-pro-met + 1 -7.48336E-01 6.07604E-02 + 2 -2.78999E-01 1.90683E-01 + 3 7.17836E-02 -1.43945E-01 + 4 1.91651E-02 2.55350E-02 +4 0 *********** CCCS-pro-phe + 1 -8.80193E-01 6.47252E-02 + 2 -4.88850E-02 4.12591E-01 + 3 -7.20780E-02 -1.11166E-01 + 4 2.58254E-02 1.63394E-02 +4 0 *********** CCCS-pro-ile + 1 -9.79710E-01 3.99701E-02 + 2 -4.71326E-01 2.74523E-01 + 3 1.27845E-01 -2.67378E-01 + 4 2.82929E-02 2.00015E-02 +4 0 *********** CCCS-pro-leu + 1 -6.00811E-01 3.27666E-01 + 2 -4.91996E-01 5.51639E-01 + 3 3.84590E-03 -1.80629E-01 + 4 -9.86790E-03 -7.49951E-02 +4 0 *********** CCCS-pro-val + 1 -8.68898E-01 7.17527E-02 + 2 -5.01002E-01 3.63549E-01 + 3 1.32046E-01 -2.50488E-01 + 4 2.93732E-02 -1.61536E-02 +4 0 *********** CCCS-pro-trp + 1 -8.96501E-01 1.29992E-01 + 2 -1.11580E-01 2.84068E-01 + 3 -4.59362E-02 -1.25418E-01 + 4 4.37184E-02 1.50829E-02 +4 0 *********** CCCS-pro-tyr + 1 -8.61402E-01 7.45852E-02 + 2 -3.14205E-02 3.78266E-01 + 3 -8.06996E-02 -9.94746E-02 + 4 4.14958E-02 1.80331E-02 +4 0 *********** CCCS-pro-ala + 1 -5.62598E-01 1.11374E-01 + 2 -7.45549E-01 -1.31464E-01 + 3 1.61317E-01 -8.42793E-02 + 4 8.72320E-02 2.91395E-02 +4 0 *********** CCCS-pro-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** CCCS-pro-thr - 1 6.77401E-01 -1.01188E-01 - 2 -1.81642E-01 4.22454E-01 - 3 -4.19218E-01 3.96677E-01 - 4 3.91292E-01 1.98865E-01 - 5 -2.52456E-01 3.08293E-02 - 6 -4.27044E-03 -6.59051E-02 -6 0 *********** CCCS-pro-ser - 1 1.53317E+00 5.10759E-01 - 2 -2.70759E-01 -2.48493E-01 - 3 -1.81702E-01 -4.68519E-01 - 4 -2.05150E-01 4.19572E-01 - 5 2.30890E-01 -2.40943E-02 - 6 -1.04744E-01 -3.62058E-01 -6 0 *********** CCCS-pro-gln - 1 7.22871E-01 -6.27080E-02 - 2 -1.01971E-01 9.23786E-02 - 3 -1.24258E-01 -1.57409E-01 - 4 2.43517E-02 6.37344E-02 - 5 3.84116E-02 -8.32489E-02 - 6 -4.33634E-02 -2.31576E-01 -6 0 *********** CCCS-pro-asn - 1 7.94779E-01 5.09708E-01 - 2 2.46870E-03 -2.00313E-01 - 3 -2.64321E-01 -3.75280E-01 - 4 -2.29648E-01 1.20667E-01 - 5 2.16590E-01 -5.28920E-02 - 6 -8.91820E-02 -1.62325E-01 -6 0 *********** CCCS-pro-glu - 1 8.44383E-01 -1.95329E-01 - 2 -9.59194E-02 1.44007E-01 - 3 -5.28421E-02 -5.98437E-02 - 4 5.06929E-02 1.39596E-02 - 5 -1.13456E-02 -3.84390E-02 - 6 1.87859E-03 -1.51952E-01 -6 0 *********** CCCS-pro-asp - 1 7.47317E-01 8.75282E-01 - 2 -4.56803E-01 -6.99672E-02 - 3 -3.78971E-01 -1.66435E-01 - 4 -2.98850E-01 3.74484E-01 - 5 1.67122E-01 6.92699E-03 - 6 -1.86486E-02 -1.56816E-01 -6 0 *********** CCCS-pro-his - 1 1.08803E+00 2.83710E-01 - 2 2.30702E-01 -3.33208E-01 - 3 -1.42208E-01 -1.02947E-01 - 4 -1.28065E-01 1.61761E-01 - 5 1.14187E-01 -3.77072E-03 - 6 -6.54468E-03 -1.05903E-02 -6 0 *********** CCCS-pro-arg - 1 3.76675E-01 -3.47703E-01 - 2 1.35347E-01 3.78398E-01 - 3 -3.97333E-02 -1.13018E-02 - 4 7.84527E-02 5.99127E-02 - 5 -1.27380E-02 -2.33781E-02 - 6 1.68766E-02 -2.51341E-01 -6 0 *********** CCCS-pro-lys - 1 3.39222E-01 -3.63671E-01 - 2 9.82634E-02 4.51786E-01 - 3 -4.22663E-02 7.95077E-02 - 4 9.36551E-02 4.56777E-02 - 5 -7.88015E-02 -1.11361E-02 - 6 1.11646E-02 -2.12075E-01 -6 0 *********** CCCS-pro-pro - 1 -2.27321E+01 -3.85657E+01 - 2 1.58666E+01 -2.88802E+01 - 3 1.67971E+01 -8.07390E-01 - 4 9.30424E-01 2.13176E-01 - 5 5.93499E+00 -9.47235E+00 - 6 7.74876E+00 -5.66348E+00 -6 0 *********** SCCS-cys-cys - 1 -7.24207E-01 -1.97690E-01 - 2 4.25695E-01 1.80088E-01 - 3 -7.62180E-02 1.36323E-02 - 4 3.01988E-02 4.19477E-02 - 5 -6.48082E-02 -6.45251E-04 - 6 -3.37999E-03 -1.16879E-01 -6 0 *********** SCCS-cys-met - 1 -4.74043E-01 2.34199E-02 - 2 1.69564E-01 -1.20052E-01 - 3 -2.81536E-02 -9.54968E-02 - 4 -3.95379E-02 4.44851E-02 - 5 -5.31490E-02 -4.84258E-02 - 6 -2.78679E-02 -7.84298E-02 -6 0 *********** SCCS-cys-phe - 1 -4.28325E-01 7.11343E-02 - 2 5.98883E-02 -1.21511E-01 - 3 -4.03328E-02 -2.75259E-01 - 4 -1.37120E-01 7.50094E-02 - 5 -9.18229E-03 -5.88630E-02 - 6 -7.73522E-02 -1.86927E-01 -6 0 *********** SCCS-cys-ile - 1 -4.83671E-01 -3.86853E-03 - 2 1.88503E-01 -9.66789E-02 - 3 -6.17656E-02 -4.35353E-02 - 4 -6.04741E-02 4.09216E-02 - 5 -2.02603E-02 -4.76066E-02 - 6 -4.95882E-02 -5.95856E-02 -6 0 *********** SCCS-cys-leu - 1 -4.70839E-01 1.57622E-01 - 2 8.65584E-02 -2.90285E-01 - 3 1.67944E-02 -1.81989E-01 - 4 -3.86066E-02 6.78994E-02 - 5 -1.28352E-01 -7.91449E-02 - 6 -8.17046E-03 -7.97987E-02 -6 0 *********** SCCS-cys-val - 1 -5.00810E-01 8.03782E-02 - 2 1.61448E-01 -2.02250E-01 - 3 -1.61346E-02 -8.88929E-02 - 4 -1.25880E-01 5.04734E-02 - 5 1.37057E-03 -5.72010E-02 - 6 -7.30767E-02 -4.87715E-02 -6 0 *********** SCCS-cys-trp - 1 -4.52842E-01 9.34890E-03 - 2 1.53365E-01 -9.14273E-02 - 3 -9.37907E-02 -1.05503E-01 - 4 -1.32488E-02 2.65187E-02 - 5 -9.45935E-02 -3.75617E-02 - 6 -2.25340E-02 -7.13783E-02 -6 0 *********** SCCS-cys-tyr - 1 -3.84907E-01 7.98579E-02 - 2 2.44854E-02 -1.41959E-01 - 3 -6.73799E-02 -2.31806E-01 - 4 -1.17880E-01 6.50487E-02 - 5 -2.62425E-02 -6.95007E-02 - 6 -8.04802E-02 -1.62863E-01 -6 0 *********** SCCS-cys-ala - 1 -5.59066E-01 -2.41275E-02 - 2 3.19831E-01 -1.17360E-01 - 3 -4.96253E-02 8.56232E-02 - 4 6.39408E-02 5.22274E-02 - 5 -1.36277E-01 -1.12869E-02 - 6 7.09482E-03 3.07324E-02 -6 0 *********** SCCS-cys-gly +4 0 *********** CCCS-pro-thr + 1 -9.07849E-01 7.76719E-02 + 2 -4.72132E-01 8.11280E-02 + 3 1.26137E-01 -1.61329E-01 + 4 3.84860E-02 2.42118E-02 +4 0 *********** CCCS-pro-ser + 1 -1.61551E+00 -8.47812E-01 + 2 3.57056E-02 -4.29341E-01 + 3 -3.91253E-03 1.22740E-01 + 4 -8.20854E-02 3.68427E-03 +4 0 *********** CCCS-pro-gln + 1 -9.33947E-01 6.75567E-04 + 2 -2.41565E-01 -7.64516E-02 + 3 -1.67072E-02 -6.96706E-02 + 4 4.83193E-02 5.10288E-02 +4 0 *********** CCCS-pro-asn + 1 -1.18314E+00 -4.98674E-01 + 2 7.15421E-02 -3.86403E-01 + 3 -9.88056E-03 6.35419E-02 + 4 -5.85735E-03 1.90402E-02 +4 0 *********** CCCS-pro-glu + 1 -1.04480E+00 5.79008E-02 + 2 -2.91891E-01 3.08634E-02 + 3 -5.16516E-03 -1.28810E-01 + 4 5.90039E-02 3.42053E-02 +4 0 *********** CCCS-pro-asp + 1 -1.35548E+00 -6.24364E-01 + 2 1.14171E-02 -3.92476E-01 + 3 2.95114E-02 1.43079E-01 + 4 -1.17147E-02 -1.66194E-02 +4 0 *********** CCCS-pro-his + 1 -1.20336E+00 -4.62056E-01 + 2 1.70184E-01 -2.39155E-01 + 3 6.15741E-02 -8.19429E-02 + 4 -5.70485E-02 1.15489E-02 +4 0 *********** CCCS-pro-arg + 1 -6.89422E-01 2.07042E-01 + 2 -1.98428E-01 3.20765E-01 + 3 -2.68026E-02 -1.28322E-01 + 4 -7.48013E-03 4.31463E-03 +4 0 *********** CCCS-pro-lys + 1 -5.65205E-01 2.73646E-01 + 2 -3.36290E-01 3.45283E-01 + 3 4.67419E-02 -1.36545E-01 + 4 6.86319E-03 -1.94038E-02 +4 0 *********** CCCS-pro-pro + 1 -3.30913E+00 -7.17640E-01 + 2 4.70082E-01 -4.72589E-02 + 3 -3.03019E-01 -1.08208E-01 + 4 1.72759E-01 1.84493E-01 +4 0 *********** SCCS-cys-cys + 1 8.67192E-01 -3.97420E-01 + 2 -1.42331E-01 1.54790E-01 + 3 1.17768E-01 -6.88353E-02 + 4 1.16808E-02 -4.88455E-02 +4 0 *********** SCCS-cys-met + 1 4.26597E-01 -4.96269E-01 + 2 1.68255E-01 2.05715E-01 + 3 6.45820E-02 -5.78164E-02 + 4 -3.30813E-02 -2.01771E-02 +4 0 *********** SCCS-cys-phe + 1 4.30309E-01 -5.81996E-01 + 2 2.91210E-01 3.75351E-02 + 3 -2.51574E-02 -4.52847E-02 + 4 -4.59956E-02 2.64473E-02 +4 0 *********** SCCS-cys-ile + 1 5.92263E-01 -5.56017E-01 + 2 1.96396E-01 2.73218E-01 + 3 7.20998E-02 -8.12583E-02 + 4 -9.05831E-03 -5.08715E-02 +4 0 *********** SCCS-cys-leu + 1 2.23589E-01 -5.45461E-01 + 2 4.10204E-01 2.68121E-01 + 3 5.34177E-02 -5.72668E-02 + 4 -4.98470E-02 1.26762E-02 +4 0 *********** SCCS-cys-val + 1 4.91308E-01 -5.42737E-01 + 2 2.59371E-01 3.06256E-01 + 3 6.44313E-02 -7.06936E-02 + 4 -3.66479E-02 -3.46126E-02 +4 0 *********** SCCS-cys-trp + 1 4.71912E-01 -6.01415E-01 + 2 2.16418E-01 8.00790E-02 + 3 -1.30446E-02 -5.24377E-02 + 4 -4.99798E-02 3.07055E-03 +4 0 *********** SCCS-cys-tyr + 1 4.16234E-01 -5.77036E-01 + 2 2.74265E-01 2.25244E-02 + 3 -2.83527E-02 -4.49149E-02 + 4 -4.88884E-02 2.31939E-02 +4 0 *********** SCCS-cys-ala + 1 3.34091E-01 -3.42304E-01 + 2 8.50400E-03 5.26987E-01 + 3 9.62156E-02 2.68970E-02 + 4 2.14985E-02 -4.83972E-02 +4 0 *********** SCCS-cys-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-cys-thr - 1 -5.46356E-01 -8.38215E-03 - 2 3.03493E-01 -8.73490E-02 - 3 -1.13922E-01 -4.59477E-02 - 4 -4.61134E-02 2.05623E-02 - 5 -2.94384E-02 -1.83005E-02 - 6 -3.54389E-02 -2.50395E-02 -6 0 *********** SCCS-cys-ser - 1 -1.08249E+00 -2.83620E-01 - 2 6.95394E-01 3.27434E-01 - 3 -7.45357E-02 -1.11475E-01 - 4 -1.53819E-02 1.40160E-01 - 5 5.63049E-02 -4.18293E-02 - 6 -6.10263E-02 -3.46170E-01 -6 0 *********** SCCS-cys-gln - 1 -5.65683E-01 -7.10455E-02 - 2 2.78181E-01 1.96852E-02 - 3 -5.38471E-02 -7.97023E-02 - 4 -1.26169E-02 4.81418E-02 - 5 -3.89308E-02 -3.32238E-02 - 6 -2.65113E-02 -1.18029E-01 -6 0 *********** SCCS-cys-asn - 1 -5.92254E-01 -4.39328E-01 - 2 2.07485E-01 5.05557E-01 - 3 -2.87719E-01 1.43563E-03 - 4 -4.98893E-03 6.43461E-02 - 5 -1.53681E-01 -7.23762E-03 - 6 1.92644E-03 -2.97587E-01 -6 0 *********** SCCS-cys-glu - 1 -6.05273E-01 -7.85402E-03 - 2 3.00492E-01 -7.43861E-02 - 3 -3.57721E-02 -7.93173E-02 - 4 -2.53242E-02 4.32863E-02 - 5 -4.30476E-02 -4.32000E-02 - 6 -2.45313E-02 -8.18778E-02 -6 0 *********** SCCS-cys-asp - 1 -6.26683E-01 -4.16653E-01 - 2 3.27329E-01 4.96692E-01 - 3 -2.45528E-01 1.29877E-02 - 4 -4.66358E-02 2.27608E-01 - 5 -1.05259E-01 -5.78596E-03 - 6 -8.03820E-02 -3.93242E-01 -6 0 *********** SCCS-cys-his - 1 -5.06525E-01 -4.01016E-01 - 2 1.29621E-01 4.30216E-01 - 3 -2.29501E-01 3.28900E-03 - 4 -5.83972E-02 6.74478E-02 - 5 -9.96947E-02 -2.87512E-02 - 6 -3.50809E-02 -2.79903E-01 -6 0 *********** SCCS-cys-arg - 1 -4.14680E-01 8.32294E-02 - 2 8.56744E-02 -1.59767E-01 - 3 -8.35930E-02 -1.36921E-01 - 4 -4.67937E-02 4.28600E-02 - 5 -9.73125E-02 -4.90759E-02 - 6 -2.17442E-02 -7.50254E-02 -6 0 *********** SCCS-cys-lys - 1 -4.27105E-01 8.52206E-02 - 2 1.02759E-01 -2.06069E-01 - 3 -3.22450E-02 -1.25608E-01 - 4 -4.00735E-02 5.98395E-02 - 5 -1.03990E-01 -7.29824E-02 - 6 -1.34882E-02 -8.35192E-02 -6 0 *********** SCCS-cys-pro - 1 4.94639E-01 8.68176E-01 - 2 -7.16665E-03 1.60535E+00 - 3 -8.74111E-01 9.04209E-01 - 4 1.54482E-01 3.53449E-01 - 5 -1.13140E+00 2.97469E-01 - 6 -9.00044E-02 6.74301E-02 -6 0 *********** SCCS-met-cys - 1 -4.40648E-01 -8.23157E-01 - 2 3.57550E-01 2.17083E-02 - 3 -1.16876E-01 -3.07260E-02 - 4 7.79965E-02 -3.34766E-03 - 5 -9.44428E-02 -1.35223E-02 - 6 1.72642E-02 -1.79171E-01 -6 0 *********** SCCS-met-met - 1 -3.84052E-01 -5.00461E-01 - 2 1.00422E-01 -2.82195E-01 - 3 -1.35104E-01 -1.01063E-01 - 4 -6.73487E-02 2.86005E-02 - 5 -7.07540E-02 -5.40435E-02 - 6 -4.53469E-02 -1.06904E-01 -6 0 *********** SCCS-met-phe - 1 -4.06116E-01 -5.17834E-01 - 2 -8.47552E-02 -2.48583E-01 - 3 -1.22849E-01 -2.60508E-01 - 4 -1.60273E-01 1.07448E-01 - 5 1.25019E-02 -9.99241E-02 - 6 -1.13720E-01 -2.93365E-01 -6 0 *********** SCCS-met-ile - 1 -3.76689E-01 -5.96643E-01 - 2 1.47021E-01 -2.59806E-01 - 3 -1.98193E-01 -1.24639E-01 - 4 -1.02936E-01 2.18792E-02 - 5 -6.21120E-02 -5.03216E-02 - 6 -7.49733E-02 -1.35329E-01 -6 0 *********** SCCS-met-leu - 1 -4.15837E-01 -4.06127E-01 - 2 3.15224E-02 -5.31861E-01 - 3 -1.98245E-01 -1.24374E-01 - 4 -2.91813E-02 4.20351E-02 - 5 -2.27827E-01 -6.47354E-02 - 6 3.44053E-02 -4.38596E-02 -6 0 *********** SCCS-met-val - 1 -4.40391E-01 -4.93969E-01 - 2 8.73701E-02 -3.48664E-01 - 3 -1.20920E-01 -1.98210E-01 - 4 -1.98372E-01 9.33014E-02 - 5 -8.60502E-03 -1.06582E-01 - 6 -9.57452E-02 -2.21304E-01 -6 0 *********** SCCS-met-trp - 1 -3.88577E-01 -5.54384E-01 - 2 3.92167E-02 -2.02794E-01 - 3 -1.38330E-01 -1.14018E-01 - 4 -4.55223E-02 1.62095E-02 - 5 -1.18694E-01 -4.75900E-02 - 6 -2.66408E-02 -1.40127E-01 -6 0 *********** SCCS-met-tyr - 1 -4.11894E-01 -5.19276E-01 - 2 -1.03740E-01 -2.04605E-01 - 3 -1.29785E-01 -2.61280E-01 - 4 -1.73822E-01 1.24499E-01 - 5 2.00019E-02 -1.09933E-01 - 6 -1.22511E-01 -3.28760E-01 -6 0 *********** SCCS-met-ala - 1 -3.44888E-01 -4.08453E-01 - 2 4.89948E-01 -3.68112E-01 - 3 -2.63780E-01 1.74467E-02 - 4 8.99364E-02 -2.11717E-02 - 5 -2.84007E-01 -2.48871E-02 - 6 5.45323E-02 5.73032E-02 -6 0 *********** SCCS-met-gly +4 0 *********** SCCS-cys-thr + 1 5.34364E-01 -5.20718E-01 + 2 3.54739E-02 3.67105E-01 + 3 7.05045E-02 -2.83985E-02 + 4 3.20212E-02 -5.62046E-02 +4 0 *********** SCCS-cys-ser + 1 1.08515E+00 -2.62182E-01 + 2 -3.11425E-01 1.33473E-01 + 3 9.24029E-02 -1.02550E-01 + 4 1.03816E-01 -6.31816E-02 +4 0 *********** SCCS-cys-gln + 1 5.66258E-01 -5.39383E-01 + 2 -2.13376E-02 2.06540E-01 + 3 -3.79359E-02 -7.98189E-02 + 4 -4.15080E-02 -4.31848E-02 +4 0 *********** SCCS-cys-asn + 1 9.22612E-01 -2.63118E-01 + 2 -2.41432E-01 6.53561E-02 + 3 2.40540E-02 -3.36319E-02 + 4 2.68269E-02 9.52648E-03 +4 0 *********** SCCS-cys-glu + 1 5.96137E-01 -6.10543E-01 + 2 3.53487E-02 2.27789E-01 + 3 -1.67001E-02 -8.81237E-02 + 4 -4.14545E-02 -3.65214E-02 +4 0 *********** SCCS-cys-asp + 1 1.01795E+00 -2.60910E-01 + 2 -1.97330E-01 1.05405E-01 + 3 4.50490E-02 -4.55831E-03 + 4 2.89560E-02 2.39952E-02 +4 0 *********** SCCS-cys-his + 1 8.90355E-01 -2.91398E-01 + 2 -1.50779E-01 3.51671E-03 + 3 1.32057E-01 -3.87007E-02 + 4 7.11463E-02 3.32134E-02 +4 0 *********** SCCS-cys-arg + 1 3.05165E-01 -5.50396E-01 + 2 2.65996E-01 1.34162E-01 + 3 1.63970E-02 -6.55688E-02 + 4 -1.63990E-02 -6.42449E-03 +4 0 *********** SCCS-cys-lys + 1 2.10559E-01 -5.08694E-01 + 2 2.92920E-01 2.11781E-01 + 3 7.45051E-02 -4.33034E-02 + 4 -2.31646E-02 5.82520E-03 +4 0 *********** SCCS-cys-pro + 1 1.19886E+00 -1.94173E-01 + 2 -2.59796E-01 1.46925E-01 + 3 2.03461E-01 -1.47537E-01 + 4 1.86013E-01 1.95403E-02 +4 0 *********** SCCS-met-cys + 1 6.77842E-01 -1.22147E-01 + 2 3.01442E-02 -8.28383E-02 + 3 2.53218E-02 -2.89579E-02 + 4 2.32855E-02 -1.30591E-02 +4 0 *********** SCCS-met-met + 1 3.93839E-01 -2.65359E-01 + 2 -2.98951E-02 -7.82974E-04 + 3 -6.71525E-03 2.70051E-03 + 4 -4.19784E-03 -6.76316E-03 +4 0 *********** SCCS-met-phe + 1 3.47890E-01 -3.31177E-01 + 2 -1.40210E-02 1.34047E-02 + 3 -6.20698E-03 9.61245E-03 + 4 -7.78514E-03 2.24073E-03 +4 0 *********** SCCS-met-ile + 1 5.15140E-01 -2.68442E-01 + 2 -3.13116E-02 -3.35772E-02 + 3 -1.11768E-02 -9.13918E-03 + 4 6.51943E-03 -1.56479E-02 +4 0 *********** SCCS-met-leu + 1 2.97078E-01 -3.52096E-01 + 2 -4.44542E-02 4.92139E-02 + 3 1.62294E-03 8.51857E-03 + 4 -1.90766E-02 -1.67157E-03 +4 0 *********** SCCS-met-val + 1 4.44647E-01 -3.10886E-01 + 2 -5.17011E-02 -3.76384E-03 + 3 -2.22666E-02 5.59111E-04 + 4 -1.13944E-02 -1.24191E-02 +4 0 *********** SCCS-met-trp + 1 4.26445E-01 -3.01683E-01 + 2 -1.23552E-02 -1.83657E-02 + 3 -1.26295E-02 -8.84208E-03 + 4 -4.38497E-03 -6.94827E-03 +4 0 *********** SCCS-met-tyr + 1 3.35211E-01 -3.27458E-01 + 2 -8.79237E-03 1.12200E-02 + 3 -5.55911E-03 9.19752E-03 + 4 -8.69196E-03 2.27149E-03 +4 0 *********** SCCS-met-ala + 1 3.47583E-01 -1.40409E-01 + 2 -7.66753E-02 2.14822E-02 + 3 -1.83836E-03 1.15904E-02 + 4 7.81264E-03 -1.16514E-02 +4 0 *********** SCCS-met-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-met-thr - 1 -3.28921E-01 -5.15095E-01 - 2 3.02493E-01 -2.95297E-01 - 3 -1.96756E-01 -1.85399E-01 - 4 -5.69217E-02 -6.18868E-02 - 5 -8.22300E-02 -6.33454E-02 - 6 -5.41360E-02 -9.55429E-02 -6 0 *********** SCCS-met-ser - 1 -8.09969E-01 -1.05312E+00 - 2 6.15521E-01 2.76109E-01 - 3 -2.35733E-02 -2.13770E-01 - 4 4.13078E-02 8.23782E-02 - 5 3.80815E-02 -6.09184E-02 - 6 -2.38641E-02 -4.84823E-01 -6 0 *********** SCCS-met-gln - 1 -4.46380E-01 -6.08000E-01 - 2 2.46235E-01 -1.08523E-01 - 3 -1.06118E-01 -1.18742E-01 - 4 -3.01210E-02 5.15472E-03 - 5 -6.29406E-02 -2.41803E-02 - 6 -3.16821E-02 -1.53858E-01 -6 0 *********** SCCS-met-asn - 1 -1.64359E-01 -8.16222E-01 - 2 3.04739E-01 3.79406E-01 - 3 -2.19302E-01 -2.04363E-02 - 4 1.28449E-01 2.00877E-02 - 5 -1.63667E-01 -2.19955E-02 - 6 5.76872E-02 -3.07047E-01 -6 0 *********** SCCS-met-glu - 1 -5.17605E-01 -6.19269E-01 - 2 2.62896E-01 -2.00396E-01 - 3 -1.34743E-01 -1.44076E-01 - 4 -7.10931E-02 -1.47989E-02 - 5 -7.87967E-02 -1.72038E-02 - 6 -3.32032E-02 -1.16833E-01 -6 0 *********** SCCS-met-asp - 1 -6.66296E-02 -6.86112E-01 - 2 5.20732E-01 3.34009E-01 - 3 -1.07526E-01 -9.95903E-02 - 4 -2.40285E-02 1.73802E-01 - 5 -2.58508E-02 3.07996E-02 - 6 -1.92849E-02 -3.65318E-01 -6 0 *********** SCCS-met-his - 1 -1.42119E-01 -9.00856E-01 - 2 1.26164E-01 3.57382E-01 - 3 -1.04021E-01 -3.53492E-02 - 4 4.59235E-03 5.78228E-03 - 5 -5.40533E-02 -5.38778E-02 - 6 -7.20234E-03 -3.37805E-01 -6 0 *********** SCCS-met-arg - 1 -4.22845E-01 -3.95052E-01 - 2 1.20234E-03 -2.85629E-01 - 3 -1.56866E-01 -1.12423E-01 - 4 -7.67059E-02 3.65577E-02 - 5 -8.52288E-02 -6.47195E-02 - 6 -2.38007E-02 -1.08320E-01 -6 0 *********** SCCS-met-lys - 1 -3.73678E-01 -4.04217E-01 - 2 3.05616E-02 -3.87928E-01 - 3 -1.72906E-01 -9.61810E-02 - 4 -6.25771E-02 4.14123E-02 - 5 -1.44767E-01 -5.24466E-02 - 6 -6.89935E-03 -6.03887E-02 -6 0 *********** SCCS-met-pro - 1 -7.94019E-01 4.73402E-01 - 2 -4.35125E-01 2.09023E+00 - 3 -5.15019E-01 4.09889E-01 - 4 -1.09590E-01 -3.32620E-01 - 5 -8.07757E-01 4.82847E-01 - 6 1.06730E-01 2.17904E-01 -6 0 *********** SCCS-phe-cys - 1 -4.77720E-01 -1.19189E+00 - 2 3.11049E-01 -1.32231E-01 - 3 -1.62767E-01 -1.17713E-01 - 4 -9.10289E-02 1.10568E-01 - 5 7.25370E-02 -1.11469E-01 - 6 -1.25959E-01 -3.76241E-01 -6 0 *********** SCCS-phe-met - 1 -4.22573E-01 -6.83855E-01 - 2 -5.47756E-02 -2.97389E-01 - 3 -1.71183E-01 -7.05160E-02 - 4 -7.23906E-02 6.75718E-02 - 5 -4.80395E-02 -6.30420E-02 - 6 -5.52961E-02 -1.50666E-01 -6 0 *********** SCCS-phe-phe - 1 -4.74883E-01 -7.56680E-01 - 2 -2.47371E-01 -2.61330E-01 - 3 -1.41954E-01 -7.87211E-02 - 4 7.56003E-03 5.40319E-02 - 5 -1.27301E-01 -7.02696E-02 - 6 -5.45888E-02 -1.77698E-01 -6 0 *********** SCCS-phe-ile - 1 -4.72150E-01 -8.60317E-01 - 2 -1.16033E-01 -3.55364E-01 - 3 -2.43430E-01 -5.53555E-02 - 4 -1.49856E-01 1.38837E-01 - 5 3.21433E-02 -4.26979E-02 - 6 -7.49414E-02 -1.86628E-01 -6 0 *********** SCCS-phe-leu - 1 -4.13339E-01 -5.63590E-01 - 2 -3.13046E-01 -5.65163E-01 - 3 -1.49460E-01 -5.38196E-02 - 4 -7.52139E-02 1.23860E-01 - 5 -1.11313E-01 -7.94510E-02 - 6 -1.98189E-02 -8.39389E-02 -6 0 *********** SCCS-phe-val - 1 -4.84643E-01 -7.05534E-01 - 2 -2.40577E-02 -5.07636E-01 - 3 -3.95941E-01 -5.36785E-03 - 4 -4.30410E-03 5.48310E-02 - 5 -2.01757E-01 9.60730E-03 - 6 3.83940E-02 8.12650E-03 -6 0 *********** SCCS-phe-trp - 1 -5.23208E-01 -7.69790E-01 - 2 -1.65588E-01 -1.60544E-01 - 3 -1.27467E-01 -9.48473E-02 - 4 -4.74938E-02 4.74844E-02 - 5 -8.51877E-02 -7.00972E-02 - 6 -5.28242E-02 -2.15356E-01 -6 0 *********** SCCS-phe-tyr - 1 -4.73067E-01 -7.53833E-01 - 2 -2.37343E-01 -2.20817E-01 - 3 -1.40242E-01 -1.20171E-01 - 4 -4.79766E-02 6.35251E-02 - 5 -7.93764E-02 -7.81519E-02 - 6 -6.02793E-02 -2.24916E-01 -6 0 *********** SCCS-phe-ala - 1 -1.16121E-01 -6.23136E-01 - 2 1.71710E-01 -5.45137E-01 - 3 -2.45494E-01 -4.47752E-02 - 4 -1.14405E-01 4.81874E-02 - 5 -2.21757E-02 -4.14914E-03 - 6 -4.54070E-02 1.41510E-02 -6 0 *********** SCCS-phe-gly +4 0 *********** SCCS-met-thr + 1 5.08255E-01 -2.52922E-01 + 2 -4.50710E-02 -2.69872E-02 + 3 -1.48451E-02 -1.40399E-02 + 4 4.39034E-03 -1.89867E-02 +4 0 *********** SCCS-met-ser + 1 8.01001E-01 -4.95313E-02 + 2 9.72315E-02 -9.47434E-02 + 3 6.93740E-02 -4.24953E-02 + 4 5.18705E-02 -1.18763E-02 +4 0 *********** SCCS-met-gln + 1 5.01149E-01 -2.69021E-01 + 2 -2.49395E-02 -4.99726E-02 + 3 -1.56056E-02 -2.12705E-02 + 4 -7.22647E-03 -1.64211E-02 +4 0 *********** SCCS-met-asn + 1 6.73569E-01 1.52099E-02 + 2 7.13503E-02 -6.74262E-02 + 3 3.90477E-02 -1.39945E-02 + 4 2.52162E-02 3.59290E-03 +4 0 *********** SCCS-met-glu + 1 5.25931E-01 -3.25302E-01 + 2 -3.29368E-02 -5.37539E-02 + 3 -1.90438E-02 -2.32002E-02 + 4 -9.20583E-03 -1.93397E-02 +4 0 *********** SCCS-met-asp + 1 7.14424E-01 1.99294E-02 + 2 9.11002E-02 -6.60162E-02 + 3 4.52199E-02 -1.28745E-02 + 4 3.06190E-02 5.71878E-03 +4 0 *********** SCCS-met-his + 1 6.48672E-01 3.96519E-02 + 2 9.07352E-02 -5.93949E-02 + 3 3.52519E-02 1.88478E-03 + 4 2.44209E-02 1.78793E-02 +4 0 *********** SCCS-met-arg + 1 3.07539E-01 -3.33991E-01 + 2 -2.38728E-02 1.77764E-02 + 3 -2.32994E-03 6.48369E-03 + 4 -6.48227E-03 -1.82843E-03 +4 0 *********** SCCS-met-lys + 1 2.74243E-01 -3.17318E-01 + 2 -3.79758E-02 3.83663E-02 + 3 3.07022E-03 1.29550E-02 + 4 -1.17798E-02 -9.80492E-04 +4 0 *********** SCCS-met-pro + 1 8.53443E-01 6.32717E-04 + 2 1.54723E-01 -9.89519E-02 + 3 1.33621E-01 -3.85601E-02 + 4 1.13225E-01 2.03647E-02 +4 0 *********** SCCS-phe-cys + 1 6.17912E-01 4.08053E-02 + 2 8.03708E-02 -1.78292E-01 + 3 -4.69639E-02 -4.27914E-02 + 4 -1.76101E-02 4.81626E-02 +4 0 *********** SCCS-phe-met + 1 4.00919E-01 -1.33434E-01 + 2 -8.47991E-02 -1.11941E-01 + 3 -6.60895E-02 3.54194E-02 + 4 -2.32957E-02 -4.88659E-03 +4 0 *********** SCCS-phe-phe + 1 3.70355E-01 -2.13805E-01 + 2 -1.33203E-01 -6.44535E-02 + 3 -2.38403E-02 5.51917E-02 + 4 -4.77525E-02 3.66054E-02 +4 0 *********** SCCS-phe-ile + 1 4.83527E-01 -1.13031E-01 + 2 -5.50937E-02 -1.64700E-01 + 3 -1.21456E-01 4.46557E-03 + 4 -4.47308E-03 -2.79464E-02 +4 0 *********** SCCS-phe-leu + 1 3.30503E-01 -2.51950E-01 + 2 -1.85358E-01 -7.54903E-02 + 3 -8.18024E-03 9.43208E-02 + 4 -4.27669E-02 -1.78173E-02 +4 0 *********** SCCS-phe-val + 1 4.61384E-01 -1.89805E-01 + 2 -1.19066E-01 -1.67802E-01 + 3 -9.11416E-02 5.00339E-02 + 4 -2.91337E-02 -4.54035E-02 +4 0 *********** SCCS-phe-trp + 1 4.21300E-01 -1.36878E-01 + 2 -6.85968E-02 -7.57692E-02 + 3 -7.08461E-02 5.92965E-02 + 4 -2.27440E-02 -1.34410E-03 +4 0 *********** SCCS-phe-tyr + 1 3.56633E-01 -2.11338E-01 + 2 -1.21404E-01 -4.96290E-02 + 3 -2.81706E-02 4.66030E-02 + 4 -3.18445E-02 3.78772E-02 +4 0 *********** SCCS-phe-ala + 1 3.36910E-01 -6.87199E-02 + 2 -8.07815E-02 -1.92038E-01 + 3 -1.00267E-01 3.43753E-02 + 4 5.43754E-02 -3.09258E-02 +4 0 *********** SCCS-phe-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-phe-thr - 1 -3.97080E-01 -6.86184E-01 - 2 1.81891E-01 -5.52630E-01 - 3 -3.83171E-01 -1.08834E-01 - 4 -6.45258E-03 -5.66500E-02 - 5 -2.73262E-01 -1.94285E-02 - 6 1.35721E-02 3.65688E-02 -6 0 *********** SCCS-phe-ser - 1 -6.23725E-01 -1.58496E+00 - 2 6.98394E-01 -1.07993E-01 - 3 -1.95352E-01 -9.47647E-02 - 4 1.90337E-02 -2.63999E-02 - 5 -1.09746E-01 -9.80081E-02 - 6 3.15061E-02 -3.43566E-01 -6 0 *********** SCCS-phe-gln - 1 -4.98920E-01 -8.30863E-01 - 2 6.35253E-02 -1.61680E-01 - 3 -8.81663E-02 -1.20723E-01 - 4 -1.26542E-02 1.38600E-02 - 5 -2.27741E-02 -9.09493E-02 - 6 -5.06644E-02 -2.34981E-01 -6 0 *********** SCCS-phe-asn - 1 4.97500E-02 -1.09296E+00 - 2 2.36387E-01 2.72975E-01 - 3 -2.96556E-02 -1.56050E-01 - 4 -4.47871E-02 3.28199E-02 - 5 -3.03638E-02 -6.34265E-02 - 6 -5.35005E-02 -4.25285E-01 -6 0 *********** SCCS-phe-glu - 1 -5.96593E-01 -8.89185E-01 - 2 2.08404E-02 -2.69112E-01 - 3 -1.15377E-01 -1.13473E-01 - 4 -4.24806E-02 1.99648E-02 - 5 -2.88386E-02 -8.00633E-02 - 6 -5.70793E-02 -2.07468E-01 -6 0 *********** SCCS-phe-asp - 1 1.85776E-01 -9.15278E-01 - 2 5.52340E-01 1.33137E-01 - 3 -4.57073E-02 -2.46623E-01 - 4 -2.56981E-02 1.00929E-01 - 5 6.63062E-02 -8.89455E-02 - 6 -7.99884E-02 -4.58913E-01 -6 0 *********** SCCS-phe-his - 1 -7.96019E-02 -1.31776E+00 - 2 5.05884E-02 1.85026E-01 - 3 -1.38229E-01 -4.13929E-02 - 4 -3.28063E-02 3.43186E-02 - 5 -9.41024E-02 -5.25009E-02 - 6 -5.40753E-02 -3.73950E-01 -6 0 *********** SCCS-phe-arg - 1 -4.74505E-01 -5.40996E-01 - 2 -1.39061E-01 -2.91173E-01 - 3 -1.99127E-01 -4.48236E-02 - 4 1.33532E-02 3.44437E-02 - 5 -1.42821E-01 -4.41293E-02 - 6 -1.80308E-02 -8.02370E-02 -6 0 *********** SCCS-phe-lys - 1 -4.21541E-01 -5.20319E-01 - 2 -1.63285E-01 -3.82184E-01 - 3 -1.58106E-01 -9.39399E-02 - 4 -1.08373E-01 1.26981E-01 - 5 -2.23846E-02 -7.85287E-02 - 6 -5.73183E-02 -1.56062E-01 -6 0 *********** SCCS-phe-pro - 1 -2.15318E+00 -2.86309E-02 - 2 -3.82770E-01 3.15290E+00 - 3 4.87991E-01 3.49936E-01 - 4 -7.52288E-01 -2.73225E-01 - 5 -6.95114E-01 4.22811E-01 - 6 2.04513E-02 -3.22089E-01 -6 0 *********** SCCS-ile-cys - 1 -3.66362E-01 -4.37426E-01 - 2 5.37390E-01 2.32705E-02 - 3 -1.02074E-01 -9.60693E-02 - 4 5.71536E-02 -1.07946E-02 - 5 -5.55116E-02 -2.29703E-02 - 6 -3.24376E-03 -1.40591E-01 -6 0 *********** SCCS-ile-met - 1 -3.39501E-01 -2.68760E-01 - 2 1.01068E-01 -2.90853E-01 - 3 -1.24444E-01 -1.24910E-01 - 4 -5.88246E-02 2.94906E-02 - 5 -1.04711E-01 -5.10055E-02 - 6 -2.92503E-02 -7.37541E-02 -6 0 *********** SCCS-ile-phe - 1 -3.21982E-01 -2.59359E-01 - 2 -1.05171E-01 -3.01575E-01 - 3 -1.76793E-01 -1.60188E-01 - 4 -3.55047E-02 5.60801E-02 - 5 -1.23136E-01 -3.46645E-02 - 6 -2.66364E-02 -9.10756E-02 -6 0 *********** SCCS-ile-ile - 1 -3.81223E-01 -3.03959E-01 - 2 1.29061E-01 -2.93015E-01 - 3 -1.17933E-01 -8.36166E-02 - 4 -7.92858E-02 2.23016E-02 - 5 -1.33755E-01 -5.44812E-02 - 6 -3.00558E-02 -6.06688E-02 -6 0 *********** SCCS-ile-leu - 1 -3.71001E-01 -9.55315E-02 - 2 -2.04756E-01 -4.16649E-01 - 3 -6.86485E-02 -2.98134E-01 - 4 -1.73242E-01 1.66972E-01 - 5 -9.39167E-03 -8.75446E-02 - 6 -9.43430E-02 -2.09801E-01 -6 0 *********** SCCS-ile-val - 1 -3.54833E-01 -2.39039E-01 - 2 4.45292E-02 -4.47911E-01 - 3 -1.30083E-01 -1.30964E-01 - 4 -3.10455E-02 5.29424E-02 - 5 -2.01350E-01 -2.40452E-02 - 6 6.12922E-03 -1.53781E-02 -6 0 *********** SCCS-ile-trp - 1 -3.65137E-01 -3.14193E-01 - 2 9.27431E-02 -2.27563E-01 - 3 -1.27390E-01 -1.08860E-01 - 4 -5.24822E-02 3.74832E-02 - 5 -9.38747E-02 -4.82880E-02 - 6 -2.91066E-02 -1.00919E-01 -6 0 *********** SCCS-ile-tyr - 1 -3.29671E-01 -2.61676E-01 - 2 -1.08412E-01 -2.83339E-01 - 3 -1.97386E-01 -1.50580E-01 - 4 2.71883E-03 5.42508E-02 - 5 -1.39960E-01 -2.37462E-02 - 6 -2.27496E-02 -8.50223E-02 -6 0 *********** SCCS-ile-ala - 1 -4.78099E-01 -2.24743E-01 - 2 2.44743E-01 -2.07951E-01 - 3 2.35548E-02 -2.81703E-01 - 4 -2.51433E-01 1.48554E-01 - 5 1.38615E-01 -1.28752E-01 - 6 -1.44817E-01 -3.15873E-01 -6 0 *********** SCCS-ile-gly +4 0 *********** SCCS-phe-thr + 1 4.82970E-01 -9.10727E-02 + 2 -5.58281E-02 -1.57682E-01 + 3 -1.33460E-01 -6.99795E-03 + 4 2.48573E-02 -7.19938E-02 +4 0 *********** SCCS-phe-ser + 1 7.61323E-01 9.17861E-02 + 2 2.06520E-01 -1.99787E-01 + 3 4.29281E-02 -8.32855E-02 + 4 1.72165E-02 4.10619E-02 +4 0 *********** SCCS-phe-gln + 1 4.86846E-01 -1.05888E-01 + 2 -3.94640E-02 -1.43621E-01 + 3 -1.07811E-01 2.13469E-02 + 4 -4.22265E-03 -2.88818E-02 +4 0 *********** SCCS-phe-asn + 1 6.17192E-01 1.32884E-01 + 2 2.11050E-01 -1.43871E-01 + 3 -3.77821E-02 -7.12819E-02 + 4 2.23335E-02 5.71418E-02 +4 0 *********** SCCS-phe-glu + 1 5.12548E-01 -1.55228E-01 + 2 -7.80945E-02 -1.54708E-01 + 3 -1.17487E-01 3.69667E-02 + 4 4.81689E-03 -2.57806E-02 +4 0 *********** SCCS-phe-asp + 1 6.54725E-01 1.36981E-01 + 2 2.27435E-01 -1.56591E-01 + 3 -1.76645E-02 -8.60832E-02 + 4 2.41417E-02 4.79527E-02 +4 0 *********** SCCS-phe-his + 1 5.71382E-01 1.65067E-01 + 2 2.21862E-01 -1.03689E-01 + 3 7.14090E-03 -1.05914E-01 + 4 1.86059E-02 4.81888E-02 +4 0 *********** SCCS-phe-arg + 1 3.46205E-01 -2.19591E-01 + 2 -1.23250E-01 -6.09198E-02 + 3 -3.07295E-02 6.26693E-02 + 4 -2.18389E-02 -3.92536E-04 +4 0 *********** SCCS-phe-lys + 1 3.12189E-01 -2.08352E-01 + 2 -1.38776E-01 -7.42432E-02 + 3 -2.46965E-02 6.30946E-02 + 4 -2.00432E-02 -2.35017E-03 +4 0 *********** SCCS-phe-pro + 1 5.75671E-01 1.88566E-01 + 2 1.73271E-01 -2.03893E-01 + 3 -4.52139E-02 -2.19582E-01 + 4 1.30494E-01 1.14331E-01 +4 0 *********** SCCS-ile-cys + 1 8.12861E-01 -3.96504E-03 + 2 -4.19983E-02 -1.84176E-01 + 3 2.24503E-02 -1.66688E-01 + 4 1.95631E-02 -1.59739E-02 +4 0 *********** SCCS-ile-met + 1 5.06126E-01 -1.90924E-01 + 2 -1.04465E-01 5.08062E-02 + 3 -4.34139E-02 -8.62438E-03 + 4 2.80063E-03 -1.68203E-02 +4 0 *********** SCCS-ile-phe + 1 4.61933E-01 -2.73111E-01 + 2 -4.71593E-02 1.06871E-01 + 3 -4.16029E-02 3.21457E-02 + 4 -2.32600E-02 -2.22548E-02 +4 0 *********** SCCS-ile-ile + 1 6.43631E-01 -1.58904E-01 + 2 -1.37423E-01 -2.45357E-02 + 3 -4.92316E-02 -4.74913E-02 + 4 8.06138E-03 -1.35900E-02 +4 0 *********** SCCS-ile-leu + 1 4.08520E-01 -3.13005E-01 + 2 -1.27566E-01 1.49512E-01 + 3 -6.34900E-02 3.46685E-02 + 4 -9.06413E-03 -3.52161E-02 +4 0 *********** SCCS-ile-val + 1 5.49852E-01 -2.38227E-01 + 2 -1.86617E-01 6.55581E-02 + 3 -8.85027E-02 -2.08951E-03 + 4 2.05440E-02 -1.84654E-02 +4 0 *********** SCCS-ile-trp + 1 5.56619E-01 -2.29250E-01 + 2 -7.23091E-02 2.04708E-02 + 3 -5.42306E-02 -1.94723E-02 + 4 -1.19046E-02 -1.59240E-02 +4 0 *********** SCCS-ile-tyr + 1 4.49078E-01 -2.74446E-01 + 2 -2.55459E-02 9.15112E-02 + 3 -2.72392E-02 2.83834E-02 + 4 -1.70035E-02 -1.89771E-02 +4 0 *********** SCCS-ile-ala + 1 4.03565E-01 -9.73049E-02 + 2 -1.65085E-01 5.69215E-02 + 3 -2.98332E-02 -3.68601E-02 + 4 5.11142E-02 -3.60853E-03 +4 0 *********** SCCS-ile-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-ile-thr - 1 -3.19331E-01 -2.78129E-01 - 2 2.64859E-01 -3.68305E-01 - 3 -9.64321E-02 -4.17280E-02 - 4 -1.00414E-01 -6.24471E-02 - 5 -7.11982E-02 -5.01324E-02 - 6 -5.10848E-02 5.66547E-02 -6 0 *********** SCCS-ile-ser - 1 -4.69377E-01 -4.72659E-01 - 2 8.40766E-01 2.99629E-03 - 3 -1.17410E-01 -1.33685E-01 - 4 1.58318E-01 -4.26942E-03 - 5 -6.33359E-02 -3.32984E-02 - 6 3.23217E-02 -1.78609E-01 -6 0 *********** SCCS-ile-gln - 1 -4.06047E-01 -3.29706E-01 - 2 3.02080E-01 -1.95983E-01 - 3 -1.17195E-01 -8.51338E-02 - 4 9.16907E-03 -1.65888E-03 - 5 -9.67471E-02 -4.13248E-02 - 6 -6.74363E-03 -6.95093E-02 -6 0 *********** SCCS-ile-asn - 1 -3.91779E-01 -5.68894E-01 - 2 3.97636E-01 3.66844E-01 - 3 -6.26444E-02 -8.34805E-03 - 4 5.59487E-02 9.99808E-02 - 5 -2.22813E-02 -3.30375E-02 - 6 -1.89595E-02 -3.19876E-01 -6 0 *********** SCCS-ile-glu - 1 -4.17017E-01 -3.24561E-01 - 2 2.90040E-01 -2.87396E-01 - 3 -1.31230E-01 -1.00111E-01 - 4 -1.75487E-02 -1.67929E-02 - 5 -1.12516E-01 -4.33961E-02 - 6 -1.68652E-02 -3.99147E-02 -6 0 *********** SCCS-ile-asp - 1 -3.43233E-01 -4.49234E-01 - 2 5.34913E-01 2.02793E-01 - 3 -6.84339E-02 2.38160E-02 - 4 1.32494E-01 3.19377E-02 - 5 -8.10469E-02 1.40293E-02 - 6 4.47311E-02 -1.41734E-01 -6 0 *********** SCCS-ile-his - 1 -4.82226E-01 -5.88413E-01 - 2 3.76655E-01 2.75091E-01 - 3 -8.24065E-02 -2.68400E-02 - 4 4.22172E-02 4.80822E-02 - 5 -5.39495E-02 -3.96245E-02 - 6 -2.79295E-02 -2.68305E-01 -6 0 *********** SCCS-ile-arg - 1 -3.29820E-01 -2.07717E-01 - 2 -6.96591E-02 -3.11345E-01 - 3 -1.08863E-01 -1.46964E-01 - 4 -7.83059E-02 8.99063E-02 - 5 -7.90597E-02 -5.03337E-02 - 6 -5.35145E-02 -1.07962E-01 -6 0 *********** SCCS-ile-lys - 1 -3.26846E-01 -1.68690E-01 - 2 -8.62982E-02 -3.42839E-01 - 3 -1.09530E-01 -1.95703E-01 - 4 -1.01321E-01 8.17160E-02 - 5 -7.61797E-02 -6.57440E-02 - 6 -5.80906E-02 -1.23863E-01 -6 0 *********** SCCS-ile-pro - 1 -8.95187E-01 -5.72347E-01 - 2 -3.05340E-02 2.28174E+00 - 3 -5.52865E-02 3.85467E-01 - 4 -6.72103E-01 3.19480E-01 - 5 -4.16920E-01 2.00371E-01 - 6 -2.31081E-01 -7.14821E-01 -6 0 *********** SCCS-leu-cys - 1 -2.49218E-01 -6.85998E-01 - 2 5.61460E-01 -4.98721E-03 - 3 -1.20421E-01 -1.18166E-01 - 4 8.35564E-02 -6.16545E-02 - 5 -1.69494E-01 -4.29556E-02 - 6 4.94242E-03 -1.71439E-01 -6 0 *********** SCCS-leu-met - 1 -2.90525E-01 -5.02697E-01 - 2 1.10917E-01 -3.42050E-01 - 3 -1.74279E-01 -7.40614E-02 - 4 -4.56289E-02 1.42436E-02 - 5 -9.91967E-02 -3.33844E-02 - 6 -2.45275E-02 -4.78671E-02 -6 0 *********** SCCS-leu-phe - 1 -3.12424E-01 -5.02028E-01 - 2 -1.38808E-01 -3.33835E-01 - 3 -1.35106E-01 -1.60210E-01 - 4 -1.23605E-01 9.06911E-02 - 5 -5.13431E-02 -8.65858E-02 - 6 -6.15301E-02 -1.87885E-01 -6 0 *********** SCCS-leu-ile - 1 -2.51806E-01 -6.13216E-01 - 2 1.52660E-01 -3.78317E-01 - 3 -2.73995E-01 -8.73092E-02 - 4 -4.51981E-02 -2.53193E-02 - 5 -1.94259E-01 -2.60557E-02 - 6 -1.81751E-02 -2.82477E-02 -6 0 *********** SCCS-leu-leu - 1 -3.36827E-01 -3.49138E-01 - 2 -1.33320E-01 -5.69843E-01 - 3 -1.56998E-01 -1.70189E-01 - 4 -1.11519E-01 9.50555E-02 - 5 -1.07886E-01 -6.89421E-02 - 6 -9.39830E-03 -7.95082E-02 -6 0 *********** SCCS-leu-val - 1 -3.48806E-01 -4.94846E-01 - 2 1.05186E-01 -4.83487E-01 - 3 -1.82596E-01 -1.31634E-01 - 4 -1.55232E-01 8.41925E-02 - 5 -7.07517E-03 -7.70740E-02 - 6 -8.90066E-02 -1.14378E-01 -6 0 *********** SCCS-leu-trp - 1 -3.28013E-01 -5.59379E-01 - 2 6.60342E-02 -2.55918E-01 - 3 -1.80637E-01 -7.39186E-02 - 4 -1.25598E-02 1.78130E-02 - 5 -1.31863E-01 -3.29401E-02 - 6 -1.20909E-02 -9.40927E-02 -6 0 *********** SCCS-leu-tyr - 1 -3.29662E-01 -5.10630E-01 - 2 -1.26164E-01 -2.60216E-01 - 3 -1.68906E-01 -1.35382E-01 - 4 -5.79898E-02 6.73397E-02 - 5 -8.35187E-02 -5.55666E-02 - 6 -4.04080E-02 -1.64084E-01 -6 0 *********** SCCS-leu-ala - 1 -3.49356E-01 -3.04182E-01 - 2 3.47609E-01 -4.74371E-01 - 3 -1.21154E-01 -5.30663E-02 - 4 -9.48709E-02 -6.71932E-03 - 5 -9.85826E-02 -4.98969E-02 - 6 -2.45818E-02 3.29046E-02 -6 0 *********** SCCS-leu-gly +4 0 *********** SCCS-ile-thr + 1 6.20201E-01 -1.51004E-01 + 2 -1.87224E-01 -2.62016E-02 + 3 -6.88780E-02 -4.57481E-02 + 4 2.01786E-02 -1.07393E-02 +4 0 *********** SCCS-ile-ser + 1 9.86260E-01 6.17463E-02 + 2 6.62327E-02 -2.73558E-01 + 3 1.10960E-01 -2.60774E-01 + 4 3.83570E-02 -4.73275E-02 +4 0 *********** SCCS-ile-gln + 1 6.33544E-01 -1.88461E-01 + 2 -1.11157E-01 -5.46044E-02 + 3 -6.63764E-02 -7.88378E-02 + 4 -2.90177E-04 -3.37399E-02 +4 0 *********** SCCS-ile-asn + 1 8.25454E-01 1.18023E-01 + 2 9.19552E-02 -1.26947E-01 + 3 1.45967E-01 -1.11375E-01 + 4 2.12906E-02 -9.23171E-03 +4 0 *********** SCCS-ile-glu + 1 6.72081E-01 -2.47902E-01 + 2 -1.35462E-01 -4.61728E-02 + 3 -1.02405E-01 -6.77087E-02 + 4 -6.17406E-03 -2.95507E-02 +4 0 *********** SCCS-ile-asp + 1 8.82886E-01 1.31154E-01 + 2 1.26880E-01 -1.35348E-01 + 3 1.68125E-01 -9.99270E-02 + 4 2.84089E-02 -1.18548E-02 +4 0 *********** SCCS-ile-his + 1 7.94558E-01 1.75521E-01 + 2 1.38135E-01 -1.15967E-01 + 3 1.67239E-01 -3.45828E-03 + 4 2.51629E-02 1.09876E-02 +4 0 *********** SCCS-ile-arg + 1 4.15725E-01 -3.00712E-01 + 2 -6.50785E-02 9.28036E-02 + 3 -3.20538E-02 2.66472E-02 + 4 -8.49279E-03 -1.49651E-02 +4 0 *********** SCCS-ile-lys + 1 3.86892E-01 -2.73636E-01 + 2 -1.12672E-01 1.29364E-01 + 3 -3.92998E-02 3.02353E-02 + 4 1.05440E-03 -2.13757E-02 +4 0 *********** SCCS-ile-pro + 1 1.10677E+00 1.63062E-01 + 2 1.50623E-01 -4.22318E-01 + 3 2.98202E-01 -2.99543E-01 + 4 1.21923E-01 -2.54283E-02 +4 0 *********** SCCS-leu-cys + 1 5.94172E-01 1.73408E-01 + 2 -4.73314E-02 -4.02620E-01 + 3 9.12043E-02 2.01775E-02 + 4 -1.81725E-02 4.15779E-02 +4 0 *********** SCCS-leu-met + 1 4.50214E-01 -4.84057E-02 + 2 -3.11550E-01 -6.43377E-02 + 3 -1.88595E-02 2.46353E-03 + 4 3.13201E-02 1.89536E-02 +4 0 *********** SCCS-leu-phe + 1 4.47533E-01 -7.27249E-02 + 2 -3.24192E-01 1.23022E-01 + 3 -1.38368E-02 -1.16926E-02 + 4 3.17776E-02 -2.62258E-02 +4 0 *********** SCCS-leu-ile + 1 5.38275E-01 -3.28620E-02 + 2 -3.61398E-01 -1.84453E-01 + 3 -1.26381E-03 -4.46188E-03 + 4 3.51930E-02 2.87682E-02 +4 0 *********** SCCS-leu-leu + 1 3.93440E-01 -1.90873E-01 + 2 -5.15508E-01 1.28112E-01 + 3 -3.48570E-02 -1.12793E-02 + 4 5.06812E-02 -1.16744E-02 +4 0 *********** SCCS-leu-val + 1 4.86868E-01 -7.00880E-02 + 2 -4.72459E-01 -1.31204E-01 + 3 -3.24814E-02 -2.39810E-02 + 4 5.04527E-02 3.87129E-02 +4 0 *********** SCCS-leu-trp + 1 4.99228E-01 -6.01644E-02 + 2 -2.56724E-01 -1.84632E-02 + 3 3.00625E-03 -6.97844E-03 + 4 3.48385E-02 4.33609E-04 +4 0 *********** SCCS-leu-tyr + 1 4.44213E-01 -7.33654E-02 + 2 -2.88693E-01 1.26663E-01 + 3 -1.36961E-02 -8.27957E-03 + 4 2.22349E-02 -1.54084E-02 +4 0 *********** SCCS-leu-ala + 1 3.52407E-01 9.90197E-03 + 2 -4.25254E-01 -2.87061E-01 + 3 -9.77948E-03 1.04495E-02 + 4 1.24345E-02 6.57671E-02 +4 0 *********** SCCS-leu-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-leu-thr - 1 -1.72571E-01 -5.54925E-01 - 2 3.17232E-01 -3.25933E-01 - 3 -2.07871E-01 -2.53780E-01 - 4 -1.41440E-01 -1.26154E-02 - 5 -5.92041E-02 -7.95712E-02 - 6 -1.01076E-01 -1.74839E-01 -6 0 *********** SCCS-leu-ser - 1 -4.74879E-01 -8.14550E-01 - 2 7.98263E-01 1.95635E-01 - 3 -6.60844E-03 -1.62053E-01 - 4 1.29202E-01 8.27874E-02 - 5 7.47400E-02 -4.48376E-02 - 6 1.40053E-02 -3.81815E-01 -6 0 *********** SCCS-leu-gln - 1 -3.25690E-01 -5.69581E-01 - 2 2.63441E-01 -2.11752E-01 - 3 -1.40460E-01 -5.26245E-02 - 4 4.25378E-02 1.66858E-02 - 5 -1.00321E-01 -2.63036E-02 - 6 4.44963E-03 -9.41635E-02 -6 0 *********** SCCS-leu-asn - 1 -2.26826E-01 -7.13740E-01 - 2 4.76870E-01 3.03841E-01 - 3 -1.07653E-01 9.54672E-03 - 4 1.51007E-01 2.03519E-02 - 5 -1.62452E-01 5.04810E-03 - 6 5.21187E-02 -2.25646E-01 -6 0 *********** SCCS-leu-glu - 1 -3.52949E-01 -5.96190E-01 - 2 2.60448E-01 -3.30297E-01 - 3 -1.72790E-01 -6.52391E-02 - 4 1.24512E-02 -1.77719E-02 - 5 -1.28543E-01 -3.04144E-02 - 6 -4.06654E-03 -4.01179E-02 -6 0 *********** SCCS-leu-asp - 1 -1.28529E-01 -5.77499E-01 - 2 6.22101E-01 1.47609E-01 - 3 -1.37239E-01 2.56708E-02 - 4 1.63598E-01 1.63447E-02 - 5 -1.24655E-01 -1.47705E-02 - 6 6.35426E-02 -1.50020E-01 -6 0 *********** SCCS-leu-his - 1 -2.64819E-01 -7.66118E-01 - 2 3.92361E-01 3.09038E-01 - 3 -1.28562E-01 -6.93224E-02 - 4 5.94849E-02 -7.13782E-03 - 5 -9.25568E-02 -2.07811E-02 - 6 1.15707E-02 -2.84113E-01 -6 0 *********** SCCS-leu-arg - 1 -3.42665E-01 -4.06661E-01 - 2 -4.60374E-02 -3.28852E-01 - 3 -1.47526E-01 -1.28543E-01 - 4 -9.79422E-02 8.55661E-02 - 5 -5.49528E-02 -5.43999E-02 - 6 -5.00766E-02 -1.28551E-01 -6 0 *********** SCCS-leu-lys - 1 -3.22036E-01 -3.94770E-01 - 2 6.92088E-03 -4.58561E-01 - 3 -1.71484E-01 -8.83143E-02 - 4 -4.55712E-02 8.21355E-02 - 5 -1.54150E-01 -4.61641E-02 - 6 5.99116E-03 -6.20385E-02 -6 0 *********** SCCS-leu-pro - 1 -1.69766E+01 -9.28976E-01 - 2 1.53398E+01 2.14294E+00 - 3 -1.53132E+01 8.50123E-01 - 4 1.49694E+01 -5.86703E-02 - 5 -1.64306E+01 6.05533E-01 - 6 7.77414E+00 2.44536E-01 -6 0 *********** SCCS-val-cys - 1 -5.08127E-01 -1.22833E+00 - 2 1.75422E-01 -2.31780E-01 - 3 -2.42711E-01 1.24791E-02 - 4 1.10213E-01 -4.72575E-02 - 5 -1.93504E-01 -4.47106E-02 - 6 7.72327E-03 -1.33976E-01 -6 0 *********** SCCS-val-met - 1 -4.67615E-01 -6.81299E-01 - 2 -1.14903E-01 -2.96704E-01 - 3 -1.64393E-01 -4.68767E-02 - 4 -4.51223E-02 5.96671E-02 - 5 -8.21649E-02 -6.74528E-02 - 6 -4.49196E-02 -1.36428E-01 -6 0 *********** SCCS-val-phe - 1 -5.66763E-01 -7.49695E-01 - 2 -2.74294E-01 -1.15026E-01 - 3 -1.50105E-01 -2.37182E-01 - 4 -1.49918E-01 1.65041E-01 - 5 4.24887E-02 -1.41044E-01 - 6 -1.49910E-01 -4.37427E-01 -6 0 *********** SCCS-val-ile - 1 -4.72304E-01 -8.97826E-01 - 2 -1.39904E-01 -3.21496E-01 - 3 -2.68467E-01 -9.37305E-03 - 4 -9.77386E-02 1.37961E-01 - 5 2.74672E-02 -6.24458E-02 - 6 -8.73308E-02 -1.95481E-01 -6 0 *********** SCCS-val-leu - 1 -4.99294E-01 -5.76277E-01 - 2 -2.66861E-01 -4.61833E-01 - 3 -1.98833E-01 -5.36916E-02 - 4 2.32649E-02 1.02889E-01 - 5 -1.94799E-01 -5.97384E-02 - 6 1.20541E-02 -8.75408E-02 -6 0 *********** SCCS-val-val - 1 -5.72548E-01 -7.22372E-01 - 2 -1.01544E-01 -3.29190E-01 - 3 -2.16137E-01 -1.70961E-01 - 4 -2.57871E-01 2.16216E-01 - 5 1.37429E-01 -8.07120E-02 - 6 -1.41239E-01 -3.08226E-01 -6 0 *********** SCCS-val-trp - 1 -5.73204E-01 -7.57398E-01 - 2 -1.10157E-01 -1.24961E-01 - 3 -1.30925E-01 -7.42745E-02 - 4 -4.72776E-02 6.88725E-03 - 5 -1.10282E-01 -3.03642E-02 - 6 -3.59409E-02 -1.58460E-01 -6 0 *********** SCCS-val-tyr - 1 -5.99614E-01 -7.19719E-01 - 2 -2.88389E-01 -4.15766E-02 - 3 -1.43902E-01 -1.91628E-01 - 4 -1.34170E-01 1.98232E-01 - 5 7.76092E-02 -1.30236E-01 - 6 -1.42821E-01 -4.50663E-01 -6 0 *********** SCCS-val-ala - 1 -4.04349E-01 -5.89275E-01 - 2 3.26142E-01 -5.36841E-01 - 3 -4.29884E-01 1.08914E-02 - 4 1.90257E-01 4.09288E-02 - 5 -3.21138E-01 2.87473E-02 - 6 1.37507E-01 7.66460E-02 -6 0 *********** SCCS-val-gly +4 0 *********** SCCS-leu-thr + 1 5.30410E-01 -3.02183E-02 + 2 -3.40930E-01 -2.50663E-01 + 3 -1.72778E-02 -3.12795E-02 + 4 6.50734E-03 4.50499E-02 +4 0 *********** SCCS-leu-ser + 1 6.43329E-01 2.88516E-01 + 2 1.45392E-01 -5.44840E-01 + 3 1.89139E-01 4.54212E-02 + 4 5.20574E-03 6.18441E-03 +4 0 *********** SCCS-leu-gln + 1 5.38355E-01 -9.84240E-03 + 2 -2.31182E-01 -2.17751E-01 + 3 4.74929E-02 -4.53414E-02 + 4 2.17601E-03 3.35150E-02 +4 0 *********** SCCS-leu-asn + 1 5.90026E-01 2.87928E-01 + 2 1.94468E-01 -4.17227E-01 + 3 1.25681E-01 4.82303E-02 + 4 -6.52887E-05 2.85218E-02 +4 0 *********** SCCS-leu-glu + 1 5.67262E-01 -4.93095E-02 + 2 -3.05894E-01 -1.96980E-01 + 3 3.23051E-02 -6.29242E-02 + 4 1.22491E-02 2.40107E-02 +4 0 *********** SCCS-leu-asp + 1 6.22202E-01 3.02516E-01 + 2 2.07573E-01 -4.31691E-01 + 3 1.27351E-01 4.61920E-02 + 4 -8.60483E-04 2.43449E-02 +4 0 *********** SCCS-leu-his + 1 5.86765E-01 2.88627E-01 + 2 2.35091E-01 -3.01746E-01 + 3 3.08047E-02 9.85179E-02 + 4 1.02471E-02 7.74650E-03 +4 0 *********** SCCS-leu-arg + 1 4.15115E-01 -1.37915E-01 + 2 -3.18066E-01 8.40315E-02 + 3 -2.25748E-02 1.54698E-02 + 4 2.14297E-02 -9.37111E-03 +4 0 *********** SCCS-leu-lys + 1 3.77420E-01 -1.69429E-01 + 2 -3.94650E-01 8.02192E-02 + 3 -4.51308E-02 8.84393E-03 + 4 2.97845E-02 2.48893E-03 +4 0 *********** SCCS-leu-pro + 1 7.16084E-01 2.99786E-01 + 2 1.86283E-01 -6.03837E-01 + 3 2.55575E-01 7.04726E-02 + 4 1.91405E-02 -4.06397E-03 +4 0 *********** SCCS-val-cys + 1 7.86466E-01 -4.29816E-03 + 2 -4.32969E-03 -2.08880E-01 + 3 8.92767E-02 -7.77737E-02 + 4 1.70589E-02 2.40084E-03 +4 0 *********** SCCS-val-met + 1 4.82523E-01 -1.71997E-01 + 2 -1.79550E-01 4.18869E-03 + 3 -4.20704E-02 1.06050E-02 + 4 5.12664E-03 -9.63187E-03 +4 0 *********** SCCS-val-phe + 1 4.51288E-01 -2.28428E-01 + 2 -1.51994E-01 1.02467E-01 + 3 -5.40453E-02 -6.33436E-04 + 4 -1.17698E-02 -6.75950E-03 +4 0 *********** SCCS-val-ile + 1 5.99794E-01 -1.49710E-01 + 2 -2.21716E-01 -1.00818E-01 + 3 -7.56670E-02 -4.49988E-02 + 4 2.23437E-02 1.38860E-04 +4 0 *********** SCCS-val-leu + 1 4.14638E-01 -2.61707E-01 + 2 -2.82127E-01 1.46116E-01 + 3 -5.38285E-02 4.44781E-02 + 4 9.79193E-03 -6.08394E-02 +4 0 *********** SCCS-val-val + 1 5.31517E-01 -2.21076E-01 + 2 -2.82958E-01 -2.56610E-02 + 3 -7.96155E-02 2.15670E-03 + 4 1.47594E-02 -2.10170E-02 +4 0 *********** SCCS-val-trp + 1 5.21376E-01 -1.78759E-01 + 2 -1.31968E-01 1.73530E-04 + 3 -5.86612E-02 -8.79356E-03 + 4 -4.52690E-03 -1.10914E-02 +4 0 *********** SCCS-val-tyr + 1 4.36795E-01 -2.36531E-01 + 2 -1.37645E-01 1.01878E-01 + 3 -5.17500E-02 -1.33638E-03 + 4 -1.13990E-02 -8.80492E-03 +4 0 *********** SCCS-val-ala + 1 4.02626E-01 1.40449E-02 + 2 -2.57620E-01 -1.04836E-01 + 3 -1.72425E-02 -4.38215E-03 + 4 6.62607E-02 1.47455E-02 +4 0 *********** SCCS-val-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-val-thr - 1 -3.48995E-01 -6.96045E-01 - 2 1.06333E-01 -4.36133E-01 - 3 -2.97050E-01 -1.37189E-01 - 4 -1.49435E-01 -5.41107E-04 - 5 -1.19870E-01 -2.70059E-02 - 6 -6.05371E-02 -6.72354E-02 -6 0 *********** SCCS-val-ser - 1 -8.11529E-01 -1.78847E+00 - 2 3.14178E-01 -1.76381E-01 - 3 -2.60278E-01 -2.46390E-01 - 4 -4.55604E-02 7.42253E-03 - 5 -1.02370E-01 -8.89302E-02 - 6 -7.15634E-02 -4.52371E-01 -6 0 *********** SCCS-val-gln - 1 -5.71382E-01 -8.23637E-01 - 2 5.13980E-02 -1.63906E-01 - 3 -1.10240E-01 -6.85517E-02 - 4 -2.23447E-02 -9.12615E-03 - 5 -8.15237E-02 -7.69131E-02 - 6 -5.42256E-02 -1.73653E-01 -6 0 *********** SCCS-val-asn - 1 -1.69305E-01 -1.12563E+00 - 2 3.32025E-01 8.51981E-02 - 3 -1.46261E-01 -8.61763E-02 - 4 4.97252E-02 9.93696E-02 - 5 -1.97415E-01 -7.82931E-02 - 6 3.50810E-02 -3.88527E-01 -6 0 *********** SCCS-val-glu - 1 -6.53174E-01 -8.94631E-01 - 2 -3.97347E-03 -2.46543E-01 - 3 -1.46293E-01 -5.22161E-02 - 4 -4.02746E-02 4.35529E-03 - 5 -1.04705E-01 -6.60692E-02 - 6 -4.56520E-02 -1.55539E-01 -6 0 *********** SCCS-val-asp - 1 3.77343E-02 -1.03201E+00 - 2 5.59251E-01 -1.04204E-01 - 3 -2.48617E-01 -3.11181E-01 - 4 -1.48392E-03 1.20016E-01 - 5 1.53107E-01 -1.30515E-01 - 6 -9.11765E-02 -4.89330E-01 -6 0 *********** SCCS-val-his - 1 -1.81605E-01 -1.35929E+00 - 2 4.77597E-02 1.95803E-01 - 3 -1.58777E-01 -8.74716E-02 - 4 -3.51418E-02 4.17012E-02 - 5 -5.43388E-02 -6.84646E-02 - 6 -5.66821E-02 -4.16107E-01 -6 0 *********** SCCS-val-arg - 1 -5.32337E-01 -4.97573E-01 - 2 -1.57505E-01 -2.14989E-01 - 3 -1.68156E-01 -6.54746E-02 - 4 -4.61937E-02 6.33402E-02 - 5 -1.03468E-01 -6.34401E-02 - 6 -4.42908E-02 -1.39737E-01 -6 0 *********** SCCS-val-lys - 1 -4.59219E-01 -5.37800E-01 - 2 -1.84523E-01 -3.46324E-01 - 3 -1.75077E-01 -4.43680E-02 - 4 -2.93453E-02 9.23726E-02 - 5 -1.16393E-01 -6.40328E-02 - 6 -1.80163E-02 -1.13905E-01 -6 0 *********** SCCS-val-pro - 1 -2.41642E+00 2.08252E+00 - 2 2.76103E-01 2.43649E+00 - 3 -7.14083E-01 -4.46788E-01 - 4 -7.05393E-01 7.99817E-01 - 5 4.02375E-02 -1.54129E-01 - 6 -4.87454E-01 -1.36105E+00 -6 0 *********** SCCS-trp-cys - 1 -4.48284E-01 -1.18396E+00 - 2 1.44145E-01 -2.24227E-01 - 3 -1.91893E-01 -9.55712E-02 - 4 -2.53007E-02 3.93433E-02 - 5 -4.14547E-02 -5.83266E-02 - 6 -5.43771E-02 -2.60738E-01 -6 0 *********** SCCS-trp-met - 1 -4.64991E-01 -6.70876E-01 - 2 -7.03091E-02 -2.49665E-01 - 3 -1.58999E-01 -7.11774E-02 - 4 -8.07247E-02 7.24877E-02 - 5 -4.97798E-02 -7.04688E-02 - 6 -5.98883E-02 -1.75993E-01 -6 0 *********** SCCS-trp-phe - 1 -5.18748E-01 -7.41114E-01 - 2 -2.20139E-01 -1.87387E-01 - 3 -1.32501E-01 -1.60661E-01 - 4 -7.45539E-02 9.89325E-02 - 5 -3.23087E-02 -7.22612E-02 - 6 -8.61964E-02 -2.74166E-01 -6 0 *********** SCCS-trp-ile - 1 -5.17656E-01 -8.52654E-01 - 2 -1.06775E-01 -2.26708E-01 - 3 -2.24799E-01 -8.46696E-02 - 4 -1.33455E-01 1.50851E-01 - 5 3.58038E-02 -5.96966E-02 - 6 -8.56653E-02 -2.63603E-01 -6 0 *********** SCCS-trp-leu - 1 -4.73686E-01 -6.08987E-01 - 2 -2.28889E-01 -4.41430E-01 - 3 -2.37775E-01 -4.53232E-02 - 4 2.39357E-02 7.37284E-02 - 5 -2.10380E-01 -4.42244E-02 - 6 2.31318E-02 -6.58227E-02 -6 0 *********** SCCS-trp-val - 1 -5.21572E-01 -7.40083E-01 - 2 -1.01166E-01 -2.86204E-01 - 3 -1.99181E-01 -1.62018E-01 - 4 -1.86917E-01 1.99988E-01 - 5 6.75405E-02 -5.62877E-02 - 6 -1.18237E-01 -2.95003E-01 -6 0 *********** SCCS-trp-trp - 1 -5.54205E-01 -7.54113E-01 - 2 -1.43472E-01 -1.09025E-01 - 3 -1.44082E-01 -6.62824E-02 - 4 -1.89042E-02 3.00530E-02 - 5 -1.20752E-01 -5.50070E-02 - 6 -3.24228E-02 -1.93303E-01 -6 0 *********** SCCS-trp-tyr - 1 -5.47196E-01 -7.52212E-01 - 2 -2.28131E-01 -1.27066E-01 - 3 -1.42024E-01 -2.21094E-01 - 4 -1.07429E-01 1.29316E-01 - 5 4.17529E-02 -1.18433E-01 - 6 -1.12539E-01 -3.85618E-01 -6 0 *********** SCCS-trp-ala - 1 -2.18613E-01 -6.45344E-01 - 2 2.30947E-01 -4.63063E-01 - 3 -3.38638E-01 -3.67520E-02 - 4 7.39973E-02 2.36394E-03 - 5 -2.66254E-01 -1.64697E-02 - 6 7.17476E-02 1.94584E-02 -6 0 *********** SCCS-trp-gly +4 0 *********** SCCS-val-thr + 1 5.86762E-01 -1.46511E-01 + 2 -2.13679E-01 -1.03577E-01 + 3 -7.94667E-02 -4.83725E-02 + 4 2.09928E-02 -4.62998E-03 +4 0 *********** SCCS-val-ser + 1 1.04735E+00 4.99137E-02 + 2 2.14269E-01 -3.39805E-01 + 3 2.44740E-01 -8.40160E-02 + 4 5.29428E-02 -4.33972E-02 +4 0 *********** SCCS-val-gln + 1 6.13296E-01 -1.73183E-01 + 2 -1.26476E-01 -1.28614E-01 + 3 -3.71179E-02 -7.86053E-02 + 4 1.04799E-02 -2.01331E-02 +4 0 *********** SCCS-val-asn + 1 8.04143E-01 1.57745E-01 + 2 1.82443E-01 -2.61981E-01 + 3 9.86430E-02 -3.13450E-02 + 4 4.11567E-02 1.32916E-02 +4 0 *********** SCCS-val-glu + 1 6.46327E-01 -2.34941E-01 + 2 -1.66375E-01 -1.21363E-01 + 3 -6.35693E-02 -8.08871E-02 + 4 9.52973E-03 -2.25330E-02 +4 0 *********** SCCS-val-asp + 1 8.60112E-01 1.77381E-01 + 2 2.19171E-01 -2.83089E-01 + 3 1.35769E-01 -5.49904E-02 + 4 3.53350E-02 1.97050E-03 +4 0 *********** SCCS-val-his + 1 7.50465E-01 2.17826E-01 + 2 2.31690E-01 -2.13623E-01 + 3 1.08163E-01 4.23888E-03 + 4 1.00893E-02 4.01857E-02 +4 0 *********** SCCS-val-arg + 1 4.10847E-01 -2.63199E-01 + 2 -1.51817E-01 6.81096E-02 + 3 -3.92104E-02 2.09606E-02 + 4 1.06147E-02 -1.12527E-02 +4 0 *********** SCCS-val-lys + 1 3.76811E-01 -2.56016E-01 + 2 -2.25150E-01 1.08778E-01 + 3 -4.37858E-02 5.46755E-02 + 4 8.88439E-03 -2.55896E-02 +4 0 *********** SCCS-val-pro + 1 1.03436E+00 1.00714E-01 + 2 1.86406E-01 -4.96539E-01 + 3 2.62543E-01 -3.07019E-01 + 4 8.02174E-02 -9.14531E-02 +4 0 *********** SCCS-trp-cys + 1 4.85138E-01 -1.82979E-01 + 2 1.96020E-01 6.48263E-02 + 3 -2.52414E-02 -8.54147E-02 + 4 7.93224E-03 1.31628E-02 +4 0 *********** SCCS-trp-met + 1 2.48041E-01 -2.84325E-01 + 2 1.30070E-01 -1.01583E-01 + 3 -3.33324E-02 -1.77276E-02 + 4 -3.97627E-03 -6.68479E-03 +4 0 *********** SCCS-trp-phe + 1 2.19398E-01 -3.43636E-01 + 2 7.09310E-02 -1.59341E-01 + 3 -3.49108E-02 1.35400E-02 + 4 -1.17105E-02 2.68615E-03 +4 0 *********** SCCS-trp-ile + 1 3.39621E-01 -3.07163E-01 + 2 1.91920E-01 -1.12493E-01 + 3 -3.90083E-02 -2.87568E-02 + 4 5.73771E-04 -1.45520E-02 +4 0 *********** SCCS-trp-leu + 1 1.92352E-01 -3.39104E-01 + 2 1.12441E-01 -1.87719E-01 + 3 -2.48803E-02 5.17637E-03 + 4 -2.43256E-02 -1.13261E-02 +4 0 *********** SCCS-trp-val + 1 3.04400E-01 -3.17984E-01 + 2 1.79017E-01 -1.32345E-01 + 3 -3.70638E-02 -1.81856E-02 + 4 3.47239E-03 -1.33026E-02 +4 0 *********** SCCS-trp-trp + 1 2.43296E-01 -3.18081E-01 + 2 1.06406E-01 -1.38401E-01 + 3 -3.34627E-02 -1.13739E-03 + 4 -4.60639E-03 2.78278E-03 +4 0 *********** SCCS-trp-tyr + 1 2.07853E-01 -3.39702E-01 + 2 6.52886E-02 -1.46562E-01 + 3 -2.61363E-02 1.94548E-02 + 4 -1.14587E-02 1.22331E-03 +4 0 *********** SCCS-trp-ala + 1 2.88980E-01 -1.77235E-01 + 2 2.16084E-01 -1.60516E-02 + 3 4.02341E-04 -4.80763E-02 + 4 1.04394E-02 -4.60249E-02 +4 0 *********** SCCS-trp-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-trp-thr - 1 -3.73142E-01 -6.85240E-01 - 2 6.95429E-02 -4.04444E-01 - 3 -2.94958E-01 -1.08692E-01 - 4 -6.88820E-02 8.37906E-03 - 5 -1.47169E-01 -1.16512E-02 - 6 -1.99472E-02 -5.45492E-02 -6 0 *********** SCCS-trp-ser - 1 -5.72191E-01 -1.73897E+00 - 2 3.75892E-01 -2.39716E-01 - 3 -1.60353E-01 -1.43903E-01 - 4 1.14520E-01 -3.34018E-02 - 5 -2.12776E-01 1.55122E-02 - 6 9.41949E-03 -2.49871E-01 -6 0 *********** SCCS-trp-gln - 1 -5.32836E-01 -8.20032E-01 - 2 2.87967E-02 -1.20752E-01 - 3 -1.28860E-01 -1.06653E-01 - 4 -5.02384E-02 2.43303E-02 - 5 -7.07573E-02 -6.81090E-02 - 6 -5.42439E-02 -2.27826E-01 -6 0 *********** SCCS-trp-asn - 1 9.80713E-02 -1.09128E+00 - 2 1.76599E-01 1.47477E-01 - 3 -4.67979E-02 -1.39269E-01 - 4 -4.23642E-02 3.16019E-02 - 5 -5.96547E-02 -4.35885E-02 - 6 -4.80702E-02 -3.58565E-01 -6 0 *********** SCCS-trp-glu - 1 -6.30771E-01 -8.83315E-01 - 2 -2.66606E-02 -2.04321E-01 - 3 -1.53341E-01 -8.88564E-02 - 4 -6.19404E-02 2.49300E-02 - 5 -8.18937E-02 -7.13081E-02 - 6 -6.16335E-02 -2.04751E-01 -6 0 *********** SCCS-trp-asp - 1 1.99860E-01 -9.37262E-01 - 2 5.05636E-01 4.91373E-02 - 3 -1.96814E-02 -2.81295E-01 - 4 -5.09498E-02 2.48404E-02 - 5 9.16954E-03 -1.10693E-01 - 6 -9.27262E-02 -4.23157E-01 -6 0 *********** SCCS-trp-his - 1 -4.56231E-02 -1.31960E+00 - 2 -1.11050E-02 1.24647E-01 - 3 -1.16496E-01 -3.67834E-02 - 4 -3.05527E-02 7.21364E-02 - 5 -6.13869E-02 -5.20078E-02 - 6 -6.34768E-02 -3.76992E-01 -6 0 *********** SCCS-trp-arg - 1 -5.22101E-01 -5.20351E-01 - 2 -1.09536E-01 -2.26795E-01 - 3 -2.02612E-01 -4.92539E-02 - 4 2.01571E-02 3.71938E-02 - 5 -1.56698E-01 -3.42874E-02 - 6 -1.18273E-02 -9.68762E-02 -6 0 *********** SCCS-trp-lys - 1 -4.28469E-01 -5.26256E-01 - 2 -1.85745E-01 -3.19636E-01 - 3 -1.69527E-01 -5.27355E-02 - 4 -5.07424E-02 7.21603E-02 - 5 -8.74315E-02 -5.31631E-02 - 6 -3.66357E-02 -1.01719E-01 -6 0 *********** SCCS-trp-pro - 1 -2.73361E+00 2.12664E+00 - 2 3.01098E-01 1.99547E+00 - 3 -1.32265E+00 -3.62459E-01 - 4 -1.18304E-01 -4.05155E-01 - 5 -3.58510E-01 -2.36804E-01 - 6 3.90217E-01 -4.15373E-01 -6 0 *********** SCCS-tyr-cys - 1 -3.87510E-01 -1.20833E+00 - 2 3.22669E-01 -3.57898E-02 - 3 -2.36645E-01 -1.33782E-01 - 4 -7.13273E-02 -2.07493E-04 - 5 1.15887E-01 -6.74428E-02 - 6 -1.18548E-01 -3.17289E-01 -6 0 *********** SCCS-tyr-met - 1 -4.11264E-01 -6.88410E-01 - 2 -4.33420E-02 -3.02846E-01 - 3 -1.72364E-01 -6.50718E-02 - 4 -7.02888E-02 5.70206E-02 - 5 -4.67840E-02 -5.79613E-02 - 6 -5.72579E-02 -1.35510E-01 -6 0 *********** SCCS-tyr-phe - 1 -4.91138E-01 -7.58770E-01 - 2 -1.96839E-01 -2.99334E-01 - 3 -2.40163E-01 -1.82708E-02 - 4 7.61872E-02 1.58644E-02 - 5 -2.20125E-01 -5.01634E-02 - 6 1.88156E-02 -8.41331E-02 -6 0 *********** SCCS-tyr-ile - 1 -4.48345E-01 -8.47062E-01 - 2 -1.12874E-01 -4.11658E-01 - 3 -2.70935E-01 -7.47193E-04 - 4 -1.07202E-01 1.04382E-01 - 5 -3.13915E-02 -1.35150E-02 - 6 -4.52254E-02 -9.23647E-02 -6 0 *********** SCCS-tyr-leu - 1 -4.21104E-01 -5.41405E-01 - 2 -2.78550E-01 -5.65247E-01 - 3 -1.46415E-01 -8.72502E-02 - 4 -1.27358E-01 1.43909E-01 - 5 -6.49960E-02 -8.22910E-02 - 6 -3.87224E-02 -1.12511E-01 -6 0 *********** SCCS-tyr-val - 1 -4.78476E-01 -7.27720E-01 - 2 -6.30069E-03 -5.21135E-01 - 3 -4.16647E-01 -5.69677E-03 - 4 -1.93008E-03 5.29606E-02 - 5 -2.20459E-01 1.79385E-03 - 6 5.22447E-02 4.32833E-03 -6 0 *********** SCCS-tyr-trp - 1 -5.09422E-01 -7.75589E-01 - 2 -1.67638E-01 -1.78565E-01 - 3 -1.28118E-01 -9.47470E-02 - 4 -5.30020E-02 4.44236E-02 - 5 -7.45042E-02 -7.53422E-02 - 6 -6.45105E-02 -2.13918E-01 -6 0 *********** SCCS-tyr-tyr - 1 -4.65337E-01 -7.60848E-01 - 2 -2.24418E-01 -2.26474E-01 - 3 -1.48839E-01 -8.45522E-02 - 4 -1.63466E-02 4.55127E-02 - 5 -1.14927E-01 -7.76143E-02 - 6 -5.74827E-02 -1.94198E-01 -6 0 *********** SCCS-tyr-ala - 1 -2.99193E-01 -6.31838E-01 - 2 3.13387E-01 -3.75316E-01 - 3 -2.08453E-01 -2.48901E-01 - 4 -2.53717E-01 1.93717E-01 - 5 1.93621E-01 -1.13822E-01 - 6 -1.80867E-01 -3.39802E-01 -6 0 *********** SCCS-tyr-gly +4 0 *********** SCCS-trp-thr + 1 3.33794E-01 -2.86700E-01 + 2 2.17694E-01 -9.80045E-02 + 3 -2.38907E-02 -2.66965E-02 + 4 8.30399E-03 -1.35058E-02 +4 0 *********** SCCS-trp-ser + 1 6.00894E-01 -1.14686E-01 + 2 2.16336E-01 1.32206E-01 + 3 -9.68653E-03 -9.15954E-02 + 4 9.12456E-03 1.96290E-02 +4 0 *********** SCCS-trp-gln + 1 3.15699E-01 -2.87964E-01 + 2 1.65908E-01 -6.56960E-02 + 3 -3.91986E-02 9.97324E-04 + 4 -1.62928E-03 -8.66838E-03 +4 0 *********** SCCS-trp-asn + 1 5.22114E-01 -6.16801E-02 + 2 1.43744E-01 1.28932E-01 + 3 3.34487E-02 -3.92939E-02 + 4 7.68923E-03 1.87095E-02 +4 0 *********** SCCS-trp-glu + 1 3.28314E-01 -3.32187E-01 + 2 1.84183E-01 -1.06422E-01 + 3 -4.84187E-02 2.23351E-03 + 4 -4.18345E-03 -1.77328E-02 +4 0 *********** SCCS-trp-asp + 1 5.60666E-01 -5.93245E-02 + 2 1.69393E-01 1.37331E-01 + 3 4.09960E-02 -3.79390E-02 + 4 1.43053E-02 1.99879E-02 +4 0 *********** SCCS-trp-his + 1 4.84972E-01 -5.97378E-02 + 2 1.22201E-01 1.14444E-01 + 3 3.44912E-02 -5.65853E-02 + 4 1.06022E-02 1.47781E-03 +4 0 *********** SCCS-trp-arg + 1 1.76449E-01 -3.23649E-01 + 2 7.61235E-02 -1.50410E-01 + 3 -3.06091E-02 2.92860E-03 + 4 -1.56412E-02 -3.18537E-03 +4 0 *********** SCCS-trp-lys + 1 1.56107E-01 -2.99490E-01 + 2 9.54620E-02 -1.43133E-01 + 3 -1.39722E-02 -2.58350E-03 + 4 -1.16648E-02 -9.91106E-03 +4 0 *********** SCCS-trp-pro + 1 5.68316E-01 -1.01008E-01 + 2 2.97583E-01 1.87430E-01 + 3 -1.19631E-02 -6.91847E-02 + 4 1.36279E-02 3.97384E-03 +4 0 *********** SCCS-tyr-cys + 1 5.55259E-01 2.14259E-02 + 2 1.98180E-01 -1.44708E-01 + 3 -1.37493E-01 -6.34694E-02 + 4 3.34960E-02 1.80364E-02 +4 0 *********** SCCS-tyr-met + 1 3.66448E-01 -1.23226E-01 + 2 -2.16214E-02 -1.63415E-01 + 3 -7.70080E-02 2.82162E-02 + 4 -2.89652E-02 -3.48982E-03 +4 0 *********** SCCS-tyr-phe + 1 3.32943E-01 -1.85915E-01 + 2 -9.74173E-02 -1.42315E-01 + 3 -4.09691E-02 1.02017E-01 + 4 -4.72429E-02 3.46750E-02 +4 0 *********** SCCS-tyr-ile + 1 4.47979E-01 -1.18184E-01 + 2 5.22640E-03 -2.12939E-01 + 3 -1.25254E-01 1.77613E-02 + 4 -1.89103E-02 -1.71523E-02 +4 0 *********** SCCS-tyr-leu + 1 3.19351E-01 -2.36058E-01 + 2 -1.48965E-01 -1.87933E-01 + 3 -4.73722E-02 9.45693E-02 + 4 -6.97518E-02 -3.99825E-03 +4 0 *********** SCCS-tyr-val + 1 4.20060E-01 -1.66880E-01 + 2 -3.05941E-02 -2.26764E-01 + 3 -1.12217E-01 4.12918E-02 + 4 -3.14988E-02 -3.87474E-02 +4 0 *********** SCCS-tyr-trp + 1 3.76306E-01 -1.38972E-01 + 2 -4.73139E-02 -1.34862E-01 + 3 -6.65524E-02 6.30932E-02 + 4 -2.29209E-02 1.68061E-02 +4 0 *********** SCCS-tyr-tyr + 1 3.23069E-01 -1.81659E-01 + 2 -9.14465E-02 -1.31407E-01 + 3 -2.79885E-02 1.00003E-01 + 4 -4.99827E-02 3.17112E-02 +4 0 *********** SCCS-tyr-ala + 1 3.31711E-01 -5.51802E-02 + 2 4.32172E-02 -2.29156E-01 + 3 -1.08502E-01 -7.32833E-02 + 4 1.58578E-02 -6.28907E-02 +4 0 *********** SCCS-tyr-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-tyr-thr - 1 -3.16814E-01 -6.97451E-01 - 2 1.55133E-01 -5.94716E-01 - 3 -2.72853E-01 -9.13668E-02 - 4 -6.74650E-02 -6.81674E-02 - 5 -1.45340E-01 -6.75570E-03 - 6 -2.25740E-02 6.24114E-02 -6 0 *********** SCCS-tyr-ser - 1 -4.65735E-01 -1.65446E+00 - 2 6.29907E-01 -3.57058E-02 - 3 -1.99004E-01 -1.10672E-01 - 4 5.91414E-02 -7.68924E-02 - 5 -4.37221E-02 3.22634E-03 - 6 -3.94049E-02 -2.63064E-01 -6 0 *********** SCCS-tyr-gln - 1 -4.81568E-01 -8.36739E-01 - 2 8.20154E-02 -1.63785E-01 - 3 -8.99512E-02 -1.02623E-01 - 4 -1.53615E-02 3.22598E-02 - 5 -4.60622E-02 -7.35226E-02 - 6 -5.11076E-02 -2.26461E-01 -6 0 *********** SCCS-tyr-asn - 1 5.78726E-02 -1.09556E+00 - 2 2.29505E-01 2.64977E-01 - 3 -3.09193E-02 -1.59758E-01 - 4 -4.56856E-02 4.84772E-02 - 5 -1.08984E-02 -7.35328E-02 - 6 -6.35265E-02 -4.42554E-01 -6 0 *********** SCCS-tyr-glu - 1 -5.73907E-01 -9.06378E-01 - 2 4.22463E-02 -2.62790E-01 - 3 -9.87536E-02 -1.02830E-01 - 4 -4.14298E-02 4.39334E-02 - 5 -3.73275E-02 -8.30798E-02 - 6 -6.52865E-02 -2.19827E-01 -6 0 *********** SCCS-tyr-asp - 1 2.60759E-01 -8.72925E-01 - 2 5.19523E-01 5.69634E-02 - 3 -4.90372E-02 -1.62750E-01 - 4 4.20601E-02 3.52954E-02 - 5 -3.15888E-02 -5.83981E-02 - 6 -2.37225E-02 -3.19141E-01 -6 0 *********** SCCS-tyr-his - 1 -6.01049E-02 -1.32350E+00 - 2 3.09132E-02 1.60375E-01 - 3 -1.51647E-01 -2.73955E-02 - 4 -2.43134E-02 4.27742E-02 - 5 -9.63423E-02 -5.10342E-02 - 6 -4.70408E-02 -3.60935E-01 -6 0 *********** SCCS-tyr-arg - 1 -4.50758E-01 -5.53968E-01 - 2 -1.38765E-01 -3.14012E-01 - 3 -1.83397E-01 -5.93655E-02 - 4 -7.39288E-03 4.95774E-02 - 5 -1.21274E-01 -4.60344E-02 - 6 -2.67240E-02 -9.33134E-02 -6 0 *********** SCCS-tyr-lys - 1 -4.13095E-01 -5.26386E-01 - 2 -1.53166E-01 -3.84123E-01 - 3 -1.63802E-01 -9.98995E-02 - 4 -1.04489E-01 1.25757E-01 - 5 -2.51877E-02 -6.26002E-02 - 6 -5.73001E-02 -1.52514E-01 -6 0 *********** SCCS-tyr-pro - 1 -2.22229E+00 -1.37740E-01 - 2 -4.87112E-01 3.08271E+00 - 3 3.45549E-01 3.56667E-01 - 4 -8.41963E-01 -1.17460E-01 - 5 -5.71056E-01 5.93003E-01 - 6 1.30769E-01 -2.77334E-01 -6 0 *********** SCCS-ala-cys - 1 -8.78004E-01 5.01767E-02 - 2 2.69999E-01 2.21404E-01 - 3 -1.07276E-01 -1.92933E-02 - 4 -7.20909E-02 7.56565E-02 - 5 -2.68208E-02 -2.07365E-02 - 6 -5.85231E-02 -1.47370E-01 -6 0 *********** SCCS-ala-met - 1 -5.35076E-01 3.07454E-01 - 2 7.63702E-02 -1.54725E-02 - 3 -3.61258E-02 -1.09351E-01 - 4 -5.43513E-02 8.28805E-02 - 5 -4.77047E-02 -4.50729E-02 - 6 -4.00031E-02 -9.37844E-02 -6 0 *********** SCCS-ala-phe - 1 -4.22387E-01 3.96474E-01 - 2 2.72607E-02 -9.27268E-02 - 3 -1.16746E-01 -1.38573E-01 - 4 -1.98630E-02 4.29344E-02 - 5 -9.92753E-02 -4.00815E-02 - 6 -2.44434E-02 -3.91092E-02 -6 0 *********** SCCS-ala-ile - 1 -6.08164E-01 3.76219E-01 - 2 1.74606E-02 -7.01888E-02 - 3 4.21442E-03 -9.67046E-02 - 4 -9.16231E-02 1.20748E-01 - 5 -2.44847E-02 -6.78150E-02 - 6 -4.86552E-02 -1.03992E-01 -6 0 *********** SCCS-ala-leu - 1 -4.32857E-01 4.54080E-01 - 2 2.22152E-02 -1.21616E-01 - 3 -4.29410E-02 -2.08721E-01 - 4 -5.58708E-02 8.85364E-02 - 5 -5.47986E-02 -6.79193E-02 - 6 -5.44840E-02 -1.10560E-01 -6 0 *********** SCCS-ala-val - 1 -5.46652E-01 3.94687E-01 - 2 1.06558E-01 -1.02644E-01 - 3 -3.68364E-02 -5.06559E-02 - 4 -3.30635E-02 7.43897E-02 - 5 -1.31426E-01 -6.20887E-02 - 6 9.28900E-03 -2.88931E-02 -6 0 *********** SCCS-ala-trp - 1 -5.12889E-01 3.54971E-01 - 2 2.48557E-02 5.68926E-03 - 3 -9.38995E-02 -1.25738E-01 - 4 -4.19572E-02 6.46048E-02 - 5 -6.07452E-02 -3.84727E-02 - 6 -3.95298E-02 -8.31586E-02 -6 0 *********** SCCS-ala-tyr - 1 -4.04256E-01 3.91007E-01 - 2 1.03781E-02 -8.08145E-02 - 3 -1.39132E-01 -1.27036E-01 - 4 -1.29804E-03 4.31630E-02 - 5 -1.16617E-01 -3.22136E-02 - 6 -9.75651E-03 -2.83841E-02 -6 0 *********** SCCS-ala-ala - 1 -6.12231E-01 1.94524E-01 - 2 1.94660E-01 3.30749E-02 - 3 5.97129E-02 -9.01564E-02 - 4 -8.13668E-02 8.68830E-02 - 5 -1.74542E-03 -2.80352E-02 - 6 -2.09383E-02 -1.06421E-01 -6 0 *********** SCCS-ala-gly +4 0 *********** SCCS-tyr-thr + 1 4.43129E-01 -9.98765E-02 + 2 2.04282E-02 -2.00306E-01 + 3 -1.05974E-01 1.38295E-02 + 4 -3.15679E-02 -3.11278E-02 +4 0 *********** SCCS-tyr-ser + 1 6.66665E-01 9.58879E-02 + 2 3.34355E-01 -9.54379E-02 + 3 -6.17057E-02 -1.52488E-01 + 4 7.72154E-02 8.43553E-02 +4 0 *********** SCCS-tyr-gln + 1 4.37842E-01 -9.39496E-02 + 2 4.04782E-02 -1.67628E-01 + 3 -8.25634E-02 4.71052E-02 + 4 -3.65037E-02 -1.97291E-02 +4 0 *********** SCCS-tyr-asn + 1 5.40093E-01 1.60657E-01 + 2 2.63253E-01 -4.26824E-02 + 3 2.73246E-02 -1.10022E-01 + 4 -2.02731E-03 1.83667E-02 +4 0 *********** SCCS-tyr-glu + 1 4.65578E-01 -1.46079E-01 + 2 7.61706E-03 -1.97810E-01 + 3 -8.75500E-02 7.24762E-02 + 4 -3.60083E-02 -1.46126E-02 +4 0 *********** SCCS-tyr-asp + 1 5.67738E-01 1.62877E-01 + 2 2.87863E-01 -4.37540E-02 + 3 3.52221E-02 -1.23523E-01 + 4 2.01681E-02 2.44886E-02 +4 0 *********** SCCS-tyr-his + 1 4.92109E-01 1.70168E-01 + 2 2.45441E-01 -1.63849E-02 + 3 4.64559E-02 -1.29182E-01 + 4 3.45022E-03 3.48007E-02 +4 0 *********** SCCS-tyr-arg + 1 3.14126E-01 -1.95475E-01 + 2 -9.23748E-02 -1.38555E-01 + 3 -4.03817E-02 6.17459E-02 + 4 -3.32932E-02 9.17303E-03 +4 0 *********** SCCS-tyr-lys + 1 2.93079E-01 -1.90224E-01 + 2 -1.01976E-01 -1.53648E-01 + 3 -2.88171E-02 5.03449E-02 + 4 -3.86407E-02 -5.83875E-03 +4 0 *********** SCCS-tyr-pro + 1 4.64556E-01 1.05697E-01 + 2 3.36517E-01 -1.50630E-01 + 3 -1.30342E-01 -1.88491E-01 + 4 1.93320E-01 1.93493E-02 +4 0 *********** SCCS-ala-cys + 1 5.17504E-01 -1.15621E-01 + 2 -2.78017E-01 -2.46450E-01 + 3 1.43228E-01 -1.13635E-01 + 4 1.81971E-02 -2.19186E-02 +4 0 *********** SCCS-ala-met + 1 3.06790E-01 -2.72879E-01 + 2 -2.12822E-01 1.36660E-01 + 3 -1.64592E-02 -3.59792E-02 + 4 1.19042E-02 -4.00863E-02 +4 0 *********** SCCS-ala-phe + 1 2.93504E-01 -2.78677E-01 + 2 -1.14338E-01 2.49323E-01 + 3 -9.15688E-02 -4.35241E-02 + 4 -2.99342E-02 -2.97687E-02 +4 0 *********** SCCS-ala-ile + 1 3.81000E-01 -3.38357E-01 + 2 -2.69790E-01 1.13978E-01 + 3 2.20053E-02 -1.06324E-01 + 4 1.26719E-02 -2.72123E-02 +4 0 *********** SCCS-ala-leu + 1 1.87817E-01 -3.83373E-01 + 2 -2.22169E-01 3.72273E-01 + 3 -8.10128E-02 -3.27082E-02 + 4 -6.54640E-04 -6.42731E-02 +4 0 *********** SCCS-ala-val + 1 3.29822E-01 -3.04273E-01 + 2 -3.06624E-01 1.83651E-01 + 3 -4.32460E-02 -7.25547E-02 + 4 1.49068E-02 -4.24229E-02 +4 0 *********** SCCS-ala-trp + 1 3.24766E-01 -3.31163E-01 + 2 -1.12692E-01 1.42964E-01 + 3 -4.08045E-02 -7.47186E-02 + 4 -2.22856E-02 -3.33097E-02 +4 0 *********** SCCS-ala-tyr + 1 2.94138E-01 -2.67378E-01 + 2 -1.02416E-01 2.54456E-01 + 3 -7.49459E-02 -2.60298E-02 + 4 -2.32349E-02 -2.79041E-02 +4 0 *********** SCCS-ala-ala + 1 2.78430E-01 -2.18986E-01 + 2 -4.25143E-01 6.83946E-02 + 3 8.41961E-02 -3.15499E-02 + 4 9.37586E-02 5.84512E-03 +4 0 *********** SCCS-ala-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-ala-thr - 1 -6.80244E-01 2.82399E-01 - 2 1.46140E-01 -1.24080E-01 - 3 -4.28436E-02 1.04690E-02 - 4 -3.79855E-02 4.22225E-02 - 5 -6.83102E-02 -3.97209E-02 - 6 -1.17089E-02 3.21642E-02 -6 0 *********** SCCS-ala-ser - 1 -1.25828E+00 1.98709E-02 - 2 5.75643E-01 2.72897E-01 - 3 -2.06187E-01 1.42949E-02 - 4 9.61208E-03 6.90565E-02 - 5 -1.24158E-01 2.54657E-02 - 6 -1.76551E-02 -1.00876E-01 -6 0 *********** SCCS-ala-gln - 1 -6.67556E-01 2.35138E-01 - 2 1.53103E-01 5.32959E-02 - 3 -4.69499E-02 -8.98371E-02 - 4 -3.23213E-02 8.59389E-02 - 5 -5.31865E-02 -3.29743E-02 - 6 -3.24962E-02 -1.10470E-01 -6 0 *********** SCCS-ala-asn - 1 -8.82213E-01 -1.96353E-01 - 2 1.61854E-01 4.02598E-01 - 3 -1.74884E-01 4.24055E-02 - 4 -3.24830E-02 9.41099E-02 - 5 -7.58262E-02 -3.80648E-02 - 6 -5.64397E-02 -2.40526E-01 -6 0 *********** SCCS-ala-glu - 1 -6.94975E-01 3.25872E-01 - 2 1.54176E-01 -2.10567E-02 - 3 -1.44469E-02 -9.91634E-02 - 4 -2.64213E-02 9.63849E-02 - 5 -5.95332E-02 -3.34288E-02 - 6 -3.12275E-02 -8.37471E-02 -6 0 *********** SCCS-ala-asp - 1 -9.42341E-01 -1.72029E-01 - 2 2.35718E-01 3.36372E-01 - 3 -1.53346E-01 9.23925E-02 - 4 1.21705E-02 4.39880E-02 - 5 -1.46440E-01 3.00360E-02 - 6 8.63453E-03 -1.02406E-01 -6 0 *********** SCCS-ala-his - 1 -8.49054E-01 -1.04507E-01 - 2 1.56506E-01 3.05873E-01 - 3 -1.70738E-01 4.72288E-02 - 4 -9.09632E-02 1.19454E-01 - 5 -4.07039E-02 -3.00495E-02 - 6 -7.80062E-02 -2.11413E-01 -6 0 *********** SCCS-ala-arg - 1 -3.93547E-01 3.43901E-01 - 2 3.30017E-02 -6.15635E-02 - 3 -8.65490E-02 -1.22140E-01 - 4 -1.24218E-02 5.69747E-02 - 5 -9.40418E-02 -3.31139E-02 - 6 -2.36903E-02 -4.90555E-02 -6 0 *********** SCCS-ala-lys - 1 -4.43823E-01 3.59670E-01 - 2 1.59415E-02 -4.10491E-02 - 3 -3.10706E-02 -1.44413E-01 - 4 -4.48412E-02 9.07903E-02 - 5 -3.18838E-02 -4.91322E-02 - 6 -4.14286E-02 -1.02421E-01 -6 0 *********** SCCS-ala-pro - 1 5.92441E-01 4.23394E-01 - 2 -4.48057E-02 1.16083E+00 - 3 -1.49893E-01 -5.59499E-02 - 4 -6.45567E-02 4.79780E-01 - 5 4.50455E-01 -1.92333E-01 - 6 -1.65095E-01 -8.22323E-01 -6 0 *********** SCCS-gly-cys +4 0 *********** SCCS-ala-thr + 1 3.77641E-01 -3.13359E-01 + 2 -2.80922E-01 8.72298E-02 + 3 1.50802E-02 -9.86901E-02 + 4 2.88410E-02 -1.46565E-02 +4 0 *********** SCCS-ala-ser + 1 5.72896E-01 3.51691E-02 + 2 -2.61807E-01 -5.26417E-01 + 3 2.19606E-01 -1.44148E-01 + 4 1.22317E-02 -1.52747E-02 +4 0 *********** SCCS-ala-gln + 1 4.05550E-01 -2.85079E-01 + 2 -2.55923E-01 7.39632E-03 + 3 -2.48059E-02 -1.37493E-01 + 4 9.74316E-03 -2.67603E-02 +4 0 *********** SCCS-ala-asn + 1 6.23989E-01 -4.68698E-02 + 2 -6.72975E-02 -4.10474E-01 + 3 1.87023E-01 -2.95986E-02 + 4 1.45295E-02 -1.66240E-02 +4 0 *********** SCCS-ala-glu + 1 3.99331E-01 -3.34843E-01 + 2 -2.83988E-01 6.59348E-02 + 3 -4.72190E-02 -1.51632E-01 + 4 -3.86735E-03 -2.22313E-02 +4 0 *********** SCCS-ala-asp + 1 6.61667E-01 -5.40240E-02 + 2 -7.71390E-02 -4.21717E-01 + 3 1.95768E-01 -2.74466E-02 + 4 1.34416E-02 3.71095E-03 +4 0 *********** SCCS-ala-his + 1 6.48420E-01 -4.93751E-02 + 2 2.18602E-02 -3.27254E-01 + 3 2.02531E-01 9.70109E-02 + 4 4.05286E-03 2.16230E-02 +4 0 *********** SCCS-ala-arg + 1 2.38360E-01 -3.17928E-01 + 2 -1.17734E-01 2.35691E-01 + 3 -3.31488E-02 -2.26556E-02 + 4 -9.22722E-03 -1.22751E-02 +4 0 *********** SCCS-ala-lys + 1 1.90188E-01 -3.46793E-01 + 2 -1.72410E-01 2.76499E-01 + 3 -3.79097E-02 1.47776E-02 + 4 3.59765E-03 -3.93860E-02 +4 0 *********** SCCS-ala-pro + 1 7.05480E-01 -1.44827E-01 + 2 -1.13182E-01 -2.87785E-01 + 3 4.06266E-01 -2.54091E-01 + 4 4.58362E-02 -2.52399E-02 +4 0 *********** SCCS-gly-cys 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-met +4 0 *********** SCCS-gly-met 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-phe +4 0 *********** SCCS-gly-phe 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-ile +4 0 *********** SCCS-gly-ile 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-leu +4 0 *********** SCCS-gly-leu 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-val +4 0 *********** SCCS-gly-val 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-trp +4 0 *********** SCCS-gly-trp 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-tyr +4 0 *********** SCCS-gly-tyr 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-ala +4 0 *********** SCCS-gly-ala 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-gly +4 0 *********** SCCS-gly-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-thr +4 0 *********** SCCS-gly-thr 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-ser +4 0 *********** SCCS-gly-ser 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-gln +4 0 *********** SCCS-gly-gln 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-asn +4 0 *********** SCCS-gly-asn 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-glu +4 0 *********** SCCS-gly-glu 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-asp +4 0 *********** SCCS-gly-asp 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-his +4 0 *********** SCCS-gly-his 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-arg +4 0 *********** SCCS-gly-arg 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-lys +4 0 *********** SCCS-gly-lys 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gly-pro +4 0 *********** SCCS-gly-pro 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-thr-cys - 1 -4.78252E-01 -1.21282E+00 - 2 2.30200E-01 -1.93371E-01 - 3 -2.62189E-01 -1.34267E-02 - 4 1.20677E-01 -5.43489E-02 - 5 -2.28955E-01 -2.49593E-02 - 6 3.45747E-02 -1.30880E-01 -6 0 *********** SCCS-thr-met - 1 -4.76883E-01 -6.89293E-01 - 2 -1.13823E-01 -2.94833E-01 - 3 -1.70193E-01 -1.86699E-02 - 4 -1.10863E-02 4.45008E-02 - 5 -1.15430E-01 -6.80059E-02 - 6 -3.24995E-02 -1.17920E-01 -6 0 *********** SCCS-thr-phe - 1 -5.50351E-01 -7.12325E-01 - 2 -3.23486E-01 -1.53650E-01 - 3 -1.34118E-01 -8.39328E-02 - 4 -7.59681E-02 9.41809E-02 - 5 -3.47416E-02 -9.43178E-02 - 6 -7.94893E-02 -2.51501E-01 -6 0 *********** SCCS-thr-ile - 1 -4.74101E-01 -9.61700E-01 - 2 -1.96021E-01 -3.37099E-01 - 3 -3.04114E-01 1.15258E-01 - 4 5.99404E-02 4.48290E-02 - 5 -1.65781E-01 -4.79378E-02 - 6 2.44909E-03 -5.78368E-02 -6 0 *********** SCCS-thr-leu - 1 -5.18402E-01 -4.57179E-01 - 2 -3.35443E-01 -4.02755E-01 - 3 -1.64278E-01 -1.10675E-01 - 4 -3.93933E-02 9.99274E-02 - 5 -1.12048E-01 -8.64722E-02 - 6 -2.20778E-02 -1.39755E-01 -6 0 *********** SCCS-thr-val - 1 -5.36201E-01 -6.61594E-01 - 2 -1.90235E-01 -4.54193E-01 - 3 -1.73250E-01 -3.63807E-02 - 4 -1.54668E-01 1.71872E-01 - 5 5.10418E-02 -1.16297E-01 - 6 -9.69115E-02 -1.95628E-01 -6 0 *********** SCCS-thr-trp - 1 -6.10855E-01 -7.62383E-01 - 2 -8.03668E-02 -1.22626E-01 - 3 -1.52481E-01 -6.08235E-02 - 4 -2.03662E-02 1.60383E-02 - 5 -1.19499E-01 -3.98874E-02 - 6 -3.06429E-02 -1.67410E-01 -6 0 *********** SCCS-thr-tyr - 1 -5.82783E-01 -7.01074E-01 - 2 -2.87141E-01 -7.06469E-02 - 3 -1.60396E-01 -5.44901E-02 - 4 2.09835E-02 3.01896E-02 - 5 -1.25293E-01 -4.31272E-02 - 6 -4.37682E-02 -1.81502E-01 -6 0 *********** SCCS-thr-ala - 1 -4.57130E-01 -4.24338E-01 - 2 1.40275E-01 -5.64149E-01 - 3 -2.64578E-01 -3.40173E-02 - 4 6.40720E-02 7.40211E-02 - 5 -2.67262E-01 -1.30189E-02 - 6 7.00275E-02 3.47947E-02 -6 0 *********** SCCS-thr-gly +4 0 *********** SCCS-thr-cys + 1 6.94146E-01 -1.10554E-01 + 2 -3.15401E-01 -2.43730E-01 + 3 8.32213E-02 -7.15149E-02 + 4 5.66906E-02 8.41925E-03 +4 0 *********** SCCS-thr-met + 1 4.32580E-01 -2.39169E-01 + 2 -2.56341E-01 1.56166E-01 + 3 -5.16709E-03 4.76564E-02 + 4 1.03130E-02 -4.42845E-02 +4 0 *********** SCCS-thr-phe + 1 4.15597E-01 -2.72196E-01 + 2 -1.51395E-01 2.99282E-01 + 3 -4.50011E-02 3.19434E-02 + 4 -3.07748E-02 -1.79729E-02 +4 0 *********** SCCS-thr-ile + 1 5.39174E-01 -2.24077E-01 + 2 -3.27413E-01 7.71185E-02 + 3 -4.86396E-02 2.77815E-02 + 4 4.52735E-02 -5.11917E-02 +4 0 *********** SCCS-thr-leu + 1 3.27056E-01 -3.30255E-01 + 2 -2.49986E-01 4.43994E-01 + 3 -6.32695E-03 5.11674E-02 + 4 -6.20378E-02 -8.73174E-02 +4 0 *********** SCCS-thr-val + 1 4.58099E-01 -3.02824E-01 + 2 -4.19837E-01 2.14224E-01 + 3 -3.97065E-02 2.92216E-02 + 4 -7.84974E-03 -8.54191E-02 +4 0 *********** SCCS-thr-trp + 1 4.78223E-01 -2.54797E-01 + 2 -1.58174E-01 1.26678E-01 + 3 -1.92522E-02 2.16408E-02 + 4 -8.29943E-03 -3.27046E-02 +4 0 *********** SCCS-thr-tyr + 1 4.16175E-01 -2.63677E-01 + 2 -1.04597E-01 2.78809E-01 + 3 -4.67057E-02 2.51712E-02 + 4 -2.98319E-02 -1.77672E-02 +4 0 *********** SCCS-thr-ala + 1 3.50298E-01 -1.15334E-01 + 2 -5.12625E-01 1.63761E-01 + 3 1.85377E-02 4.73053E-02 + 4 1.02115E-01 -8.55357E-02 +4 0 *********** SCCS-thr-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-thr-thr - 1 -4.00198E-01 -8.37045E-01 - 2 1.31558E-01 -3.11476E-01 - 3 -2.82928E-01 -3.09156E-01 - 4 -2.91050E-01 2.16151E-01 - 5 1.62207E-01 -1.29139E-01 - 6 -1.99401E-01 -4.43480E-01 -6 0 *********** SCCS-thr-ser - 1 -6.91653E-01 -1.77025E+00 - 2 3.19225E-01 -1.53412E-01 - 3 -2.40168E-01 -2.27141E-01 - 4 -3.10878E-03 -4.34126E-03 - 5 -1.16980E-01 -1.02597E-01 - 6 -6.51546E-02 -4.38794E-01 -6 0 *********** SCCS-thr-gln - 1 -5.78616E-01 -8.24726E-01 - 2 7.23174E-02 -1.74898E-01 - 3 -1.25154E-01 -6.13718E-02 - 4 4.23225E-03 -4.72411E-03 - 5 -1.15575E-01 -5.90561E-02 - 6 -3.22209E-02 -1.59844E-01 -6 0 *********** SCCS-thr-asn - 1 -2.97795E-01 -1.12293E+00 - 2 3.80284E-01 -2.41235E-03 - 3 -1.60209E-01 -8.45606E-02 - 4 1.20696E-01 -5.27442E-02 - 5 -1.94928E-01 -4.42491E-02 - 6 1.81182E-02 -2.21766E-01 -6 0 *********** SCCS-thr-glu - 1 -6.60150E-01 -8.99749E-01 - 2 1.54939E-02 -2.39422E-01 - 3 -1.50985E-01 -4.71386E-02 - 4 -8.99812E-03 -1.89234E-02 - 5 -1.30256E-01 -6.21209E-02 - 6 -3.54086E-02 -1.41274E-01 -6 0 *********** SCCS-thr-asp - 1 -8.28763E-02 -9.70862E-01 - 2 5.16883E-01 -3.22882E-01 - 3 -2.81189E-01 -8.89955E-02 - 4 1.40981E-01 -5.01782E-02 - 5 -2.33595E-01 -2.02784E-02 - 6 5.54853E-02 -8.22412E-02 -6 0 *********** SCCS-thr-his - 1 -3.98116E-01 -1.34384E+00 - 2 1.69249E-01 6.75837E-02 - 3 -1.88083E-01 -5.60535E-02 - 4 4.69898E-02 -2.90069E-03 - 5 -7.39476E-02 1.33782E-02 - 6 -7.93948E-02 -2.65030E-01 -6 0 *********** SCCS-thr-arg - 1 -5.42741E-01 -5.01279E-01 - 2 -1.88557E-01 -2.04413E-01 - 3 -1.30541E-01 -1.04576E-01 - 4 -8.71559E-02 1.17049E-01 - 5 -3.46579E-02 -8.81523E-02 - 6 -7.37831E-02 -2.24380E-01 -6 0 *********** SCCS-thr-lys - 1 -4.78204E-01 -5.06985E-01 - 2 -2.06269E-01 -3.50811E-01 - 3 -1.55467E-01 -5.96862E-02 - 4 7.58353E-03 1.00290E-01 - 5 -1.32738E-01 -4.69953E-02 - 6 -2.22697E-02 -1.07470E-01 -6 0 *********** SCCS-thr-pro - 1 -1.67495E+00 1.99389E+00 - 2 4.18464E-01 2.38030E+00 - 3 -1.02443E+00 3.98025E-01 - 4 -2.77855E-01 5.99878E-01 - 5 -8.59721E-01 3.47303E-01 - 6 -3.80802E-01 -3.84736E-01 -6 0 *********** SCCS-ser-cys - 1 -6.75936E-01 -2.51372E-01 - 2 2.83749E-01 2.22765E-02 - 3 -8.37611E-02 -2.98347E-02 - 4 -1.25832E-02 2.82799E-02 - 5 -4.89796E-02 -1.31941E-02 - 6 -2.04676E-02 -9.34153E-02 -6 0 *********** SCCS-ser-met - 1 -4.79277E-01 -5.85533E-02 - 2 4.67438E-02 -9.61475E-02 - 3 -7.78201E-02 -1.10824E-01 - 4 -3.68149E-02 4.88099E-02 - 5 -8.43379E-02 -4.71735E-02 - 6 -3.11575E-02 -1.05951E-01 -6 0 *********** SCCS-ser-phe - 1 -4.40817E-01 -1.93201E-03 - 2 -3.75256E-02 -4.70413E-02 - 3 -1.11120E-01 -2.00006E-01 - 4 -1.03561E-01 8.27181E-02 - 5 -3.10534E-02 -5.29796E-02 - 6 -7.45012E-02 -1.88387E-01 -6 0 *********** SCCS-ser-ile - 1 -4.89129E-01 -9.71660E-02 - 2 6.96478E-02 -9.84504E-02 - 3 -9.78677E-02 -6.23724E-02 - 4 -4.38765E-02 4.39530E-02 - 5 -7.58677E-02 -4.90286E-02 - 6 -3.04404E-02 -8.35285E-02 -6 0 *********** SCCS-ser-leu - 1 -4.61498E-01 5.70563E-02 - 2 -5.70577E-02 -1.52749E-01 - 3 -8.29277E-02 -1.86562E-01 - 4 -1.35198E-03 6.69431E-02 - 5 -1.25108E-01 -2.25713E-02 - 6 -3.30351E-02 -9.94868E-02 -6 0 *********** SCCS-ser-val - 1 -4.91643E-01 -5.75777E-03 - 2 1.56976E-02 -1.20510E-01 - 3 -6.39172E-02 -1.37088E-01 - 4 -8.35079E-02 7.43083E-02 - 5 -2.99272E-02 -3.25470E-02 - 6 -7.48698E-02 -1.03754E-01 -6 0 *********** SCCS-ser-trp - 1 -4.90971E-01 -7.66287E-02 - 2 7.58249E-02 -6.22292E-02 - 3 -1.49855E-01 -9.47067E-02 - 4 1.49302E-02 2.48190E-02 - 5 -1.45208E-01 -2.49698E-02 - 6 -4.35175E-04 -8.53366E-02 -6 0 *********** SCCS-ser-tyr - 1 -4.17677E-01 2.35700E-03 - 2 -4.83259E-02 -4.16898E-02 - 3 -1.25891E-01 -1.79935E-01 - 4 -7.78872E-02 9.52749E-02 - 5 -3.47220E-02 -5.12502E-02 - 6 -7.29019E-02 -1.81669E-01 -6 0 *********** SCCS-ser-ala - 1 -5.17095E-01 -7.94432E-02 - 2 1.72920E-01 -1.53668E-01 - 3 -9.76482E-02 4.32460E-02 - 4 9.89786E-02 3.44415E-02 - 5 -1.85130E-01 -4.41722E-02 - 6 5.61277E-02 -1.20588E-02 -6 0 *********** SCCS-ser-gly +4 0 *********** SCCS-thr-thr + 1 5.32639E-01 -2.51788E-01 + 2 -3.65678E-01 2.80268E-02 + 3 -8.20267E-02 3.41941E-02 + 4 3.20060E-02 -3.66002E-02 +4 0 *********** SCCS-thr-ser + 1 8.29226E-01 -4.47256E-02 + 2 -3.07794E-01 -5.43561E-01 + 3 1.52434E-01 -1.70668E-01 + 4 4.02455E-02 2.94916E-02 +4 0 *********** SCCS-thr-gln + 1 5.44618E-01 -2.61200E-01 + 2 -2.91685E-01 -8.52894E-04 + 3 -6.22562E-02 -3.13102E-02 + 4 7.05645E-03 -3.96955E-02 +4 0 *********** SCCS-thr-asn + 1 7.29074E-01 1.96296E-02 + 2 -1.83089E-01 -4.48830E-01 + 3 8.45099E-02 -7.55621E-02 + 4 1.42241E-02 2.85328E-02 +4 0 *********** SCCS-thr-glu + 1 5.55177E-01 -3.20515E-01 + 2 -3.35056E-01 6.64964E-02 + 3 -8.15214E-02 -1.60734E-02 + 4 -5.10384E-03 -6.58212E-02 +4 0 *********** SCCS-thr-asp + 1 7.77903E-01 1.48359E-02 + 2 -1.81561E-01 -4.55763E-01 + 3 8.06929E-02 -8.81991E-02 + 4 2.74675E-02 2.53221E-02 +4 0 *********** SCCS-thr-his + 1 7.18127E-01 5.33399E-02 + 2 -5.18661E-02 -3.85563E-01 + 3 1.00852E-01 -1.09336E-03 + 4 9.59055E-03 3.86896E-02 +4 0 *********** SCCS-thr-arg + 1 3.55433E-01 -3.15600E-01 + 2 -1.53066E-01 2.59433E-01 + 3 1.89246E-03 4.45031E-02 + 4 -2.07639E-02 -2.58293E-02 +4 0 *********** SCCS-thr-lys + 1 3.15369E-01 -3.08440E-01 + 2 -2.15935E-01 3.06506E-01 + 3 9.15967E-03 7.76526E-02 + 4 -2.41978E-02 -4.64169E-02 +4 0 *********** SCCS-thr-pro + 1 8.64041E-01 -3.46195E-02 + 2 -2.43266E-01 -5.75809E-01 + 3 9.44992E-02 -3.26994E-01 + 4 4.31977E-02 -2.28477E-02 +4 0 *********** SCCS-ser-cys + 1 1.12657E+00 -4.05912E-01 + 2 -2.04372E-01 2.21214E-01 + 3 1.94762E-01 -2.38945E-02 + 4 2.72424E-02 -1.36295E-01 +4 0 *********** SCCS-ser-met + 1 5.52897E-01 -5.23767E-01 + 2 1.93424E-01 3.78624E-01 + 3 1.58880E-01 -8.44168E-02 + 4 -2.30319E-02 -3.90520E-02 +4 0 *********** SCCS-ser-phe + 1 6.07247E-01 -6.37768E-01 + 2 4.84383E-01 1.21296E-01 + 3 -5.03608E-04 -1.46678E-01 + 4 -7.88804E-02 -4.13110E-03 +4 0 *********** SCCS-ser-ile + 1 8.28835E-01 -6.04995E-01 + 2 3.10932E-01 5.50024E-01 + 3 2.93309E-01 -1.09850E-01 + 4 1.53895E-02 -2.36185E-02 +4 0 *********** SCCS-ser-leu + 1 2.18535E-01 -6.37048E-01 + 2 6.23176E-01 5.76774E-01 + 3 1.30993E-01 -1.16495E-01 + 4 -1.08630E-02 6.41354E-02 +4 0 *********** SCCS-ser-val + 1 6.94161E-01 -5.64087E-01 + 2 3.62179E-01 6.66479E-01 + 3 2.67300E-01 -1.35004E-01 + 4 -1.23872E-03 2.69694E-02 +4 0 *********** SCCS-ser-trp + 1 6.83990E-01 -6.22528E-01 + 2 2.18412E-01 2.10656E-01 + 3 4.96465E-02 -1.90013E-01 + 4 -5.13491E-02 -1.09238E-02 +4 0 *********** SCCS-ser-tyr + 1 5.94121E-01 -6.45033E-01 + 2 4.43614E-01 6.71824E-02 + 3 -5.00495E-03 -1.30727E-01 + 4 -7.13470E-02 -2.88808E-03 +4 0 *********** SCCS-ser-ala + 1 2.91750E-01 -4.88415E-01 + 2 -9.19135E-02 8.74485E-01 + 3 2.11077E-01 3.74125E-02 + 4 -4.78129E-02 -3.48121E-02 +4 0 *********** SCCS-ser-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-ser-thr - 1 -4.78359E-01 -1.05343E-01 - 2 1.25776E-01 -1.06119E-01 - 3 -1.18951E-01 -8.34825E-02 - 4 -5.75417E-02 6.94834E-02 - 5 -7.02717E-02 -6.29224E-02 - 6 -3.55264E-02 -1.23105E-01 -6 0 *********** SCCS-ser-ser - 1 -9.33073E-01 -3.08202E-01 - 2 4.33602E-01 1.17228E-01 - 3 -3.07553E-02 -1.72160E-01 - 4 -1.47558E-01 1.50928E-01 - 5 1.90943E-01 -9.29047E-02 - 6 -1.44184E-01 -3.58001E-01 -6 0 *********** SCCS-ser-gln - 1 -5.59586E-01 -1.28952E-01 - 2 1.44841E-01 -5.37194E-02 - 3 -7.17698E-02 -8.96105E-02 - 4 -4.40377E-02 4.77416E-02 - 5 -4.85355E-02 -4.67083E-02 - 6 -3.69193E-02 -1.24130E-01 -6 0 *********** SCCS-ser-asn - 1 -6.51055E-01 -4.39756E-01 - 2 2.48476E-01 1.53902E-01 - 3 -1.80528E-01 -1.23069E-02 - 4 -4.77216E-02 9.02530E-02 - 5 -6.22917E-02 2.54240E-03 - 6 -3.74477E-02 -1.92629E-01 -6 0 *********** SCCS-ser-glu - 1 -5.91225E-01 -8.29610E-02 - 2 1.36775E-01 -1.06866E-01 - 3 -5.84922E-02 -8.64410E-02 - 4 -5.33579E-02 5.12381E-02 - 5 -5.25020E-02 -5.63398E-02 - 6 -3.31115E-02 -1.06697E-01 -6 0 *********** SCCS-ser-asp - 1 -5.91005E-01 -3.74842E-01 - 2 2.42275E-01 9.30429E-02 - 3 -1.71360E-01 3.33390E-02 - 4 8.66703E-04 7.33567E-02 - 5 -3.62694E-02 -2.52558E-02 - 6 -6.07643E-02 -1.46528E-01 -6 0 *********** SCCS-ser-his - 1 -5.31584E-01 -4.23968E-01 - 2 1.78112E-01 2.18263E-01 - 3 -1.91242E-01 -4.86434E-02 - 4 -5.74705E-02 9.23880E-02 - 5 -6.57485E-02 -6.23534E-02 - 6 -8.41895E-03 -2.86348E-01 -6 0 *********** SCCS-ser-arg - 1 -4.25794E-01 7.53531E-03 - 2 -1.30989E-02 -8.86256E-02 - 3 -1.18246E-01 -1.16565E-01 - 4 -5.29254E-02 7.23512E-02 - 5 -8.41741E-02 -4.71509E-02 - 6 -2.76868E-02 -1.18162E-01 -6 0 *********** SCCS-ser-lys - 1 -4.33240E-01 -6.29186E-03 - 2 -1.43180E-02 -1.22178E-01 - 3 -9.51192E-02 -1.23200E-01 - 4 -2.80523E-02 5.77444E-02 - 5 -1.14024E-01 -4.81200E-02 - 6 -1.53999E-02 -1.03247E-01 -6 0 *********** SCCS-ser-pro - 1 3.70161E-01 5.65740E-01 - 2 1.05712E-01 1.04689E+00 - 3 -1.78745E-02 6.36354E-01 - 4 -2.03219E-01 4.43976E-01 - 5 -6.52191E-01 4.55377E-01 - 6 -3.06751E-01 1.59676E-01 -6 0 *********** SCCS-gln-cys - 1 -3.78657E-01 -1.03174E+00 - 2 2.99986E-01 -6.08579E-02 - 3 -1.74084E-01 -1.86924E-02 - 4 1.23712E-01 -2.59351E-02 - 5 -1.53103E-01 -3.48945E-02 - 6 2.49076E-02 -1.74405E-01 -6 0 *********** SCCS-gln-met - 1 -3.97262E-01 -6.36989E-01 - 2 9.77800E-03 -3.10533E-01 - 3 -1.80090E-01 -5.82939E-02 - 4 -4.26313E-02 3.74287E-02 - 5 -8.73326E-02 -4.34022E-02 - 6 -3.23650E-02 -9.90856E-02 -6 0 *********** SCCS-gln-phe - 1 -4.47849E-01 -6.47983E-01 - 2 -2.06546E-01 -2.38765E-01 - 3 -1.45039E-01 -1.45211E-01 - 4 -1.32275E-01 9.73647E-02 - 5 -7.25739E-03 -8.60319E-02 - 6 -1.00530E-01 -2.43895E-01 -6 0 *********** SCCS-gln-ile - 1 -3.59745E-01 -8.06123E-01 - 2 -8.35108E-03 -3.54256E-01 - 3 -2.93051E-01 1.63909E-03 - 4 -4.44899E-02 -5.23598E-04 - 5 -1.13093E-01 -1.87610E-02 - 6 -3.02316E-02 -2.87519E-02 -6 0 *********** SCCS-gln-leu - 1 -4.69746E-01 -4.39820E-01 - 2 -1.67933E-01 -5.12800E-01 - 3 -1.73229E-01 -1.31450E-01 - 4 -3.43452E-02 8.76257E-02 - 5 -1.36679E-01 -4.84730E-02 - 6 4.40327E-03 -7.22009E-02 -6 0 *********** SCCS-gln-val - 1 -5.15814E-01 -6.16676E-01 - 2 3.32873E-02 -4.13802E-01 - 3 -1.89944E-01 -1.70376E-01 - 4 -2.52293E-01 1.69662E-01 - 5 9.08513E-02 -2.66504E-02 - 6 -8.76044E-02 -1.89805E-01 -6 0 *********** SCCS-gln-trp - 1 -4.83279E-01 -7.00803E-01 - 2 -1.36902E-02 -1.85220E-01 - 3 -1.67112E-01 -7.37444E-02 - 4 -9.19416E-03 2.43077E-02 - 5 -1.51276E-01 -4.24719E-02 - 6 -3.14920E-03 -1.48435E-01 -6 0 *********** SCCS-gln-tyr - 1 -4.54834E-01 -6.35611E-01 - 2 -2.27888E-01 -1.90841E-01 - 3 -1.49858E-01 -1.01266E-01 - 4 -6.86815E-02 8.49749E-02 - 5 -5.92009E-02 -6.88625E-02 - 6 -6.06456E-02 -2.09833E-01 -6 0 *********** SCCS-gln-ala - 1 -4.24436E-01 -4.20815E-01 - 2 3.31291E-01 -5.05157E-01 - 3 -2.44482E-01 -3.42572E-02 - 4 7.75718E-02 -1.00779E-02 - 5 -2.09211E-01 -2.94344E-02 - 6 7.16461E-02 5.52702E-02 -6 0 *********** SCCS-gln-gly +4 0 *********** SCCS-ser-thr + 1 5.97190E-01 -6.25224E-01 + 2 -1.05158E-01 6.42155E-01 + 3 2.04056E-01 -1.68946E-02 + 4 3.56706E-02 -1.42799E-02 +4 0 *********** SCCS-ser-ser + 1 1.52873E+00 -3.54863E-01 + 2 -5.14291E-01 1.50261E-01 + 3 7.43751E-02 -8.86048E-04 + 4 1.34172E-01 -8.00352E-02 +4 0 *********** SCCS-ser-gln + 1 6.96482E-01 -6.02667E-01 + 2 -8.72616E-02 2.77530E-01 + 3 4.07313E-02 -1.34747E-01 + 4 -4.71931E-02 -9.46027E-02 +4 0 *********** SCCS-ser-asn + 1 1.11561E+00 -4.15743E-01 + 2 -4.64873E-01 3.47477E-02 + 3 3.25972E-02 -4.08680E-02 + 4 1.91945E-02 -9.03816E-02 +4 0 *********** SCCS-ser-glu + 1 7.64680E-01 -6.78554E-01 + 2 3.96633E-03 3.30346E-01 + 3 8.40013E-02 -1.30851E-01 + 4 -4.91193E-02 -7.39387E-02 +4 0 *********** SCCS-ser-asp + 1 1.28950E+00 -4.72641E-01 + 2 -4.47849E-01 8.30029E-02 + 3 2.25887E-02 3.44555E-02 + 4 1.69517E-02 -7.94096E-02 +4 0 *********** SCCS-ser-his + 1 1.13239E+00 -4.48872E-01 + 2 -2.52264E-01 2.14956E-02 + 3 2.19007E-01 4.35203E-02 + 4 7.47493E-02 -1.98832E-02 +4 0 *********** SCCS-ser-arg + 1 3.96490E-01 -5.99399E-01 + 2 3.73001E-01 3.41080E-01 + 3 1.05579E-01 -1.31530E-01 + 4 -1.00618E-02 -1.83315E-03 +4 0 *********** SCCS-ser-lys + 1 2.66381E-01 -5.72008E-01 + 2 3.93176E-01 4.27667E-01 + 3 1.60034E-01 -5.56215E-02 + 4 -9.38006E-03 2.60630E-02 +4 0 *********** SCCS-ser-pro + 1 1.75239E+00 7.86060E-02 + 2 -7.34679E-01 6.12669E-02 + 3 2.08017E-01 -5.04840E-02 + 4 2.47507E-01 -1.67663E-02 +4 0 *********** SCCS-gln-cys + 1 5.79299E-01 -3.92261E-01 + 2 4.78812E-02 1.59890E-01 + 3 -4.19228E-04 9.15860E-03 + 4 2.19637E-02 -9.74747E-03 +4 0 *********** SCCS-gln-met + 1 2.60284E-01 -4.42096E-01 + 2 1.67225E-01 3.36405E-02 + 3 3.09445E-03 -2.56183E-02 + 4 -1.06754E-02 -1.63804E-03 +4 0 *********** SCCS-gln-phe + 1 1.87631E-01 -4.95490E-01 + 2 1.83229E-01 -8.94963E-02 + 3 -1.27097E-02 -2.03116E-02 + 4 7.76995E-03 2.45116E-02 +4 0 *********** SCCS-gln-ile + 1 3.92171E-01 -4.79698E-01 + 2 1.78783E-01 6.83637E-02 + 3 6.47875E-03 -2.62212E-02 + 4 2.31055E-03 -1.54887E-02 +4 0 *********** SCCS-gln-leu + 1 1.14007E-01 -4.60150E-01 + 2 2.53930E-01 -4.84242E-02 + 3 2.46070E-02 -3.40276E-02 + 4 -3.00650E-02 1.14012E-02 +4 0 *********** SCCS-gln-val + 1 2.92983E-01 -4.80541E-01 + 2 2.40605E-01 8.05510E-02 + 3 2.49547E-02 -2.96703E-02 + 4 -3.41654E-02 -2.04849E-02 +4 0 *********** SCCS-gln-trp + 1 2.75555E-01 -4.97123E-01 + 2 1.26874E-01 -5.73869E-03 + 3 -1.05645E-02 -1.30748E-02 + 4 -2.01834E-03 1.13898E-03 +4 0 *********** SCCS-gln-tyr + 1 1.74347E-01 -4.97323E-01 + 2 1.50749E-01 -9.23285E-02 + 3 -1.36964E-02 -1.53743E-02 + 4 5.29976E-03 1.10334E-02 +4 0 *********** SCCS-gln-ala + 1 2.34424E-01 -3.04211E-01 + 2 2.31434E-01 1.61410E-01 + 3 2.96583E-02 1.55498E-02 + 4 -1.84200E-02 -4.01721E-02 +4 0 *********** SCCS-gln-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-gln-thr - 1 -3.03209E-01 -6.98179E-01 - 2 2.44917E-01 -3.04184E-01 - 3 -2.39339E-01 -2.87544E-01 - 4 -2.05542E-01 6.12287E-02 - 5 -6.70010E-03 -8.58810E-02 - 6 -1.29112E-01 -2.79558E-01 -6 0 *********** SCCS-gln-ser - 1 -7.07382E-01 -1.36578E+00 - 2 4.93845E-01 1.99758E-01 - 3 -4.10441E-02 -2.36320E-01 - 4 1.19249E-02 6.98319E-02 - 5 3.41754E-02 -5.64061E-02 - 6 -2.46900E-02 -5.06199E-01 -6 0 *********** SCCS-gln-gln - 1 -4.70075E-01 -7.61202E-01 - 2 1.59596E-01 -1.63292E-01 - 3 -1.32824E-01 -7.51517E-02 - 4 5.97928E-03 1.20702E-03 - 5 -1.02351E-01 -3.95062E-02 - 6 -1.44607E-02 -1.48045E-01 -6 0 *********** SCCS-gln-asn - 1 -2.23034E-01 -1.00535E+00 - 2 3.68944E-01 1.29083E-01 - 3 -1.54627E-01 -4.01162E-03 - 4 1.77949E-01 -2.68915E-02 - 5 -1.97537E-01 -1.60013E-03 - 6 5.02450E-02 -1.99642E-01 -6 0 *********** SCCS-gln-glu - 1 -5.43431E-01 -8.05564E-01 - 2 1.45278E-01 -2.51063E-01 - 3 -1.63713E-01 -7.71359E-02 - 4 -2.09429E-02 -7.85377E-03 - 5 -1.04595E-01 -3.89351E-02 - 6 -2.64487E-02 -1.15315E-01 -6 0 *********** SCCS-gln-asp - 1 7.07679E-03 -8.17827E-01 - 2 4.97616E-01 -4.63663E-02 - 3 -1.74624E-01 2.28487E-02 - 4 1.08495E-01 -8.19002E-03 - 5 -1.27738E-01 1.20698E-03 - 6 2.23359E-02 -9.95721E-02 -6 0 *********** SCCS-gln-his - 1 -2.52482E-01 -1.14883E+00 - 2 2.24564E-01 1.98816E-01 - 3 -1.85558E-01 2.05834E-04 - 4 4.91996E-02 8.60683E-03 - 5 -1.49607E-01 -2.46427E-02 - 6 -7.93116E-03 -2.87346E-01 -6 0 *********** SCCS-gln-arg - 1 -4.72072E-01 -4.77430E-01 - 2 -8.78127E-02 -2.68670E-01 - 3 -1.55619E-01 -1.13500E-01 - 4 -8.92743E-02 8.81233E-02 - 5 -5.08411E-02 -5.91076E-02 - 6 -5.26929E-02 -1.59327E-01 -6 0 *********** SCCS-gln-lys - 1 -4.20651E-01 -4.86376E-01 - 2 -5.74136E-02 -4.11034E-01 - 3 -1.81302E-01 -5.72312E-02 - 4 -4.94468E-02 7.51362E-02 - 5 -1.45406E-01 -6.40972E-02 - 6 9.89860E-03 -7.93734E-02 -6 0 *********** SCCS-gln-pro - 1 -2.33433E+01 6.16546E-01 - 2 2.19328E+01 2.31249E+00 - 3 -2.26728E+01 5.13543E-01 - 4 2.19107E+01 -2.60800E-01 - 5 -2.31940E+01 5.68846E-01 - 6 1.12249E+01 2.13542E-01 -6 0 *********** SCCS-asn-cys - 1 -3.81221E-01 -1.24183E+00 - 2 1.77744E-01 -2.24530E-01 - 3 -2.19645E-01 1.91884E-03 - 4 5.68149E-02 5.55250E-03 - 5 -9.88176E-02 -2.94638E-02 - 6 -5.76986E-03 -1.61760E-01 -6 0 *********** SCCS-asn-met - 1 -4.14485E-01 -7.03590E-01 - 2 -5.65333E-02 -3.34035E-01 - 3 -1.65019E-01 -5.54883E-02 - 4 -6.62833E-02 6.43652E-02 - 5 -4.65185E-02 -7.25391E-02 - 6 -5.59685E-02 -1.37172E-01 -6 0 *********** SCCS-asn-phe - 1 -4.88728E-01 -7.90689E-01 - 2 -2.41462E-01 -2.18277E-01 - 3 -1.27789E-01 -2.35880E-01 - 4 -1.13521E-01 1.33365E-01 - 5 5.46675E-02 -1.38110E-01 - 6 -1.27259E-01 -3.86126E-01 -6 0 *********** SCCS-asn-ile - 1 -4.06873E-01 -9.20526E-01 - 2 -6.55001E-02 -3.69403E-01 - 3 -3.07097E-01 -7.27184E-02 - 4 -1.56268E-01 1.47582E-01 - 5 2.94983E-02 -1.14863E-02 - 6 -6.64692E-02 -1.83426E-01 -6 0 *********** SCCS-asn-leu - 1 -4.56668E-01 -6.23593E-01 - 2 -1.82973E-01 -5.60058E-01 - 3 -2.55619E-01 -5.19992E-02 - 4 2.44867E-02 1.02573E-01 - 5 -2.37314E-01 -6.01161E-02 - 6 5.79650E-02 -6.83231E-02 -6 0 *********** SCCS-asn-val - 1 -4.96020E-01 -7.68406E-01 - 2 -5.31078E-02 -3.96118E-01 - 3 -2.18497E-01 -2.30010E-01 - 4 -2.80039E-01 2.46263E-01 - 5 1.03583E-01 -9.09713E-02 - 6 -1.06927E-01 -3.52591E-01 -6 0 *********** SCCS-asn-trp - 1 -4.99099E-01 -8.07351E-01 - 2 -1.29660E-01 -1.55795E-01 - 3 -1.28933E-01 -5.85417E-02 - 4 -7.80390E-03 1.45275E-02 - 5 -1.31421E-01 -6.70676E-02 - 6 -3.22116E-02 -1.78027E-01 -6 0 *********** SCCS-asn-tyr - 1 -5.05318E-01 -7.81759E-01 - 2 -2.49523E-01 -1.56773E-01 - 3 -1.39998E-01 -2.35873E-01 - 4 -1.42535E-01 1.63922E-01 - 5 4.76773E-02 -1.39603E-01 - 6 -1.47019E-01 -4.21563E-01 -6 0 *********** SCCS-asn-ala - 1 -2.65872E-01 -6.13325E-01 - 2 3.86720E-01 -5.51795E-01 - 3 -3.89547E-01 3.96087E-03 - 4 5.67089E-02 1.02255E-02 - 5 -3.22979E-01 -2.48239E-02 - 6 9.74780E-02 5.91367E-02 -6 0 *********** SCCS-asn-gly +4 0 *********** SCCS-gln-thr + 1 3.72524E-01 -4.51041E-01 + 2 1.52057E-01 1.40094E-01 + 3 3.02990E-02 -1.91968E-02 + 4 -3.59983E-03 -2.59568E-02 +4 0 *********** SCCS-gln-ser + 1 7.06676E-01 -3.49953E-01 + 2 2.15481E-02 2.18139E-01 + 3 -7.06996E-03 3.61775E-02 + 4 3.88715E-02 -1.19804E-02 +4 0 *********** SCCS-gln-gln + 1 3.45167E-01 -4.83364E-01 + 2 1.09744E-01 8.74874E-02 + 3 -1.41305E-02 1.23287E-02 + 4 -2.35528E-02 4.53373E-04 +4 0 *********** SCCS-gln-asn + 1 6.05408E-01 -3.11408E-01 + 2 -1.21350E-02 1.78216E-01 + 3 -3.39004E-02 2.93603E-02 + 4 1.65826E-02 2.08530E-02 +4 0 *********** SCCS-gln-glu + 1 3.57855E-01 -5.33509E-01 + 2 1.46387E-01 6.89503E-02 + 3 3.22538E-03 4.56514E-03 + 4 -2.05340E-02 -7.87216E-03 +4 0 *********** SCCS-gln-asp + 1 6.42044E-01 -3.21931E-01 + 2 1.24171E-02 1.71516E-01 + 3 -2.30269E-02 3.44125E-02 + 4 3.71335E-02 2.06943E-02 +4 0 *********** SCCS-gln-his + 1 5.81423E-01 -3.02122E-01 + 2 -1.66860E-02 1.20394E-01 + 3 -2.64290E-02 -1.31693E-02 + 4 4.12599E-02 3.48810E-02 +4 0 *********** SCCS-gln-arg + 1 1.32993E-01 -4.67945E-01 + 2 1.75658E-01 -4.29680E-02 + 3 -4.65605E-03 -3.18778E-02 + 4 -1.33197E-02 4.57256E-03 +4 0 *********** SCCS-gln-lys + 1 1.12536E-01 -4.26087E-01 + 2 2.09075E-01 -1.43530E-02 + 3 8.78889E-03 -2.92447E-02 + 4 -2.20890E-02 3.54246E-03 +4 0 *********** SCCS-gln-pro + 1 7.34775E-01 -2.94956E-01 + 2 -8.33276E-03 2.05041E-01 + 3 8.67681E-03 1.83067E-02 + 4 9.83198E-02 6.77699E-03 +4 0 *********** SCCS-asn-cys + 1 5.04335E-01 -7.39264E-01 + 2 1.65204E-01 3.02986E-01 + 3 -5.78337E-02 -3.47671E-02 + 4 -2.37965E-02 1.77826E-02 +4 0 *********** SCCS-asn-met + 1 1.77178E-01 -6.13369E-01 + 2 3.39709E-01 6.61443E-02 + 3 -2.62225E-02 -8.08027E-02 + 4 -1.42941E-02 2.09088E-02 +4 0 *********** SCCS-asn-phe + 1 2.14472E-01 -6.53363E-01 + 2 3.62519E-01 -1.10511E-01 + 3 -7.57402E-02 3.28164E-03 + 4 -1.23714E-02 5.52818E-02 +4 0 *********** SCCS-asn-ile + 1 3.25121E-01 -7.10652E-01 + 2 3.76442E-01 1.57116E-01 + 3 1.16290E-02 -9.84483E-02 + 4 -8.25687E-03 -3.35860E-04 +4 0 *********** SCCS-asn-leu + 1 1.29184E-01 -5.84371E-01 + 2 5.58686E-01 -7.39847E-02 + 3 -5.71794E-02 -1.53406E-02 + 4 9.76635E-03 6.80307E-03 +4 0 *********** SCCS-asn-val + 1 2.15116E-01 -6.63449E-01 + 2 4.94741E-01 1.82214E-01 + 3 2.16339E-02 -1.10162E-01 + 4 -1.48604E-02 6.05498E-03 +4 0 *********** SCCS-asn-trp + 1 2.23583E-01 -7.19405E-01 + 2 2.14051E-01 -5.47469E-02 + 3 -5.78897E-02 -9.80034E-03 + 4 5.28692E-03 3.83168E-02 +4 0 *********** SCCS-asn-tyr + 1 1.74799E-01 -6.51312E-01 + 2 3.33445E-01 -1.55248E-01 + 3 -4.96369E-02 -1.86586E-02 + 4 1.13473E-04 6.49258E-02 +4 0 *********** SCCS-asn-ala + 1 3.93563E-01 -3.61207E-01 + 2 4.16689E-01 3.56767E-01 + 3 -4.80322E-02 -1.47193E-01 + 4 -1.46148E-02 -8.93927E-03 +4 0 *********** SCCS-asn-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-asn-thr - 1 -3.05529E-01 -7.17547E-01 - 2 1.89052E-01 -4.54335E-01 - 3 -2.86506E-01 -1.86811E-01 - 4 -1.13599E-01 -5.41133E-02 - 5 -1.19741E-01 -4.42205E-02 - 6 -5.43206E-02 -6.50022E-02 -6 0 *********** SCCS-asn-ser - 1 -6.89183E-01 -1.79737E+00 - 2 3.94757E-01 -1.47323E-01 - 3 -2.22155E-01 -2.68679E-01 - 4 -2.72310E-02 2.43246E-02 - 5 -6.40004E-02 -8.64620E-02 - 6 -6.13375E-02 -4.74422E-01 -6 0 *********** SCCS-asn-gln - 1 -4.93659E-01 -8.60870E-01 - 2 7.12198E-02 -1.54778E-01 - 3 -1.24473E-01 -6.06627E-02 - 4 -1.46071E-03 2.23055E-02 - 5 -6.06458E-02 -7.47387E-02 - 6 -4.79627E-02 -2.01273E-01 -6 0 *********** SCCS-asn-asn - 1 -5.08546E-02 -1.10601E+00 - 2 3.62859E-01 2.06370E-01 - 3 -2.19120E-01 -1.40081E-01 - 4 9.68600E-02 3.05455E-04 - 5 -1.13958E-01 -5.14073E-02 - 6 2.73311E-02 -3.72200E-01 -6 0 *********** SCCS-asn-glu - 1 -5.82316E-01 -9.26292E-01 - 2 2.82638E-02 -2.66133E-01 - 3 -1.48082E-01 -5.10984E-02 - 4 -3.95615E-02 4.71363E-03 - 5 -7.65485E-02 -8.38700E-02 - 6 -6.27427E-02 -1.73783E-01 -6 0 *********** SCCS-asn-asp - 1 1.58497E-01 -9.20923E-01 - 2 6.98850E-01 1.19949E-01 - 3 -1.32246E-01 -2.58848E-01 - 4 -1.45539E-02 1.08537E-01 - 5 1.21489E-03 -1.11350E-01 - 6 -4.98969E-02 -4.92381E-01 -6 0 *********** SCCS-asn-his - 1 -4.70519E-02 -1.35731E+00 - 2 4.06081E-02 1.86889E-01 - 3 -1.67461E-01 -3.27274E-02 - 4 -7.99144E-03 3.11632E-02 - 5 -8.77365E-02 -6.67197E-02 - 6 -5.82699E-02 -3.84061E-01 -6 0 *********** SCCS-asn-arg - 1 -4.82403E-01 -5.45529E-01 - 2 -1.17244E-01 -2.86891E-01 - 3 -1.67906E-01 -6.23761E-02 - 4 -4.48542E-02 5.31104E-02 - 5 -9.64431E-02 -6.25849E-02 - 6 -2.86109E-02 -1.11286E-01 -6 0 *********** SCCS-asn-lys - 1 -4.03420E-01 -5.61829E-01 - 2 -1.35882E-01 -4.14959E-01 - 3 -1.94967E-01 -4.56707E-02 - 4 -4.83526E-02 8.49845E-02 - 5 -1.19811E-01 -5.39978E-02 - 6 -7.36229E-03 -8.95423E-02 -6 0 *********** SCCS-asn-pro - 1 -3.67224E+00 4.68734E-02 - 2 1.77071E-01 3.46357E+00 - 3 3.13984E-01 -2.85396E-01 - 4 -8.86506E-01 -5.57566E-01 - 5 -1.14756E+00 9.67820E-01 - 6 5.91455E-01 -7.81408E-02 -6 0 *********** SCCS-glu-cys - 1 -4.83925E-01 -6.51298E-01 - 2 4.28198E-01 6.62544E-02 - 3 -1.08338E-01 -9.99842E-03 - 4 1.38581E-01 1.40218E-02 - 5 -8.30239E-02 -3.01554E-02 - 6 2.99992E-02 -1.72604E-01 -6 0 *********** SCCS-glu-met - 1 -3.93119E-01 -3.98059E-01 - 2 9.69646E-02 -2.72972E-01 - 3 -1.37647E-01 -9.00019E-02 - 4 -4.46393E-02 1.15511E-02 - 5 -1.00741E-01 -3.89598E-02 - 6 -2.45381E-02 -6.15883E-02 -6 0 *********** SCCS-glu-phe - 1 -3.95727E-01 -3.74910E-01 - 2 -1.01446E-01 -2.38418E-01 - 3 -1.30590E-01 -1.93845E-01 - 4 -1.19484E-01 7.51964E-02 - 5 -5.30626E-02 -5.84511E-02 - 6 -8.15070E-02 -1.80758E-01 -6 0 *********** SCCS-glu-ile - 1 -3.76411E-01 -4.69599E-01 - 2 1.27676E-01 -2.87101E-01 - 3 -1.87287E-01 -5.72492E-02 - 4 -5.37534E-02 -2.61303E-02 - 5 -1.47236E-01 -2.76259E-02 - 6 -2.62566E-02 -1.26201E-02 -6 0 *********** SCCS-glu-leu - 1 -4.24362E-01 -2.20791E-01 - 2 -9.48430E-02 -4.67883E-01 - 3 -1.29599E-01 -1.87328E-01 - 4 -7.47320E-02 7.40743E-02 - 5 -1.55034E-01 -5.66349E-02 - 6 -2.11177E-02 -6.92212E-02 -6 0 *********** SCCS-glu-val - 1 -4.62405E-01 -3.55735E-01 - 2 7.06503E-02 -3.87041E-01 - 3 -1.14871E-01 -1.22447E-01 - 4 -1.53633E-01 9.45069E-02 - 5 -6.44192E-03 -9.52620E-02 - 6 -8.99715E-02 -1.38035E-01 -6 0 *********** SCCS-glu-trp - 1 -4.24069E-01 -4.42051E-01 - 2 8.70206E-02 -2.06026E-01 - 3 -1.61927E-01 -1.04064E-01 - 4 -3.16868E-02 1.19989E-02 - 5 -1.22331E-01 -3.34510E-02 - 6 -2.03725E-02 -9.52521E-02 -6 0 *********** SCCS-glu-tyr - 1 -4.12882E-01 -3.75196E-01 - 2 -9.64704E-02 -1.81167E-01 - 3 -1.47505E-01 -1.66643E-01 - 4 -7.52565E-02 6.90457E-02 - 5 -7.95121E-02 -5.76465E-02 - 6 -4.72807E-02 -1.87221E-01 -6 0 *********** SCCS-glu-ala - 1 -4.50264E-01 -2.41613E-01 - 2 2.92979E-01 -4.03720E-01 - 3 -1.07326E-01 -2.86858E-02 - 4 1.07420E-02 9.28010E-03 - 5 -1.62466E-01 -6.07238E-02 - 6 3.08043E-02 1.59837E-02 -6 0 *********** SCCS-glu-gly +4 0 *********** SCCS-asn-thr + 1 3.01041E-01 -6.87682E-01 + 2 3.44749E-01 1.81216E-01 + 3 4.16396E-02 -8.47487E-02 + 4 -4.45363E-02 -4.98194E-03 +4 0 *********** SCCS-asn-ser + 1 7.09361E-01 -7.69862E-01 + 2 8.21010E-04 4.13794E-01 + 3 -1.25945E-01 6.26593E-02 + 4 -1.76184E-02 -1.81647E-02 +4 0 *********** SCCS-asn-gln + 1 2.43864E-01 -7.20861E-01 + 2 1.91086E-01 1.52343E-01 + 3 -2.69797E-02 6.76108E-03 + 4 -4.41378E-02 4.58135E-02 +4 0 *********** SCCS-asn-asn + 1 7.30592E-01 -5.65273E-01 + 2 -2.75405E-01 2.93682E-01 + 3 -2.05638E-02 -9.66590E-03 + 4 9.89644E-03 8.66577E-02 +4 0 *********** SCCS-asn-glu + 1 2.14632E-01 -7.88719E-01 + 2 2.97187E-01 1.15949E-01 + 3 -2.46968E-02 -1.43120E-03 + 4 -1.08428E-02 2.79630E-02 +4 0 *********** SCCS-asn-asp + 1 8.22186E-01 -5.80883E-01 + 2 -2.44168E-01 3.22652E-01 + 3 -1.32451E-02 2.30903E-02 + 4 1.41592E-02 7.94026E-02 +4 0 *********** SCCS-asn-his + 1 7.19791E-01 -5.65635E-01 + 2 -2.64163E-01 1.25458E-01 + 3 1.91594E-02 -1.18050E-01 + 4 3.78487E-02 5.24126E-02 +4 0 *********** SCCS-asn-arg + 1 6.43093E-02 -6.13105E-01 + 2 3.08886E-01 -7.30893E-02 + 3 -6.80516E-02 -4.40328E-02 + 4 -5.48462E-03 1.77752E-02 +4 0 *********** SCCS-asn-lys + 1 3.21498E-02 -5.46633E-01 + 2 4.33619E-01 -3.83997E-02 + 3 -2.22108E-02 -8.69999E-02 + 4 -1.23561E-03 5.22853E-03 +4 0 *********** SCCS-asn-pro + 1 7.96828E-01 -6.84591E-01 + 2 -5.26010E-02 4.56772E-01 + 3 -1.28984E-01 -2.92455E-04 + 4 1.10783E-01 -1.48442E-02 +4 0 *********** SCCS-glu-cys + 1 6.53687E-01 -4.34079E-01 + 2 1.22866E-01 1.34640E-01 + 3 -2.24722E-02 -4.32294E-02 + 4 1.06070E-02 -7.61586E-03 +4 0 *********** SCCS-glu-met + 1 2.86066E-01 -4.82459E-01 + 2 2.06963E-01 -3.97914E-03 + 3 -2.44571E-02 -3.93101E-02 + 4 -1.04628E-02 -4.58590E-03 +4 0 *********** SCCS-glu-phe + 1 2.15763E-01 -5.46055E-01 + 2 1.95022E-01 -1.48417E-01 + 3 -3.36618E-02 -1.10796E-02 + 4 -4.21384E-04 2.54868E-02 +4 0 *********** SCCS-glu-ile + 1 4.41373E-01 -5.32014E-01 + 2 2.34752E-01 2.82503E-02 + 3 -2.51430E-02 -6.01055E-02 + 4 7.50058E-03 -1.34671E-02 +4 0 *********** SCCS-glu-leu + 1 1.37141E-01 -4.97969E-01 + 2 2.90161E-01 -1.08859E-01 + 3 5.04866E-04 -1.64053E-02 + 4 -2.79236E-02 -8.20153E-03 +4 0 *********** SCCS-glu-val + 1 3.34791E-01 -5.20620E-01 + 2 3.01756E-01 2.81141E-02 + 3 -8.05096E-03 -4.20069E-02 + 4 -1.80520E-02 -3.07707E-02 +4 0 *********** SCCS-glu-trp + 1 3.03533E-01 -5.52919E-01 + 2 1.40792E-01 -4.61200E-02 + 3 -4.27719E-02 -1.67625E-02 + 4 -2.07383E-03 9.02039E-03 +4 0 *********** SCCS-glu-tyr + 1 1.99606E-01 -5.47577E-01 + 2 1.61049E-01 -1.51422E-01 + 3 -3.22340E-02 -1.13962E-02 + 4 -1.61419E-03 1.55745E-02 +4 0 *********** SCCS-glu-ala + 1 2.60662E-01 -3.28473E-01 + 2 3.02258E-01 1.58958E-01 + 3 5.30925E-03 -2.35769E-02 + 4 1.05477E-02 -3.63619E-02 +4 0 *********** SCCS-glu-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-glu-thr - 1 -3.46300E-01 -4.54820E-01 - 2 2.79076E-01 -2.35428E-01 - 3 -1.60513E-01 -2.12761E-01 - 4 -1.66896E-01 2.87269E-02 - 5 3.02522E-02 -8.25169E-02 - 6 -1.34128E-01 -1.92987E-01 -6 0 *********** SCCS-glu-ser - 1 -8.09848E-01 -8.35414E-01 - 2 6.96541E-01 3.10409E-01 - 3 1.97702E-02 -2.23115E-01 - 4 5.38167E-02 9.85310E-02 - 5 9.26524E-02 -6.68563E-02 - 6 -2.36952E-02 -4.82460E-01 -6 0 *********** SCCS-glu-gln - 1 -4.64697E-01 -4.83320E-01 - 2 2.66658E-01 -1.49366E-01 - 3 -1.15317E-01 -1.02810E-01 - 4 -3.67854E-04 1.04164E-02 - 5 -8.83899E-02 -3.72327E-02 - 6 -1.16800E-02 -1.25933E-01 -6 0 *********** SCCS-glu-asn - 1 -4.02183E-01 -7.44981E-01 - 2 3.80473E-01 2.59710E-01 - 3 -1.32614E-01 3.60203E-02 - 4 1.31910E-01 3.14564E-02 - 5 -1.67914E-01 3.70731E-03 - 6 4.12805E-02 -2.09748E-01 -6 0 *********** SCCS-glu-glu - 1 -5.09946E-01 -4.76098E-01 - 2 2.75008E-01 -2.48104E-01 - 3 -1.34941E-01 -1.19108E-01 - 4 -2.49491E-02 -1.14406E-02 - 5 -1.04198E-01 -4.35712E-02 - 6 -2.61808E-02 -8.55270E-02 -6 0 *********** SCCS-glu-asp - 1 -2.84960E-01 -6.02513E-01 - 2 4.48531E-01 1.03066E-01 - 3 -1.40949E-01 8.08122E-02 - 4 1.25542E-01 1.57985E-02 - 5 -1.16764E-01 -7.08610E-03 - 6 4.35504E-02 -1.12851E-01 -6 0 *********** SCCS-glu-his - 1 -4.07188E-01 -7.83162E-01 - 2 3.00161E-01 3.13014E-01 - 3 -1.63240E-01 -7.26344E-03 - 4 5.67369E-02 -6.28908E-04 - 5 -9.20148E-02 -3.56579E-02 - 6 1.68771E-02 -2.72410E-01 -6 0 *********** SCCS-glu-arg - 1 -4.18216E-01 -2.97057E-01 - 2 -3.33698E-02 -2.66117E-01 - 3 -1.38048E-01 -1.40957E-01 - 4 -8.99499E-02 7.72389E-02 - 5 -6.26684E-02 -5.93368E-02 - 6 -5.42176E-02 -1.31592E-01 -6 0 *********** SCCS-glu-lys - 1 -3.93136E-01 -2.92323E-01 - 2 -3.26113E-04 -3.79367E-01 - 3 -1.39488E-01 -1.16637E-01 - 4 -4.45234E-02 6.53483E-02 - 5 -1.58335E-01 -5.34744E-02 - 6 -4.87018E-03 -7.21846E-02 -6 0 *********** SCCS-glu-pro - 1 -3.22728E+01 2.76828E-01 - 2 3.15410E+01 2.14163E+00 - 3 -3.21600E+01 7.20103E-01 - 4 3.15072E+01 -8.47577E-02 - 5 -3.27421E+01 5.34799E-01 - 6 1.58796E+01 1.98602E-01 -6 0 *********** SCCS-asp-cys - 1 -3.52954E-01 -1.21645E+00 - 2 2.21333E-01 -1.93029E-01 - 3 -2.18015E-01 -7.31833E-02 - 4 -1.87280E-03 8.21963E-02 - 5 6.10175E-03 -7.28159E-02 - 6 -6.41219E-02 -2.90572E-01 -6 0 *********** SCCS-asp-met - 1 -3.95745E-01 -7.03791E-01 - 2 -1.42978E-02 -3.31229E-01 - 3 -1.75391E-01 -6.01463E-02 - 4 -7.96403E-02 7.63324E-02 - 5 -4.23240E-02 -5.55756E-02 - 6 -6.04913E-02 -1.36538E-01 -6 0 *********** SCCS-asp-phe - 1 -4.56026E-01 -7.92210E-01 - 2 -2.09706E-01 -2.49879E-01 - 3 -1.12844E-01 -2.25917E-01 - 4 -1.07112E-01 1.42175E-01 - 5 3.79239E-02 -1.22999E-01 - 6 -1.20597E-01 -3.59660E-01 -6 0 *********** SCCS-asp-ile - 1 -3.95318E-01 -9.10024E-01 - 2 -2.67416E-02 -3.48730E-01 - 3 -3.02038E-01 -9.96869E-02 - 4 -1.58748E-01 1.41879E-01 - 5 2.93623E-02 -2.62496E-02 - 6 -7.20971E-02 -2.04820E-01 -6 0 *********** SCCS-asp-leu - 1 -4.22149E-01 -6.34803E-01 - 2 -1.45220E-01 -5.77916E-01 - 3 -2.51513E-01 -6.08448E-02 - 4 1.08794E-02 8.73552E-02 - 5 -2.17136E-01 -3.99329E-02 - 6 4.45664E-02 -4.44983E-02 -6 0 *********** SCCS-asp-val - 1 -4.67524E-01 -7.80561E-01 - 2 -1.03988E-02 -3.66211E-01 - 3 -2.37349E-01 -2.60624E-01 - 4 -2.38084E-01 1.91404E-01 - 5 1.16954E-01 -6.17612E-02 - 6 -1.28134E-01 -3.18337E-01 -6 0 *********** SCCS-asp-trp - 1 -4.63547E-01 -8.07769E-01 - 2 -1.23520E-01 -1.64406E-01 - 3 -1.27800E-01 -5.46336E-02 - 4 -1.23681E-02 1.79990E-02 - 5 -1.19191E-01 -6.49684E-02 - 6 -3.83501E-02 -1.72175E-01 -6 0 *********** SCCS-asp-tyr - 1 -4.76082E-01 -7.86935E-01 - 2 -2.30890E-01 -1.81926E-01 - 3 -1.49339E-01 -2.35846E-01 - 4 -1.49388E-01 1.57514E-01 - 5 4.67536E-02 -1.46085E-01 - 6 -1.46854E-01 -4.18679E-01 -6 0 *********** SCCS-asp-ala - 1 -2.32058E-01 -6.32426E-01 - 2 4.50134E-01 -5.03699E-01 - 3 -4.30041E-01 -2.09810E-02 - 4 1.12163E-01 -4.00665E-02 - 5 -3.13276E-01 3.18392E-02 - 6 6.21935E-02 1.02867E-01 -6 0 *********** SCCS-asp-gly +4 0 *********** SCCS-glu-thr + 1 4.14591E-01 -4.92306E-01 + 2 2.06813E-01 1.14666E-01 + 3 1.04520E-02 -4.84441E-02 + 4 1.55668E-03 -2.39491E-02 +4 0 *********** SCCS-glu-ser + 1 8.20411E-01 -3.74788E-01 + 2 1.41651E-01 2.12538E-01 + 3 -6.21859E-03 -1.79885E-02 + 4 3.80900E-02 -4.92337E-03 +4 0 *********** SCCS-glu-gln + 1 3.76192E-01 -5.30580E-01 + 2 1.42354E-01 5.64657E-02 + 3 -5.15342E-02 -1.01811E-04 + 4 -2.54485E-02 8.47337E-03 +4 0 *********** SCCS-glu-asn + 1 6.68741E-01 -3.25679E-01 + 2 5.95386E-02 2.08143E-01 + 3 -3.75853E-02 -3.46718E-02 + 4 8.52328E-03 3.37193E-02 +4 0 *********** SCCS-glu-glu + 1 3.97863E-01 -5.90618E-01 + 2 1.81295E-01 2.15547E-02 + 3 -3.83104E-02 -5.40193E-03 + 4 -1.75773E-02 -1.93044E-03 +4 0 *********** SCCS-glu-asp + 1 7.26648E-01 -3.38866E-01 + 2 9.14696E-02 2.01477E-01 + 3 -2.62763E-03 -2.50737E-02 + 4 1.37337E-02 3.33863E-02 +4 0 *********** SCCS-glu-his + 1 6.45652E-01 -3.22105E-01 + 2 2.88133E-02 1.41654E-01 + 3 1.41278E-02 -7.41916E-02 + 4 1.84667E-02 3.66436E-02 +4 0 *********** SCCS-glu-arg + 1 1.47048E-01 -5.09324E-01 + 2 1.93342E-01 -8.72346E-02 + 3 -2.65704E-02 -3.06199E-02 + 4 -1.38107E-02 1.72608E-03 +4 0 *********** SCCS-glu-lys + 1 1.27552E-01 -4.60023E-01 + 2 2.41286E-01 -5.68118E-02 + 3 -1.10430E-02 -2.82039E-02 + 4 -1.80561E-02 -1.09528E-02 +4 0 *********** SCCS-glu-pro + 1 8.38284E-01 -3.23945E-01 + 2 1.06881E-01 1.92895E-01 + 3 5.24047E-02 -7.01651E-02 + 4 1.19062E-01 1.62763E-02 +4 0 *********** SCCS-asp-cys + 1 4.83105E-01 -8.50263E-01 + 2 -4.11716E-02 3.00082E-01 + 3 3.82279E-02 -1.71003E-01 + 4 -8.20459E-02 -5.36035E-02 +4 0 *********** SCCS-asp-met + 1 1.40426E-01 -6.26797E-01 + 2 2.56246E-01 1.79435E-01 + 3 -2.10572E-02 -1.16520E-01 + 4 -4.84116E-02 3.00437E-02 +4 0 *********** SCCS-asp-phe + 1 1.56568E-01 -6.37251E-01 + 2 3.27439E-01 1.39893E-02 + 3 -1.14869E-01 -8.95667E-03 + 4 -2.77096E-02 6.44187E-02 +4 0 *********** SCCS-asp-ile + 1 2.15592E-01 -7.70118E-01 + 2 2.70457E-01 2.89710E-01 + 3 3.17738E-03 -1.85282E-01 + 4 -6.27790E-02 2.14411E-02 +4 0 *********** SCCS-asp-leu + 1 -2.93893E-02 -5.75986E-01 + 2 5.44014E-01 1.32738E-01 + 3 -1.10651E-01 -3.60087E-02 + 4 2.26130E-02 4.31327E-02 +4 0 *********** SCCS-asp-val + 1 1.07323E-01 -7.01274E-01 + 2 3.61795E-01 3.45811E-01 + 3 -1.24262E-02 -1.76175E-01 + 4 -7.10526E-02 3.98444E-02 +4 0 *********** SCCS-asp-trp + 1 1.86159E-01 -7.22919E-01 + 2 1.85783E-01 4.21482E-02 + 3 -9.32844E-02 -5.54396E-02 + 4 -2.55758E-02 6.06168E-02 +4 0 *********** SCCS-asp-tyr + 1 1.39018E-01 -6.33202E-01 + 2 3.11716E-01 -1.63136E-02 + 3 -9.24718E-02 -1.39666E-02 + 4 -3.23903E-02 7.58724E-02 +4 0 *********** SCCS-asp-ala + 1 2.56086E-01 -3.90897E-01 + 2 2.57773E-01 4.97315E-01 + 3 5.59778E-03 -1.74054E-01 + 4 -5.38422E-02 1.42699E-02 +4 0 *********** SCCS-asp-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-asp-thr - 1 -2.96801E-01 -7.11565E-01 - 2 2.07561E-01 -4.28272E-01 - 3 -2.75265E-01 -1.96542E-01 - 4 -1.02218E-01 -5.41524E-02 - 5 -1.21109E-01 -5.19017E-02 - 6 -5.22935E-02 -8.32035E-02 -6 0 *********** SCCS-asp-ser - 1 -5.19174E-01 -1.72903E+00 - 2 5.48601E-01 -9.01117E-02 - 3 -1.07748E-01 -2.61897E-01 - 4 3.06619E-02 6.93575E-02 - 5 -1.37603E-03 -5.34243E-02 - 6 -5.73852E-02 -4.81042E-01 -6 0 *********** SCCS-asp-gln - 1 -4.61379E-01 -8.58253E-01 - 2 8.17725E-02 -1.51520E-01 - 3 -1.30568E-01 -6.45556E-02 - 4 -8.74168E-03 2.88781E-02 - 5 -4.98967E-02 -7.09336E-02 - 6 -5.40534E-02 -2.01335E-01 -6 0 *********** SCCS-asp-asn - 1 -2.24117E-03 -1.07526E+00 - 2 3.79431E-01 2.34388E-01 - 3 -2.13192E-01 -1.07573E-01 - 4 9.44475E-02 2.95296E-02 - 5 -1.65426E-01 -3.27545E-02 - 6 2.88829E-02 -3.62430E-01 -6 0 *********** SCCS-asp-glu - 1 -5.43235E-01 -9.33408E-01 - 2 3.77760E-02 -2.55719E-01 - 3 -1.63582E-01 -5.75832E-02 - 4 -3.43118E-02 1.69303E-02 - 5 -6.61767E-02 -7.15137E-02 - 6 -6.05608E-02 -1.77847E-01 -6 0 *********** SCCS-asp-asp - 1 2.17163E-01 -8.90870E-01 - 2 6.60009E-01 1.29795E-01 - 3 -8.30166E-02 -2.40335E-01 - 4 -5.40702E-02 7.35194E-02 - 5 1.07373E-02 -7.22221E-02 - 6 -4.37594E-02 -4.23589E-01 -6 0 *********** SCCS-asp-his - 1 6.09761E-02 -1.31169E+00 - 2 -2.13485E-02 1.72700E-01 - 3 -1.18879E-01 -4.46483E-02 - 4 -4.93479E-02 5.14306E-02 - 5 -8.30584E-02 -7.18622E-02 - 6 -4.02941E-02 -3.93830E-01 -6 0 *********** SCCS-asp-arg - 1 -4.63187E-01 -5.50821E-01 - 2 -7.41568E-02 -2.97612E-01 - 3 -2.11668E-01 -6.72155E-02 - 4 8.58760E-03 3.28651E-02 - 5 -1.31738E-01 -3.72341E-02 - 6 -9.44403E-03 -8.43181E-02 -6 0 *********** SCCS-asp-lys - 1 -3.83336E-01 -5.61383E-01 - 2 -1.11841E-01 -4.25025E-01 - 3 -1.90188E-01 -4.98107E-02 - 4 -5.88165E-02 8.49811E-02 - 5 -1.07852E-01 -5.58818E-02 - 6 -9.66201E-03 -8.16972E-02 -6 0 *********** SCCS-asp-pro - 1 -2.83195E+00 1.42514E-01 - 2 1.40687E-01 2.73096E+00 - 3 1.93788E-01 8.37874E-02 - 4 -6.30711E-01 -3.69117E-01 - 5 -1.16730E+00 8.66219E-01 - 6 4.46134E-01 1.07090E-01 -6 0 *********** SCCS-his-cys - 1 -3.20786E-01 -1.12470E+00 - 2 3.59659E-01 9.54694E-02 - 3 -1.82801E-01 -2.11047E-01 - 4 -6.08196E-02 1.23057E-01 - 5 4.55057E-02 -8.97291E-02 - 6 -9.88602E-02 -4.81590E-01 -6 0 *********** SCCS-his-met - 1 -3.83211E-01 -6.53762E-01 - 2 7.59601E-03 -3.10874E-01 - 3 -1.75426E-01 -7.12684E-02 - 4 -7.20627E-02 5.19460E-02 - 5 -5.70430E-02 -4.96980E-02 - 6 -5.16797E-02 -1.16673E-01 -6 0 *********** SCCS-his-phe - 1 -4.42526E-01 -7.17041E-01 - 2 -1.43055E-01 -3.29144E-01 - 3 -2.48077E-01 -4.17076E-02 - 4 5.84178E-02 2.13563E-02 - 5 -2.11381E-01 -3.95820E-02 - 6 1.66644E-02 -8.90761E-02 -6 0 *********** SCCS-his-ile - 1 -4.28888E-01 -7.81529E-01 - 2 -1.92582E-02 -3.88087E-01 - 3 -2.51867E-01 -6.02494E-02 - 4 -1.27270E-01 8.07236E-02 - 5 -2.97712E-02 -3.07792E-02 - 6 -6.16994E-02 -1.12957E-01 -6 0 *********** SCCS-his-leu - 1 -4.03019E-01 -5.18938E-01 - 2 -2.07036E-01 -5.87930E-01 - 3 -1.58196E-01 -1.17402E-01 - 4 -1.49763E-01 1.54545E-01 - 5 -4.75673E-02 -8.21038E-02 - 6 -4.47958E-02 -1.30153E-01 -6 0 *********** SCCS-his-val - 1 -4.35109E-01 -6.79112E-01 - 2 4.42526E-02 -4.97888E-01 - 3 -3.90576E-01 -4.11183E-02 - 4 -2.32712E-02 1.34246E-02 - 5 -1.92111E-01 1.68854E-02 - 6 1.54815E-02 3.53664E-02 -6 0 *********** SCCS-his-trp - 1 -4.76160E-01 -7.26406E-01 - 2 -1.15604E-01 -1.94527E-01 - 3 -1.30985E-01 -1.21573E-01 - 4 -6.81380E-02 5.68696E-02 - 5 -6.52561E-02 -7.30112E-02 - 6 -6.49105E-02 -2.14156E-01 -6 0 *********** SCCS-his-tyr - 1 -4.19319E-01 -7.25369E-01 - 2 -1.81033E-01 -2.54103E-01 - 3 -1.61864E-01 -8.54866E-02 - 4 -1.79162E-02 4.53691E-02 - 5 -1.07122E-01 -9.38599E-02 - 6 -5.47608E-02 -1.89819E-01 -6 0 *********** SCCS-his-ala - 1 -2.94434E-01 -5.57306E-01 - 2 3.87727E-01 -3.20713E-01 - 3 -1.46438E-01 -2.59533E-01 - 4 -2.50631E-01 9.81309E-02 - 5 1.24331E-01 -7.80872E-02 - 6 -1.58315E-01 -2.48968E-01 -6 0 *********** SCCS-his-gly +4 0 *********** SCCS-asp-thr + 1 2.03327E-01 -7.52847E-01 + 2 2.11769E-01 3.13518E-01 + 3 4.13921E-02 -1.45323E-01 + 4 -7.74979E-02 -1.39205E-02 +4 0 *********** SCCS-asp-ser + 1 7.12075E-01 -9.85017E-01 + 2 -3.08707E-01 2.23254E-01 + 3 1.47396E-02 -5.12763E-02 + 4 1.54081E-02 -1.22346E-01 +4 0 *********** SCCS-asp-gln + 1 2.21200E-01 -7.68690E-01 + 2 7.23771E-02 2.11751E-01 + 3 -5.61602E-02 -5.74124E-02 + 4 -8.91043E-02 3.00415E-02 +4 0 *********** SCCS-asp-asn + 1 6.98852E-01 -7.09029E-01 + 2 -4.32059E-01 1.42390E-01 + 3 -3.93393E-03 -5.02545E-02 + 4 -5.04558E-02 -6.01278E-04 +4 0 *********** SCCS-asp-glu + 1 1.65649E-01 -8.47903E-01 + 2 1.61248E-01 2.23107E-01 + 3 -6.72565E-02 -6.83504E-02 + 4 -7.00816E-02 4.97329E-02 +4 0 *********** SCCS-asp-asp + 1 7.63495E-01 -7.65348E-01 + 2 -4.52690E-01 1.51719E-01 + 3 1.68828E-02 -3.35116E-02 + 4 -2.09857E-02 -2.80251E-02 +4 0 *********** SCCS-asp-his + 1 7.10676E-01 -6.82044E-01 + 2 -3.51444E-01 1.93903E-02 + 3 8.86603E-02 -1.24592E-01 + 4 3.95921E-04 -1.62392E-02 +4 0 *********** SCCS-asp-arg + 1 2.65954E-02 -6.09657E-01 + 2 3.01188E-01 6.08210E-02 + 3 -7.38203E-02 -6.21253E-02 + 4 -1.07636E-02 3.65483E-02 +4 0 *********** SCCS-asp-lys + 1 -3.73791E-02 -5.56543E-01 + 2 4.02407E-01 1.23485E-01 + 3 -2.51472E-02 -9.55247E-02 + 4 -2.21670E-02 3.51783E-02 +4 0 *********** SCCS-asp-pro + 1 9.73967E-01 -1.11933E+00 + 2 -3.14059E-01 2.47529E-01 + 3 9.04799E-02 -1.17972E-01 + 4 1.73933E-01 -1.08927E-01 +4 0 *********** SCCS-his-cys + 1 7.27451E-01 -6.17263E-01 + 2 2.24001E-01 1.86860E-01 + 3 -1.29393E-01 -4.74275E-02 + 4 -4.47830E-03 2.39322E-02 +4 0 *********** SCCS-his-met + 1 2.94987E-01 -5.94858E-01 + 2 3.46150E-01 -1.93141E-02 + 3 -5.02700E-02 -5.86869E-02 + 4 -1.14174E-02 -3.22966E-03 +4 0 *********** SCCS-his-phe + 1 2.56331E-01 -6.65620E-01 + 2 3.20692E-01 -2.39858E-01 + 3 -2.38650E-02 -6.01628E-02 + 4 -2.90476E-03 4.87464E-02 +4 0 *********** SCCS-his-ile + 1 5.14914E-01 -6.54449E-01 + 2 3.89567E-01 6.45248E-02 + 3 -1.23832E-02 -9.75621E-02 + 4 1.81857E-02 -2.40620E-02 +4 0 *********** SCCS-his-leu + 1 2.11255E-01 -6.01120E-01 + 2 4.80494E-01 -1.65429E-01 + 3 -3.59946E-02 -3.36199E-02 + 4 -2.83814E-02 -2.57269E-02 +4 0 *********** SCCS-his-val + 1 3.45382E-01 -6.25744E-01 + 2 5.10689E-01 6.28737E-02 + 3 5.24011E-02 -5.08175E-02 + 4 5.49148E-04 -7.00880E-02 +4 0 *********** SCCS-his-trp + 1 3.30128E-01 -6.99195E-01 + 2 1.86006E-01 -9.33053E-02 + 3 -8.47779E-02 2.85921E-03 + 4 5.21840E-03 2.78977E-02 +4 0 *********** SCCS-his-tyr + 1 2.05324E-01 -6.55546E-01 + 2 2.52059E-01 -2.50816E-01 + 3 -4.66802E-02 -7.38547E-02 + 4 -1.96874E-02 4.25820E-02 +4 0 *********** SCCS-his-ala + 1 3.78082E-01 -3.77172E-01 + 2 4.30375E-01 2.55483E-01 + 3 -3.69011E-02 -1.48321E-01 + 4 3.08071E-03 -8.23733E-02 +4 0 *********** SCCS-his-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-his-thr - 1 -3.18023E-01 -6.46140E-01 - 2 2.15357E-01 -5.46092E-01 - 3 -2.44200E-01 -1.09070E-01 - 4 -5.82679E-02 -1.04531E-01 - 5 -1.47183E-01 -4.02594E-02 - 6 -3.59212E-02 5.03705E-02 -6 0 *********** SCCS-his-ser - 1 -4.04880E-01 -1.38273E+00 - 2 7.34967E-01 1.34541E-01 - 3 -1.40744E-01 -1.93286E-01 - 4 1.24271E-01 9.80781E-03 - 5 -8.34007E-02 -4.13262E-02 - 6 1.77648E-02 -4.05378E-01 -6 0 *********** SCCS-his-gln - 1 -4.45795E-01 -7.91044E-01 - 2 1.25418E-01 -1.62270E-01 - 3 -8.61751E-02 -1.11377E-01 - 4 -1.47294E-02 4.27514E-02 - 5 -3.36547E-02 -6.12181E-02 - 6 -4.48218E-02 -2.20835E-01 -6 0 *********** SCCS-his-asn - 1 5.34751E-02 -1.01359E+00 - 2 2.47598E-01 3.35341E-01 - 3 -1.91970E-02 -1.53944E-01 - 4 -3.54560E-02 8.50663E-02 - 5 3.08194E-02 -8.67889E-02 - 6 -6.82872E-02 -4.83537E-01 -6 0 *********** SCCS-his-glu - 1 -5.35647E-01 -8.40455E-01 - 2 9.98811E-02 -2.62456E-01 - 3 -9.73287E-02 -1.25479E-01 - 4 -4.75192E-02 4.78952E-02 - 5 -3.81493E-02 -7.95862E-02 - 6 -6.21515E-02 -2.22484E-01 -6 0 *********** SCCS-his-asp - 1 2.43610E-01 -7.99649E-01 - 2 5.08447E-01 1.58675E-01 - 3 -3.06751E-02 -1.29208E-01 - 4 3.78434E-02 6.97393E-02 - 5 4.84425E-03 -4.82050E-02 - 6 -2.16436E-02 -3.35345E-01 -6 0 *********** SCCS-his-his - 1 -4.34064E-02 -1.15544E+00 - 2 1.16687E-01 2.18573E-01 - 3 -1.27751E-01 -8.29804E-02 - 4 -5.00485E-02 4.90286E-02 - 5 -7.58662E-02 -5.67584E-02 - 6 -5.92106E-02 -3.92278E-01 -6 0 *********** SCCS-his-arg - 1 -4.28318E-01 -5.31935E-01 - 2 -9.11069E-02 -3.28713E-01 - 3 -1.91363E-01 -8.27810E-02 - 4 -2.18027E-02 6.44928E-02 - 5 -1.06718E-01 -5.26145E-02 - 6 -2.92614E-02 -1.13546E-01 -6 0 *********** SCCS-his-lys - 1 -3.93069E-01 -5.09627E-01 - 2 -9.66449E-02 -3.96785E-01 - 3 -1.68484E-01 -1.19507E-01 - 4 -1.25212E-01 1.27382E-01 - 5 -2.32443E-02 -6.21496E-02 - 6 -5.51726E-02 -1.52834E-01 -6 0 *********** SCCS-his-pro - 1 -1.33039E+00 -2.46431E-01 - 2 -7.20840E-01 2.73699E+00 - 3 2.42245E-01 6.28979E-01 - 4 -7.07569E-01 -1.03412E-02 - 5 -4.95009E-01 4.39986E-01 - 6 -1.68755E-03 -2.71971E-01 -6 0 *********** SCCS-arg-cys - 1 -4.78527E-01 -8.25180E-01 - 2 4.22481E-01 5.42955E-02 - 3 -1.03641E-01 -5.55069E-02 - 4 -8.31879E-03 8.39725E-02 - 5 -1.12290E-02 -7.60975E-02 - 6 -5.47516E-02 -3.05759E-01 -6 0 *********** SCCS-arg-met - 1 -3.85901E-01 -5.07367E-01 - 2 1.03269E-01 -2.68768E-01 - 3 -1.28126E-01 -1.11675E-01 - 4 -9.11320E-02 3.63696E-02 - 5 -5.43876E-02 -6.16474E-02 - 6 -5.28023E-02 -1.28482E-01 -6 0 *********** SCCS-arg-phe - 1 -3.55897E-01 -5.40089E-01 - 2 -5.29262E-02 -3.23248E-01 - 3 -1.18871E-01 -1.77781E-01 - 4 -6.98542E-02 6.70838E-02 - 5 -1.05183E-01 -4.89220E-02 - 6 -6.07785E-02 -1.61799E-01 -6 0 *********** SCCS-arg-ile - 1 -4.15161E-01 -6.01122E-01 - 2 1.17784E-01 -2.47540E-01 - 3 -1.59388E-01 -1.48810E-01 - 4 -1.48882E-01 4.36632E-02 - 5 -2.06171E-02 -5.92051E-02 - 6 -8.93784E-02 -1.74431E-01 -6 0 *********** SCCS-arg-leu - 1 -3.58649E-01 -4.24699E-01 - 2 -3.08975E-02 -5.75090E-01 - 3 -1.68173E-01 -1.35823E-01 - 4 -6.88970E-02 5.58886E-02 - 5 -1.94943E-01 -7.01329E-02 - 6 1.03734E-02 -4.58757E-02 -6 0 *********** SCCS-arg-val - 1 -3.92529E-01 -5.21310E-01 - 2 1.25695E-01 -3.75399E-01 - 3 -1.95371E-01 -1.64184E-01 - 4 -1.60094E-01 2.12237E-02 - 5 -6.43223E-02 -3.82304E-02 - 6 -6.85504E-02 -9.32681E-02 -6 0 *********** SCCS-arg-trp - 1 -4.22532E-01 -5.58050E-01 - 2 1.56771E-02 -1.73637E-01 - 3 -1.08171E-01 -1.45769E-01 - 4 -7.01390E-02 2.76323E-02 - 5 -8.42156E-02 -6.02564E-02 - 6 -5.15502E-02 -1.75953E-01 -6 0 *********** SCCS-arg-tyr - 1 -3.62805E-01 -5.49303E-01 - 2 -7.33357E-02 -2.77756E-01 - 3 -1.16191E-01 -2.08924E-01 - 4 -1.01933E-01 7.72644E-02 - 5 -4.87609E-02 -7.22764E-02 - 6 -7.31738E-02 -2.16710E-01 -6 0 *********** SCCS-arg-ala - 1 -1.73746E-01 -4.69360E-01 - 2 3.98472E-01 -3.53253E-01 - 3 -2.30868E-01 -3.65130E-02 - 4 6.98958E-02 -5.24757E-02 - 5 -2.09480E-01 -1.26925E-02 - 6 3.13435E-02 3.98860E-02 -6 0 *********** SCCS-arg-gly +4 0 *********** SCCS-his-thr + 1 4.59510E-01 -6.14091E-01 + 2 3.88660E-01 1.39503E-01 + 3 -1.34841E-03 -6.82452E-02 + 4 9.93568E-03 -3.76383E-02 +4 0 *********** SCCS-his-ser + 1 9.85580E-01 -5.45681E-01 + 2 2.59144E-01 3.51969E-01 + 3 -1.14864E-01 1.59596E-02 + 4 2.50892E-02 2.75788E-02 +4 0 *********** SCCS-his-gln + 1 3.80313E-01 -6.76119E-01 + 2 2.07198E-01 7.93258E-02 + 3 -9.89995E-02 4.14622E-02 + 4 -3.20785E-02 3.47803E-02 +4 0 *********** SCCS-his-asn + 1 7.73626E-01 -5.06495E-01 + 2 5.64873E-02 3.00441E-01 + 3 -1.26639E-01 -2.65754E-02 + 4 -2.40404E-02 3.74091E-02 +4 0 *********** SCCS-his-glu + 1 4.12108E-01 -7.48491E-01 + 2 2.82602E-01 2.33478E-02 + 3 -8.39211E-02 3.42983E-02 + 4 -4.87447E-03 1.51172E-02 +4 0 *********** SCCS-his-asp + 1 8.79814E-01 -5.19494E-01 + 2 9.46259E-02 2.93607E-01 + 3 -6.31803E-02 -1.92788E-02 + 4 6.62348E-03 4.73476E-02 +4 0 *********** SCCS-his-his + 1 7.89513E-01 -4.79056E-01 + 2 -5.00126E-02 1.48417E-01 + 3 5.22344E-03 -1.41744E-01 + 4 2.22199E-02 3.77415E-02 +4 0 *********** SCCS-his-arg + 1 1.23988E-01 -6.17788E-01 + 2 2.74559E-01 -1.55957E-01 + 3 -5.46513E-02 -4.57139E-02 + 4 -1.21850E-02 5.37295E-03 +4 0 *********** SCCS-his-lys + 1 1.36874E-01 -5.49372E-01 + 2 3.98429E-01 -9.82276E-02 + 3 -2.89139E-02 -6.02261E-02 + 4 -1.21036E-02 -2.68769E-02 +4 0 *********** SCCS-his-pro + 1 1.11445E+00 -2.83047E-01 + 2 9.70944E-02 5.11328E-01 + 3 -1.58876E-01 -9.94195E-02 + 4 5.62044E-02 1.22755E-02 +4 0 *********** SCCS-arg-cys + 1 4.83043E-01 8.05269E-02 + 2 6.62858E-02 -8.76990E-02 + 3 6.52651E-03 -6.39895E-02 + 4 4.58964E-02 -2.81111E-02 +4 0 *********** SCCS-arg-met + 1 3.53757E-01 -7.83721E-02 + 2 -5.05327E-02 -3.53860E-02 + 3 -2.23256E-02 -8.98578E-03 + 4 -7.23374E-03 -1.07788E-02 +4 0 *********** SCCS-arg-phe + 1 3.49698E-01 -1.42041E-01 + 2 -5.78637E-02 1.33073E-02 + 3 -3.96744E-02 1.13751E-02 + 4 -2.68967E-02 -6.88529E-03 +4 0 *********** SCCS-arg-ile + 1 4.26213E-01 -4.48649E-02 + 2 -4.21672E-02 -6.42310E-02 + 3 -2.25076E-02 -2.02787E-02 + 4 5.51533E-03 -2.68091E-02 +4 0 *********** SCCS-arg-leu + 1 3.31689E-01 -1.79217E-01 + 2 -1.02750E-01 1.43590E-02 + 3 -4.89729E-02 -1.11282E-02 + 4 -5.22584E-02 -6.73114E-03 +4 0 *********** SCCS-arg-val + 1 4.01014E-01 -9.47134E-02 + 2 -7.88450E-02 -5.46381E-02 + 3 -3.42643E-02 -1.56198E-02 + 4 -8.53573E-03 -3.14686E-02 +4 0 *********** SCCS-arg-trp + 1 3.77877E-01 -8.81707E-02 + 2 -3.52631E-02 -4.05910E-02 + 3 -2.28127E-02 3.00764E-03 + 4 -6.61293E-03 -4.39263E-03 +4 0 *********** SCCS-arg-tyr + 1 3.39202E-01 -1.48287E-01 + 2 -5.09901E-02 1.29384E-02 + 3 -3.12958E-02 1.89707E-02 + 4 -2.79499E-02 4.51563E-03 +4 0 *********** SCCS-arg-ala + 1 3.27350E-01 -4.65648E-04 + 2 -9.34329E-02 -7.20440E-02 + 3 1.54437E-02 -5.41022E-02 + 4 1.50233E-02 -4.27157E-02 +4 0 *********** SCCS-arg-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-arg-thr - 1 -4.14100E-01 -4.92274E-01 - 2 3.13638E-01 -3.28985E-01 - 3 -2.39086E-01 -1.23907E-01 - 4 2.46989E-02 -7.08241E-02 - 5 -1.99334E-01 -4.48631E-02 - 6 -1.00821E-02 -2.77504E-02 -6 0 *********** SCCS-arg-ser - 1 -7.69408E-01 -9.77691E-01 - 2 7.93419E-01 1.49429E-01 - 3 -7.55144E-02 -5.96041E-02 - 4 2.22590E-01 2.55269E-02 - 5 -1.14764E-01 -6.36299E-03 - 6 8.87912E-02 -2.62962E-01 -6 0 *********** SCCS-arg-gln - 1 -4.46927E-01 -6.24486E-01 - 2 1.88163E-01 -8.95421E-02 - 3 -8.32368E-02 -1.23452E-01 - 4 -3.93165E-02 2.59693E-02 - 5 -3.58699E-02 -5.34086E-02 - 6 -5.02357E-02 -2.06729E-01 -6 0 *********** SCCS-arg-asn - 1 2.82695E-02 -8.03608E-01 - 2 1.76719E-01 4.29340E-01 - 3 -1.72577E-03 -5.88066E-02 - 4 -4.69838E-02 7.85628E-02 - 5 -1.63873E-02 -2.49263E-02 - 6 -3.61952E-02 -3.83672E-01 -6 0 *********** SCCS-arg-glu - 1 -5.46074E-01 -6.29612E-01 - 2 1.93568E-01 -1.81833E-01 - 3 -1.01698E-01 -1.37293E-01 - 4 -6.51850E-02 2.43652E-02 - 5 -4.34599E-02 -6.05207E-02 - 6 -6.04025E-02 -1.94963E-01 -6 0 *********** SCCS-arg-asp - 1 6.92154E-02 -6.63720E-01 - 2 4.37121E-01 4.25647E-01 - 3 -3.98101E-02 -9.77416E-02 - 4 -3.41844E-02 1.60158E-01 - 5 4.96039E-02 -3.71941E-02 - 6 -6.88111E-02 -4.39348E-01 -6 0 *********** SCCS-arg-his - 1 -5.83580E-02 -8.76410E-01 - 2 1.39428E-01 3.87431E-01 - 3 -6.17208E-02 -4.91109E-02 - 4 -4.49201E-02 7.18106E-02 - 5 -4.05458E-02 -4.64040E-02 - 6 -5.18419E-02 -3.91509E-01 -6 0 *********** SCCS-arg-arg - 1 -4.13589E-01 -4.17611E-01 - 2 2.12279E-02 -3.02658E-01 - 3 -1.93544E-01 -9.53490E-02 - 4 -1.25278E-02 3.24797E-02 - 5 -1.42395E-01 -3.01640E-02 - 6 -1.03181E-02 -6.73874E-02 -6 0 *********** SCCS-arg-lys - 1 -3.51275E-01 -4.07501E-01 - 2 -1.38057E-03 -3.95271E-01 - 3 -1.46120E-01 -1.23021E-01 - 4 -9.47377E-02 6.15999E-02 - 5 -8.53676E-02 -5.32753E-02 - 6 -3.99144E-02 -8.39244E-02 -6 0 *********** SCCS-arg-pro - 1 -5.25473E-01 1.05106E-01 - 2 -8.61041E-01 2.07328E+00 - 3 2.54418E-01 6.31488E-01 - 4 -3.59968E-01 -2.50930E-02 - 5 -6.11025E-01 2.56967E-01 - 6 -1.82642E-01 -1.32984E-01 -6 0 *********** SCCS-lys-cys - 1 -4.83730E-01 -4.72326E-01 - 2 4.82467E-01 1.00430E-01 - 3 -7.93765E-02 -3.14817E-02 - 4 8.68180E-02 2.25662E-02 - 5 -6.03377E-02 -8.08710E-03 - 6 2.01900E-02 -1.58301E-01 -6 0 *********** SCCS-lys-met - 1 -3.21718E-01 -2.81874E-01 - 2 1.71956E-01 -2.77428E-01 - 3 -1.12977E-01 -1.20451E-01 - 4 -6.59346E-02 1.91033E-02 - 5 -7.83753E-02 -5.22561E-02 - 6 -4.49423E-02 -7.66441E-02 -6 0 *********** SCCS-lys-phe - 1 -2.83261E-01 -2.82225E-01 - 2 -4.67123E-02 -3.05368E-01 - 3 -1.24081E-01 -2.25716E-01 - 4 -1.34173E-01 9.75968E-02 - 5 -2.80280E-02 -6.88668E-02 - 6 -7.80157E-02 -1.83762E-01 -6 0 *********** SCCS-lys-ile - 1 -2.84233E-01 -3.38287E-01 - 2 2.20427E-01 -2.64004E-01 - 3 -1.58959E-01 -1.44948E-01 - 4 -8.97810E-02 -2.09634E-02 - 5 -1.09463E-01 -5.26377E-02 - 6 -5.57165E-02 -7.68775E-02 -6 0 *********** SCCS-lys-leu - 1 -2.73724E-01 -2.52138E-01 - 2 6.12377E-02 -5.58331E-01 - 3 -1.98930E-01 -1.72644E-01 - 4 -5.48866E-02 4.79222E-02 - 5 -1.99182E-01 -4.91883E-02 - 6 1.69523E-02 -1.37420E-02 -6 0 *********** SCCS-lys-val - 1 -2.98627E-01 -2.75707E-01 - 2 1.14463E-01 -3.54748E-01 - 3 -1.16298E-01 -1.77887E-01 - 4 -1.24187E-01 -3.75858E-03 - 5 -6.11690E-02 -5.86659E-02 - 6 -8.37663E-02 -6.61661E-02 -6 0 *********** SCCS-lys-trp - 1 -2.80578E-01 -3.21996E-01 - 2 1.02842E-01 -2.42915E-01 - 3 -1.59496E-01 -1.27884E-01 - 4 -4.35302E-02 3.72282E-02 - 5 -1.03763E-01 -3.99550E-02 - 6 -2.59685E-02 -9.79900E-02 -6 0 *********** SCCS-lys-tyr - 1 -2.92728E-01 -2.88922E-01 - 2 -6.36405E-02 -2.53431E-01 - 3 -1.34377E-01 -2.37863E-01 - 4 -1.57029E-01 1.12814E-01 - 5 1.64142E-03 -8.43164E-02 - 6 -9.87544E-02 -2.32009E-01 -6 0 *********** SCCS-lys-ala - 1 -2.69633E-01 -2.61400E-01 - 2 5.09753E-01 -2.71125E-01 - 3 -1.92565E-01 -3.68604E-02 - 4 3.87022E-02 -7.55745E-02 - 5 -2.01687E-01 -1.62476E-02 - 6 -2.79292E-03 6.32375E-02 -6 0 *********** SCCS-lys-gly +4 0 *********** SCCS-arg-thr + 1 4.16188E-01 -3.96263E-02 + 2 -3.05151E-02 -8.98761E-02 + 3 -2.15470E-02 -1.76025E-02 + 4 1.75198E-03 -2.62010E-02 +4 0 *********** SCCS-arg-ser + 1 5.40146E-01 1.60164E-01 + 2 8.56227E-02 -9.98365E-02 + 3 7.71265E-02 -9.15047E-02 + 4 8.31182E-02 -6.66454E-03 +4 0 *********** SCCS-arg-gln + 1 4.17829E-01 -5.23332E-02 + 2 -2.02148E-02 -7.96567E-02 + 3 -1.86421E-02 -2.05454E-02 + 4 -1.04838E-02 -2.17097E-02 +4 0 *********** SCCS-arg-asn + 1 4.80883E-01 2.07288E-01 + 2 7.02761E-02 -8.66131E-02 + 3 9.76470E-02 1.62622E-02 + 4 1.65610E-02 4.60411E-02 +4 0 *********** SCCS-arg-glu + 1 4.40522E-01 -8.51300E-02 + 2 -2.89210E-02 -8.43066E-02 + 3 -3.20608E-02 -2.20732E-02 + 4 -1.69003E-02 -2.84614E-02 +4 0 *********** SCCS-arg-asp + 1 5.04984E-01 2.16997E-01 + 2 7.50359E-02 -7.60829E-02 + 3 8.80974E-02 1.85290E-02 + 4 2.88681E-02 5.98583E-02 +4 0 *********** SCCS-arg-his + 1 4.58552E-01 2.17691E-01 + 2 7.71597E-02 -6.47595E-02 + 3 7.36474E-02 3.91082E-02 + 4 2.24069E-02 6.55631E-02 +4 0 *********** SCCS-arg-arg + 1 3.17884E-01 -1.55971E-01 + 2 -6.93383E-02 -8.30403E-03 + 3 -2.72502E-02 7.38191E-03 + 4 -1.98515E-02 -8.58105E-03 +4 0 *********** SCCS-arg-lys + 1 2.94370E-01 -1.55508E-01 + 2 -8.09118E-02 4.41482E-03 + 3 -2.38380E-02 -4.36194E-04 + 4 -2.67591E-02 -3.07623E-03 +4 0 *********** SCCS-arg-pro + 1 4.93755E-01 1.94671E-01 + 2 1.71186E-01 -8.91925E-02 + 3 6.69637E-02 -5.68402E-02 + 4 9.32563E-02 2.49224E-02 +4 0 *********** SCCS-lys-cys + 1 5.93637E-01 1.04087E-01 + 2 8.60939E-03 -2.48690E-01 + 3 1.00929E-01 -3.66544E-02 + 4 8.48823E-03 1.28443E-02 +4 0 *********** SCCS-lys-met + 1 4.19430E-01 -9.45382E-02 + 2 -1.73812E-01 -5.18851E-02 + 3 -1.23521E-02 -1.82211E-02 + 4 1.71650E-02 7.00269E-03 +4 0 *********** SCCS-lys-phe + 1 4.05854E-01 -1.52236E-01 + 2 -1.83981E-01 5.43363E-02 + 3 -4.01237E-02 -2.99043E-02 + 4 1.69326E-02 -1.75676E-02 +4 0 *********** SCCS-lys-ile + 1 5.11302E-01 -6.84253E-02 + 2 -1.73310E-01 -1.33269E-01 + 3 -7.38912E-03 -3.75648E-02 + 4 1.06053E-02 1.21083E-02 +4 0 *********** SCCS-lys-leu + 1 3.68681E-01 -2.04273E-01 + 2 -2.82440E-01 6.06387E-02 + 3 -3.11424E-02 -2.11212E-02 + 4 2.68833E-02 -6.60880E-03 +4 0 *********** SCCS-lys-val + 1 4.66384E-01 -1.32438E-01 + 2 -2.51764E-01 -1.04951E-01 + 3 -2.44270E-02 -4.50107E-02 + 4 1.81820E-02 1.12568E-02 +4 0 *********** SCCS-lys-trp + 1 4.62284E-01 -1.11394E-01 + 2 -1.27965E-01 -4.37476E-02 + 3 -7.59629E-03 -2.61331E-02 + 4 1.23499E-02 -8.34634E-03 +4 0 *********** SCCS-lys-tyr + 1 3.95190E-01 -1.53017E-01 + 2 -1.61562E-01 6.64359E-02 + 3 -4.03208E-02 -1.81493E-02 + 4 1.39083E-02 -2.17163E-02 +4 0 *********** SCCS-lys-ala + 1 3.43197E-01 -5.33229E-03 + 2 -2.45017E-01 -1.66817E-01 + 3 1.80786E-02 1.62145E-02 + 4 4.71477E-03 2.23686E-02 +4 0 *********** SCCS-lys-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-lys-thr - 1 -2.90839E-01 -3.01780E-01 - 2 3.45888E-01 -2.72815E-01 - 3 -1.48629E-01 -1.99909E-01 - 4 -5.40208E-02 -5.49530E-02 - 5 -5.82159E-02 -9.93540E-02 - 6 -7.43989E-02 -1.05143E-01 -6 0 *********** SCCS-lys-ser - 1 -8.25776E-01 -6.31593E-01 - 2 7.59627E-01 3.28957E-01 - 3 1.34157E-02 -2.09988E-01 - 4 4.36743E-02 1.02087E-01 - 5 8.17905E-02 -5.29487E-02 - 6 -2.39574E-02 -4.40762E-01 -6 0 *********** SCCS-lys-gln - 1 -3.97538E-01 -3.51018E-01 - 2 3.38904E-01 -1.03188E-01 - 3 -9.98548E-02 -1.56287E-01 - 4 -4.66975E-02 2.61153E-02 - 5 -5.22992E-02 -1.01705E-02 - 6 -2.19686E-02 -1.31711E-01 -6 0 *********** SCCS-lys-asn - 1 -2.92011E-01 -5.25603E-01 - 2 3.72446E-01 5.03649E-01 - 3 -1.88429E-01 -1.53145E-04 - 4 1.36891E-01 4.65355E-02 - 5 -1.33742E-01 -2.27972E-02 - 6 5.46336E-02 -3.02007E-01 -6 0 *********** SCCS-lys-glu - 1 -4.29054E-01 -3.40848E-01 - 2 3.48874E-01 -2.09007E-01 - 3 -1.05801E-01 -1.80737E-01 - 4 -8.45434E-02 -1.27748E-02 - 5 -7.32634E-02 -2.29241E-02 - 6 -3.55994E-02 -9.07953E-02 -6 0 *********** SCCS-lys-asp - 1 -2.27344E-01 -4.64711E-01 - 2 5.13038E-01 4.77924E-01 - 3 -4.04331E-02 -3.41223E-02 - 4 2.02068E-02 1.48085E-01 - 5 -1.19024E-02 1.14992E-03 - 6 -3.16690E-02 -3.54021E-01 -6 0 *********** SCCS-lys-his - 1 -1.49143E-01 -5.24051E-01 - 2 1.98111E-01 4.53266E-01 - 3 -6.12044E-03 -1.14818E-02 - 4 1.01466E-03 5.76531E-02 - 5 -6.28890E-02 -3.65390E-02 - 6 -7.92853E-04 -3.18004E-01 -6 0 *********** SCCS-lys-arg - 1 -3.03629E-01 -2.39692E-01 - 2 3.28980E-02 -2.90445E-01 - 3 -1.55706E-01 -1.52935E-01 - 4 -7.87916E-02 5.97098E-02 - 5 -7.05710E-02 -4.58845E-02 - 6 -4.80665E-02 -1.00015E-01 -6 0 *********** SCCS-lys-lys - 1 -2.76500E-01 -2.42205E-01 - 2 7.30995E-02 -3.94309E-01 - 3 -1.70862E-01 -1.29827E-01 - 4 -7.24734E-02 4.28095E-02 - 5 -1.40274E-01 -4.73730E-02 - 6 -8.73447E-03 -4.24827E-02 -6 0 *********** SCCS-lys-pro - 1 -9.29153E-01 3.28531E-01 - 2 1.20842E-01 1.74666E+00 - 3 -8.94476E-01 8.50019E-01 - 4 1.67985E-01 -4.61776E-01 - 5 -9.36941E-01 5.76112E-01 - 6 7.33976E-02 6.86464E-01 -6 0 *********** SCCS-pro-cys - 1 -2.08039E-01 1.21242E+00 - 2 3.06994E-01 3.15833E-01 - 3 -9.60282E-02 -1.92386E-01 - 4 9.37223E-02 -3.03487E-02 - 5 4.63536E-02 -8.69181E-03 - 6 -2.21354E-02 1.06480E-02 -6 0 *********** SCCS-pro-met - 1 1.04833E-01 8.25195E-01 - 2 -9.99227E-03 -4.62378E-04 - 3 -2.05661E-01 -2.57740E-01 - 4 -5.12431E-02 -4.52014E-02 - 5 -6.30400E-02 -7.27189E-02 - 6 -4.02912E-02 -2.62175E-02 -6 0 *********** SCCS-pro-phe - 1 2.70293E-01 8.60724E-01 - 2 -1.37245E-01 5.36761E-02 - 3 -3.07777E-01 -1.89721E-01 - 4 -2.65210E-01 9.64549E-02 - 5 4.43643E-03 -1.08713E-01 - 6 -7.34776E-02 -1.31703E-01 -6 0 *********** SCCS-pro-ile - 1 1.51217E-01 1.23188E+00 - 2 -3.47201E-01 5.30481E-02 - 3 -5.51084E-02 -5.07213E-01 - 4 1.80912E-01 -1.90149E-02 - 5 -3.42601E-02 6.89399E-02 - 6 -9.44818E-02 3.88992E-04 -6 0 *********** SCCS-pro-leu - 1 3.12566E-01 8.81921E-01 - 2 -2.27749E-01 -1.52529E-01 - 3 -2.91043E-01 -5.41004E-01 - 4 -2.36405E-01 -3.33577E-02 - 5 -1.72140E-03 -9.79728E-02 - 6 -1.68694E-01 -1.34085E-01 -6 0 *********** SCCS-pro-val - 1 1.37825E-01 1.13336E+00 - 2 -3.47931E-01 -1.59843E-01 - 3 -1.04634E-01 -5.16725E-01 - 4 2.59215E-01 9.79920E-03 - 5 -7.77016E-02 1.17339E-01 - 6 -8.13110E-02 6.41544E-02 -6 0 *********** SCCS-pro-trp - 1 2.32812E-01 8.59238E-01 - 2 2.21764E-02 1.44317E-01 - 3 -2.76160E-01 -3.77822E-02 - 4 -1.32777E-01 4.76202E-02 - 5 -1.36190E-01 -4.92000E-02 - 6 -3.39862E-02 -5.74115E-04 -6 0 *********** SCCS-pro-tyr - 1 3.42959E-01 8.37795E-01 - 2 -2.14038E-01 3.38815E-02 - 3 -3.01139E-01 -9.50215E-02 - 4 -1.91347E-01 4.68228E-02 - 5 -7.92888E-02 -8.98107E-02 - 6 -3.26324E-02 -2.88043E-02 -6 0 *********** SCCS-pro-ala - 1 -5.17804E-01 8.80172E-01 - 2 -5.90859E-02 9.58266E-02 - 3 9.58777E-02 -6.83075E-01 - 4 -3.74253E-02 1.34935E-01 - 5 1.31650E-01 -5.64951E-02 - 6 -1.94414E-01 -3.63011E-01 -6 0 *********** SCCS-pro-gly +4 0 *********** SCCS-lys-thr + 1 5.08714E-01 -7.02501E-02 + 2 -1.65888E-01 -1.67768E-01 + 3 -8.46236E-03 -4.49925E-02 + 4 5.11848E-03 1.53504E-02 +4 0 *********** SCCS-lys-ser + 1 6.66779E-01 1.85446E-01 + 2 1.05165E-01 -3.11994E-01 + 3 1.85471E-01 -3.31472E-02 + 4 2.66693E-02 8.10383E-03 +4 0 *********** SCCS-lys-gln + 1 5.14197E-01 -7.03192E-02 + 2 -1.20402E-01 -1.49961E-01 + 3 1.55007E-02 -6.07030E-02 + 4 1.40581E-02 8.34558E-04 +4 0 *********** SCCS-lys-asn + 1 5.96174E-01 2.14942E-01 + 2 1.61615E-01 -2.48920E-01 + 3 9.87628E-02 5.68328E-02 + 4 4.13750E-02 -1.46832E-02 +4 0 *********** SCCS-lys-glu + 1 5.42539E-01 -1.13554E-01 + 2 -1.62501E-01 -1.45900E-01 + 3 -2.64756E-03 -7.16020E-02 + 4 1.43699E-02 -1.54648E-03 +4 0 *********** SCCS-lys-asp + 1 6.29323E-01 2.29069E-01 + 2 1.65640E-01 -2.47336E-01 + 3 1.15160E-01 4.80810E-02 + 4 3.50094E-02 -6.00468E-03 +4 0 *********** SCCS-lys-his + 1 5.64122E-01 2.42482E-01 + 2 1.81004E-01 -1.78154E-01 + 3 5.88946E-02 7.53375E-02 + 4 2.06019E-02 3.69907E-04 +4 0 *********** SCCS-lys-arg + 1 3.75256E-01 -1.84567E-01 + 2 -1.80690E-01 3.21784E-02 + 3 -2.70698E-02 -2.71976E-03 + 4 1.32207E-02 -1.26064E-02 +4 0 *********** SCCS-lys-lys + 1 3.42418E-01 -1.84838E-01 + 2 -2.23634E-01 3.89768E-02 + 3 -3.39660E-02 4.62144E-03 + 4 1.60552E-02 -8.28990E-04 +4 0 *********** SCCS-lys-pro + 1 7.37156E-01 2.16273E-01 + 2 2.48115E-01 -3.27222E-01 + 3 2.35046E-01 1.41540E-02 + 4 6.93851E-02 7.56463E-03 +4 0 *********** SCCS-pro-cys + 1 1.13780E-02 -1.23190E+00 + 2 4.30319E-01 -1.21847E-02 + 3 -1.90232E-01 -7.70950E-02 + 4 4.58235E-02 1.06327E-01 +4 0 *********** SCCS-pro-met + 1 -1.84507E-01 -7.35658E-01 + 2 2.87352E-01 -2.79193E-01 + 3 -1.81757E-01 8.84524E-03 + 4 3.74601E-02 3.79035E-03 +4 0 *********** SCCS-pro-phe + 1 -1.14972E-01 -8.53847E-01 + 2 5.74763E-02 -4.44151E-01 + 3 -9.15213E-02 1.21792E-01 + 4 2.42959E-02 2.01774E-03 +4 0 *********** SCCS-pro-ile + 1 -1.03687E-01 -9.86016E-01 + 2 3.98442E-01 -3.27663E-01 + 3 -2.79029E-01 -7.41475E-02 + 4 4.12773E-02 2.16419E-02 +4 0 *********** SCCS-pro-leu + 1 -2.05953E-01 -6.91923E-01 + 2 2.85752E-01 -6.85504E-01 + 3 -1.60724E-01 1.19944E-01 + 4 -6.45520E-02 -6.42387E-02 +4 0 *********** SCCS-pro-val + 1 -1.85155E-01 -8.48909E-01 + 2 5.64419E-01 -3.99767E-01 + 3 -3.07340E-01 -7.26555E-02 + 4 6.37718E-02 -5.04584E-02 +4 0 *********** SCCS-pro-trp + 1 -1.72140E-01 -9.14169E-01 + 2 1.95891E-02 -2.67473E-01 + 3 -1.08564E-01 1.07980E-01 + 4 7.27866E-02 1.27050E-02 +4 0 *********** SCCS-pro-tyr + 1 -1.28581E-01 -8.31327E-01 + 2 4.68794E-02 -4.34261E-01 + 3 -7.98146E-02 1.17122E-01 + 4 2.02865E-02 1.46850E-02 +4 0 *********** SCCS-pro-ala + 1 2.45019E-01 -6.34920E-01 + 2 6.31995E-01 -2.51828E-01 + 3 -2.36710E-01 -9.32386E-02 + 4 6.83390E-02 -2.85267E-02 +4 0 *********** SCCS-pro-gly 1 0.00000E+00 0.00000E+00 2 0.00000E+00 0.00000E+00 3 0.00000E+00 0.00000E+00 4 0.00000E+00 0.00000E+00 - 5 0.00000E+00 0.00000E+00 - 6 0.00000E+00 0.00000E+00 -6 0 *********** SCCS-pro-thr - 1 -2.90835E-01 1.03511E+00 - 2 9.07091E-02 -2.83168E-01 - 3 -2.87597E-02 -2.42029E-01 - 4 2.48563E-01 4.41896E-02 - 5 -1.77640E-01 -4.81394E-02 - 6 3.81724E-02 7.39471E-02 -6 0 *********** SCCS-pro-ser - 1 -3.15844E-01 1.68188E+00 - 2 8.27872E-01 3.80557E-01 - 3 -7.21911E-02 -1.19022E-01 - 4 2.94318E-01 9.27714E-02 - 5 3.84365E-02 -2.09315E-02 - 6 7.72261E-02 9.45871E-03 -6 0 *********** SCCS-pro-gln - 1 -2.75595E-02 8.83986E-01 - 2 2.36514E-01 1.47014E-01 - 3 -2.71493E-01 -3.81209E-02 - 4 -4.63320E-02 -3.35956E-02 - 5 -5.64537E-02 -5.20119E-02 - 6 -1.31085E-02 5.80492E-02 -6 0 *********** SCCS-pro-asn - 1 -7.64678E-01 8.48582E-01 - 2 1.44172E-01 5.12018E-01 - 3 -1.79457E-01 1.75001E-01 - 4 -1.42279E-01 1.95035E-01 - 5 -1.67567E-02 -1.32361E-02 - 6 -1.22373E-01 -8.76012E-02 -6 0 *********** SCCS-pro-glu - 1 1.47617E-01 9.96891E-01 - 2 1.90086E-01 7.19053E-02 - 3 -2.38637E-01 -1.17586E-01 - 4 -5.55263E-02 -5.42835E-02 - 5 -8.04241E-02 -8.03232E-02 - 6 -1.07862E-02 4.99726E-02 -6 0 *********** SCCS-pro-asp - 1 -1.29479E+00 6.36999E-01 - 2 3.87774E-01 3.58834E-01 - 3 -5.17997E-03 -1.49297E-03 - 4 3.93598E-02 1.48663E-01 - 5 2.01961E-02 -7.51423E-02 - 6 -3.79656E-03 -1.84407E-01 -6 0 *********** SCCS-pro-his - 1 -3.34426E-01 1.19670E+00 - 2 -1.56739E-01 6.71080E-01 - 3 -2.46508E-01 2.22064E-01 - 4 -7.34581E-02 4.85451E-02 - 5 -9.69955E-02 -6.11139E-02 - 6 -2.03358E-02 -1.00268E-03 -6 0 *********** SCCS-pro-arg - 1 1.96339E-01 6.67428E-01 - 2 -5.06552E-02 -3.32739E-02 - 3 -2.64246E-01 -1.90599E-01 - 4 -3.57824E-02 -3.40898E-02 - 5 -8.82477E-02 -6.04958E-02 - 6 -1.05153E-02 -5.70527E-03 -6 0 *********** SCCS-pro-lys - 1 2.17931E-01 7.40442E-01 - 2 -1.60154E-01 -9.55101E-02 - 3 -2.22489E-01 -3.79800E-01 - 4 -1.18440E-01 -4.33765E-02 - 5 -4.07279E-02 -5.14164E-02 - 6 -9.95895E-02 -5.20337E-02 -6 0 *********** SCCS-pro-pro - 1 5.03922E+01 -1.54518E+01 - 2 -2.23454E+01 1.61095E+01 - 3 -1.41391E+00 -1.79688E+00 - 4 8.36846E+00 -1.29971E+01 - 5 -2.80579E+00 1.36547E+01 - 6 -7.40840E-01 1.14019E+01 +4 0 *********** SCCS-pro-thr + 1 -1.19482E-01 -9.21813E-01 + 2 3.95093E-01 -2.48604E-01 + 3 -2.12446E-01 -1.08968E-01 + 4 3.51352E-02 4.08504E-02 +4 0 *********** SCCS-pro-ser + 1 9.66746E-02 -1.87464E+00 + 2 3.34680E-01 3.71959E-01 + 3 -1.74631E-02 -1.24877E-01 + 4 -7.73451E-02 9.44713E-02 +4 0 *********** SCCS-pro-gln + 1 -1.92023E-01 -9.29464E-01 + 2 2.35227E-01 -6.67535E-02 + 3 -5.85362E-02 4.72724E-03 + 4 9.18944E-02 4.08748E-02 +4 0 *********** SCCS-pro-asn + 1 3.87595E-01 -1.23464E+00 + 2 2.12310E-02 4.88199E-01 + 3 7.85162E-02 -6.75623E-02 + 4 -3.44742E-03 4.76528E-02 +4 0 *********** SCCS-pro-glu + 1 -2.83068E-01 -1.01776E+00 + 2 2.88416E-01 -2.00060E-01 + 3 -1.28540E-01 4.60875E-03 + 4 1.04337E-01 1.33568E-02 +4 0 *********** SCCS-pro-asp + 1 5.38418E-01 -1.43528E+00 + 2 1.54838E-02 4.69489E-01 + 3 1.64083E-01 -7.46719E-02 + 4 -4.17517E-03 1.51138E-02 +4 0 *********** SCCS-pro-his + 1 4.45082E-01 -1.23950E+00 + 2 -1.94661E-01 2.57807E-01 + 3 -1.00110E-01 -6.75693E-02 + 4 -7.17474E-02 5.71482E-02 +4 0 *********** SCCS-pro-arg + 1 -2.70182E-01 -6.77603E-01 + 2 1.11620E-01 -3.38473E-01 + 3 -1.12996E-01 9.27559E-02 + 4 1.13361E-02 -5.10264E-03 +4 0 *********** SCCS-pro-lys + 1 -3.12404E-01 -5.56698E-01 + 2 2.96887E-01 -4.31599E-01 + 3 -1.84841E-01 2.85067E-02 + 4 1.47292E-02 -1.92123E-02 +4 0 *********** SCCS-pro-pro + 1 4.75981E-01 -3.04401E+00 + 2 1.03330E-01 2.29809E-01 + 3 -2.61299E-01 -3.79309E-01 + 4 -2.52541E-01 6.54833E-01 diff --git a/bin/unres/MD/unres_Tc_procor_oldparm_em64-D-symetr.exe b/bin/unres/MD/unres_Tc_procor_oldparm_em64-D-symetr.exe index dce675cc1a4fd4175a0faa383d4e636d85329ddf..2ca41a30a71b9e5b0556004396b2c4ee6b278509 100755 GIT binary patch delta 1498868 zcmcG%eOy%4_CJ0Y7(-y3K|v5fM;&!U(eVKzL1T2J!BEN6@S&nGBeNv078yDyP>chH zZWS~uG%GSIQY$b;d?t%TOG9rKmDVw}$VAapzVEfqnPKbger|vKe!O0}&-=aCUVH7e z*M2(tKu4}$_4@AeRj;BxUd_uR-*V)x86?qb`N_EXA|@ZnhZT#t4|0^DVfcS z7}SDEYRQJN>>8xv^=zb}zb3zg%{463l#XPYz=vVaqk##U>=A5JV8Wo}c8}kp;5Ai& zafG5cSyem*X?rO^YA9vh+xOR$m9Pm&vWK%J?GrSOtJtCT{RfqeQ_2LXWqwt4?NE7l z>(W$RhV?A6!&{o_UF>LwXEhn6Y)sGq@>Wp)u=?BDHBT*4tz&zF5;W!#<`?|zm`0sq zyhW{W1ZoJBLZrswD>>t?a2)5`N!g=rZPW~vFCDk_Q1DDIO~VK_D5Of$kiag6zbZkSXXEmiCu&}WHV~mN4s;O$#H&s;@)o^#!`nF_JC#kf0zaNSE zsPa8I-%d&|VJpJ=YckfeJxFTM#bN!!s_#{lm#V@Kap4e29?ph!P6%74)*a@~MoN8S zSkBgVPS8jr*^iz3Yot-EeR#s4`1LcnnA=B_RN->9qDA`QEYqH1n+pCrf_O1{t3XOHER$e^IGL z8mTI>AWDq=2c_N?9&zXa3J;$VO8xG>DD+nPsA0+0?%kf&IkI0-CXhBzr&Co^k}vRj zfiDvHK!Gn2_)vi_75HufU#9R5J}dPQGRlPly#&5O;QI)CwZQii_!@za68JiSkChy) zIrT!u-L16LAn>CFUKaQSfo~M}dj-B(;O}$uy#95qU6A6=K-(*)ii@Y4l8RNx;L zc%#5SBJgJLyngEYnL>s|D4Y?E;@A@X4*bLs}waq_k#8IRc+5 z@VNq?F7S#EmCOu*XM%jDz`w$I-hN5S7BXHF3gioXslXQr{1$;P5%_HaUn=k)34EDD z$oN>uC>Quo1inJxKNa|Df!`_cH3DBH@O3KhpuXQNWYh}<_6vN2z#kNNS>Qht_(p;M zLg1SPzFrc?->a>o;9H@9ufTsN@CJcz5coQE!ll_*gcdyOCXqG>{0)ImRV%myoVtzUx#PX=HLp69pd%~W3mJ7nfer#+FYrME-yrb80xt`E zh`=|xd1`+rA*0!y0nWYU;n}aX8X7Lh`wD!7z#9aXD)8gnJPiV)kTKq!fz&MU$pUW?_z40ZBk=bNe7wL< z6nHy$J_socCkq+LLV*VaK1JZ^pz8if6?peSjq=h3{vo$8(u`K#A*Bi#nXMVpqXM5T z@M!{{FYu2Ee38IEA@C&vKa2C~BvdM7JSh|?6ZqKzUoP$b#qfX%G3Vgl5|4ZN-1b)82%L4zLz&EPAgZh4fkkKp@ctPN$($-P1P~d$9ev!Z% z1YUJA&kYs$Y+(_Qj6z19pvWxnFABUx;9nB>7=h0h_;`VLdU%*-7c!Q5G9)Qk;9UZr zBJc$QpDOT$0-rAM%LG1ycybMO!OKEMrcj_r;IjpOxxnWOywXWZW|6>SzvuZW5qQTc z4=qWhLdI%=FBAA;fiD;M5`nJ}_%#AwE%0jRTS-x7F(z`rf

CeqUnXQ2-5KEC5qPt} zZxVQmz`rZ-F#^9?;Nu1UeejC^@n9D+J`f5d3w*i2rwIIq0-q}ITLnH{;44~rhm;{? zY;VnwG6jBzz-J5mE`iS%_-cVK68OCWU&48`pN8K)A){0%a6sV81inV#%LQIMhE@oC ztsq}5@LziT4}lsXTTgytJWp z6nrD_z5;(j;0*$QQs6^3tWerdUGTk-VH67dDDY;1|4HC20)JZIV+8(Zfsgm_s9zQ` z?4ArsIxFzW0)I~6Qw09Jz^4lQ1%Xc&_}__F+K(-fkkKd<$Q1aC0-r7Lmjpgv;F|=# zNZ>CEyrV?OxFTef3j8&JFBABi0$(ohEdpO5@Zu{1d(L@Z+25bdvH3cB&N55(oPEA~ zTcQu8Opsc7eu01WUE}dYIGxm;ZD_$y&pm|cO~ctbj<*xm5U%EU3t?}<_fPe z;|+whgo`*{3+za5Lqs+wR*(S2h2vid(~E<%Mvi|Z96{K?@ks{}U5Jo4ag=aZ!i_h`1BVD32{&-Ohj2H- zbsTRe+?{YW$6E;ZAY9JzCc-Adr5tY{+>>w-$Bwl`^dcgg6DtV!CY-_XQo?4!sT?mM z+=p;7#|sEY5{~D1F5$j}Ega7x+>fx4<3|Zc5jGHZ^qfjWe~*MzLRhU z$9{zA^}yLwjx~huBAm?ewaLK42*-1Lk#HzjU4|-csOChb=2R|^CS@? zh>*CzQNniR|huH$$+;YSHq zbG(Ic8sTz|HxZsexRm1!gdd|d_-qj;))Fz31hP3^LHKdP85}PqOsmn^RF0Preu8i^ z#|sG0A{@{0T*6Nhws1U)@NB|Hjvpob6zu`d8aOePh^I+F;&=k#IfNUVc?=NFAl$(5 zNW#w$uH$$Z;kksXIUYp#Uxdp!jwC#fa4E;#3C~CU@Bk;mhH433u)&L^D8@e;yL!pR&jAiR`tJjZhhy9ir2o<+ETu#w|OC(`;~NQ8kCQ%PVM zVTt1jgkL7yc$vol;UdBf9FHWtoNyh-!w9b+T+Q(y!i;b^$B~3r5-#Pq`$Ss*R}oRf zi7*maO*ot5_JoTGXK?ICxP)*j#~Q+G2q$xV?SA03gyT8BNca`P7LI==RZH)uOZJ1i4Lms*pByjA2hsVLii&8PY%keOVEBUU|b^L%%etzmtW z<3jVQdQ0}gg(XSO-{cc7M@VuIMC8NS%#j>v8-+~$`{Aa=0jBtD36DXhT_qo+OM|QB zvNc^O892MWlrwx*{YafO{{dHYo1MS=+YjcNvVEu`NvgzmKNpfCXBfAoOG$;fCW{uz zL&LjK(Qf&nlw31${O9-#YQm3R&Im7PYr%odvBn*YLx~M(RfA zwJhp@ite1~N7N2bXY&zK=#$(vV|3P>8}0Nj-ROW8IWLTH`CKrfnJo=D!(W7;G_UPt z?fx}kaPs_QS9B-Uyau$Nek#+qu#@*k-W{i!m+TGm`YPsa8!aJlBHT)*qbjbWyi#dm z1J?i@8C%$>iILrtRUN6MV^3%|bnbKPs2Ra_7Ph%`@9mhWnF-O z;^u{rf2?1_KRQ&IGrSKTxd+lqRO5HFuTZQnbz7ggh21$R(&6?%JX!x`2=AZ=Y&@h= zuLYCd{a-=v^7fn>j+|YkomNro+_%%m^Bf)A7R}yLOtnpMMMv_=d`whVW&Rc#;<4kHivW7|igG<#=rhM538z^{B!ywc$dUB9-&hCmn@(J0ms?6TPx=ijBGfyp7 zPUX&Z*(l!37V^I6v9PH{!tEZGvKlXk6Co;OYk zLMxW9Ml1Arp9fN;4KFx~)~DbZwrpIjC+hj5?8xL$$HSD<7dbh@2cU>F{|<^i%%z~l=DkaMX_RjJZ3n3sr_KZs`EKM-Os^K@4^6r@8L&0J-q?1gBq%=V;}D>I17 z%00@6mXo8|h?HGUXUX0qXa*WRA+-x$6TBL-IGRT?bLw%502j|=L5@mbyjIeik#>14+7a5T4y@FFo4&p+=$(QjDO2-m$ zha&XTFFJI3D6H|CXUrM?CmW;l*5@u&GM^p6d)%MoziG#nvgmfCqWLhWeF|0nl8&e| zM181Gudd}?dtj#yh6KvvJCH4-QwyuCX(X-7xvz_E7k%@JSVp0IpM?i?{g@Wm?69#m9v4MgL4k*tnvf{(#MU$lTkaRER#! zM&CD5pWYI?nG_K(v}1c8>gE^2W##q#yRr2%J8R;%GXICW_Xzk{+34hxqz0zgUBT0K zVoU8@X=(%qYgqEbVNrIa^t7UO(k}J+a1t#$+X{K)2Hhb6>?JU767@veDq2Y@Q@5rU z?^qhtd4P#mWHZIfX*$$`v0a0>Mgvb5d!yuHMa_J0m~bi_?B>H=!e^sW8yNyWZBGZN zOy)r>KmK+QHNr0goc*B9lza!fFTaB>B}T0zS>7GM7N&L%%;aWMPuI~XI@6E6liJyw z?P2SQt?Y-f6@ABhx5at>R(3ws6yVmGA$Jd8;g57~Tf|Gt>a>cy!66t2=aI zms~)8c#iy_SNu>0F|st34O|`+ls-{4G*!;==j(s%|Y$UU$bqcNVlrvDd9+BKKLlK*sHX*pqQ=Be4@=;uVtSvi{ z*3GY;=g6);N}x7uW!+|UcZ92r%%BR+`_gRqYyKFT4UM9$vZqd>04&NK4v->3W$h2@x(pFYA zv->c2x79&j9^Zy|4r^&qh@6rR@tG#jKSn>T$K& zhaGr4RC9hCYj`~Pkvn!^VaLYLj3Xfp4Jt%lBwY113ZgQ0$|&LZ$G6lEMB)?*xh%*b ztKSQu!sNWG4t}iiL#6IxX}-)Rr*~_+Kb0Sf4pp=4^j;2igYO8TFdDwH8hZ-5vm><` zXf4#9miZp;dCD5CX0deTh!;h|LE0zd?;4ntH93*~)>w5nDTI6{)PcPR_(ZQ(pRSjEAU- zddQ|#S8B%%a zB)!F0yo6QHiW+4GOJ*5VvsxEVYh@`6qXFuRJo0meV4%gVZP7_)dD7n9W7-gpX+y6m zrrF7~pp$IvlRfW?u* zHrG?Hz2{&BPsQHl{1ltum8NgeAbp`Xy85!#>7e1AdkvH;+N)HFUQc+2en_J_t8B&7 z12hXqvw=@{4K#wn*%t1nm!9%_GuR_f2Wigh*mFF?kjDxl3d{0Tg^?C0YH zPNpAc)P2P5MP@P{kc?H5G4D7gVzg}JOIwoDWZIR1mn~J~I6J&ZUB!K8t(XqVS~**B zUQt#k#;?ibmf1zkt|A9)&mg&q*`oBL9_bw>11xfv;$746?@A2JVzn7z4!0@Xg!ELv z%2clY7Gpvdgkr`DPAa2@t>klvbz-YUyc|_Y^X1=F_RaI5l64{%;}(&hX(F=sL80{j zQs|9a3b7wDJ52kVmd}9{-fPDz8$mTT9*yU*@fTjR?vj*OTl)3%;vl-FtVU2%ConQ8}QRbJ$ZTbAdFBrBgV2hp(do%H^8P)``*%q3 zEw1vDD&zSS-tac7eRhDRJdWLbwyVSLnU|YUN^Y2@dN2(e16nMmA%zEdXO7C$NX|Vu z*Rc3iLO5smjSv5MhkpD-YlqItLx+9_&91QMdsp9Lrc@cjt(3~x$IlH2Js7Lnvk9Ii zdp01Y9=-A0-89vGPPLO-d*Xl2v^gj~>2D_5!h&A^b)roc z%lx-_HUdKbG0z(A;(_^&k)lPJXLqilc{XYo+3)K4#!8xJH>>mP&y^J)(md-pi2Jg? zpJ#ur+yRH)KF=2aAM({Ck2#ypbJ!{fWv!Jngma&J@`YR*n$CPSv1`wFPN?A#B^P~8 z^X$~u`8;buuX!eqIxax77t!+L5X3xN{@N|`ESt8VyJgQ@KF#jLp{I44UCM3?n0n}= zX|_T`)2zi>xlx^FE6@Pg6$x*8DsQ05X`0=42y2Ba>W^&p$AYeYt@A7kd!cjN(@GmM z4zUq03VK!ZE$w7Lnn_5obtXx4)+aj8!pegg7~_hXmV?&V>xkF3O=AL9&Z>BkJ}daKgtyT-o{d_ju&7j} zcp6Kz{6&q?6N%DlysN-^Mf@NMY)Ny4{##Q_qf+w!K z`|9LAKCbR~fyUK;>|cBlyl%s21|VD|DeqZd+=tQDosC(nd&1Xq%@B&Zr!;b> z;3XWj(Y!dLC0hP%FRNbMInd}~EyV8G%g%!}d)OFaSMOz^ zOZr5q4m*u2b(b;=yP^-eZu3_boZ*~pvh(2Srv{H5Ve<5P!DYE_m-+6c@E-a+h4+7W zES=h{wv{|axGJBAx%|-{1ig`U$_bcS#2E^!Wch_XqPvnzDem%BB_631d6FvSjUulGM|qExcC#y>2eui#v|_#Q{id7mwsVNd(ao^#cZ_4rKv9IY$r|HID=x}twtzcUzU3!zm# zeed9-=jzdrZZ;N?*QuM<-4a{6>V}eh!43G%0B#6tb;GB>a5vcSB?pv@J;avhgM;8k|y#SWVMne68mqaD68-S?Mo<7#g8E(dd_q_J#r4QQa zr73&ya9rCC4`d3!ni?GkA47*U8HD@*5k=_FUieh*d_HKfM`v+o|5eB@jdJnM8~f{z zhVg6rEl6lS$cCt$r8y(rH~95QtvC2dPUQxFTaj{uAHJN@KuX3wZ39%$l{r*A0u`>PhZnP)f*xj27f0g0{sv{@ zDbVKzU1`&O{Wg;w4OZ{#i!&b61r@)$Sm(#i7qoTU1ASv$0XN7hx>-+#B!w+^k->92 zM6~>*)Q!th4J4Z)%7%JmiI(qHW!*RSsiLgWBkN1N+(GKbvI=bmv%{ zeCGqW-g4j0pZgY0Q*Y{j&*eAuS&#kQP5l7}3twgqw0IQOppS7=UwmIyXprWs@7R;e zJa_X~ZyJl|Jf;g~@vGbD;!%S7u@3S9#f$4hLuR=z>xq)5eut2C1#c}>4r#P!a2xdf zzp?Ab^<+G6>PnLhsr%M*YijGQXYUA_`vV@rkk#j2ZbNUGLR?vb=xKb<^CJ|A*uG zJU+x1{ia_2^Kte@SEDY~J>B>HO;=lkzik6=Tdpaz*z3LaA#p|& zao;3|lIqDPXfmBRg?|803dY@QRkEiwM9T}WvcQ!c9#rp<*H3E={$SiGy9dlWi};oQ zg#7;;ON);4s_LGtCzS_4dD(+auuB_^*SFiS+AK;Yw zk<=P~ZIje4O5t7PNJS}k7o|{b`;ViGqV`Tk7j5Pjzn(5?z3Oiu!Sk1m(v|&qlIC@z znI)d~s&;;EvKQj0WUWwrn}!dQZ|{TOPf#Dsn0zM9zo*d=T$*>)ny*RZ5~15jX`qJhVM_U>O*imWu!%{A8q!hjPO(Ty+^o+=~RvR z7=Mad>5WqQKwa+rY}ndJN9O%wba(}LG|3tD-o|@%NDe5;_exTK&o~`EzdM2=!2ytk zK;h*iN=6_-$$#T_Q{KJV-5<%<-}gZOMBP}@%O6GRVXAiVDQmH}JcaNZ$fXwP*78z4-&K+zZe!1$NM`DT zzS8#z{xyNj4GiAYM8<{i6m$+unrYJqeQwkD(H$ol8(greDaiq;j=BeV<+?AG!n$Qj zVV8XVPl6FcGq9jHtWz&b~?ol3&>$;#;tKNL=;%NPpBUEGX zx8L=ko(T+o<3@LXnB3x+sh@I9-)HzXWT1}WN>$@{67~8e?&u1h^c;vH5E-N-gOwzX z^w{(rwS@7Iau6-l4plOHD~Var-A73xm1MAzScfQS^x|Hme5jJ#sU-1AVnrffnoY5X z6Oj?!YBSmKjC(lw)NHnXUH2}X!f7fA#4X%K{glsnUNW9|2)w$E{je@r^WtpQyl$9d z?Vm}!C$-P34^c(xL&O90pbv}=={O0>Qoh1-@cpm%L@Wgc{~1hNVDMf&V#}tVa+133 zH<0MhJ0XMNVBw;M;bsnl`%vQ4?tzR=Z@x->aM12-AG{p|3J>4H3lD!m&-T7L*ipJ* zhChiMg7Or+5v5KFsI7~5oDU>j@kMTRU{Gxe<_t1`1P#HQN3m~EHsu^q(cyn}CxO6z zwPmW#GXzbw^`s19O$3M3rjjCzkW1Gf9|``*MXNsL5E>a!TTUhQQ?3HU)izK$eadbC zpW5^_?Df}%I#QxAuJwk13-uG|0bLOzvsr2nrSKk#;dH8;MKlbXXiB97$3hqpxZ3x( zr8-m?_+shZ1wGH|+K?EP zr1=6z1|a*Vn9A_ecz2@nH8Th#>Cg}1Y$Yi{LemJC428*5GnCq=pQOrOlcAG8q=R;# zB~$8nJBk1k3#;U!LL?tkW@6!L%kn~er9y)hMW#1Uxwj-#&L0|8O>bpW1yDqSNBJBF zxz+iKJuM(^l6+M@#Ac4x|Na^3n_feeE^}mpllLE%BuE%|b&0Oms8+bX--Q&Kb&>H} z446dM$4XKaPx+t=GpOtF@Mfm^@KLrB3_cfmeYpmC`ZAU0rN4Ur%dN!@DY#TDN!Rs*t+#$nghj{Bs%yc%O5<>4zC~Ppk9EL zhL3r8j)pHo$$NNMCaDiEQlAr3WmK8>ebtAz8Yv6K-!c<}))v;s&E($M*+38aDZ9Z= zS;k{tKjjL6DjxTI-Z40)V}?VqRdrlCFnFH<^A8cp@1Zz?W)CKnujt`NJp76Ac>q4p zzNw1krKn;xBqAgGFtT;a)a=4kHTwi*W0+6*0m==_3}mf-O3g(F=7SodUTmh8pgJ@( zg`@++n<~_Kg{n`PjtLoTP!si|lF!2nep$uC3+2vJ;Tx10*Tka)Gu9P?Lz<`~Dfb&i zi8~Zyh}70*S4~F)Cnp4gcj*cmHv&fc-IQ`4Y8!jaoiiEnm*d z$L&w%askI;FuiUx_lu$6#5f2zQ=!bS0Va9<3^mMs5zq812^fbx}cx{bZQ+TbNbRm4|E+#n84Ye7-RHz;jH1*MQBT4fq5QR;(4QgRiZJp;SRaH~X zC(NT`oB_4IG(*y!4~2qi(_uZacBIhTLV8oFMKnP|BCa;wp;nzqA~aQ!Oft#vDKmi@ zoTAF4fTrwB;4y`9wKXpnA|1k;Go~=8)_{PQKllv4GMg3)^@e^oVrVYHlg|wc&5oFr z0&bMk?1%_XG8xAg4q@xw@^?@{c(ZnlEBp+9MvWK7R3(r^J|=LXn4P zJ!7PjV1_gq73si$rW8a7w4DM!rJq{@k)KLDTHUWHol9VvB`-CZ9aL10i5Ae*L~#hz zL}*A8h2a=ycvC*Y4Rh^`7&8TZQxQd9VNxvC8be$yZziUGpV|udlI%ns#X<@HsDR##23{ zv}>003D_tvd4yemJKj-4y$4qhIYS-9oqnc4eF{1wt54x)n$;)M8HxKJMi@|=!E~mc za?t#Mrc9bdxg)aig!%Fu{`(8=`4u$>9V@4*kln8-gU_~xfc^Q8&=Z3D57_sE9V0nk z$@1A>q~sWU_Lp!9@vooabF6}tU>0wtQa;D(2-36$Q{v9lktx_cPV~bpj+%m+nm4oX zcfw36_GyyT3Avt%H`txJ0AwNRn;JK>sqaKi<>8Q^PZ^>F6uPA!?@e+{zcY=bpU9(xjQi9su7bC$K#E};&{))AfniJ?{x02#1bY~yg@c8QApD)^*O|dVVyU1b+pe> z&w>W|&W9-y3=vmC`B|_L31GCWq*0XOcjFVIaS|jKT;L}`80K@qeG=5g{mHfI`i&Yx z-qCHma~w}+{G3E7MGuyJz7?iev*}soreH@qNaI&x?^$^-C8slAKbK%je-Xhf{ZjQm3w2NxUx5NwQ<~Rlu2;arAwn zZz?&zD@kK5xmovzl3Y}hzm(*SU{nq!pN24@mwwn;AFvyDZ*YdRbKUV=l*&t$rjNNIp* zJH3o!A3aon!4WSI7)Lxmq7mg94uiejQnOkVai7{!Ov+eRuaDN7zi>|nDSWk#bD!q0 zNT<;3jHxza$~khSkAt7pFex3ug2j(^Sg`6b_-5*Z5q;WNSb<25Q_PGW!i|c)aTB#- z?{tzZ4Ob3yP#UG~p7+(p{u71b(s*0z$W3-l{83vzYX7wrH zm_>aGIu@@!g&(ui6BdJGe2K+cP|K%p%zU-#?2TjXlmKP+rcJ4SN}Mux8RR0m_y;m_MUa4eAtL4a2a7#>NOeTkA`#y8XVWp<*y1^gWhW z-pxUqQ0j0xpr`b!rM)Nhjj4%trPMsrv1FwwnC;bE^d`FeFOrLEqHQQCpv`njTrFSp zkuju+_N9u5x)Jyh!I*Lym7AJq?+NR^y%>tQzLvHIpu?MJE6Ve+2Oa)l&jAzqoBi?Y z@;+HcYvJe{dDV+T$EIDt2I+!~Uu8;i{!&FpfBDk1-gFH=@FZLQ;efHp=wJC0P%iBs zCz!?Reb5%$IBzTzwB$5vvTXJ=?GNAwABC#Koc%Ww3w`#v0#@1TzOvxclnA?P$T2&D z&+dvlR`V*;Z5gI{DvBj;8PshoO5vN&5lCFxAzbyo*gE|(EnX)>Y2*pEZcCV78)Trj zbq8K#)mu7uJB#0i8Jl)lcK+6rmMUzQ@o$9dMF)hYM09RrX4kh^G|4Zr{#(N|aWAql zTVu>4Q0A4wLaw~<`S0uaj*8CmMp{{TZEo=$5_S3z5UL7tysHi z2|N374^8G0*6x!bnnhnT+b2B&Cm}PoUcZdK2(YK=#(m8)Kj{*7{l~B1<=`I3w0Q@I zB1ufUh`)T$@oQH8NvFXyAKAVC!h3k?pRgB0`fK#lEcw^)^dpcBNuaFD`BVNpBvqpBFIS#LOMAp9*2xKLTLd zkCNjnLx+;+O#Pl~cm+7|pnehrC({d3A6^izOZOa=M(Zb@9Ic;pWVC+rNo0jX4|}z; z)39t5#ou%B#ou$$7mP*o6}XiIYo2-aA6e@Ei& z&LMm3E!Fne-C5`DIN~5{%Jy)pQlul6A;>^UKrJ-`Yj{9yy29Wvr!YaanFyvUS@pRcp{9RrR21Zj}mY*q^ozRZWoP}1L&#g^~5yMN=C7#jTdGF|;l zHkVcgc4<%IUz_vSBXAdog9N;T!qFu6Y2da7U^kyqLwjb( z*FEQrOFzhHKfl6bS=%9#J-NGk*j9euzUHU4H2sBjG5c5ez#!|6?5*tW-CZ2WPR!Zo zYj4?`7<+2b4=&xs&(LSVr|DnqN&M@*C$>hvEKpe$j)1W4z_Vn>FH9`^3lH?^d7^W_ zckp}2Ba@$cPK`B#J?&(o_qSH(cQ)_nVkOt-R3>VqC8YoN)QBhDYlwxHniA1)nXFfu zHLeirF~q5PNzNAD!ok%S^$(taAHumNxqdRdj@R~$&+_gM^x-AIC^fZu@|$Pb2YWht zZDj}cbnjJPgFp>;uSvQifUe-Z_&4^DKKDyyMJfwt?e>QHtp!WXn*1!Y>_Y0d+yYtPT;MxciJZtkOPD!gw2$N9xJU3=s1D zr}$#G@gPjc8%~}{QI^VI2z^Ec3XUlyFejwS9VXGAU5lPa^6;gJlwz#sa-*YZymJ-g zO#O=!lmVx^15%_kp0{z7-k^w6g@tI{EBpEz$YgZpZt?(i3_G!pN1Xi3x2>Zsc*g<3 z%)!;9GX_!p8T5XBo2fB!?}^;hd@2J|W9Esb-e&1c-T?+(X9$s2Y#gT71fpfPnflWH z7#-Xvbj=t0-CeV{lh8Gb{v~vc-+}+^niUuj)HUeS-2IGKYT-VwriSFYeU5{be0>6M z$b%50hUiGO$LF^+pu4F zfpCYi9nkIGN8172$4C;>KEnjPjh?)}#4fRx!eO*`&DgZh&;`yR{K!Ht^N3IMzAkrz zy%=^r{0Ku+Mqv9V2yN?qEALe2g;%|2N@lF}M-s%c}}6T8|ow5#1kJBF%Z*-#=& z3B9Fr0XfasEL5RqAPfTszydT+`_nTH3$)%$%(?EXFQ`y^uJ8}`;lX=tD2;V;-SK=p zKzQ5nB8Ay@yo4BR>kE#T5`}Big5zZ>xAAzn%56Mefv<*Yf*iC?qY;~rlR>yl-po~E zJ8|=Gw-dDA&s8eJEpRTc4KG9Y9QnH~1~fx_o!gL*b=(jVKTqOK*pbXWk1a_Pwj@Ka zn^EMqxs43j1|u;W@cqedeZgUD0TW}tSU3`YCXt4^E~?S9M_GA@?6kS!HlP7~KyRJlJ#g7!qUavc5`+ zJ-D#bNGJX_-WV2e$m%tQjXN|s1QOPk11;6D2j`x(yQZ74OP-@myTU#_)IFK2nX__< zqWC5jr8(=CD9Yz-TtdoGZ^06YKisSX@W|E!xMgoP0FXS3`n*qjUg3UgOZDj3-E)6- z4gdK;mj3yGa7Bkto?4ero>I?EpFETc{IeH3@p%B{@ek*}$@;?;v=i-U`uro!`_tLx zFGdWSpnAdLeu#HJB;(;fyksCr>-qpG9SKLQP>ri0v~C4gji-$(NH;h~ z!3rZi>ER0?k9<8LRbCHo08ts%RA&l=d`mTIjjfscE6ydx6c%}8;Q#VQA69zApSn){ zWr{|ds~_638Xs61cW?- zs;&%=uJr4wu2lK_cIZmft)0x3DW4ZXS*AxB|G4NNq{s;VKOE+UWqXum2JBS~%aBXA zv)_((yepsQ$%}cRTvIj-@cf2Bky=jqEGvi?RliETZ#x_QRmfc>O6h@W=^~W&xTDll zn!dL(sijFo_S()0zY1wvrj-7nlD+p;od29jBX!o6FJ0Qnlh~!NtbW-qKN*|g8d8}1 z0lWL_48Q!t3M}}YReqh(p-4YFb~J6P@5d66;4&4i9Khm^bqgvPKRcE_HEXcOexH?S zjon;cGM+tiY^(-J^|49%BIH|R&o3Hmjm<4D8qZ9}hiZ^aKc20bji5dr(&PRKBk_9= z6MSs02s?kA>O1&?OB;u0=g0sYrUy-6q2G+*_SsxRUWI+LzbWVT5rloSzv;&AseifE z#vZWI=8Ah2HlD3Fhmj4oSlFm9%z`_TT=PuzqZD)co_NSi+2?eey~ni!Mxv#$e>onr zL9QXmm1U~GCw5x}jQ;Qh^9>q5Qs)Z3IgTZr9MI0zrNRP*l5?PayR8>~CvYN5>|SM3P~`h!(=H5f8T`7V^PxuPtx z1E<5yCYh@*o-x!b&_U1gN>yhzgm2MV=h2yutYmk1lFn3=ap{i#;;`VCBjWKreIqng z)uVvhBKok9NQ~sc5Iz^;(!LFG7_ttDD=NX;?BCXjU(Lb0lwbO?7rsqZCYS#*N3!MT zf-oHhoJzpI_n*4|Zzjc+r`h&XrvKk2#pv()pz4ReOZ;DxU@!LTckP3fNiZ??c-9|i zWyVOC&qtWl1HX^xu%-WP5z$b|tlvitb^q>15jl8YAsmcPli=EB-eGDy$ews0TE6fe zTmOAXLZhdr%1~ID99No3Nl_)f|8(hGiF`_v{DhEc_NXFSE_#pM{655iukX=k6k~LL zRpv(g^$SupovZRwRQZake3e4za-!wI5F`!NC`DoKj)^LRM|lmhQq+hdF}VdFcj8-l zwz46}5$aKAAa%!(#ec5>asi?`qeopmveH#`b);@RslyF7{1+-(YWAomT3(>4Za^9L z-#cn>=n%^mk8b*lkD01&l9Lk@-Ko%R6m`dVbQ3Lig&3T$585yjz^Q|eIlKqMR3K`@l!C1`QVLv!5 zRytou=Ym`j0YI&JHyeGD zy{X1KpHynw>+h~@JDx^6e;VEQ#AxqR=e(`XuVQ(lRTq!}uRz3l<%HV+IB2wYt<4$W zcETL!KNn;}q?rYF%J=NU)7^Xr@ycWTskyo+oaS+Lkl^xpFJK?joEfP0$ZHV`NUr{JTGc*wzn5J2+)bN=$0Kb##o z)5&)nkV`wUEou#8^*{IXl{nF+EerT%sOFF_v;8vAFCHU|W`af^{ws6CnlU2W(`F+L z&DD8)*weIW7Kf(YGc<{ocfH9D{}OUvvS*C@V&ThG$2cv5vg=JK(miP9)22mKmEuuF zwEQRp$t3za`R$4y9_AI2fG797NvzzT>-sjH>xvO|rF(P{Eo)U>MwDt>yc4<{cq&ru zB33@K(KD~bi<&Y$nuwM+L5!@jpbXZD))_e4)8rUrty5K#nEWitxOB~JFhSu@St!bf zD7%n+k9Okau_PJ&is`m>tO!!p%J@y}p|jzdvc0Ray+Yf?ljgKxuqI76uMOL9_90El zcFJ+sF)vyxlkh8<*diRe3li=9I`8zNxnt8# zCpr(_-G@Cw^SQAOzWndD$9|dh2OZd&kJ0}yVp}h?fsU>P z<;r<%&dPG-=r(6vIX|`G&{ob5ZF)M@I^1%~8CI3O$Ex-IaYq=2Os8LubOh;k&UL}7?m7Ra4RJnwmYDN|5!1s)@wpQDi696L>R2G*bGMZ_KdAB3cet zRhOcSXHzr*=2rPCf@nY)^1fAdlbkHS%5`Tzcc!S@;L%OAyqm?sA|c!+*247I=b&SHfPYzWNcf``C?}0Pq9r|(bmF=TFDu_7IHT4 zQ_9vsxy~Rd1GYCf=B0n-z=AcV#TEQC&dzwS=OBEUi0)L3$x5#cq_JB z&rUSj zyJw-H&B&JAY#6lT;$8o1&<+{adcbHrsQvPKwuR;voAc=4%OkymaMoCj|67PW8h?NY ze>cY(TdOZf#|(NSm*3b)ySs4EMm;i&;^SZ2l{o4Y<^?OOb=RGGfPJY%U6?wVdlP5{th|zI~XbUFxoJ z9A+gXK<6~A1HjS5BV;jg-?e=)cMVM>!r>u)U%uBKyh zIfd^B{n_}Yjt-+ITC*uyW0IBOS`Cp~);hCiAk-ksJ=2hw?1M5c-N%FZT#zpg1&c>H z(el5?E6VFoN}XvO%96};D^2<{+$)8ewS~4aBYh{75 zz@F;|xqw$`sABM^Aj`8yp#ObpWVXkW)>y0oiE}zjpl+ADMOYa={i$k25Y0-T3GxlL~@MB7TB+X6|%rI8!h;QqSF(%dFQrIx;*yEuo0$#gurXU!=Yzm@_>w;fpMHFq4>k zaRquzx351B=29`-vOUU)mOq0aMUereZVl#qkM>aHxr3SHj{|Ls6aXWF4>T zyHtA=R+GXMWDahz#m+XR9Mt~uCmVIWujb=FS;qBFns@(XuIrJGWE{(J`2G`9;QS6Y zr#1~w)myx2hl6MvW@7TUWV#VP~pF14qPB!GmP}PP{E|LwQF0G3Mo!T7S#5rBA5d~@7>&9#U4+Uqe%dN+YrgQVC)A}OZqEx4JA0$I zYC|Bm0WSp4?B_OUR2#T2V8WN6Rd?88Ph^>0+8v7EsXxdD60`22<*0wHGezB-zp->uupPLinm zgu1S79}Eq0X={*@28G=Y1P^{dB>pnzy!N<@v$3?6Zo@VBc(c~aT)fWP%a4{pd&_~u*vnaejC2j*J3aKPvG4(ntqXlyPUoVQ~o3kLbG&v@sHkK1OBNG6Jr|}>J=Z3^zj<$HK91q$Ln5N zr4w6rC&eDhYNS>Ash!0?_;>}+)3yJ=Q-it*PQoiU?xe!G-uQDu_2ii7mtHPz(Rzhx z=Db|oxs6wh;`;w`^-w&gjaM)lZ}s@Ci+NM=v~n@`37*z1=1#%W#>F15jDlMfS#k@IDo;TKq?Vx|0#eE);(wMmRv)`J zt9UHF(>080$z222Y`nv3*#8|w*Y5Bdtn^>?*w_PEjR`I~DHM+)kruWwm509O(lG>0J0!uW(|ZcVWT}XRx=c_#3}}9#Dx&EcGh>f3&?1Topz4 zKYkaviOTh&0-}Om6&3%Wq)?cmkf0Ys#iXRvqBNtV!spQH_#}pq4Fszdm^)< zG6U1gwKTA-(6Fd1smzu{qco#Z`MuA~?A~4M^ZR~Yzt``}OYiQ^obx_s&YU?jJ3Bl3 zMh|6hXNOw0lN{<^{1=f47ARfwf6+dD$g50F1^X-s{NLE;ADrr9pfY6aielkZ^c0>u z6%4k7r(y3rxKklNUl^HM`Hru9L{=dD0M+`+!z*IaO_zjzq3`?G~Dc6t@jp6D1 zTiQK5?&R}F@Y%OVMG(4Y^P^g@dX%?IG|NZzW{FlQ=|r%Sv?5qZdJ(KRj~1)~^=Jid zcChFFDO!cwmGNXfXUE=Dnk^L3irs8iLhU2gZHhrGuYmE~Ap_U3Lc4P1|JFQdFpr$z zV5jWLM3`qpkRm50`4=^L=Xc-@m9{F&<2JaS=S ziS0n&t8HBxFfg+!=;>%FL{gU{ZtGubx$Vlh?S5U$YI-RX|M%;%K6o%htjl7Ny_Gm+ zCcCk>GMg4kb-dT{LDHn({-sjaxA}FptGA-9$_jovSS*>MRaxP0NAin%BHxbXC$7M^ z{Y5r)eL5}87#_vA~LxK z=T{nO;VE2+{|3uU78tBVT)BP?w+*GbL++&u?1;G`e@Yj(Ef-fMwx`B_7K=3=Sw#oj z3AZ9;<)}Jq_e_=*tn~9cGoL*WtR!BJ%7E_w?<<=0ff)6`ETOOBV1XgZQ264SzDhJ( z6rwmp(DTidd$)@=`hRWMb`Wew+>Na zjvPLS!E=}QkmBfg&u#Ty2iqaV9rEUNYBTl&PM zxa*Vls)Mi?HtEoxc*>gGc8gURkkl0Rpg`YA*FN_Mk%`YCu8`Z@cepE4nYnCu&- z;g-jVN6P6lHw3=w{G1KyugvQ1{Jc-x)$Z+&1hlYw`ztrQ=o;=>$O6E_Pr|g5DD5Pk zpIrP9*`+L#Gsuf<<3*ml5R3F0@}EU-@9*cFgb8H#=Jh1Vy?s52!3M(g#>xlzY6;62 zpv1e{#61n>8nSV_6-yHe`etl250r&ewPY@zwVQDlYJ`@P>?U7AJ@G!yT0y?Fuf8`3@%fNh!BGD_r7GOl55u?LbA}>wC6P=nZ;G3y?fD|Kqybe#v>XclT9-;J|k*I@J z10Yj^RS{Ts0mg4FuoTJ&=G4I`S#7?Dy#_E>x(-$kfNTloC9v)H@Pao8&DxD%nK~FH zs}F&aCbAm9rY~N>&9f&THhAk_RdwDcL$4v8$01t{HSD56jizRAd!M zI3iQq?q<&nRQjdl=}-X#^}*djvo_F}rq~K}sCHzPN+=>zAG@2K2b8N=hYBL7YzbxQ z+R1mybf^GiZIMt!rpAH?zC(jfRBjkx^!bZ&9i5WZ?h-u+Y(i0&e3Dq9!-pZSQo<9R z`uSZPzDmG5jPR8@JSD5!K}j{_02^w|r$&{I9)+xGiB4qEYMy|v5b#k(_-Y-VlGWLF zu|x-4)2pM!17V*;!@i~1Nne1`un4AzF@n|WV3e%3<*;V}=4#NvoB(K)V2K2_JBJs% zOn}83!J2e1N>(2OB^5j!Z16~5!JBpTOk_1nbRtu4;^-`PFkDmB;SvchR>Eb04%a@o zHXSY-S#1)I$W%)X+c+5h)UHE03F`A@LbF`Zm^8EO(c>tx&PymFQ=bP9CYJMH!E|8g z&J-Y65auXZeLzANfJfJomN|HWbnIf}1@0F!%S5Nn5ZKwk&NO0&>DZL4I+n4!hoE*G zI#M~f!z5A}A+_Eq+>%ChcyMC`i_*a;S$z+bR67-5Gqv;>9la7+krJKA)QudS`42_y z#OrX`1h+)ORe=uIKKmrQ0Gf44H8tlpf>#tnl7$^{if z1O!+tIZ{pPJJr$Im<)DrjjS-B(nAos_EZR%uAm|Pg22$-So}&IHw;<%5|_x-&u-@m zCqm&$mjT;TC3qmBk~H29I>L5rtz$abj068LH| z4KFeKW&rZEa}vU%WcB;o1SyS<$svrS_#6?f<^?GwtMA;#z8ImzxvCy-jLBYsZyk98 zL9itUHSI89QU=G!&b`Bhk1T<_4T%!yZG&uo&fA^KwwPqAM%jCWZ2GDu zfwk+h_r24XKC4I>wG}9+v5fmUlS?SM1%xHh59d%J3-IeJWI#_tAwMluvX89by$?i9|@bfJ=--G9tG{I1~jq>G*-(-GLsijnckf&5@Db-p^y_Qms z6zAIgLC!T7p2t(>{8!w+Z^)0vdc+R*j$Mvhb3!L|?B{2YzhhVAtx$#z?5fddC|(#q z`jWwo=*=0usq}x_S26Wwd(kU3I^AzOe02NFbcL@Q=sq;ixel5MOMfvCK5rllZ`*71 zb9#A47re?q=P=W49%rDt)<8!q(LF()>$KoNb)W-|0wMXc*2rkNI*5I=ev@_Di*Iq- zAbM80fxGkjt~@+NY5psQ_kZ{D|p)~ zs?5#?Mk^`Z!@+{=Az8f34D*Zhu=UZ(kgzJr-UfDc-BjvF@HLmsM&X0hFpPn2a9VHh2?OWry_L#D0lUqWm_`Vf`*wuJX(G z&;p~VCJO2`mRI-+-iLl0#A~tuJt!^Gr7zpidkUUH4}1J_CC=~rKJ4J-$}GQ94;wIE z8DbBY($Y!VZ&$Ij@k%&JxO2Rc=(kx&;N3<|u#hnNS0SNNm#|$(*y3TW;~}A>Hyb)Z z8FR;R@ukb1qwwkRkk;RmZ1}?MqFb?d&nb8Gk-zSZ!K+%@dtA{6aP1B%u}(xj`l&o< z?5s&1E?@KNg*|}mr3Wz*#UyG0g|0mX$9BfRv=--{4t4;U{B?ni0Q|6l|8Y{hB>Ztw+l?#e;l>rT=m>nAia>`WIDACOAfjuOgATuo z1IpmMT6|QiD%t%ft;eu$ybdv7Z9@H-B>Hx|lV7|}7b|S%tf${e#b-r|#v>Ku75Y9@I*a!zRu4I42DOdU>?qxAoD1%(5t`LJFu0IAv z7?o)QF^>~4a!^Erwchh3DM}2v^f0WN>#s(qh>kmCU`64!!h+z8gGzsHJ3*O%rZ!E?GV7mmP6@}`ZQiDWXgc{2ipz}D9<&``{rd|Oa z>b#l^qREHp+7rb2)ZP*|9So!T8iB)f;7sH-NnoN=zwP!;!zAT-CGz@A+Vdw3zvy1_ zSNOV?QSWr>THZ(yT}xwvGPK`;`NUR>-$`ozJe@0fAaz5d{gTJBgegiyzq>iv68uUM zP|r-=1G0ZlQHBs1R#rv(g?}mn>-STXVCPmj9>_*t94q_fES$qdMvtXoSVGh<_hKc{ z?#M)RzIruo2b&0_l&q%DW>cmreN%UcWH?VAFw%iX_RFPyK72MG)O~!K(6&c9jcB`d zG)h)~oyFT}2&#j@2JRWo-o0X)Dqe@tf z8jshC*#TNnl z?WjKc=$Bg0*G4iBvh;(BWwsIp;ZBw#3cP2}ihWY8U zA{p9O14DC&Dl&7#Of>iCPk+WJY`cxF#nyic<&-gf5 zxne*#SYVfjoIcMu7e=13uU=U6suZP9WTXUE0Z||wtr_RwY#f0&x!7j=fGtnK5C#>p zEkzmTH>Q>yN>Rp+ixR`%bKf9VYdvNx$)shNWgUYsPvrk9c>&EA!3)Mt$3QxF1xuT* z%i3&X3ww4)RvD`-gA1eTr)2fD6xKWg@nE4yM)lK|g0)F{3-#KoQV1>X zrCEfwBeL3vwnRsxWOYu8=(jJ=Ar=`%&)MP<)tb^T$Qr; zL8S2GLwWH-(?s#TMukgtg(+FxHBEMZ;Ol`Ft5@D;K?i$8AxnE2;2`*Q;DJ5fy++uh z9ys_irhFu|MaQRfb-^@gkgZ7XWRP@WkSD(UCxeV)56)EL23K-8e7$WDy{1ml#|kae z&<@)H@2RR~pMlJ8>tAG`{w(quY#32ZJY=Q2!KKB)_j-pTn)*lXCOtN6kKBjSI%~@3 zluxEW<*ZGXk%(@{1NeL$KG7HCn@`av3tAW^3p6020m^)d!g*aZ*;lK@RgV_}`2?bl zQ29>?l|m0)daV{3|3QV@{{i4;9blr=zgCOM|DeKfAgoO|d6eJb9E2rNpZD$+k)SwD z369)HW`f0wQQ@&Nwie(VRxNVCWfsRhb(DG2l+Z3)=9jbGvz5`zf0h#Lcd!;i(tbTL z$V;kOBIW!e90@QWbeI>dU3!U_v`vK1&(yceIv0ISb?3V}GzuXDRbX z9w#M?jR?~H2Kge`2ljAMd{eDZeA#R$u1dwrq3jZ^QxJ+jKaeZ_&R1Mwc{=B&bT-jelOR%K*`*VLP1DH91n8mw9gr>YG-N-pPXDBOk5fT;18< zSGH9>!l}(_V}S_=&h5pG^LoVRlDP5jp5|Y3ZAK8JznT?Zw)a|P)PP1Qx`EJ=4QK&9 z*k$vSYy8URvw8EBjDF?P;Zqm;cXhuHPokL*=PuaCJ5L!vWZ%qF68t86S$Kw$aC@t? zO$?~i&%+SF5JIwvMFuXaWxDnx3;Xy@gtI{XM5MuE1WhgR15Se;kJnMU%GR(yvdE|qxh5}YnHASRZ?(a~K zCrbKS&Iz;P&6$#3q}qK zA4m>Llj$xAiK%WC-0wV+!r2Quu{TI|FW>~boP#2E$`tJPKSJDbtKWX&dL<$-*MI&v zs^Zi=7&DB#UK!Gx-rAc!I+(xbHxrwqrq5uDu2(L@(xF1_g=!j9PBh#{5Sfdl86~QMfiDV zK1NUls50`6c=$}1Y#A}4J?x8k>@1wtcy2tFrOWrz9 z+#krz=nH}(`CUcE@eE9^w@B8FFGnM%B0!5sEsrZTMC zX6|n4&Q{i*sf_Nog;X?ny#q!C%D-CK>UC zbCk$H_qR2bghXwGc^BXw*NJjQ$ucM6T%F#B1`5%&lW3r@JqTk%OX)_+A~KlGVToJc@-*__n5=v^MrC zNi`M1yfY5aNCaa8SR{4v5jiwl$qwGAOzw7&1FHY|ne|$Lp;@Dsja-0{$Lyb3)&gZh z;8Dt^+6elY56wdTzpZKZvYG|TkWi;IN)~CI(Tf}9$VB$*0;P{j)%A=aJ@3C<*de#G z9ohsP?2v~nZ9GO~Y6^H@hgB2Bcr4y%hISpElGXkaUUM)fvyWE-U=$0cEjk%xhcL=@ zb^l}(FY_!^;=B3t*$(w}m31tHQ3Cg}zKdX#ES1e(q>Oe2Q#Ki8l30zjvr9;rU zKH3~@L>EJsV|2P8(7A+$^C^d} z)7(TDK$kH(VXzK3jxxk0(KRFZ)yC>{VLDw`q7#=ySIxt1!paZv48h&rQGb6x>f&Eo z4x*rbw=#Q{vZqH7ZrM(D#oqNjX0b1_ zl<}^4x6s0Emvxz%!H@sOvCjG=e(7&RYZ^!-`#N=>DcCudzg;Dx#QN+ z@mCC8;|e52K8_oLF(N*UDU^a#Y=dck{UD}M3dLz_hj(pzSGUNrx+$G)xJ4N_9ckfhMCsymXyQH5Nk^bE(QO!htth zvu5c%tonk>?eMJvfkx$xu-=Osa)6jFZUHo%J0A?acbFc^LD%b=GGA zLw_10r2a_PJjm2p3z1zg%tAU%#3{E0qB-?72;!7H9LZc7DU$|e40XTl;m%S6kwRA5 zy$GKJVUI6Su5caCu#_ZJ;}3yG?2Dz!kRi9{LvejrP(cL(z1Zb(MFCiCw^a#V=Oc)AB>>Rsp;;~s{D zI%^YBa0`z~jEVob_W9|@9-Ufetw$Oh`^h`yYKVEwRq}4$^S< zieO^R;zZk!KsHLPS)csV%&_?%0O={D(bI!8w{03HdK3vgAs?EB+=O$uHhyW1A>NOB znJ3(b-+oA_vo6P3kjUx@Zf7;l@z)06>BtJkd7U*KXSk1nveqvb6?VtrXB_IR3z2>$ zry$yRL2*n_xSBc1cw|iEBtbaqEl6HO)&y^zRY4Lx)0uEsoQHG59!QPgXFqN|-6Mpb z9mClGes)L0>KM9NtFtb~nS-A#!r5ScHXmn0_?c@iPKWZ-Yj76H&r)!PCJyGQI2*yw zCgN;3KbwHFk^C$gXHooY1kOg`Oumy#pDv+KWnwpSfBsQSN=Vld=;K%X<0eSBj+4-b zgefIgBk>}^=?>-}=A>h}ysnhTYC5jPS5Fcgutt7aZ3RBEhus+qa?!k8FR(dxDj_)q zPkZsXmcrjGmEu^i!;9fT-@>-U86qKZwK!JXEskZ9AxJWmX$5@ zIyz^thC9)L#k@yA1rBit5(f(o&b4ad%5SSHU?SuPJ;2E<;?TB=Up0$E0}h~0U-^Yb zT_wmW#GyEN*c)=UU8@rhYE2h!$DBUM;7FD*c9 zR>LZWV<_KCB;eUC#dx&~5_#2l>->u{@u^SvE?&v=!p6b*tu#D)^s+mzs;T zv-;(ivx|2rp;uX!zH${+q-B{n2FdFndF_zb4tX6TuVa?6*>~d>ykYQOv{aXWQCX}! zY1UFIw3Ie2rAkY&43WfMr0@~D*v54`DZAszLs4|xEsy);@gR=qn~KEYAslM6VlLzb zPB@3u`bVeWW+Y*y$b3^AUKfY$IP9u_Wj-E0Ji~(TQ3l_6d{l<*3~B8@Is-QuIBCm> z!pY}>MNP=!DVFOf1zAD-1X=A`mV>9P_AhGWDY=KbEVZu=E^6jiNIol0f{QGp*|Ya3 zeQ)}$?z7ml@L^~51HrG&_-<9a)?u z?*Ngrh6wPNh(3Nm`V;8mC-A?|029|codxGA8gCD=9Nwfvm8r{>QK7gWz}HcJ!2k33D8(v#NTpZ8^(u?{mombouHuW1QqWnI zSiQJd+kV*!D?XmFvWL32m=a&%iGlXQUZ7dq-qT)qAKLOc>(9iwqASKP?yyiy`9Fl~ z0DIvND3AKZ3q;YxDQs&K*6-v`19p;O7DxZKVT!FJqWtkgQE7`^XHqAfwr_j`WiE-k z>}fG|>!6VX{%WeQGzB|qo_~zb1<`st8bZ)`j7Y?y2U;T+^SyD3fu@X!Ry|xL=Cp{I zrh|fTbhnKD$Wskm+>w+<2F*i~y5KPAFo;vZL_Mn}z~kj~ltpW`9lt>eV2Df|0iIbK z?p9LVt;wT*;Dz`StR)dwKgBBkjrWA>53qOttqgQ6g!ZseICP;0l&x?-2+raviE?-v zgcv|mvijU1LD~T40%^99v`HtWWc6N<&MXN$<#@Rv&J!zds6xmZ+**9<{yYiJMPu<0iuTTaLyJ82eTpupewpU8pLI4? zNA+R_E3p|w;S+{2GZ#%^@%My*LNf^Pq=Ri&GZDIR->i1~#1DBpA|1%9rQ}^ifQ+gm z7dvVT);(eIB*FuCt?0kZQbVmyjJ^+NJ1q8{*8cYE;N8Pl1f)zj*J4pH>cz>s1?yPU zy~+@!kX>^x-e~=Er30GJW1^J0=Gk2H*j}5sT?^nJB$+HoB*L!6NaecfHv&{BqE$be zEDF_(5_T@sPX|SzD6e*su~ZuyFLprh(4Jgxdtm^521hR?ik(2LRL{88YA$36_bH=h zkP)bEoY+^vi}V_@2xu>?a9DiIyE0H+HMkX!CVKW(+zh=>^U|x4R-5r1d+k2V$u+;k zJ^-k)j{SO{5_wH7tN^HjcOziPjurm+K2KnY^}uZ-EN<&7;}FDk<#sJ3e2AC$r*6^k z3kZMVcPuLp_{U#jd3o4xW*vJmPl;SC@el4Y;rHYCe~&fcFCl!mn#7-|Z_M`Z+4)Br`|=)cNBS( z?6bddN8G-_#F202aDzkCB&j)|ma;tr2vavZTJ6&l zRr?m^$lTVNQj@?!5=c#2w60HYa#+X_>a0beBSlZ*_UnF}gT&}^WoAC6^UW6fd?hkn7IXYNzG8Oe zC?_u~iQX~(0W35c_V)H9TQ$A+we~`H`yACBy#?vml9^WUHs|urG!-qZBqHX~(`?Ph zegoJi`AVPZ{@;qAOD|7XLK|xQRXB%2iERjnwy#DVq8INfp(OPm|kG2AN@jzcL>BPBVxFYNXhDNc8=&5`7L|r zL1jpMwM1zqlY9q=R9fgwB2PI1Ew`|O6pm-$;f3Nww)1=EwZjRzLqDmnasB`#C_tc1rJt&KFzuoqn9n>AV=w8_G*b}0!<(2J-eV?}r_K&b2-o)h-Lnb7A@o~h3{ z{?WybcfISWZ#w2FK)2kv+v$Rqi12-l^*Z)OgI@@1cVU;ULe{fDi63-D4s|nS8$>rl zt7+)3M(bwSZ3S59R`>)fyVfr_IB0@UFUHV&u&M$j6liq?7z`G&zX2MMx(uMvFJRSH zA=byvU!#oc&(*{FSoqqm3|Ns`KdmKee~DGBQ3j9y`i%%Y{u#F7r6im5@Tz6p!(V|q zC2^M0PQCrYZ`qkO7;qkyRTo2U)cYhk!1iH>nDUul-`?#n^&Uwhju@C93-CNkzGVv^ zQbx||igmSh);n&yr23AVtM3skSEuT`1yQRcZg2@z-vn@(s*fFhNSVqjkHrY<&{O}; zmG=q?PL)S_rpmjv{{K*U%i%+)yc$JT-otBoCabrf2>so zCtWhS$)$n7>T%N33{|O(ZXTa z9C7QxpHQ(8eTT5RB4uc=d?LhxO1Mxus@9^izl)T?U2?lR*r0XF7zZ3-BsK-Shko z-pkNEipYHHQeMIZG&>vj=DJRPyBYMj&yeG{2H=6nVp-gcevbql+(SQ(D6u%;O9`u<{a*N7rv5?x_E z)-)9>gEKC1R}0;J{AZMGThP9`??ZLk3)jJ-wN=fm*^NQsjw@Na8(nx28~w0vq%!?wUl)#% zihUl9R8n5WEw*N+YBT=Zb2{NuzBYoZ$GTl|Y+8w1b!A8##V=YckB>0$K|W5Mo-Q4Q zYaQ&9hn3I(R7V;H*y${*R0+$b<=ngE(4w@`q}L7Cl3we#8}#y;_4*qt#!cm>d`+*O zQmqSGP#LS#nZm$@R^wXDKXR zeI*-S$|c=U>Km4aneihy{s1F>1L4zHQ;ZDP&+1e%%GbuCPwf;IuRsYZu4K(Z-h*Gs zlJzX3lF8BYP5)(y8!$jm-exFF6AAO(VbrH}5=QwR%14~|`fVYM*4b8G$)4SyOp8Dj zN_<*XUx^&5^ePt z@E&c>`=|-Gbv`IXL$*-I=Ofz*GIGK!#2or#uYKkhz@FKtbe%EDh1RRzSGvFDzKVuN zPaA5A`OT>%Xp`VZvIP?vZtM4zpT&yJEEd}1OxH5g1Kww?mL41rZguhh{gSv~o-KraRt*Iv`2O3(qk3|Wy9oXFI7 zz*Az|^U`OrgQ0u55xrVRr(|{G+ssjhuiSWbunGW-kznNnb|VK{2ryt(7_sVgEJ{`{ zf15oBELVe$RR#WdiB(Bh7j~d9CAJg)C11nsff21qN26r*Fp;8g)!;LgyIF_#A}dkC z6Pfx9@kEu_9+CJ}MtoJrr)2ftJJ_U0l@a|`<%_vCM6m9aw56T&enTTPAA#`n>jvLzjMW^hA z>%m(~xmw_=d0hmqJT#&TypO#}na~YFsPD-QU_s9)eV1k)+SY}ICrMsH-VZB`<0kzL*U&zPju-+#Kt5-NbN zKGV(8#+#*4t|o29YoeeOhj;X36CU>!v_clN+)&W&X3;$$TE&>uAUcyoQ(cI@=>B9= zK`EEl6oT5uSA}T03(M}wS|J(*bv&Wy6GU_W!?tBL3-MYKUgc~6$ZxQGho zE5)Iw#WdIJ_nJzPLn7!NMi^?MSp?;3^D47n5v2$Kb@d1~rksn&D)))#B}9y~KhhXs zFjO9i@HyT6Nm?m3kh|o4&l<~>sjzwQlUThxIW%-2lHuc9`0`H8x3781LxrwYTZwAWb!K$!?lTAm}th|oiXQ0&c0QjB?@QZDnW>dES# z;)X^Pn|vxe%HV09(x&n36bk_BQBuNd>jn=Y$bhs7XEmOR*^h#ZT+4V zJdNR_!^_H_=0XoXjXAdh-pQyhGM`Xw3KV@6ra*f{J`rOOvYmv`5J)(r%`9a5_bhP> zghY8+<`yobbc=7E^~WbnLVVBGtn-GNgzO+8K9`)8;IR0-q;9#X)Vqlm(M2jW*hGuS z01LS&^7Cg8UbFVUPc{P2Up@m{_UN?rMC&u>0nmz>)Ik6x$EBInJ~)SLqoX&NWjs3B zG2GaJP_}jh@!uDPmzKjD3wpA~|0ojzWCv2llsNw&rNFRG|< zxhA_bks1g$(k_SaR*0b+qg)T=!X-~^6&n%RG!Q3h*z zRr>VmSz*xY<;@}r-*=Bmi{qpP#uZYFUS=&Q*F(9`Vz|_T<`m0jvW#baw($C7UPm*Y znk`y3U22Qs_sJHu+fs~G*-Bbq$RM?tdzEI3N0Cm5mY9v+e2By`3j{V3qRR6Y1{f1ANbVWpJH!tz@bprk2-91ESaB zIBTv@Vi9gbo`WuFx-Ogfhj=wzW`w+hf zBX8kAE4HCUl0A9fQ0u6>PWLD}4<#SKT`}lg_KhEovta$D3m1l_ z5%2@b=W7~^;vWtc?vUnxH%8ctl80L8rPp;ywxUz?FhIG6PdP=R8n7TSeHnAS zuJmK$-cq{tyjY{+8LS9k`5&_7FXB@Stq0h*&nx{S3gpvn3v^G1y7Zu+9fL)9XiEVn z4LwT_aP`|g0rTep0n>hf-SPrpc1V~Yz~o4n0GtD6seqYi`B2>Dg&}ze?Bs(?s_{y8 znh$c~c9FCoI;aNJ3dz*h8rcs@_88>lOX)-hcCU*~*{bx72m-sf(@R7~j(oNr zk8^eMbD}I^AF_>GQI;l&mX6HLQW>W}o9GfIagZ{dOp;nJU?M+cy(P}j3sjH9uue{#Eek|@W43{r+5pj^Kz1rni7hG&OLS1TO+r1P zU7@ZWC99kNBebL4Hu2A=T`B02MGI&~M&NN#+eD{k5mSlnP+x)HY{cKJ<5RL4hr17W zqHBvRNjYlmicTdd)2aq}jx(YpM2C`$e?X{LiA!zLn>+qiAqJIQg{)wy9g(T8ZQ6xm#DJ z0U4Sy^*C40Kh2f7@L*#ERYd?Pqv^6w*M*W*+~Sa_mK>DIG=ZPi$@u~Y0-sc-8F^(= z8KQ$SpWM$S&0ivl9b}Z$s7s<`b)JxP>rp951w!W-*(@csA#V)KOYTK> zL&@rbrzi@Xy|XbwSuu|tdl|Ez=e452Uuj*NQKUnPq%B;JOOYzhA#x5x)>(Vao{P|e z;Yras`$h_^hQ56ZY+)GS-!xVmE3JW)TFRx-EBpNwC8%rFpV-gGox|o{#AZd2+m#?~ zlvu&mTvYn7Iop-)+9Hd|>wQBO++lRd>k%2Xx|P=Fjh{8wsK8cIz&H5c8m%d?o)n;5 zZCaw{2{CZ>LZM2o%J#?t5XpfnKlQMt?Mk?&SGCZ~{;JYFTMnr6*O~NM%Ky%hUU#OM z^x95(`37~z$C&h@Tx|&V=i@@JCg_#dldXBxHt|geY8ng%Jqa&HeA9cwQYm82mgGG-TfLKF5JkT zdrgU4AcwvUg+3K=)6+6jxKBy+{8fCoHTIl!^ArrXRt!TQIqbJF)HmKRY}Lc7W9YaI zP(qVpvSZMbq4B8)6~k|kHL?Eg2LScUD| zawJPJl1n>DDV8j>5A62o>*p?a87&s zB&=ef<>Wl-Kq>CipOdw|taO`nqNY@;kq%Ljl%ZoJ)nq~qV#-8bD^#R{6CK3;@Gpu= zVM|ZcY>@zAuqax&37}E}IFOeuEl+d+DEk+WwA~hoNE-lFt!SRDyhv0+N>)Q~+b3jg zm$IUO(XW%NdMPUgc>zBPWr+^5dJ9?a&X|jNS*!>(%G#mJqGXkA;_3*oR=$Z23!87(x=xx9X^cU`w4je6Ez1vVsE^u^q(EjA#9uu z_T=A%+D-u#DxlUc5GHaM)o#>rDOr8`F$&(>r?Y-bH+_ zaCYP^rMK&>4p=}Sa)g#g=i5L>jrsc#qA}lDBpUNmDWWkyj3aKeg3np!PvkdR8=)y0 z_J41n0dlX%$Yl2kO^2HEkb_58vdBI^U%EpX(X|q#SMR)sZQg+quKz*aV%o~M^vFnx z8?SK4pyU<5GL@VW=1J&L8Qdru9?g;b?oEUuS*;zN1hhVn#dVXL@mM zUB+>4vR=cFbDJpt3!bkXGhH8p@E|g5eUV|0dLMGU_Nb3>IAD*8-Cw!=F}zUVzdzu$ z$M8x(Cca^H!*BMHvHLS$g{Q3b`r%E0KKY-MLbY6xUJ-r&;^AlW#_?klh(+~oXS!cFp!IMV1Q z!Q6(#TY#*o8RR$lAe;P-GS;qsUT#?oM+^PqL0g$*LVlw2qrs zBWR0_v}rmmC96MdfT~y9=lXMMoZaAmirfK}iwB!F%k@4f89FE5{(KuaQ`gpYN$uF5 zy7oe_y_9AVTQ0{q?40$v>oK2gFWiG?BEmZ|;<$I5L_y&lFI-36WjI?|@J$9XlWZ4)e z>ShYpV+SRx&y;Goksq-!HA?@C^AeYqC*?_15(VzlcXHftiJNQ09i!t?vg#J3t z3~#YbGaK}lGQbtf3+W$;SsjyahFj=CI(BlJ%sV~*NEBfJM3vYUfgg2O25;62Fj*Hw z$?EeZ&`KZyYQ$uUWyOP;^LDd zgCIZm4wAof0heEHl%Ju?r)2eyhlPAHy-)r^U4FbKKSn(W(v!CfUtr_8FO2Pu*O^M< ze!3Plh3ePBXpKMklWH=!X4uyW*!&(u-i3)<+%lwwn?^D;U?5uct?WV4=VFAMIt+5p zSr^ZPN3OWOBnA5}Eoz1D9?; zS5qiej7PGK@5DVN5vOHR8A?`1LYcJ13A@In;*BYMZ@{QbsjdtqtFs$e;vUS(ZPsO{ z1L3@s?Ig7RLbm_8nk@%e*&by`$U>NxjG9T=557)5_(M9Y+oN1=ZyK9wOIvKUW+vI{ ztX<}?t{&wU|E;rU*x1FrO5g5VrS|1EmbhC9O{hR%tB|UfQ;;iu4QNMjJx&hzE6IN>{x_ z>~t+Qk!n21qP^JHbB8Q^B^ADTJG;rN3`jW2dDOss%KAYxjftgU*=pS*pL7|P7Tg1U{Zgo=kQw4s*My+5U7^DnL2E-~lX zgY1gEFlVJSXEh3f7V+e*=mkqke`x~;itW{kO{G4smzt8*fraRRKw25Fj+?07NbCPG zpAbgxP08wSYXxobs6tNLpwV)32I|C=u70qVse6^^?!ibVCup*XWuwg~Ym+o>6P5ei zHfo=!bm3U#M#l=*F)3Lc@R2aP3O>^)I8x9-OdGP!O0yH0`qPJkM}|hW`bDLi4RtU^ z>eX&zRSyJ*vgY>`e|x|Q(R|v8^O|k!%zMhUAq^q$9NggGA*tr9h5fuw zar6s^In2S@OOfg8o7m9(*t&Bw_GjIXhXGD`d*wub7A%LQi8zNv?z@St->(ds=vka( zd-9JzX&?FpGiKOY0x--eiE}uG_!uZhe>Ge9Dq%8q9(slCJ>6JhaX<-m(UtoASS$iU zRsk-WS|8@$SRqc&R>1`~r7ZQsnS!nu7mY@`?K&N0sn1KgGF$|NqK?r!a=aZn9c8Jj zW?nIn9wXh#=QTp`7-Rknl&lT~A(@VPHLYXgMLnv62OvFOf)kDU%YFinb_w)MBl>Y2os!iLKuPF9V8bk? zPm5L^Jq%fi5}nA@$2hwG>?Be>%Lsl}2d88;;0{pMS&t>N(e=up*vC@LW}db9T+MlK zko;Vg=#~6K%O1sai$Q%{Wo156|EzFBnjQB}#<>g*rfiQYlf`(+3pW$YjC3$iN;h*{eW^ z-kvK_UCIs}z~^)?P9KQZe`nlGFN4Bi*A0~p8)pST%LMMQpG-4bvL^Bv8}^|x%ASUL zqP{to^lpBh-SnX{AZQGvp*;t_&!^ssu4e8Ju@O%!Pn}s(`h(UTTPXH_`X0S5Tgq*M z>J54{>phl) zDh+>NaH=hdzc9vsc;C7acT`wIb2U|ZKSzdOg#W~VQCPC{B=v`B&^ZL`UXChTA5Lt+* z)F%bXn+B8|iIRnUS@kEWQx+)M5`~o>RBTty1N+*9EeoY9eC^O&;YI+qjOVT}G^vv- ztT@IR4l1KunkzU+k+=%}!dI?@r`jYX>DrUeji}!0?%!CATsS%!oi824;8-%*RJ91wpvA(NH|4oQJ@Wj_$Ko(8>``G17F>%{nP1tDl0D zWND+8G9&F4otBc-7eI?U@s9noCbeSU$$$&^49Q~aWzHu)!FI1Zr?My4BEAplkgGY}q$#is944MU`iU}N zL_Eedo*f!b7WMIhCy@p`UnX&$-x65(r^<*SyEQr*lFaIIkg)kiqGf{arY!CE7ipP2KyGKkg(ZlZ7Cn4e6eH^)zf9L3J~gQ&0+%JxPvH`ah>L#sgouli*{(y%aM$@s zr+eT%_nIXbieW+DpUq3J61UG@bcghZ*P;~h<^U~8NJmQQuCdRqfBvo05Azyrz@K}0m%N5twkP9n$F<(YjA~lIbShF6fm%jW@wCWGX zM42i;+__AD+#||FdFGe@pSh1OHy{3nak;r(Nch_%fH$4A*-RfXvjhNqzJ;B~+ROToP7~U|_S3l?8#Ks;`Mt1$t zIszZjy^7s*L>bg?al9~60~$H~)%fF;7i@+a#GW{Up?>8h^D;D1td5(_K0SiX`vaTV zDZImU+j04-NjWl!g~kaqC5+;IH4CFa)OI;cSQ`v*q>Zwfwpp8uVt5c|^=;PHt)h5z z@>;|rd(k!+0vpI4zLw>DuJn)k9NO~<)I6BlUPN15mc*r8*{Kv^&Fr<$l~K2+%R9FU z_?kNZ2}B-5r(9@8wN7QGh(gyMhfr;;eBq@E4Ah5ebe<@lPZd>-tc7$71Xdzb=j>!t zzfk%Oj}atD<}>KS2nr?NLM2ZexfbC~y~VE=Tm1!Q36rj1&wYWtyPe=6P?|K#mC8DB z4%+m`g^|;nSzwbgBtpxkxh7S?gTl25f2EU&3b%z@Si=z|gk?1;{@pVrq$Pl@`70>2 zPXOqfWTjA<)R#7M;O<$HFh~%(XkJVsqzN@ObF**9*6^YMh9J@D$>gW^4K(^?F$!XM z{@qK_7X6J5PPJ+4VF%$H2KMnyw(QzWjHp-nEc#1}1FIqTr|7R#P`zvU%$chT)MbVC*hV|6(k#DHz|8yXW11e*yUeg z!Sa?_O4;@HTK4oYJv&1@EI@MldbbO81Ru-`MuYHTBCmM9oS7>ic#4>@d*I;p`FMez% zm3-?Ip1X+YUA_ZEZ7*Lvy@hjV?W-rtu4;2p?f@SZWvE_HM4*)=|8`N929%{@IJ@|@ zub%38J@xcbJ;^l|Q|Ggrk1Ao851VPy)N7Xb(gmOKN=P^F308TON4)lS;u@TM`o~9 z$BZREPB3&QA{L>R;Hwy#_i+OrKf(4L^QoN3RqjdeL})56n#Yowl|jS5cG57h|2b=a z8+_beWJ8--n{bFy?M1X&5y5(4G<)|99zs3ejE7J;7_m@@YlFpFp$2shG_Q_@h#0US z&<&f$zHC+o^je`$OJ!1j`|=W|{DAeg`5&{v-zoi5m&oCb1p%U6;-nrp%#;c z{K+8(ZCT)_$n8a4dkS?}N>)cn*wT+#1;OS^*dW0A|0L84z&T(?NZ3Sz11#<2q{D93 zVJTUCWwFq9%g1cc_ki6jVI6=9mat(0wlYa*n=WB2 zz=*m}N2O$S+^y&@eBmV#K!#OF&zaU^{4olWwwIjqWU)^0imHrbyXkkkI}foBSg$YwnM}UPLj=n=a+ia)piO zhGX0lWc?tqF#^Ys&-w9&y%-Bw-xTMEa312pd7U-3>m@9-Lm*(?`g=8&6dugo|XS5FI z*2{by&hZ=z@$Ai0x9yQxPMKt&;B^s zZMzJ71|{=|#~0ljej_DQ+$|~gIiG;~td*!;dY@8g7V$hMr)`vWfKVIkRN}l-nM%!K z?$r7641774@|6?cWiH)_<8{Uu17qZ+j1^`@|N2!k{&|0&qHQ?uq-Z474F~$AMNjyD#Rr02||1g-d%FJHK*}3uOeC#7j>G3D_id+VSUDd?kbCP*ra9iV$ zbiYwF0xw)W;}o7O zU=)^)wvKPJIoawKB~t6)t3~9Xx1zMj@ky$$NkEf(x?Ez`Mzbafoi(9+O_TH+geFap za6E^N`Wfpk7qCS?`yxlMSzgLRhhu|AnA*@$lIL^lwb(PtRC3DqP(C`oCo+Y+Hpn}h z6O3&%FsCC+PB%_CmE+GRPGT1gIqb%+HHZw^#y6pEbehcY^qRx5`~@gqNKi>FUjRLD zx|3RzuLaPf^MzUgP_x3yo;k_QaOk9OOS_60CV3nBv6xQY+(z=yS;-J&?<}wFCzjZX zVSZ3O%WUQNC9O*2wX#DvG2Mhe?d!GO={|jJLe69w6@{L&zGC5{qKA0ut(3a*{*t)g z9O4F*1|;-Xa}Yb#ox)TqsY3%ICpufzzkr^teDc-W?ig$o8#~%Yy`!aMb##TPqqV3g z6RJv4D;q2V91J&EX=nIcUU_4_V<;51Z2khha+SeTcrlIi!lN?`1 zdp*caE=2j7%ieLFsH+0p1{JtjuhYu-ZqiTk6qbm)mY%uD(z=jnJZr(L*{(BB(K-aY zCBtu@>Z~u|j7nh8BHUcsH7BXG_KkO;9Cg+?peJuK(0A%Q=1TetC`g_4N-0Uw-@#QP z0lwa5FlRvY{~dE?X`nY?7Za(^faiWDix_TLDPMbSv0H|)NIbl^;1pZ_3to+v7K!Q3 zEs`CDEG>c@oMv*hCof!5ePtw^TC5n;PwFh3^0gK{d!7)U4*KFgY)l&;dt|jKk<+DX z_cF^nF(mscKx6sMr{_@__QGy3&Dw;Aa$=~h9cF{wi4W4atfA-!#BN1qJjTjMDq| z`rj2t*KFJ+t7Z4G*M3(9cT426lj=^!ntsO`6;zUV(LIl<+ZWWw9_Q|b(OuXdP8)S@ zEl1nwK}0uPQoCsR7?%b&i!$?{{y~IedohJeVz5G zQGT179GN)^j9TcSiT~utEDKel;VB5H)Z#IyOOZwc?%FY+@eIM4_DY8H2Gq@xKRPZhKhzZ!ah=h;V-Y%K!-RBP-+@4rSyZ3Po6=tY%w zY_hw6jcxbM^h}$?7PKqj*iaq-YtEUfCWflU7p9*7POI7#XJuWcn;GKG49j(fX<#59 zX&Gc@NF)Y?WQ$AmL5Vs?Z*Y+4meaqRbV?%*UwpN52K&Z+AjNy>XPh>QbOv|nM1QPV zHy~W}<5geso!VR614s(ddI%fM<0tIxO7)taxPq1asf-YV)Qm_c8+;Pa6O$towT`xS zqQRs30H@CC)NuXH%BP!^9|mO*ilN9Kzd3DWhL+FHno65N48GWX_LyXFSw8>=!iA;f zc{9gC;y~b$t>b--!}>TFDB8CyH#1}tgRhbw(;1e4;UY04ni+DzkY?CY=N6q~0yq$r zEG>33#|jeRtFsu+VBgqshHQ25R~G&^9u-yemQ9ZOJpB2d6JGbXGI-P_8xv-MPIX|P zVrqv4a4zkc8mstQ37rA@UG#VkRr&QCJQs8<(gIee=Yki|q_DYEid#Gv#O6{R^k5M7 z>)7L1#4h~Jp9?z9V{fTQ++*RJl)XABsy!EE_nrTz2b%PFNWHjID4GkcHAS@zKYP!B ztKmTp<(VF6*39P5{*sD~&;Im+pk&$8W%~vvf9kklH|u*r8Kx{^2^a7^@+jP-8A=}5 zf_m_U>JN`%NU@(L-Fa}LTy6AyEJXk}gSt6~J$*qL9RLH;!;vO>uP|(B19G$$ur1Cs z)coS^!?8iTxQH>eEN4JhHn*!^-`N&q zX$75P7X9zLE-C035=|p1A^O#?OrpoMu!;_JO|3bsx&tHVMXa^MSFoZ9rh<8LVd-e{6Zvd^TG z%#tZrGimzOLNcx4tHxY|;z#AYN%4!+-h=I9kfu%dS(>RA>$U7~tify2NvO|j9%wQZ zmvS|)DN7PUb0HL;F8x^u9q8w$KfRuJx!61M<@rmlGKlCj!T0VLCJ~gYS+pThh@eHD z-W;~gkC*FPh|tQlDaL0-tz2Sr_b2Z#m1`lD%NKJ0{@f&#a<%u4gQU<32(8aycXr`I zpXuTk* zW@+y=nxs*#Chdy^Ax(v}rX2Qy)#v=p()pW^r8VlOqD`V}mmcP00)vy}n?-jsi>6#n z^gt<^o|7NVVGA$gwk*5MSJ3aqn51>TR9YcP^La=5XQqNuuI3%LO%?^E2k*r>ETk)! zmfF=%|K329L7L{06?_k;b4yI_Qfd}jcGx78ay6kZP7*?C89^E5_Gky{0(9=$tMGK{ zVXa;L!qM!z1^9Hy9_=f+S(hnhT{e?0s2~|b8xEOtp0SN8Tr}yRdD|}|O_DR#ULv`YB>TFMn;Q6-4-W*!NLrejcHo>wa|SQ1CO#T|iLPh3 z&gW{!Y%bC*#Mp}SPK@>DqMrU#6X@OZ1*avx9XKZpgTO}O!^lGl+zCFzzF(9pwkZhm zsvpIRilQYIWqsJ|-F-I7d6u&o5fJBhjKBHxZz4sz)Ikk z_hEwreFd!G1zcKgkQ{E7tUz)x-aIkb*7CDSatYoHGT4@KHOUoM2+3aHZ|TFnf@Cy2 zY+K0-?5Z+|T|C@W;IzvwDR2;p_4T-i51PbMuGZuJ6eq+s0l%WpwyP)%BqC(XFu+f- zQ#RXf^Xm_Nu*W6WC#Bw?&$eMEeVA3~vo@jXLpZE`V_(bxl*H}pIK|&WtRTJcR}=XO z8{N~df4BK3Fs5E?&Ha$g?&&w7D+K^g)F-UCr(eYX!`ZihRaJCh!@wyN@Sp;s0)ieD zFDNM_iWC^y(NL+>urw*msQd~`iwXq;{5T#kDs?EWs5Ggp$kf0K;GInKXkIXHR9f4- z(M6-Y@V{$j?|sfb_Wl3!{5+4IJu_?8dS}i3wx;Xd3`bnmLj%;7T1)*t%|8c%m~VMK z2)xWJoHw;&-SgsUDTdyc{=pOXG5kOI`ao>a*XBh5m;#WM`+~nanoIsfFK3UQaQr}Q zzvx9Eu*ap+ciaN{PE5hKZFlJi|9*)t!h;@Ez5GotkAfz1KL?)lZQWsuK6(+gZfEPR zYMKSv;=YB`mbeH1&&V@B<5yG&WHZeF_!VtO8W2CQXtDGOEIKHCLW@>NpU|Sy(#KM? zR{B_q{uDkp8t}H6Us1{pVsQhnF6RXnO^^<}xQy49r%Oi@9G0TlaL_Ytd3agbT=D{% z1Z%?nx!vsEO9)Iq?W*>=-x`l_Ed<9GAJh!fDW{~p?i?(0Cj<(7OC&kI0J{pC96 z0W9Ld6AuyZZRV&w%7*I}I;dwl059t<)8o1B4JAF42Lh}OL*j-|W62$kXV_gTOekJl zZx1=vQr@S%s36#e76r0h6BS5xFcsLHH+N$p1K)qy&^bmCZ)Zc7+o18bBRA`!e&zd# zcUmbwD|y2ds;qo1F1#m1%EB%dp6F0N>2k+q-cQ2=SeG=J>;DI@Zw3}{%J=64i-}_ zgVcN|>*fNk4O#)>b{Du2$bK)9uF@L_U+)+BkU-X(4gZ#B1hVet?agwHHwJBm@@YDM zEs%A|OA(8$%J(oxL`^~x!f54$)(xmlsZG@vW36yn0hQKQiA?zrad2J#dQvHEVq#N` z2()+;WIWK4xAGEbaY;YGTTr98o8ohTbi^&@_T>1VDTqTLXjPlQI#&#F%L0qj zw7;U%J;3vV0DQUxe*OgsJOg0{;4^jLSqRdA6PZ$tIIh6Ui9iEBTL(_wN(pG`dcv;J z1+CuZMYmzm2Mf?XzC0wDb;#@c0;xN|M89W$$JGVO1Ao<1{;GBG?3rsa^wRSny^8ml z@${bQ=}BnoOw;lI*@^#vbLo2M-Xr;eNRgEYyZOL1#ZbOpF4tVDkVyA6mlOR_2C?QsVTLuA!dN`B zk@2wp%tqIn-t+kYl@AL>=l(N+-&>4l3Z`~qK{z@7TqhRaZ9k?4_PBmm+)|>i5bf^7 z{^gC0q(GqkWSNQ<(B0A2^;6Lz%z$>rV6j4UaVEX7(GMt|3SsdBk7CN8KlU(zs;aZ; zn7f4*K;`oq3!&w@3BU3KA#9xI!#uLHbIO<2g^%mZdJUUGv8mINgUXtEXY2(Oo5Hmv z(>;CEApr_$#ZLZNXEq32e{kVi)|t2K!h-M4SzUk^n$>4aGDw7TzH_b4O$3E&lMk~h zf)3nQ?&ME(5hC8{;spL(h!8Pw+b1p}vPgte2Y0%Q$oiEBbVU>SP%l zB6|ftSC-kt5{=Np1eIMxL9Qm}f+D#99OlVCG@uTV` zha@;mgVIea zc`-Z^u69v~qjiAGf<;pA{_P_d?d2PjYH|lc;o1Z^LD9@!`yJkJ_(v|2KJ$ zRl20L%qZ`*jc)SFe&w~{$X1VC{4n@yY0Hsmqa&AE+Oh)wC2e1>a*3)#iXx;bT+$!w&99h&UVI?8PHa}`%%6c^$yj>0u@{Zw&PU>dQDkB@MQ ztCZp@T*O`KAjM7m&?R-1MsbsC+)_v3nz)(WW$GHhG-?-b7b$WsInr4NV^k6EtaK4k zWfbvNwVMbE*F=08DMd6vgwHO%SBO~J-6>&Kdzpy36)qC0NrJNu9{b8o0)=aJ@M46N z&;kkdJ9$ZWk%&4M5o-dZi0ThqMAVZAXWJC&E`q|fG2K8_L;#its(12xdI%BodN@<@ zhgpjF?R^&!4J5+Z!cN7-5<^Qu;aUru-A$$<3L+YI^0pQsBGKX$5i3Ou9N{X$VNAt4 z>)b>*e&t_SP;VSN`PY)=H5Zn1+6mMH?eDpuZZx7kwbqSgcuQRYU}YAztoL&9vJ4zQ899S zo?#VBltVEyE@++Se1-Q+-xGhR3U{e&+ zP4};B>ul1r6+Dm7B;Y;wj*FH(&H=A;y41~Gh{rh|(+5IYc5!PTA>`#gP9ay?{EHB= zI}qzC#E*nHt4&6gTT1-S@k=D6X&1k>uMje=x?)3#(pj=z0HvXdQ8=0+Eb?HbVPS}@V;*z|bSG~HKCL5Zkp+Y7jc5g9 zoR28UdAZ99+S1sYelcX2gCFWLXP5^zJl_U+Fa;jxe2C&cN#P}ag1p6#ze)VWjS@eJ zcjG73Zb}nB{`ZQXAc~i254&Id^t|>KxuUO&pSY8G;y3XWerV?P0Ei$oUi<_Nr5}6H z8U*4&^TER9A1K1&;)E-1kqAp1C|rqE_(`>y`p}Qvw3T?<{Cfz`AdC13>nVPE_7XqQ zz4P#k6F-h?Nw`5D7YP@RfOapqGV`_g^SLd9jg~dgr*{& zVCk&y4;ZE6fKfJP6sie9M-ZkRxtJZ{sE0}3Xdo~8v+^_jSzw;mUszYN`>%MD#NdWG z;?lH=sA>!KX%%@Z#UU7l+x_ROr1`F-B|0g2D^n%uVU@Jlm2|OA3hz7*WssyDtkRab z(k|C&$y>Qql18bd6|ST!bW-wGj&~AZO)6=XE9q*Tv?`BizmT+B%cTzLU1`_qwB)TU zkfiUbqz+foO*$!gD-TK1=_+ZHE9rKPG|xi*N{pnnskF_mw0ksK3wbLhNqW0VN;Oc= zu!A}&c`M%s3mtS-NdsI-k4w_wnCz3k^0}mK;Zg??uC%9hw&bl8O437|-!_nS%sa1# z#!%>F8M;Abl;q0jPo0#!l>w5JtE6eJq}Oy(@>aYg>1>rW!$}&8o_?Qpx{dsm#vpmR z4F)z>Y!(%y7p4oSAb*^=00Wx=roWc*zXq}>7P^l|#;`8;mbX!>2AZ!5oM@tTiw1n7 zW&7|Cx$H`J@Ox9O(dvdp%ET0f?%(IA2j0Y0{q8}${UEG%^alyeVd&C*ABw9Vrb@0^ zt%{^O_6@2?qJzk8B+~Bhqb5}|b|GqHte#TxR#pTegCT+L-U|sDy5XRUlT*7m2V^Kr>)FhN)RUynR2@W+0wB%wz zZ$MfjIwT?TcYfkF)+sDd!Ue)g1ZYa4H7e!pjzUQ+bsvw0vaF|7Ze%7SqD68e3mxG0 z)^9=~FE5crv&@vs1e9X(R=TNNr|jdOP!?QMxsuh2RFx}Py8zcv!4+n6#c%>I z=ee?-uCpa?rJ{pWS>s~rE2fy_Z+rDO0499|6Rb*;$y2`_9$V^TVBfSM&m0xFqXG_d zROpVRt@LZz(IkHR?+6h7u{)~7@9-UI@J}&EdGBZ@f<8JIhq;er0*;O5K9Ui>o6LPA zGkh!NK9V86o5`vwF`Z!!p4?*YBRS7VF;A{fAp@+qRd#eR3%xrZ@6|vLO}E`j2bPCk zPV!d|p3bQem1Z+4O{zU8|8?r7dTu^?!I$RPz}*Y=`m3RFp}T}g9wQu0=s0|e<4Drti&X`xOEZ}cTQ zB<;@EB!Wg)+7g|Xyp^{k=|+`QaV1@>lajacj3g~rNn2b=m+Pc0{zRK1X(ztMXT_m$ zT%ia1P(kV}LkFpxLR`z-YMqq4m5XMP?7zxnQleZ**XpF?t!$H|p(@vSCuyEX?IxX; z{FQP^`?bo}>ZJ9k-L8|8xAGrJ`k6|a?n=5xCnaxXge0}Aq*)qi9t|T7>a^sqbdt1L zDs8q#OQgqjQu0>Lw-Y)TtCHrplAhK{$y?bXN&Bj#`I2<19LSv4X~|z%Drs+3X^UOi z{;89axAGK7sal{f8M0nf3p!ec`mo^e1z-N!AQr-|?c+H^Sog!uppSdsZP=Z1j(f&q77*pbJI9MzKx({ms?qamD4t4g zo$ZbNDa>aQ+aCEKJFy+jXWrQ7h|-0m8il<2o|}-=bG)8}#Q5+=Da3cEQ^<^e3_|2n zWCKXZ@o!y(OfU*@;D(N20x{tn&l?IM@jjdn6)D*bA#K#D>8ii^7m2K6Y%k*5)0OWO zBj5J!e5ah_cMJpHBp*I$m~$SI&i4<+1T_skr8W;yT7-<$D3bB?UKi}sjWX_g*9|*` zYwHV-`N+9X4mewVcu;~Hy%YJuU!d8Ueh4cVZ06 zqi_wthqp=qWe}6*(@{K8UxwMLx>Q=me@6Q6t7XBcauI*wG8K%F@cVZ=qHvS#T#~%u z^U3F;0eBq}?a^2F@#O!oQ0{dH>lo&T8cpLcvbnWdZ3f6z7rIy9fi%v6In#?Zfet?I z4s6g<9jC!kIW-h@14Khn@inCj);(Pticr0wAaCXLIFLe0HR91if!?=TBprh45tI-1 zwBJW$N)^Si`;S)HSAkES9C4Rp(FM%C50O)Be!(1cZojaR3i^ z#VdGe67wELb(XZ=LTvj*3%31LwhgXqr|4|STS*(s??_^utkZRn5!kdUS3!o*zFepT zd1#kZg2R>DOr0BfE5D`kw~5sNv;WO%il?6k)G3deKHG*J2DIFTZtLJsI}x>u)wt?aiJ*g(l>4#mJ1FMZF$=f zEaLWqs4LD{5y1|j+}Zd8tmjlr!})d(99lso>f$NelNf|nOye0NSXk5waH7?YDENUS z!izR4A9a_v8g0!8Y$Q(O`$w?wsN?H#0jN4Ilx`_JXOH`Fw;Q}o0$%^NywhDQBCnFN zMW3`0ynLFveAa|vuI}w-`Dd1YIKg>qtS^+Z?To4v_OVdPanxFS+ZNFIf3-<$he}PTq=@D5J+L<1gS#}zLu$QYfYR-&7E-VY}im{rh@j_=`d-S*XKLrdu2v?eukv4&W}WhUgp&$HI;hU8xt>NbhL0k1U@I)eaOmo!!ys_i21qs`prOba z@S_!cjjlFC=bMF~KUKa&rkoy$p+f79l!OcBMRz}f!x(nclPj$-?7P~s8iW3Gx7bY& z!i{#P=q1#5dz|-9x1>hs%*)ZNRiDc8<>q@?a6fV>3szJ6;{^^lVHX00;df+fG``#7I&Whp@!bT$GD0F=z(GMV=-=sN=xK(z*wQwsmX5p?S4uNAv}v=tr0K^0j7O z7H_a@KqB#MfO*lHK_~{pi-I>na6ZlSB69<5ugt@YM~o%6P1 z_D%XKNVK0)o0Z)kh-T$!&|yl_dAl5voEgmm!>m9~8P$yXd`&Hh3ci6hss|4q!xFsG z_Gj@~S*&9wwQObJjIiC^$zZ4-N+W6=bOK*Ji_>K;phS?ja%qGlC7?8NaV5>rNy%H; zK7#Ka!v?dg{k(My>wcT}dD%(%Q2w=pNTtnfNPObUi+lOP^2DOb7_kf$H2C7&7%QsS zMSkBs?B2mi!-C?>ee%=9PjQBHWlLASbd^b0g>+R7 zOzSS3@g$Yn<2G(_D>2zJ1<+s!mElo=aiYj22v=ey6}fr;?59JWPYfgmPr5V6(HVGv zL6gQHiCoJ0Rb)!CmwTLi#Q9%sSq(*rzWO&mm&yi37J|}R>Q_X-sPHy`D-HVU@r!J% z+Q#n~%R2Qb5g<}az4ta=?TC}@urDtN5=@sv8*eL*~V+f zvK|AMQwUWAwk-^0E$*IUv|Mz>TzI$#U5PJvS2U7e!+~cT4!7`taV(JKpX0s8u|9cC zkd1{Y2d#o-LDHeE57}NVsVO) zaK0Xof{t}cvW4_Yj41T=qZ4-J)+M*@B6I2lahK`9b37&u>lfvlWwS9OjRp2?f>beD zz^ZZR-?Ek_;XAozlWaO4`7Rv!pV;A5z9Nl{_oa4XeChK0PT(n_8)>Y+SpkFOng&U{ z;{YFeFYB7uEYhOf`)9aVT^_B>jrl#JZDr zwaM`~pbdM-TRDSO^w9-71Cm>}r||Cx#Lm)Qz6FU#_RWh4niGJhJ)WHxL;bMqjbXxO zM8WXQqA8x;29|fu&zcu=u5EJbK72Rf`-&-OnJD8vnEp}5V@2pL@|lgH#ZOJ0eb3Uu zkUg$sqg%%N02iJLXv_a|AB$l1=lHAlv7mnG`^i@RD~N|)unY>;TiF0T9ptT?`kn8) zk41*05b34le*X-<(z?%FJP0)nO@7}d?sGqjZQFosNJ&`xR?b>J>V7t)bLIv&6d?jd zh%aAyKZ{66Le4q|O4R&kV_=(N3$!Tx9F)I-mZA%DU=lYd^%M=74vj22P0r}#Q~bC4 zSzy0`@K#Izb8m{$-%Iq|+iKk8MjhZW6IkCFG>R2+XfSjL+jvN}W&ob17gWy4T^l2k zudtzVoRJTD!>Bc0st4_IF@m&FE0HPR#`F3K$mk@=;^TP9qRgFzRcBF-AS)^)NhdPp zdBm}&T6|C$HN)~^-z7~(0U3EK<3R@PSN`WtG=fqy%jw&8-X6{G#22&|X*Yd+qO&h1 z8veHKe?K!*uh?#c^3(+o+YV)NiAZvlQ^40C# z2z^??jn*rssY^wTdK}x=S4=}&y zJB;uS8gT=o*1+OkzNKk5Y(X7kUyn&CwO&stP4T#j`NOT`w(T4YGg#XmU}1UGj3gKQ z({^@O{2w$s$Xc+~+qN*t@m&nvnXtu{4#y{rL=yJS+hjy*Lm#_oR<|J#DDe&5-*-)>vbU-)g^V)Oq6zgF7`uX?NP>;`JdXyT6CL%vN* zXQsYMZ)W;h?KM{Xq}u1Fk<0c)M8l4@w!_xe9adXS6uwh!^J5zLqI4FPvKSgM%zw&W z^YhRwb)=ZOV#HIk7!c=~l=TCssqh0`5^1jwi@JO zw$oNTelwF!rLR!=ic{&oU}F&Z(OrhmXCa2&wElIgzdb0Uksp4Lg%76bsU0t|*(X>+M%iP}%!E6oUrUPD zKcj5J&roPezvdLL-wSdr0Uo1lM8JDL#8ML-LX@(V_THegG_<>@7f#HL5(YO=>LJ!EF9Y0DGrdb?zh9Dseji6~v5N|#+9t%OgSsJbpwcY7O>KVQG{{kO zIavtO2&Xy%3FTKwX}|EYXTo}utc4}SkN)_znJwPk8Wqry=Mvawz}Hc}r~8v|}M(W!z1 zka7jjIa90!+kE7W5U;%Z!P76Fe>@E>Ld3uF+ArA9J0UP>4n|7EbNu2o)+0s7`unp2 zYheT~nhid7E?C1;8?U9t0Sau!nG63y!vdYXdI`Vw=+aNAgYUwh$zUDAhl7}OZmkxr z3XHG-@`rx(_+4D5JpOJ5yPZMxM>3ctI7Ow6pk$`nrdy(tYtnpq`$t&EL5*9fYg3PH zrD_PCJ&i%8so_z|hUhKgr{{Y7B$%om<&z#^y>Cy`NPB^FEUe7g&ROktp%YuGB~52J zf#~Y#dB-&6>QDU3M_8``Q?$6df5LJt^&Y_9ZW%+*aQ+jwQkwA{zx7e{3=L}ISxq{< z(H3oDboN|iuI;E57Y;|l3aNR~E`(u56LjhJNII?Ke@gzE_Tkr>xx?Dp@Oz3mU|~8k zE}5?F!SPB49H8BhC4Q&iecFK7y$w-^@Q8N6`}JXuQ{cx9VR`h?{;lGdrc=~qxK(zoq`-SBQMJzm1+g|mXd>P+s8W3)dI)YdY=<7qQ z2@U3w2j~i7X55(!tT$#ReosGqU?%I&u+ld*6XR4W#t=~GkRJgh4KdQE#34Td7B|F8 zpT!RO5wN_WLZ)U#L#6y!-B2aL6*goGbnQzTa^%P2hJ1Wr_J0B@^}viPV69Rulrs6` z@8;?4HD*E!8bgtwwSp-YNo5xsGyUtEe)1mX+a|R1d zY`jmhRn*@W_1EYAe`CAlc^9^g_wfg3u-JABq$gGe+M7OZ&zFO()rtjWLYuDsW~sk9 z_;p2_>sxRGOVih|Kyj`Y0q-H1(FNDQz1Ezik2A~TEpv3Krp33v8e{vW^!{55e!R8c z_twl~Vu4efg@U+-x24!d^;c1UTktEzen(0=DwR|r`O;lTzY`5_bNk~gwEOWQ%IF8} zaWNmd8-D2iY>s3#^ zN)oca7k_Os>wGu8%;$~rL8XGcl@Gktp%NU}zjn5<`Fiwp1ht^lilGw4sk88XS-8zz zEJ&2)7O_X?Q;Q1JT2uBN4|sxgpBcMdN}+yKxlVgm{(sdaiP;l;^meygia{UOLWCBJ zgp& zyS7TE;!TjCc|X8>^(nzEe|GU`-BxgQx_MC$E$YX8{ehc~s}VQ3rdZTI9{VHqv3l~Sw z@}zfx-ljY5v{=J#l>z$&JXtE!uH z5b+v_80G2j!ynKUv-S5b(Bo|CJ||cvXfw^wIl-`3kz8=i+g!-8q*0Lg(cLhRhuPGi z!D!w5V`pP>Ir)YyY;eTuF{LkFFP+`ysx7f^1TTLI$Nc4tvPH}&Tf~gA-rH6tW|Z4- zA3t=7oKbE?lY<#$^wDlKqZ~G0%qSOQs$)ysExd+orlBP!RnH^LbIz^M!8#9Lj0fZH zSni0zip0Dy90u$FDx7*U7n)E!u9(Km&l4lZ7U-cM@p3**0x9N{`5oXsvx!)CDM(c4 z!(3Dksw<{W#NS->B^>s+$KP`+8G8;=$;kDSQ}=8Dbgf;oUgp5Je4Q*Wd4&ZL;3QU% zXyP{bD-;_4SrCZ1hllI3=|OO&kqU}0Et_s=q&&nh9JIUImTaV)CqrOkC?ho`I-@s~X?QHO(kx(9DzTv5IEH zQiBUL!bI-cG$C5KazsLFKt!@Pua&{tbRC)lL9lz`S_<QpR91wd`7rHg4r81BWE5V(_Ta2crj8Qg0RTg!g{gS;F)jaG!OR@0b-Z{^KHQg;oQ zQfWFN-I2RCU+QiSXea~H5JBvfYuQ??^G!!kmCBdMlw`yqfKhOCyr;C}KZKB$-DBWU zTXv8y%w}P?n^evj@I>L)5!9CJoU;(5Ato|q|3Q8t8}q&j3GUN_+;bMSxO$8nP_^9k zB$%O5rWh|C1b(~UiS+9^egvTyo?2RKt7JUTlD9He(E6`mDi3j#xsq1vq~xu1SJ_5< z8pVFo)FM5{s4;T9xAaEde z>5tA9S0h>qicWkG&(30jPbJqZ$9@7;vUpIT$ohOC)OUgQW=MTWE=8rbUdKY-O3499 zOB*^wYjve<&}qqA$vD8rJ;!3$hcEK{=UCvFh?!#7R&3R81vnZSE{=RX1~+_4@k4_n z`nB2<7tl}XxK@}~X=5&V93=;rfo6@zz-YJCmZ+@dC!b@z(yiOXSZD{$OlapRU3E9A z?kv@KCgw^&JS);^H<%j362;W$8(i(&RRPOma z3yJKsSsdr^!_VMjDYhMFKRJP!_<^&%@B@}DoA|Kj(ctXc#512~z0@6&@L$DI97 zQ)_E-X<&{m$cLN{!@0|Jxg+2E0vn$9-4Zv@%@~{{*VN1TjL;m^*g#Zv6z{05=l;Hp!y#paa>C}~03l*B?o zm>3G_DoL(sRI{@gVKgA3>>@f;hNmGJ%gUdLaZnVrqGfe$gcJ>Nup&wi^{dHEiO8M%5igRYpM6sHd8lprNOn!`$PK5**?e-#$^#4@XXnFx#S}P;-WL&Kto?6lc z_u5dfA`!{Z(aQ z00QDY>bzJK?%bXHivkwPVh{1OJk}-bnmR(JB2FowT1G`2f?rs{|C`79wHYqBDSzzX z8}l&SOOeifJNT(QG*zj(tOyrbVsU91*57I8(F#S^O_0$NG7U}D`JbQi7<@%r^?J(z z+c~YOT}M(5=X6ov z!xEdZ60WJQHiJm0JMCK*hEZKK|F0uzEbN=*P$N_fX>>{4TI%;UO#o`AAL3{8VTA7I zSHS`V#2PnytwyLnzEmm$;RloI`xhd{mOtY9+~5 z-aH3=WrnR^fO_eY;T6D?Y&%h#FVaWVhkTTczH(J09}exTfT$|Px*m!VbY5-Rh)nq} z#nI|rnE;pvZOnpqs;$XdbZp)uqis8J5^h~H6o6r4C6cU1SS%T%43f8!fLKI&5UK!a zvn%Oxos_(l0FaJu-I<#3NACMNyA?uy5aj=kC202MY~F%R&LwM=N6zERm(&m=L1lJo7HMW`{>H`EwUQbAL9E8aN{!8 zU(V?x5J*CZHLL?rHEMZRPVAE4)Y4A%nB27uQexp2*-u5muP-u6qpNl35U5YkAP||7 zMsd{Im8Vs&=7;|;)oc8oFyz9nu?g4BB`}ZGifPTJ0!LJ;?dP#Yt@FZ2qe9bu>!_tP z7p^BHtvg32{FQso9v5VDQ*9%#VK0VK&VMOnVV(Uhx@$L{GXIBncvum%+a%NapL(8L z#5zq=^rWSMDv;trD^`jzw4UayPE;bF{~gtcVmDOH0@X}^{#6k&{ANh$B%s|pycv-4 zS|p^mXoVixoQ1G1uIl$VEPEZC6mr2xWXcT08E3Ox$iQ5dexoq?M2CUb;ZqaNnM0T$@}ejSMGL7@4ZrOwYD7z(2Di0zGX*)Vz7;hg#NFtdAXnal zkzvs1LyPW4)YhX{u$Hc)AcGCHhlg#u-ddOO9PUs2i45A{_C7%VBw_BY;oAM!p6oUI z*1^Zl{s~Znk0o!)c#gkV%pzcR?X6-K7yfA`)}`ZaEixuXxBYgZn1$!zSpwH~q{s(a zQA%Kq7@(VxDtFNDVRHbWDaZhN^w#UGFhaNgsz7Bf3y3hJNI{i`I5mkweW>7ryaEzLn$M_{Lx4s}>5rzq#;zrP9Fn;LUuKjC_B?{VDAd zpun4Skw0u_qkWM2RNE4t>pXcO3r_QZ0yNvq->fpoXuMg5m1OuJ_0k;PFn1Z&i#(`A zV4qgPx@)&H16PTgll<)xmUz#cr5-qr6u53WJ`x(9{kb%^jc4iIttkmD&$qK?V&J}c z3PR|wZUUsKrk|{~l@+j_s7zQdvNa8;CtTz`OEF3~*?>RgB0sfA!uNWW4IVRU>i>d&evE*hYHKz? zcVVsk{iWdB4CwheJRkf3eeJ7G=w%=9c4aKV`Z7Vc+Ptq<;wxeIv!_+{Cp15AhW4$y zyswudL|~t8#ICFnGRlB``bGX)nZ&-Xj13;V_@V!Web{Js>|cO)9T;?djfHu>%!j_l z`UC5X*Vu!%W<$-&k@xtn*VvE&^E9Ll`1*IG)d&$tXI|v}U&q;G{Em8E;(GFR;5s$= zf8i>+`(JT^f#Y@Hn#V7`&L#p^${Xyq&H`8Wicr*>7G!~T$Sr?2U-Sm+{DkdY9J&fT zk&a@UV)s5l#nEQroK-hth2Yi7`0GZXz&?CXxB(qiRJ zu)@)EY>Z+H7hP?NEp`bF&Qt7jTIid`;kKZ5h=kH`h*p5{Qe8UDXt7w<_y@|h z#|6JA8uT=@u%zr7AN1|XHF*_cz;G zw#`VM%!?Vq{^;ykIYKV@zh~g&b7v zahb6fsEUtHI5`idr@l|M{W02hm=@?o85l0IH6`J1b4d)QmsVR*1#)GCJ@7JxkFr}X zQyED~_|aT)9s_p_cg8)5^Ntf+Y^9Wa=e+P~7oS$1w-lH9EEFs!SGGXxs!Z@7V-LJQ z#ovmuM5$1YzKYtJ8TQW;i8zqBZ4xq)vR>>MP>w$Fy2#OAMUHyDV9e2YBpVi=WQJC_ zXK3Z@Jog-}P>z*gCv>VqRh+eSqN6rRC{LO78P=FotYaHB&000hHY#b7Kor2SO&UR( zM$n`T1c7t6W2|BwB8yjTx<;cYekzTcgUuS742`5&Ir}N!!P&6rby)vDB6bwi*{ih)&#t=~|MQygqJhT)ew^`*Zq(>$egg|JK zgz+Gx&apN}BitMH5nm0$yeL{ZGX45E7-rIOBkvP5?seAn$tYd0Hg0MV6ezR9i>MM< zOJj>jQRu`Ll!^>q1%fN4>`B#$8J1l2C zSl(Sc@@<&FNnOr-{i|h)uoRb$vpCb2vzTC?ba4)Fc0Qc}q}T9L?EZctPDs!cOVmiS zbx%#b9xcY2!;c_Zmn9FV#|PUW3PtslQJ0J$aozkI^e8?!Co}eK>}f=3Q5Hi-6r}{e zcK^r`xK)Q20OrF*TJv1aSH8`9-(Qy43r*qFz#Vku6gQ>B{j7RAY=rw+Npw00_p|E7 zaUGpT+`b|j&0=pl-N56dCq zE&4N+PTsMSbdzCQzr98~`3E?Np1<}E8`OE?GgKRJDis;wQRAR&f-9hMEm0sn zBGuu<%9B%vVa|XF5G@K-H|16gORmd*j(2>Q_3YF1v@457ut=^+YA2U2XxWh(kg=sp zEpz!J?_ztscOd`oyDa>%CJ<_eOX;^BWGD)0gCP;qW-1{v=7OlX6~k&3kw-+>gb-_K zt|gnM_C%(Hs&N!&oc@r(*Kg0=0)S5&Zw%n69>RF}sQ^^PHd(N}-M-FGhM;?02~cEaKgv( zB`$*tjp-n11wBOh;yx&w0%`+@nierHK23|5iCx>z7`B;moK|t3r3|6P1=$z)U5K~^ z9BTuQ@V@V}E?p}Tg(!SLuThZ5+R!6>8VGtkM+C4UpKxj3A}P+IiAzV2J$lqreEIvV zXI>P%39(NhdQ}{@v?SM*pdZvo@lX`vO+R+;tUl&&TX~CM~r2;BrY_6FEze)D6dXbdIYj19fsW z1t*KSwc-`25s~MH#h`StI2wohKiY%PuOM^kC|oleV||w#j?1B9FvMtOCYzf`M_hI?tU8 z^Gq6yLV`iznq}p(cO(qD?UBDmmcpd5nHQ{?_0s0}wG|k(&*z<2V*SI3h4xjINsA0v z?i5%e{bvkw!Lq`rl5M#6qG4G`SSVam$>FypmV7WT6j(M{@afy3?mDEj0kjyN#6dn4baAYNK+z+yPOzrkr3E5Lx|eQ~}lB1n89y1)!xL8UPg& zpu;5wK-*#n(BHlWKx+vQN{^abcj5AkK|>TS7JN`jCoPv6ssi(R6%cLq7&SCvl>jtp zl>tx%0SYz(Y8^;`B8`BmjerVpNyh+)!Zkokmq|bkU|y^OO1cRUs}z8OD-D3E3D6|F zK|^;7Kz-U8G_=VGXd7OMEmuO|^CO}&ypugwvBKLqq{x^LA(Fl|kxQ3Ik#y_1QY#UvNcNd52cH>4 z=8(uqMTS%+2$7?`4XJdHNH5+@BI#Q*ns#`x6j=dAtF=5=MQ)WM4;w{_JJd@H4I*ET zqEwc88AKkGBCA$GBz3C0PAk#y{tcarVJ9l4zOR;=uVru&O(Mk>{f-u|L=F@-^%>47GNzVUZJ^71#&q zP~OiszsCyBx-2^F4M6QxP|^CD0B5|TW=SnJn=(l_XaA$=Z~3}VZAx{39;#Ou~VY=f5Rs@Tg?Y@2lkvGm4`k~zRqVQTBDG%|#nSUO$_H}|V#^~* z?6=npV*QL_1Kh>>UE+7HhuG7qSdUwzlIN@!Vr}aUsm&*`Kjj+4Hbs!w4o0zoMkS}= zDvTkuftPqIiB+_`RmH}ACG++xgIId-M(JS`>nFrcx@t&mh%vQgFq32u8-l$33S#$Y zd8>-OEXB5|Hi)H%Z5PIU?P}Mj&siA=aVgttxh1wai*eDW96LDFA0^G$$A#ZCSHbcwXTh+X+k$GFgBHKHwZIj5` z7sW2+IFBS44z;xy#KjoJ-4o%KN($HJU=Q15>*<4q^sF^Jbc1Lp#%(Z2q(^d;a8+U; zN!;_7L1H{fbk>;#-P|Nnxb`g0o5fOM1SICH;Xg=;9XGPb2c2o8H*=K9IZoATFPLPn zs)zVaM)65T@ms>(#3xH5BA*oj{KQ?Ta z>4J~?q_0?`5bg2=lJ6{5Ltt>pP>Cp9%jYo*q}H=Y>tguNY%6awX}V$X)UfueA2 zzFkx#LFI#Kz6vT|Dyd_wP*U$&D9KqYvPt^LIZh>M#Ug(Jl}cYDl<7wOeA?9w3WaO> zsV|gJ$|0dyg;Gz+&%D5Q)iMkE>GOoe*-sY}mi*aHEGQCD3u+%>%n}h&T#Zr?2!)zmlD0d7Lu3<l0;|a$n5NvY6{mL<$nGZDX|Gm z|J2LD{5n*Y?ps7TxP6O3_YRVN`?Ch!cMT@p&oQDXCKPn$T(-O)gt(!gaQW_ay|RA3 zM9~7~!mt>QP}aNY-jDw%0bKzgmo`};K+n$->IfI=IFCm+VS?fwS^>rhUCiw1ucrP8 zpS+dzji=<(ehV3PQC5G9vqHnx1g|A zqC9(vfXUTB4ICf0odpMiegmZui(}FM6{o7!)$^R~;yKL~+p$hx*_$8U&PKDaARf7c z&1xentm5@FU$%qA_sq&nl6OQ`^~Rkl%E%Fx6r z{;QBhajR6?3TohpHsb_su0*xx<95Pma3Rm$$%3Zzz97-lF;NXYZGQg-&D{sg*exizX0pcw4UaEuFV?-7aC5jY$j;OQ;TX-tJI4=Srv)W`J5>AQW%itR82hV{uOCNaQmw%bJu1 z&53eXx`_ugu%6Q>d8B45F2E%ru!ho#2m>+Nb#mA+0h)K|*!H)_74B^~Us*U0aqB2l zYqo!WNlMDc^rUGO-}!=PUnu9d7d$Q65w|r@8d`~~fz(QzIJqy%Mcx#W=N!It)8*xp zyeU8M0bgStb$S)g$?@zD{ws4lEtzV;y77d8|2=|#w2}XG;_vJepTgY-nVtp2pF*{^ zH~&SMo+_kAS;hOm=s5`TroHH?KOT_sgzS5p(Dx2}_JkoFGmUaq!Y&>odGMj`rM;#a1;Sq_!7dFzoxTrqaJnn=o z?9Jg0SM}x^V{AkWC#C8 z7z7rQKxeD(34t(G=eNuB73c`)IlKz@3BI9z*K${8jQ6#_7Ox<|@t_{@g_|QTH%5F7 z5h;ap+KLUWvu}_XqS3mVisxlATae87&$(Z&Namfno|f@yGN;dQCi7Oo1*U|ffBxY` z>`C_g<)I{hT1|(Y<+kSWiY@wE_`_Hq8SLy3fp*PYG#b^+9`@ij`BW9+juZC zgnX+64(fR-@QteXEDo+?&;I*;QRsUV6jEw;sV%JaLnQ21Vo#U6l{f47sc%_l7I=~e ze9OAWSE+oez(=|M4sls_RB&l_L6Gxt_=I@49Q#hyjH@BJ<0zeSoJEGCgRdja5)+;xR6a}J#oWzF66BYQ@Ny^&ANL*Ts^Fu@WP9A1#0$Q= zOn-Ee1<=`Xv~#dKGtoFJ5B;R}d1w;do8o7lLwP~3fqvQ86bHY2y>(;#f2nslRZEk8 z_l_eDWgi{K+_k*QAv($94)hpV=&H|l;%%>&K@@?!L6sBa*}U3!1@LqS92?aax3L6 zDvBo$F8z*$bZA-CLA)6fgFLWieqB5LB%kt%XP>b{H&7lf3%n^0PaE@a%Plew9|323 z-2KBS47# zpLUpaw9HoH=RmvX)jZ6`H=3o-mm(L#M(^Lp8;U%;x6dWY%&)};x)gS`$TO0?zn-5z z%%USaln#Ax=i!F;x&ZwvLjQ_U_)`l!2QxsdSb$iCC;4kfSoeqjR7K`fvB_OR7kE}% znq1l%YWv7tTLSR_RkFXJs!l? z-owW<;&e}jN?k^Yz@M`WEouC>oT93tG<|<_%fOo&S@0;A(eScoF@8)c`XA%R%_dQV zOsk#uB0NwI>0U%q5g&e33?`=?MTt5?Rv+W;jFqX#JhD$*2ah^#D7nuQvTv+H(whqTz2CFGk5IDU6ywGUd}!mwvlywl zB)SSmvR%em_Ewy^Q_s(fkt2m`BdvFEzlVww4aCw^r;(vu11ri?*8C64g*)bd}FXEez zG0RwW1hDo2gT(osB=Pfy3=->&5+hwCE+C2ZO?<#{4EZ(<;iHb@9jYS!;&EI?R3)@b zGDx`o;DK11sTKdbq^ZFu;j!z^Gzke5uB9nwhD=iy(o{W!tkR>c2MqPGCi;|TOhQkv z5KY+`sYYUrKr%Ng2kWx8>=bdDCg`-62 zi)XldiV#vt8Geerlv4iHlDb9nNjr&zl-B9c99;s9QH3Wg(##kAHV;0;04 z2)G`$ayO!8J9eM};2Mm$!HE&xQKlURVcg(>|(SdchpB&`_1BL3Po=<>-TzN7Cb|Fic2fj#beA9dsf7yZ?2l00^KTfBMN zFF2r%vy)Lj(Toe`;JVmQlA`kw-8NI2gA?i4uF9PNb8vSHa~nADt7WmE*rRgA5z!bh zBclxgN~o}p@vx88ABQBZx>gSA+^ovqm3fq@um@*gcU)%|6|b_3P`<6CV(ur|rRnTW z>+I5$Pe4N4Vz7fQ@>zg8uXBq}Qn|$_`GVW;F+E_tBh`|wv-?wLm#$0&%`Ve-z4?$c zxc>BPlu?ziwODfv)KsA=A&=VnR9xB*@W-3w^|TjJMH&toM+JollposBN!hp#x5A)w zSW53v{>gQeEy-f~JQfdnELw!t!XEc`@0%p0KJiT%XluMrE&Z7VwrzZ}2=A7|ID;p@ z_ZQfzClOT$R~~@~T%p+AYm~qBiK7&y*6)F+SsFbJZ z3TdW)p$nHll?%-dG%gVu7yiZ1EVxfk8S4(0SfOgHCd8r!hh$fm@aCUk?CVq?D!ox7 z)YAL-Ewc26{n8VqcMu}j4)a^6iKVi!$lO*5qT^B zRY^$+AoXB*F0?5+tp~OLQ$S0ys!LFtLF@xhoo}j6OWsNhXz^f^xFLVTya=Oa+>noZ z*xUNQO3V13Qb~M?!a9abklauvBfyPxKE8DE-<3WbugCQ4^Odj2*a(X#9@GNYPC*1J z!Z9G%GWPt(yxm!x_1)52kbmF9Nj_aAPjVq|{#cfHymJO$En%c7qEcR#To$`}Ix9HQeA-JNPV+3cm3lSJ@S%gHSkw{kN36u)qm^&P5} zlK{dIQXpqg87lh>k-Lj6;J7sFa*X zx{;gNF8pdsL=;Lpc`GMy!kb7*MIg;_C0(qOlDD!3q$G;;K!qfq4RR{8cDYWRPs9sA z>{d!}YfCFVg2X3>t2zCVhnmxUB9PM>x|@0 z${^1ecxpwt_OuFlvG7*5tF)vLqNP0{o%Xy=OWsO3(Qf(08>Qe5QVewrNeHO@6LeZ6 zQCHxJ=IaU26D=tRq*hnf*L2q8t=#c0PrC@4O-sXh&P6t?EBmddZ|STXwm8qXU&9j_ zqv?r^HuOZs<>h?uMHWfMubVHjz;VvUFpQ>46IU&N4W>(dZrlxPQ9g*c4DM|OiGN&I zJxmM9Be~Q&$7af-&t0bM<@~-&Y$Ee-<{w>R13J^o7viqS$f9GorTcv{Y!0B~avb5! zmsk+1ZpUwJW|4ynx6wP0=AvwozFbR2ZKHPe;cKkmQP4yLVYO}jcXP3(9QVn0{GnzR z>U&%xEBTSX(#(3~nJ@JeTbLCPLv0D3<-lNO^te*L9nw}kn3H}fe5}{L+XOb0 z6_s#6>)nOSIq9PdcKFZ(9R=6D@*YALxaOjf`S{Ynff{#dy*|Rge!pNWZPycPW%my} z3ZdWx0~Y;gpDgQl#Cfc`>9kFm#|ApBlmkHM`}(kj-M zU|Dc<-Fd_uV~f2^p{bbaBf>b`mL;?0<80n1tm4=2GP&`De5eSvoFE(KgX_nESDGNO zTqYmo)3#(Ye%ghedA@_c9(t@}%eNScG&pLS6AJ|Mqfgb9Tg~uH=MH zt@BFk5Z$^n;c{MkyZ^nAX*Z3(ga7m=tTPVE<9?Uf?VaBWk!>msk?60c83io4W=}i* z(B*&In60D^s7tL}OQR8(TuaNK9bB=8JYXCkVK;!n>d0H$5kEAMY@@(K#;8{?=f@aC zT^xAev{)Qi)Eny=BX)Uznl!s>oTmruGmk4B{%KNO*EpA`6?ATbhAZ;iL>Qtb+ji2U zPA}F~T;c<+up}(>KXXMtIHi~pT^5rBdvK)W3hr$~UAb2pxj*@n#@$?qQJzO#H z+VVI5ViC8+Tf4a8sWReu>_;~|RhRg$e+fLdwXn#0)tT#E2~IpdV{D-ph$~WiA^xO+ zdo^)Ko~pWBjR%0GF4fdlU*aFM2=2eSa9=pgojbS+T~0LUGU-Qfcdk(V(d5QG=|?{0 zZ#K#YrGjQO<@~o6+~=+Po0;xV^}2tkQ<9&^IY=PA;s$Ymw@4M%)(7AV2wq~d<6&Lz z)1--<{lj8Af85cnaQie;;cjln$N$5+q~~8nC#+xhqkb!1$?Jnzr0!QoB(->PL4OtD z&PlLi`3N>x>~Zn#+&u*M)9v^caL=>e#NDd+8@UrnZLACTy|_So_H8mN>3zjafwonD0wb%j+`{cA#P{$jB%D5#jJ3PLe=eTDx%oqqC2>0 zwM8@;jp7oox1}#AF|^@FuQI>5P&XxDoxwZGpkbn@r8t@ezU>oumuswvjM0R*vT$)6=pNyECrP;8xr-Nw9R0U@>LOY#Y<6#z8b5BL`Zp^DoULaBI8kr9jyHLVT z9?0NdY%P5PWBQTEVWm8hR(3{~1raGMc>N9IR-uTF0X&SPoQ6hVHKR<4yi1T#$D@;3 zG_p$K2eJy~pAkgHdoZuy(Ec~WOp!1HCCt$=5@uD50j7{#U5%m(h*e6*C&99G-EaVd z2&HZdi93c(}={YbPpn${oLid)!?* zJ=POMIbejq|HIn5z(rZ?|KrGjA{Td27EwW0T@)2`ODViVVJ?PBWramXX^Le=MMY(S z3B|UcSmsbs(K%L}^c0<}176lOC9yQIqlK3W^Y)OJ(7aG-{@BCH)Jc-X-MTGe+3j~T7Jg?MBgB_5V8w9%(-Q4^og1&p#<`CVPufUyY= zgV7vl==R`7?R7Mvt^1w7ZK9EGd1rF5#Z=M}=T}#%8#Rch1hGDy&2Yq?ANYYTtk3my z@-uMb0HTtwy_*Z>3k36KALe}o^WA|RnO8OO(Lro*HrDGf_$ao65y{3wxU6l(4g{5y z3|&e;l9DH+9D+F~aj3Nj--T^Mg(NtFCNtjtA&4 zLNyq{2(@@9BX|&0IydN2UR($%r9z4ZDZ(SG_T$P1lG4dXN~@lPMwx^raPnyU+2Hmh zwCcSDho+k-PAw<{oDZ<@diP-rh4(_d3u>IBQ0z%FO~WOm z#U%h5bissH4oF2GKBgP%<3D>4zr7plPn#nUQ-c_~Mng4ffYbsa(t7wiI#xTZvNuMt z?H+4g?mqsnZp=n}n!2$;BftkaYK5oN4$l#~CtoD58QC}8`HllYp$a%;Al%T(6HIJC zck<_2)F_dLT)@HX9uu?Lvm+z>>JIbggQ8jSKp(}e0YRNxBf@AMJ~Fti7%8~50uF9R z!R?0Zo}$(8yKv=7BW$S{LzN&vC@ZvEr3^FPm5e9^bs1KKA>&4~uJLbJz#v-y4jBbz zk`X0jWB}CEK}IGZLdN<7A|2UyS2A)C)Mex%3>ila8QD@sIpC1dE1YEX5;Cd)YVIJT z8W2$&`b!x#cvmuN5!7XP5QdC`a5i9~u>9vVyRfae8E{$lHWDQr#$gm94FIvlU|n`^ zA-xpM;T)7f;2DKYp-;H2#P;OTQ8yqdJS6$NF3d$fP zovI;%X0pNn-rd4R_PrLj6{Wk%;s8Pn2?LIwoBH;3Rn2_1g$;}T;C2Xc6-{}Rk2BiYCvBflZSjX5Apcm9FoU6;nQBGI`;AE+RbS>mW{ zbtokzNR%jL!bL$;IRGeX8zQBr*%!&Ix6JM*3Q!HAY)Eu_HLL|hRFQjdL(ql57cWkt z%T!;Jsm_KLdVN7qNPz$dl~{4UBVEZpJ}Zh1o=IK^CCr1wLjOiQ#6Aa{U5p?x1GE`IQVc;2!BNm! z`}yuZ;5x*IYo*>wH?{FjVXSxOX6iXd@jsy2Afeh)LAT>vM{U;VbZv^x53NmhFMf9~ zoi0JpaUZ%3I$i5UDX$IsW%uSD&=n}{%}_yUABCXM{?l1~C^c3bkfw4m4net{ZdRfUWo*|hK#ySr!rtZxw1^<>*h3!-&UR31>&yiGwvCb3HF1i8$ zCHfJ`qzVuvx*9=UbPd9}k_lm~^MtlD8Cwg8GDCvo;sHc)X+Tit(unXP$%Qc1dBS)> zA7%;D8bz|31r>iApn?*KMxb?`ZtcR_0HDnkFxKBC6`dZaWYKpvx-2uo)RZFM2xFbc z+|DEl0IJPkGD|YC0-~7E)@Aotn$kjk+VQeNszNC1JmKwJk^rFT0C4Fgxg-Mu2b3F) z1gw!%1X}0m-cFSX0It9ysy(}8ZVM{W3CTfFPe3lh8zhr#1lM_bv@^*EfT|{#ECZ7z z;~k-*dgmcCT`|qACqwwSeym?aBdUa$_{fzr3fnvP_2UxlITXraFrA z(J9&rVW|DN2!ih-9W~}4nE1@2R7rMeo%`}nW7zO(oAs(!0^*ZmN}`xfCf6oT_Zjle zik0mY^(A9@pT2U)JFzbtc|-75Fo*Mva?qirt-wP~L!e0_pj#7Cw6hD*u;7{o=T=wZ zn4dfPj@Es=wl5nTZ@whbQbju4Gz~gXcTI0Ab8SM0T0|0oy9eX zfeG$_AXiNFX+EYO>)*|9zpy3X-%i!77_grg_CwP=N{aK4xN^j9qS1pc?!$pXToWQa z$9Q3XOk^}e*q~4_gs?^_?9~S$Eb;iMj_HZm&qJ(i@X$;tpqT{xkxl|I&d>$KNC9mm z;J6SltQBE*v=l&LXx&8!xYb91Z9jj51T2yQ==^YPfe?V9m@dFIKxP^uG0vhC3^OC_ zj+X)`3;~ZVpcHI5*)av9_VW?_A)rbMu#$lNH&O~PMAQZR+FuArA^}H8K%YV5ByYRq zefR<5eU%UI)ct%j@!l$VClhan;QeDe?|G7UCh`7JC_gd-VRyO|Kw&6oYuuBuHV|6#R4wB?QBDJt2FoA|d&R^czKfECAPG5~r;lYoT|QW>*7 zx`492LO>e{I3!chimGGgRZXu`GVjT0+7&qV39+N-3lkLEuk> z7(_JU4PDycL99>I2H?_C!Y2&?YBRwQAGF21bDWPK#Ck?=B~HegknriX(g`oU%yS2^ z>w3+`T)l3U52A(Abc#2|9bXQDm&Lf_=Rs`DAg^(Uqh2UMEhV&u@1NS{M)*n{1|ri3 zGfN-f!Ua=&yJ$={d$cR-c)?(1D=48M1}4yH)~Ovu%uJWa;mV{!EpHM`>OEF@xesYK zse)iSe=(qQn5J!^X>_+7x=bpErJlc!l$=zh1}&tFF=&aIRDDS_@t9J-Ti_L!BR}*3 z#Kbse!+2#*oE$)u#mNE0dvR=p|GQgwQyhyQSVfC6m_LW4gj8x*G&vBVu8PiQ@DRZ# zeMrY~4|PSWA{Mf0 zOK`=#;9N+YiSajS&K+sa^RC3(Ixr3rjE|W3IWR6*fb-}iN29(}g-Lg36FF`~;$ z!qrKKy!A1IjEXZh2-CJ&k?fB4w`!&aBs=l#4s=nVLnZaWM}fFH5!XZWP4Vn{f9nAr zkidp?ISGHS1t;@S32fNJI1oCVld6C^AX}9Dn&Ff*by~Mw=`*R;1Al_LQltHTx2VNb zV9%Z5Zzf>E3!~+&32ZFQIdmG%M*2J4{F>n`zHbt!ss4ibt_gZ43T}uh6=(~GOWJpb zOWMPrHOil|KyV%kC*{ISK$?`#@(49gj`#-y*~F0s;vKCNw{m;^|_I2^}H-LN&krDtPvJ2l>-iv7s>z(CB-?bA_tdpA3AUE*i`4zM4h)XEgKuAhGDt zHN<@6SQfnyo~X^TOZEJqze-0UfWL@K%n2 zt3MKE4~5J-hM}YzEtb#as9}APnTxm5E>5~(dy7sKOGG$WgY4;@(a-#|Lq^N{@~+Xd z*%RZ#E$AQ$f**dM$IG>}N_pu#%D`g_F!!;aPZ`OqDM=zJ`1pXFFy(3x6;C+j9GFg9 zJGw9JKMSy7I}`k~%HtWfD0i~R8SU^)zIr6Pc4#ZAp}S!v*1~B7tgnUJhEg&%*qVEy zNYJ{$x`vfJbQH_zw36R7ipAc331q@Orqt3%6Kclc((sgxsEAiET{+1#={%hkFsXi% z-ycdqYDnuknnBfN+&)Cg@Qd=2v2PTc4jDrd*}%j<%b11FGm)ymPxr_A6`un8Q&LEX zrpzPp#{;WNMWF@irP>xEK6=LWw-5K`$-OKH1>z)idnz-WI#)%YJ zfpjK+xWS(8v^-3a>kAf4H!oiI(ZqCTOb!4%`)Ss*07%-av~3F%Rd|W}XoH=sM6BJ( zQWEWxB)rZ0C0;dNaxFcE7s&o6qgnvgqh4`bZR%@b zzXzL&dM*=NFvC_h!B3iIVaX^w^t-~&JRyl${a?nFk8T#j7bLMB1502C(zrGfd0?h3 z6ZjYe;gq_jXbj#v;3%;k*r!mg_C8%|IovHdLaH z#Gx95n(vM37a$xL(m-JCN>`BvEq6MqGd^u-M@_&XTLvz{wLQSa=V#gI^3a{K^g&`B z>XF~N=3igp=f<)GW)7IoZR60BKPk)is^c-ZL}=V6USwF#Sv@f%AgIN_A=%&kjc@N4kzITY^A1!0Og!^6gF*l{K$e=dFxhqhq5>i;S z_3yic<&wy96|k1hp<)HFs(>{gSi|N4OW0`&rd-HQ*WoEPlc3q7+SyFU?7VrWR2Zil)X&L`ORP*`rd6BRuMn>)Gw5bD&PCTO^IT_6I&C zmG!yjl3=MNgE}3TnKZd%393PvFzgo4S@-h<)*^sQrgxzCs@FK`$+|;a_S;#wJyS@Fql6E6X&JVYXb6G|j#=@dk~Cs9HrsXxg$Xyx$FIH%A#LZ2&hY zlvYByQ?d2y;ghlB44NQBH7OcG(*`RVvoB4mK@&xIipFfCmtX#f`BPJ=XRKeX-!i`M z26me%9UQRtwuh)Oo`ZbCWY%Zk48c}Q2M1BnVRPMy6;g&}b)L@`O=kAte!!sYr&>)8 z#N(a)bEI;pDg@T{T;}^HvndG+gv4}6B;IjQH6tAPZ;VDU&x+orm6Gt!1N4%a0#r<04`;V2idGQHPw{m8-Rxho8$6q%?3&~z~u@hlTgNhtt=oddf8Zq-jH7{b#RxavpA-q zX{<|U2{%SWh)QevRu%=_1!sF_Z>yAqqCjBnH$r|W|09Pri<`c?^ z3o?gG@Y11F*bJI-MAax7Leu`OXexYZ;tiTAM0pfVg(wwE6j8M=(I|tc22qWQh|sj@ z7x>-NS8&emq zADqGL!MC25r35{$dooy$@EKAV@*#%`#*p*8ID<`&$O1T}u34GA5wbJFc{4a&6Zju# z!Y05vm~f7vb~B<{mD+@+Ek4H+Zi3HTV4$=D?5BLDMkrIy@q2($kY}Lyp_Mc%lr}=? zbxukSLPQ72iwqhwqI6eGXxdSlkVZ*}@}(&6H#QTDRA`9yi0p zr7-1$n=!DAvWR;3;%>5Nz)_dGda^nU|OH>x_8?@d-| zkP%q>_AJO!Oy=U8<&tV4s0u{YEc7N@WsnhAdmCg^UB$T|qH$h{H|iP#mB8BLx`ccs z;qnHhSGhOUT7#}3zoxYuk-1*Z|%&#DquYW;SYv(<6CcG@uM>1_S#c6 zC3V@0849cc?RAL7r8MUySK^sEAMzb&Wm4+0EZjDOjU0dL-D0qDEoEdQT2I+Ge4eJk z+0$sb~3$8LWTymGwx@b*5PXM~UhNSLi!4ppG1T6n5T=b4Ry7 zYn@ycupM7nKHGEZ?Cm#}MQnF${*i4;er2WK$P3yxwKfdO{Dh*#W+VdT*H3-6eh}Rt z%dHreWOU`@Z)KycgWi%Kryl~2u9Eu*X-W<qD;wJB6~6gaHj-(ezIZE^KfhYX zt+%n^Jz52#P6vXrb^M;&SkDMjc@y=R-6TET`CDztE4=hJmK2fnZyy7m1JkD4*wt6P z^oAOO-Tjapg58d%EAham9SKxQE`e<9?a(EuE1z>aOR$E3oIbPv!8;`ZT~tcO*YS^T zXIU($%Y1Im1lcFGd~_y~U=|o&Nyw|^Ph~PkKS}~VQ71b%=*H9g(BE<3>*GvJd6y@@ z!VhIK%N?+|DQ=%B?hGmjE*Z@{fylyK0c|$L;a=I9&48Xfn`$!GH~^+VRkjLL=TpFB zuE3$dB#kZSrBDL)~BLjv&T_rk|}c^{p=@LrQJ?2QzpJBH5Yc5isNOJ6KHj30-=N4besa>`cpH;|F}qZz^Wd{NNodVqi3EOEtj{JJj;f z7K#oQ{9#I6iF8OO8hDR8v35Blj%VJVDKpho;zRiy$+1?5tpa;pApvjf=G-vQJbkR+W??0z+ET_A&0=) zS&cfJJ;#1uSAq!Avk+h*%F7a4VF`*WLEIvUBC8M{Qr`MG?{*g(5>^iKsk5(41!Hp; zo_ZH1nXZ?-Z~4BiLh&vK4RzwZt$a!Gu0Y(XF82%Gga_U!pQ{E6xk*(UW8RFe@M~3F z_};tNO#f$(bNehdHo97|H-k*#tr#AJ!UZoG$zPbo?)2|n&yUPv6Rx)@%xYjJ`DFL1 zNSWPLQuv2kAzbeU#)D4yr?g}IzPs7Lu66==w3uUj`Q5BnNRl4^>rr9P!0+qEp}_7& zT+B)ECF9kCZ?ZC8jg)b53-6K*&Yv!k=m|Xgc5zfubV-rMn;NVH|ae^%e?0^KJ*@z+~cJ+9i3fWO&8HR z#UpjM`t^o8ob8KIONsT620=(hW8Ug9eDiE9NYk6P`6b~~^Mc?RmwJ$CIC5sSj|l8y z=yWd|I(AfqEK04&G%bJ@6kzXzsIHLRYq7;kbD!1M{L_5yz0A@7W?#-8L$x@tDa_-$ z?q!pDl`L0&{!8pJpsBVkcw)yxI8T_(?zKPszK?zl1{Vjo(5QZDS(yjvq}r6xjpqNN z22zyfDQ|Cmn{fCd;ii?9`8~FJjJvT5|7|vl8L)SalHP#5TqK=#n!$kvMq>d#ZT2Ug zJU)63o8BY;A3ka{b>XkiVT1ea#|^QViOnO6H$$qNPb~T+XAYz^cj1TT;Iqint5@EK z6{?*1fN5QL%zc3UYc(HtAM53xGl$=PAG^ih?=imSK6Yb|uGK!0TD$Pzxoq&=58(b+ zYSC(t43d_qq)ja=I$8J-IJXJQh*@+*>D7b07NKfSzu&GYU6>&|BSk0u9tN#n5HFt# zttP(DKb#9y3m*Y6D2VSMAbX#mn9F)a5fE*O2TelYs(+wgf}UL|Wb^2GY_fmmef++8 zY>IzO82@MO#iMNHo#n|aLehsqzM6id8hfzGARz+P#<6f zx>!V;XOmNSRY2TtB$)x$v(cSlB!s}4;{cy~KN}XD2{3W@g!0@Gog#bFtymtVjfb>(3UjK^2F2^k z`=4Z`@o1m%!S<{oM5cd(MAT5H~GgL;P0+@i7Zfj&2O%OBS%yUgO{PDL?t3PpR9` zlQ%D5{iCoe!m*BBDOzGheIO&!g*nY_P;rk!i9=W`zE63DvP{|cEXLFHY1ohwc# zhAqDx9A)~{;sC;l1K;3OB!i|t{y1%}4GQ{Ma3w7l|{I>_$(9xBuU(`yPhG5nd z`*%tp4YmyOwq=E3O9E?G_2YLfWWDBB8DMc?O_zSOlW3JK%8QijK=1BqfvX+vD{F)w z%qN>=T5j9m+n0rhV9#|F95a-dY1C3SiyJ;`Xqo6TaQ5#hbZ0bgr-d< zn)X&EG_OM|L#A=Z!4~?)oSei%v^Va>H+{-UgCU_7T*bU^nJb;`lM}q~PG}*rDN5Um z`$XZq#rTYFC?s45a0B+)-Hnn944;V@y&97B2vhs1N)?grx5*+BjAyD#$Z;hed4mtg zW!G4mz=ZOduGvHbjHYU(wT?fS%VNg{%ezcXMbF(T89arj!C=N4C$SZAjjbGn7~>vQ~rc7idA zAh{y}NoWn?$3ZZ3_H!zY2X2un{s&K2;@a1J4Bi&Rmlv_#;r)P5EmT)@8B$&A(*yX@ zN7#UnOJqqJKaPBpuYZKi>+jcfJ{sauTLpv(FCh%P!d8eU&{n^}uYZ(X)g=?r?x3#x z=|@>i>r1?Iz&pd6cecTsz?xqqU-|^=IV8v66b0&oij$c*?XVza zV3nOd`yc6yfAs|GctC>s1u5tMXazu7#4@ z7>j6@d!czP0i;968ii{8Igye6rCs@(`N)-Xs*SW0*N4vWUHQzxR&PI0XW0*YA+1C}Y$O^LV4KGEfPuMM=~s#kIj3b&Wv1 zhI|ddwNqaTwo!_0qc`qagDruz&%Wd*pJbyWHvsHw0``kAL9mD7VE1I%W$5962?9FF z-hMKflKj~21(*d^~IREs3!NEtuAE0a*;m4tFiLO?K2dq*RNm6Xci%HxY!#L#h+b;yfWd>t93YLSL;O5F-+j+_1_ zhAV%Yz}GHDE1Vk4gPvmp=IYHt4QUdHJ&9=TH6JbM-JLEHpP*=KNsZyo3$7CP^8w4Mx-wFkR+K{Ke^$L4}ez(wu{I7H;|0 z&aK#F5k_xXS}wYU2?HncJB!%B9xwXRmIRC5%D6KRsoqUQitOEl;^|7f!3RG@?4+(M zg+ww>1GLIs$&VLdq_XfKZZF2E3(HHWJlJDaD4a~-=$lS65QeF$j`KH*F@~%ZifcQ% zP-7L<_gLL3qUksZ)F{hM=Qnvj!F2L=PgpfmEO>^_l zpJxLL8VF4Oew`Z&X4V$Z)v{!XZp_K!>WSK^p^Dpy=|(cmq~Oq>m*b7 z3)S)sGvj#UHF$G4T}hxOCMY{|O+RB!BP;OhZlpl?k|e+!&h@##NOvyD$78CKSK$Ff zOr&Rp)e$1(EUOi9%c}7xnCe_sBT=oN3DmEFYI#ec@;Cs2ViymdBp&Zsp$nKE0A|cx z3iGWb0H-?Nijxn!c)V97c^&~~?DY!sy=uYbtr|Siy5KV==XQcn>m%Nxkl)%Nt*0JrnZ5B3sqlTw+&yn7S-wltm=gYwqJ@Ft2jrBkIQlMlodr^_8q%a^2^I zJQ7b}?KPf_jmd!!dnuTkUStD?%u%umN!A}f3R#q0KC%Kr_#H2@!3paHMlt0i$+COP z3JuYF;sn;3aUl-P?&Q{Db|-{yhqMXi7P*O|oTR0KS2|9-HLHF6pHFkG_@&~{vZKM5 zd}k8B=S60jm{QlKoM#fmpqBizf>vLPexb5MN+o+MWiyl_uy)lt{)`KbCO(9}>0(xv z(`i26>_X!+4?F(;q)Ze_jE^Bkh43pbOgM%1k`CM47bR-uN>^J4Q~XjJiMjnYH-!BS{sRT^bVjec3FtfDl^_SR^I zp%H<#Cu;e%W!PEtNTJuzEeklZU3u#V*{N7oHbmFFD34xmm8k1qk?zzFiS6J{bER?+5QL~)jZb zUgUI#`b4JOpm89oNYN0QHV-te#LdrkY=k#b^6M%FNhE3DwR zl(V~%PR>@%cwc*32Pg4#CHC>9t_=}0-#t*&zS`9bos$hupQMw=12a1F;V-dX z^KR|@jNKH6lf!3Pf}f@R3v>!M{hJf+Kor6Wzdb{6bK?E-J@Kq~4-fmzPVwOhS6?Nb ziBrTg>qpQW20WJH>5Qr(d>74^Z(vdJ9;5|1mV|_e2|uF}r_`-cwUOeWZ0K@sUx{tL z4Knrc$H|D$UilX_@L{vSnK}m!z>Lm8qk&3b?S6@Ri$cxtMr|@s39L<&s1Aji*^b&9 zV?G0y;97*l9dGAvzs!0RXhv){#kPDDL#qp9V0VD9p2rl2L)&NK-)#93AZ6>^MZ(q; zW!QU%q)5mTapuH>@;z~ecn=R-FhbgUm3$^{81YPRYQw2zHjJKw$p2Rse{dOJzT(do zzfdDAzH9jZZ1FE8>Q;sN-z;7wQR@`yf3^5y68D4Qe`)b4h*cKv$^K+<8$in9yPx{A z#bYH!!bTBiPE3^ViJyq~@UZ#>Y4OYQnP?gQ7Z%@)$iKF@@Y4V1GX7QBUzYLPKNJ>^ z1KahapuH#<$GeJcn=S|CSF>6p?oGjAO9B?zZ#LIqNu;{D*uDUN0k0$8L$1PNbjq| z{%4DqNz|nZ^}kvCUWvLyq5fBkUn_C*hY5=(`FNF3#JUpif6S+4XqCPP4z(G+C#a!5 zSS+~nA1YYjwAwqx6h<7ikU5C<+lm%aUtno7ycR`i2cPKBUT)6w5wyd^uYQ#cEokf^ z`z}?~Uj7H08e6_-z;VE?@ymuk7d)x>ifL)dFibxzxe{nv_AElKgoQ(&u{(d-{8K-+ zclU2g#=p4?Un@H;S%8{~d!h-z6}G;@%%QZ_c2f0&ny{M((^kUE^8>H4ZoNvWe_&K9 z>irRpcFD>8Ut@!B-ifW9RBg%uq;>fUJl#>?iAH7KYUDHQLDyQ-nuuW!tB9v4x~sZU z^+3e!*CSW+r(eTdZ=7UdUd`WpjYW^K%hwZ_p-s863rP+zy^sLfNzN-%ToISeY`))> zA6<7eMOO1{YD zm|SkpKDz$MT@l8!sv!4HObua5QOK=@EIsRJPldZGjMo5ZL>C~5kH1<@ORFBjv&9la zRy957qf498W#KK{{`Jq*8!cA2$=Jc|0PF#`|IMuo0Vi4Y^xhl6;<9X$?v5ixm zl@}@e$d{>Q>D@b}l%-rr9qW0#4|eiSag}bbK-7(80Zzx}=0K#QHD@%>eTO~dZ@rfv zdI!7gvKR4j@8S>^JRg3S^%|Kp*+bhl!&mhcA>3UgpV$O?ohf`xto2^lV{v^Ivj6P$ z01N`7jqkES-O1a{HAQ@lJD5gHE8B1;X)-?##svbNVv4N%Jx5^7JTMmiM2s3O2u`Adzng2sV!XP8!TL^{o6oS>fnZk%DS2cEu8}cS$$m^{xj2 zB*Ofr_1^9R6!|8BQcOcCFG1hB_t*{pAN2w!p%zK`ztE;#nIJ=(=c`$2PqGpQ5nnsX zLR%bcAMKQ{?1wx#RLy!_Eps4zl}TmEY9mWj4tVToi$51xLU}Y8NsRvoOYwhnj;wmF zf3S#x87OL0rz=n~W+=x{jxgqx`UuA`?kU- z8ef_@22CxZM)}WA7PXS_v?4|1@g-Vd5H%nwSqUOEE#q}Q>wQd2V2I<|$%`oE38$$pPwy(*y;F*i<2T){i(>pbdRaMABCBS^G(o z%Gj!f9(&o0DE`VnS$I@8ftq<7V`1DJHtV238C129yZ_1T@uJ*IqFbf1m4m7fqP}Wg zXZ-p<_6XTxMQrl3y!QtzjtrXm0UJ2}onHn}V(@(?DPWq}K#WUc3)gz=C(Ji)D-t0j zm<+ak$p&$(f^cx9g9_L3RUfb{>k8y^?U*VG33Afc7ca4EdVC_6+4+Y<~eDjBxxL9jM zw^8(VM5oV}YKbn%1`(f*O<>JP_;lBbAhID%D^ndY+8wAu@0wGwr&30$1bHF3w;Hkt ztlb6N+ejD6KteWqBkwSf39MZu86zhpZj?9f9s`%aTHq`EA2sZe9=~S!w3Y|EiEdlW zjO%50@&eUZxTQwaFc2a+Wo;GwzK@tKy;-o-vc&f@rs59}n_l)9PEE%m82a~womRFq z`89OgH7Ol!d?8}IO+uJjue|Xm9vktr&XrfqBb*gVBOoSrp zt6n9V@X&g{&HTH6v842iSpt!+)r+pL+Dx%nvNDB_t55z6t;-}@3&OgU_@X1az8Nsb z6^~;{TZE}}m^{77RP-Hsw6283ckp{YW+Rf$L1nT_HK2w1&=6ttL6&1b=`>fwsWzII z1&Y@y%%yI;;bYdfpaS)Qx`)lk15k9y6$^i-l8^%GHfaDG#tFc7N9ydL+ykt+mP_0U zq>*r2y>UYgTmox%E8Hft`vsZ2^W`qj*s z(#J4?ykz{9M={2B&=3QEksgOL@T;3~kj%RA!QcKpcYL>GhtpCIK!Kxfr1%>SNZ1BK z!R0OVHwb`)j|qUwX6P>tfW-F+u*q@gsfZ6IpV{Paj(;0~wAzOS+rG)^&cF%+)Xf!t z!vF|d27syf)is!yPQbTEA?+P$6bYXDG3&-#KVjVmjzgM6IfA2Egtm`L1x+!e)YVF# zx$i;Qw@)?knRow(_Vdy4!S;NlhhV2Nfg)Wm^ zXPEd#;@YgZHh@!&;z~{*T!SUoVTxKG7 zcPgfqZXGC=TT}C9@HMbKnS{9 z32OBgw8#)dVC~~F)t><+3Rb((ih!3YM@iHKH>iZPBCHhm1)Sm2oNYDn&+FLGfvdcn zt~T*w%*S0*Eza396}|lv)w*4`$bS5Ybr?b~pfNYJYk-U1p;jS12;+Pb*^hs9zN`>= zp}cS%>piU;U?R|fqz~qsrGKA1pN#y!8qAN&pf=;To24cJ>!C@Z(j*9lNFU7mA)HcI zB{kWaBQ$xiL?K!ciC#*Fa$lpgi$k0~z$ZMEmPc}A5lF^shkkZB_{kFBK-3X6&qrw5 zX(#ur$2g(FK*<5PRiR`P$~vcHn~RqYY^w~Kd_-MRG=!!-uV@N=X{rsH5<~^5(o#5v zh;CLy<-SBU22ll~A`}s!X?>l-WP@sf((Y)*jKvOV)Y0?2W-NAXz>LKP!A@IMf^>P! zSY&uNI9sJiG`$4Y@)d3cdg$a}Gre(l7`O!1(iLu-nhDAF#@!QY9P>qR&8m>2Fx5zm zbG(rc8jK07oqItlT&r+%y>X8ixCGW3fa^8IVp{qGT1n|U2A~6QlCY`U^)~QYDvzn^ z^D+Xigw50GG{6x9tHWf?q2ZHR)j7Ix1V@a>$spH#vgXu;@uhCmoC-Dh)Z|rj8fDEH zeIFH~|5eQyo=!FA{9JIrV>g-N0#8q)-nuC+w4P?2O>w(Tanbd5 z5j>1(tA@Fztj4*fS-VX`A_NBBn*nbE?67Hw4X8Y0GwbR9WV+1l;>|SaNydQ#&PE&3 zsyuck!f5nmmA?L7Va5Ax3NZ(fzEiRh%1`DZPWR%3hul7M&;PnC9&=DxTy>+=?vBr( zUAz)n2xfX&%tsg>oJ#E$-Xm+ps4%|zGxTCcDNV|Oq?fxAsY$fZ1anMy?NIK<$vlD) zst~1@J3`Yco|EOS+LtEPps7KW?vAU+5YZe(RO?HWZV-78rTb$-)9lajXSZO!c!q(} z2(a#b8wll+oEsmM1r(p+oFR>Y3pd;6i_dI9an2U(wC5IgEY4NlMKni>L~$mtcALW0 zJ!-W#Zmxk#U~Qj{_ADUM38@93dJ7?X2MOXQ$pnj(IJbbyCvSb3o)Pd!|BfCf8877}uwDo7RI-|id z7#k;_iQcrQ|7N?p5KEKmnr_~0*V1s#6lMwn7vINsZet@tGZJ>&*E#Zc+v^(M;6V*o z1bDJ1AK!p&f<>$NtOnYV3kKJ@Mt!ms&$P|Q0+c#9v1a?Hlm zgyM{?LIf!rRbK;Aoui{HqP`aIRIo@d#h!pXWjhwg>Vb)3KTf_&pX+Kf^W|+!r>~IkT!=3n}9l0m;#W% z-jHO9YZTLKrtq-eS0j;e&11huT(mM=X0)&uP-tQ;rWq)Rjn}OduUiR|cHs$M5QEb0 za2=`6IksfSu;2KauduJ8lroBP^mobxgfdHiCyU@<9a7_=$!dxZI@}_3XoMPKrRR6b zEF!4H&ITx)A56R zioKmaHv!b)iojX%=CC6-pzzE-<=A{Q0E}mrp3kY^u3hZTg0D=-=PTRBer8%a2N%4g zyW~=Osw=U%vT+nju&Hb+I;aj;VEp!eA&%Kt<_o7yv6Mb16GiksX9cL&NkkWYTre zM`%A#H=Mgk*n)sjTLsk&n_2tf5uel-B+J}v1~%bZNoIQqNU6(L$&Vw^lzc0azb8Yo zvA2uU3~@=iIJ=ewaV-|y-Kf_Qm6{gX!i)Nn`zn9rKWzGd_4h5ZKh@b(Gz=d^qNNI? zcWv(2fjCQ{bE<1xG0rGD{2!KGK!>}aYA!M3NSkz*c;NM8I;kn$TrdfN)_q`7wo|exD)oB@q$J|s?WZ(b_(B~^DrY!KBwF5|DuLz`= zZg|k^)e{Wr`y z3|uh03#j)XKqJE%2?(ukB*2h>`YQ3H2yXtCMfSie>=Uq;2w;_fy;T)_^ta4z74K-F zs#V0!d=bb*zb!mqC-$Q|zhyDkOIX0p3LPs~1k9H2JY;2a?Bz}LoQb`{=CEHyI6Sr! zECv{k?h3bD_VAW(*+^0xD8p2Yscnef$6Twk(vqF?OHXm6;Fq2!$>;T33qZlwUJ6nxTrU)RC1@oma+$Q0fCeA4iMeBLX9lI1@jx zkBtl_JD{`_H&yVc{VcVUo8Pq`y~O_G_%r+2sNe%*MXzQ2mt*<*{j5)fTUg!F1y57l zR`85jF^m7SpY@K160p;;v!=U57v&7zQRh&h01A)&Nk*SVIPus=_sqWv(R?>c~e;#r6#>2@LA@W2@TE47kH4RVsS zrK@E!J~Pd-WeUN>DAPShu-6L2R5F^>cEJk_!46$+vUWT0woMXUYD|{b@-F*Ww*tNE z|H$v%MDYq$#cO7R*y?~ism~5TCWhAMAafrvArAuTsQ?1D`OzROaHfr|f0p`lVV=<< z^8%akOq)F+9UU|@TA|740PUu$zvGZD5;aj)`Uzh~E9 zziPO5As(d)ka>h&;!^5b`{})}sEEHP#09CM>|H%hky-_*SJ}G+dMcE0M6EZ_qf=x2 z_@yQsvC;ek3+q(NPyc{gn`hzOnla(;*H@B=TBCPqKD!>ZCG3&|wI!XpG*nv_$x?~3 zo2=b@pQD)NpLS2wV8xJw197DkabP!$q0v_i-VgGOGcV&DvDpaEyGvO5p2>luxC zlTQRr!4IQ^bNkQZ1GI>^fj|ovS3;%RtO$mE^l$P{;eqr#(GMQN8TclGc)W#&%ycR? zip)F-WupNbg`ARWx-IDOp4x`Nf^S%!Vn)vsJq5FS3M99CCAaOSI4EhJLk!J{-+_rK z?)$XaBOFc*n-2q^e^oAyS9UmmLa?Mpo{?NwE1sD)aeh9I9SsEWOKnT(u-D8(2!~?a zGP5L~2+PtRM0zkPqhnnu8hw|{W+KDp<&{Cc;@5GtG+Msg8LC z(#-SnKl7z#iHz@UGb6-F?!d%AFza)eCJT2MKIx|2Lo)+T$+K(CI3|)Rc0m{jkY;)N zkPS7j(|M}qnSq-}Ql>$9s!*oqohV*Q#(%u)W;lpqT0tP=>#kwvIZ5*hXpjLA8}>ee zDjM7Xx?}S%bkmnzt5V20gd8NXpBc&TIm`yk+fK0OUI6K+mC}MSO!bzQsu+Z} zOY8rCkQS%)RLDPEZK!tcYW@?1`6rL$-HzZ!`@4qltB$aNoxb9?9${nfC_jP|d!(H* z15RbW%r_pvDPud)G~Us$EwEuHKYN5F``;1AM;&DYI_>19Us#g=RXh3eqpY`q7Pymt za+JmPQGP4rEKGz6rC01~W~nS`3_pLA4eP!`%)9)Cc^A4e8#9;R@vD!qJHlz+CABR1 z%A~Q)H20Eoh`(`+_3TDdEuy%mx&qI&@z0L2Vg3(|;6ES3t&7_aE<%?%CU^u5kv*=6 zVj9m=+aXFA4QDaaBIi{oYsFv}kWewCO)Yc$kuvrS=2|vmu4M}l!e)YzX-MGvFNwK? z2sMR}86k$aC{4TTP%2PL!Yv#qrjTWyidS>skP*E8I7^=M;YC}>B%CxvBl=W$if9zD zM<)=8Iqb{fqUJZ4Ubq4Ci78jMrj9-Oh!Fb^#H73C*wC@cgU@phPOgT`44V$d zrXgWT%S9?*q2W9EICL5_U&+A>5^C8397^XvAZfEOwx$$(3ZSSRFI>e_TCiqPn!xX9 zVFUeN*v|`ESX3ri99-nA!V(WQIgt|#4}7Ow8I-0FfDj=vU@YpY?EaR?_-NhW(YnFu z6xx_4%K6VN?2ZC65o1QB=&+PCbF<@0{Uq0h_vLh3c;HXV=HsCrR5Xd8Q6B1E1pmrInYCnv z95gh>H=l%udXN}AceC7g$7Z=h<^O;({M;zr9-%xGcjsH6A|uXlIM>~lS~5>l>sj!_}3b{54Dk_owWjO*<^j6bUS4rUwxGD>K=({tCIJzd{bk3Y#!Mw4?)X1J$ET(QJNg z8veyo{&`E#`exap@Qbb$x;fhXC?0#B#dX>!OUsyzyyQHycB2P*kwHCUu4&ZV$^|_aOBt90{z$X+KVi{tGx{$EY1###dQ)F(Ww(n+U$hC z9kRQtOZ?L^nx%1$1;X=I_A)N;g@JwUQh04ifdGTRm?n^cCD|* z6St()*Wii4&d%0(V@6)UTE?W}Qy4~nj#tbBZ4(bm(%E@qOiK%P!lLkQsWvNqVP*Wn z-#Y`ZLp04ZPn~^gdYNq#mTUskW*05p@eRH{n7w9c`X!Iuxy>DvEf0kx+u?X@KErMVZz+Oru&Gr=iQCNTvLMVMjSK1y#2oqODdnkmX zE(Bz%t5m!bPUvM?7KP&v-Ae&77d_Km(c)d0=`t;bQZunv24-5;#h`_EjS}yU*_N@# zY-mQziK_udS_=YC{5)?A0%5<)rzHgD!4wkpzZ?wb#)S1gGj9y$z6ECt30DITR$L<< zmQTbZ=2Lo<1tgOW@iMDSSqsoE;vHKGDC0FCbA9hb8OZr1uo1e{YCCUqlDj1 z3(aEGGgdxlo`MB%0?f=K&}};8BBL|#K%!5evwt2$$mWrCO4QYPOm4+<9Hfsg&nKl+Ug4iANW%5Ld| zHGtv+&VW}gy~SI8W7l-5;@00;%D^g2lBNFPxU!jj>lpjxqMux#1O6SrKT!O&GvE#2 zf9M}T`0IfmDe!-FT=|^+;23*$(NDN$KCtOD?|umre(#^=<1Vp2LzY7WaC9~}#(rU1 z`sVLgc+Ep&8u%FCbTZXXC|;?q*n7iy;UzY7yhr#koG$|K4l$yX^Yuvc6S6^Ui^W}%-;2_m44T`0^ZrtpRc;i`dgB*k~nGPm1$+8j@G`h+di!<{%BG@ z-+!51U$DjGJ%8Q=O;YM|#B@x6tsf)^O)&(+m@_DqhL^_BSxlT)#lo?o`f8|#0@d9t z5+f&muErFOaL|~SR?(V_22Iovup3B-W-S z7ac3qz1MNWd+k-;OF-bg#5+~{(4*w6yuFtIbnsqB|KzK|Czw;t{i)9)EUV!eMziFyX7E z1EZycRl@dD{)-R$`ndFA>KQAa9emheh=vb~!$bM7oghN4e}xAW-6dFb^kI)4pO-{V zEblRLVrV`@a;g43Vv%m_Uct_6xmUhhu9J!cPSK7AFb(n%||PRo6WCFk~qV{C(I zX*rx*s>^0e6&}u#ib#z1QWjsL+HG3;`TxV(+rULtbn)YWa}|+w7i9&JRa8)XK{3E6 zB|)(k1tnh!6pbfLv8=Gir>w}u#9~`0G;Oe~sH~{8sILdqt5U9bKeFB7Z?4Wayt1*hC;2S3)@k4@q^NJ(_{%C#Ul0kvZ2N{R?Yw_Ob>2 zu<80l2_&RBFQ7N)$3#dfJ;onX*lk)!veh4q?1a}U?PcN9v91({-369lu|kcDK(Q8$ z3nmtw(_O)bsQ*PXJVc`>J0OjouomX`)12#5UBM!tVJ+g~k8`dcyYvgY({=$@<6Pk) z;^Fr8pD7&8gB|&-_AEGl9`5aU)Qio?`(k`q_!|4xpOo~x(x+(XWY1gi6b+qHo%LxW z_L)lqkjkmt@H1cAo`nVULCSG?!DZ+)8rn0fLyVJdf_MKY0uAPPi+>V<2BV}e>0-P~ zLtGhODC7iR8BZ>msd-ouBn(mpqb!IcrjZg_(+P^v&qBMyX_^TeU{G=HFn~+uiQ7}S zMWYw-3%9=uDieb++#b`Y6w7dXT%&5YKhtfVa^~yEPU-FHar#gi)ERt0K9*z1l#AQ& z17gJFpdnK}?jsM#=WL9avg|Ibu%UvWbWC^10<>u`NckFo*@NaRHiECf1sY;NDF3q)%kS7AZ_}>hcLcKNQH^v<`}w+Ehsp2t;UJ@5lQ5zB`X?fNvYhmVTe%^tk_hU7xVZX zU&qrPE=ot^Fv?)8^Q7A!JeYvOt~0`@4Z~&Fpi|qxC;8nL!9+3(8gWUHBVT=<_sA&_)_;^XSdTn%Ri|6b4fk*vASc zxhkjS5~1aSeXJmz5*cCihimnGf(_%lz|E;!dL&?@QX+7Ma?vsw%0y^&ZvsDTW+9GP zLmF*EKfy_D6q{I9>CytiNm`Akv_wN1q1Ai9n%1&2W5nO)(*2jIw}|>JwJ{zqllhrT zqrs6@meGQ}X4!FI^~*--6>eAn#>Mv!?Q67WPCUB~2OeajH3^$=-s&)4sxEsm@;!rr{QW<$aLV zW0&9*FeFte{Y!D~tW6H%YlB$#jz0@`P-DCB&w^O5(W!@H!9P%7b?I4a>M z86(aVer(t@o%qSm9|IMS&+i}QL%Xm6?S8(voKNqK%gC~Haj}RA26J@e-eh}N z0(KniP5wSCA(bvN1tNZLCJ^}0!R2j8*Z7Bd(crS^CVr?Z>+SnKZ|Tay0m6e>cLxb@ z1#X}W5v|x664bJb<~2^62V$>44rW)fsiLu=V_@J0(ge?iAmBtWykC08=Y6b{(g@{D z;X83Hq72WXh^~Y;8*jwghsD%6sxWrRFTUw{((mngY;!6+Hf~qih^>V)&9r@y8XRT* zx6k{0dob(Umv&n&J-Z-0Jug@^mb7!SJJq(Dh)1&`MAKBI3WJj#@3@)f})M4~0)o zcCu-g_$ZNb|Ja}*BI35M(HLE9FHCTKDC^O2g|M`m8N+9Wvbdnt z;+E{FB11JWhQA!jqB^dTT;C7o4WTS8l%A8(q%bZn{OzcUfiax4csHJ!hjnMceu**s zrtZw<7ZJf{cgHaJOfT;2&aUs+`v8sFtUV9#J>A*xm`lgrx;`~7e5HU+9p-#^NjUoN zi>gzd)@}Qd#HoC^pAQXVVF|}3V>ZEbodC*k0Qj@Dn}jBUNAE@rQhV6tBL-7eK)xu9 z-Q#2QU`99_1mFyZze5TN9(f=a7u)S+v}}Y5*h0XSu=po*X|uTL zMe5#nIZ|k-L4{!2#!<-whAxU;a|6Z|JC&dI&ADF4+VXd=_CBXu-nn6?@+EL{fE>H@ z+d?bkL{0iNUXgXpPC55&%DLkLGuC+!&uu+_{V>bMy88P1 z@_9X3jZKyyUX%dN`B#b)o013b9PMOFeU9^#Ud%e^2#Vn}4F+%~^uh1;@^Y<=_9Z9s zG1gCU4Z{p#WUZwKU)+lg7~^yNt?Sk1$vuSsW~wLgMRAbbp$N?wbf6_?s-qT2YSsyz z8eveg)ZPntb1&A%FIoz82!Umf<5S?K7eAYGJuTG>iLpkSO!c$Zh+Hhm*cF2e6Af~f z`VPqUB_HQ+w>zJ`q#v4a81mViiPvMVE$}UM zNjh71jg7i}Vp9T164ojMmy$Qf1q!GB!#ohH#o5Y#|BCc+nr3W)1&P4@d*gMro_{Up zLwmD(d*h5l?7IKSlHO@wdZ(|(nm<_jy}&g2lsQG_d&NB7R>VcH?Y)qIQp0mhym(ZbSQeZ-zKo^OlMRy(4C12+I)9*iY5 z2W4)LWc>&}5y@WgTX2>SjAFM~1J6d%X3U|szPPwQ2w9tg&hnK}EY&aWF8*y4OZNLu zS8nUa9+Tz3{-JPf?wKZtv1qNW6y#`Y2I5>#yhkAP0C%u96P-<4A?N^7j00}ozWtUh zm8^ogt})ki2cQANA7)EEwk1Gm<(`&(HhS2Z4XEC+Czzs>Ls^VFG(4x0|H9$`fHx$V zB*AnEawM1`LAMtLXNUynCGvsmf#)<9*|%m0f$q0P~I+=V6p_k5-1XM zmf%(ivLwis;7$pimSBnmq-Zv^X2T+J!@AS{3Qv3FUfyTp5z#Cx<;G9R7&*%Az+e+c zBdWkUTwLc^Zv;fbIv8?07KE@AzT5ohXtZos!o5e1cM>a3nf$Y}okRN7QR6|coE(An zM2##NuUMnK$%iR>V=p{I-FT7LM6;fbdJ%rq&%y#Lz5vbKQ%&1%T=d^+pwp}Wg4W3qoJ`7ryAl2 zrN(LE3g{?o!EnkDw=h=|SD*%l^Opy(>$)90LtU5H+B6dmhiP4Zb;d9J_W^8BawTZ$ zpkHk0g&JU8+WwTKJS`GnKbu%Ve?%t7ls4KuUO~#)s{VDvhDsZv+Cf@td!sQiJ;N`Ec%g9qZ}{1vfotk$uIRmj-W2?dra?H4aa+pe=wLN=LWtvP2({_sULPHZ^A<_Qel)Ld7>o`&{g;hicgWKH*FyNI3;kI@-?~i z{-ux`YseL^Waeset3Bl=8ggrZF44piiyAA%cGtwxE1p7Zvcr%|IJKiDw+@N?@h6z< zhTM9f$~C#fq8V8on%|P#hiX@qaSn+!3d&GwFHXx1sS{VBKEaGw05xx=l)tX%5 zQ6H6Z|EtMu(BopRiLUgvBaVdl41vIVjJ7}+#}Nz7;=Hb zp{ttQQ%EFNd!X+^L+*K?*K2Z#Mcp4F%y5WHGc@Vy78zm*rLGI%zC+-1C59w=#Qs>5 zB%Z4ufh06XWhz8bi);&LP!?zQZO-V=AQEMTbI!TkCiNv^xDe^qZwlTl0HB} zx)7PJ-3^kw8f5a!0?4xHsx%s^ECl+5rV6pB^JduaMI)E#j+N2{LN&SWCYc7}38jwJ zk@Iy}>=qjKiG_jQqk~>GrEz;!1 z>T)fHTtcbIn%runG5WGlLv9Vwv6@_BQ7uyJK}~F;E;hmto5&DK)uYp;zIC2*qYb(B zK&NVQiAAj?ewXP9O>VL-H`b6#D0R6ewgG7jGb9>f8-dR1?U2<*JnHRI?g&k;U6-3| z$R(6ILX+F%Dc5euRe{de)Fl?RlN5X6X<2KTy4Xxgk|!Dx;nbg}Ni&>6qSkn8$UP7A zLQO8QsOyQ}W!kY^%FWW{<{Ih}N-dkled7?n@(oG;emJl~ljMVIb%vC*NRyPSODZrV z5lS7dDQH0&!=MWdvGiq*6`ELLQQJ$gLxorezK){HEi&X1O8p^Q7CZupjQ+O7kQ)v3 z8ci;-sP7QJ%ha??7Q8?gTW*LYlv<*RjTK_yXd--77;@>GAZsy50eUtcIra#K18A^1qb%t0%sY|3-M`SJ%$@xFXtvBT616{AlB^Gru5>Rh8 z9)hXIY6~Y*hR}^@qcon|1Vpb^F0{arT7d2bk%{U@8t^GeEU056Fd{k=3< zdVm7T{H|+d4^W|%hGHsRyPKq}=WZ1}K&1znuHC967lKUkiy+Gw3Y`)(LYDyDpp}(a z)bv|zSW$JEUVTa$u}YKcJ}=WCcS7OSV(PSl{d{_I$8gritoK^wB;?8Aym~kb>FD2+ z!m#STg*Oam-EuAR_QhMIN|j(WygO9TNTn*EztdDA7WH1@cbOWVlp59P8b!z?(1=i~ z{T8WFbWfght%pX{B;(x#UUn@lxkwcbPZdUM&_t0<>Drwr$=g6CRqBw`=-!eI4eNpa zS<{eM)aAtQGWFFoY}7Th8yXTyy=$t}FtaDWE|InN^bI6qf4r>cCJ&wH+MOkJVp3(* zgG|@%TuDAMMXKE7sdB!dvI_K{n##nYZkQtLc|w`A>M2dGyTH(yQ0kLYgw7oo0!=14 zCH&NJ9y0VQKSlD+VHJi3D(5})qic7O)UQAE!mz$6Vi~A5P8KGAuvDt=ugP^+$TX->LaFcK(`j(ij+H=@`WC)yB-|hrGIaGV zxK_h7^(`Ly(Y3ou>NgJALH$qq~i+Ix; z9<#bs81+$Kfbp{t*pK$Z@AvWr(;Ryq-(hE2(+>Wsl(lqc<&KtWsUN7 z0_A|blfi0Ow8>D(4s@_)QDRYhN{v2$LTZ$#Yor<)5lTIGv()HRPrh!1henwsBX=18 zo-{fyRVcriUnY%wFyDYMFkigTEx&_ggmZsEBSrHeAyU&Qm!zc-zst1SDK*N}HL}Pg zuo0ouFioS-Ui^uX9<8c?WGso5ZkeSerj}0E?g**V`*`ac^_uHJrfYY!B(DIObSr`^ z!-%nl$|XR@YAO?pIxADQszW7G=X_1BJJHaYP-;@9bjxI*Nq)I>%L>TQ-LjnIy*5;; zU!ch$_37Gem->A*QK-MrgG|@%OiBI_WD;KqS;qJzOR55N70}6=`ouC&eVh{DQ;?ea zMVef9u1tg638m&ve$TpqaIM3_1CqLHh*UTN<1xLR)3v)mYII?OP&nFy zOxNy(lKc(GG)0wZJO&qO+G5%&Q>aQ4`R@~(%M|{&v~pOiCeB@A=t(HG6dzqf^9d*i zn#AUIeEQ~+;6Q0&+^Uyjc=9MXY=z8z$ON7{igmlSQr?<1Z3>JgT_xp1)WRtPDI86S zEKt=9p-uKCmoDhmN7&H5jv7zH=6YfB}p6oT8fuMAUm4-sRL9;&FA-yW@G(l3EshxeA8$a6PtrO zwew+23ZaOW31Fn{9tG}sz{}ajP*Q@*ZBd``vwhfo4D<61Hp-Av12>|!{?u!HXezd} zEPIw`q+-3VPU^d>j?YhJh#Y_s2lRlIyG>*kMz3zV>Q z3x9ho%kz6{4exp*tNMqmetSh`^*iUyHzF&y%qq&wgKoM?R(Eqg|0dQWKGF~GG@x$q z@;JIG(!1v?Wa{nd&U5L`%Nui1Y>f`LC;H`=dEHIytY5!pc#WN<`#s!;w@<@jA$=mNiM!X`xq@rARa$JUpt5 zS3^{LD2+8Lz!DLLH0B3h?ip+)CiIxwfy9ueVih|{; z9P#ao@jz}oCClG};lY*$5_?MFZ%kxaj)!Zd*iSczHEfJ#p@nDiH$hORf{QZB*D_iM zXDJK{C|OfM@dJ*sslbNPO^AWCM)ay`4`kwvz09Z295QhL&1G&OZxDB zH?x=y)sYCnKlk9%Ze~3ul#95lT1Fx&QMX8(@}XT22$!`U3FK|wk1cEw8a9Ic&q-+zclKM8T)158C zAi4pjP4KYvgh?#g(Oza^y8NDKXNrWDc53qneSFT2mCfmQjY!a3++H-Nz{smLr!O<8 zIT>`X=0xuPz6X6E1u+Uk} zDBI}S8kgxD7O&R$kdD^Mqy>&ViG~5la?~iFn8KcPRKbsE^|1iP4h?N}wT1ep3>Lbp zrK^og)TtOS!e!};38mu7T_ZW7Jvb;7q`1u5`lGu}a zoOs`AAl@6!RtAe?SxPuy2KLxHe^B3ej~}>&UE8y1j~o{?2#9{M5luebc_hVoNS*Q? zA9yQEv_7HnJOr5G{58e-z1rbD{=ltlWad6Za4W-i@jfMSIZ_N<#57@@XpdHc zYRbC^bm*XnFjf*2g46;<%h84c`Y2$ST@n0O8wLb<(<`?|>Ei^g1^#{$3GnXF;9Xm@ zm~E=3yPgIgl#+d~m&Z2hlp;h6uP)!MQwoTpJ8oPyOLx2_%51wVpy=z#D9X&;nsLfu zV`L`}hfzO&r;q5i$tqvUqzb{SS!K(OS9bHB4f{ohH^UVzThiNFMKt;~NGc4G2o=*> zE$3;hBAus%3SKE&tVlguQurg&n12M}wf3E^r=T9rFx9KmSZd^((o{bSs11t@^NUi4|u)Cprf`h;oI*+j?q`ZmtE?G5RS1l0}eTAi_05)^`0 zGyAKl|L%-Sz3Epv;~j#bt=V}-4zqR8?91opu;A-GESioqqCTSAy5BWAg$DA5O}FH* z)Q(lsrVmx|z!|K&->qwT{~65YkZ2_hP-{?E^ce|b{@t~#-t;Rx>&$3M-?oUtH^TV# z87#yo=;0aO1^o^wMM3F#!mFKa(3t7qt z(1pu*`btkA^8z=)UevOs{h2Yg(W(edHzh>?T z<2i-GG&;4;-t@|?jyCb@Wb!}7FOjw@(h7q$ppkgQIM0^)8TK5%lmRJYLGjntI4YwxyAz8dbz*FpBuD2lhRq{(Wq+ z)|;;RnWJ@RRVo<%ad7f3NTSd*uWyV`yoV=cI!^-?-!p{$SEtZopO+tIkLs!k4)rul z#edk;pjV_}R0*ThSNP@sFk3ioh5zaPHva|>f4lZ}c8B9-kf7HyGv3`z4z7D?()AfsY38-_PTB4zsIyu4sV7lOx8=# z|1qegf+S29D}2r1@w8cl&Xe2LX6+?~ADZReU7i@BNlo^!7+3CK=^ZPDsiI0N`LsKj zZ5VD_9Sl(pdoFWUMA+i4fi@z}9MFS=%&h}K}XTQC^T6Dy~yxH^Z~ zMtFMCr{EK&qIn~)sP(Q+Q9bOylk;0=Ii}^Kzl7%G7ek-tujiw`tf}q`ul4XG-??ncJP;w#6fL1ugEoR!^Q2%1`Iq>0X1FKW)06ntxvZ-(0rc%$ z7Vb5MKZu0FIzFf~Y4mJq>36c5!=IJ5QYDNcXt)Qjy_4BG z0i$9QDme(2C+uMe$U4j7~Y_sqou(v8m%$ zNrk_VFiJwLN3H`mY=dW2&52iot2J^UNKB7!t=bPW=CMw`0c$>>_-lz;^8vs8Zg#hy z+K2DEoAs6xMq^v}1>AHzC7*lm>c#usgWL1+Hl-KOpj)55Vj(W17k>b^Q~c#^b5A@Z z7$gG{eTf$8dry0U3aHZ#oRh}%3 zkzg8*O&7gNT3HQ^L-Z%6R9^G|3pSzV#QZI&5nK5~53n$Sq8gi2O4s&U- zb$rJItoLO7o(2=@(P+(fMQ!c!xlW-8Qm<}jgQQ>;+Hjuh7P1A7QrV~*B(!SKM(}EG zv8lh;XegrXGx2I~`W0SLDCp8F99Z4cMoXe%Ujj|IMKp}-F%RK6hTJA`pc@GEq2zeVh!+Y>P~ps|R(1}k0S^(W~2)=6wq&_*M5u$(>`p=)=t987%O zSq^M6{fPn0Zo43mu&g>`*{r%V|9%k*OU#r+?X72Zsg^p|NS$S*&flY@&Q)i2=CKYI z=EyZtQ-D+BwA2Mg>U<;h!bUAM-f3yD3?GqaEeE=1VuI}z4Aq~uQuNIkj=OPw1t3+aP_%dN{ zK^FKPzgTq8wV^ha;tGs(m+P#AQ3rw5?n2hkLud6tAzYo@6*?o`t9~Y4TEx0rDkXNU zSPE4S2J+a&*w|Lpmw#Hs`i$8msiLLg$#Nxy#lv- zwnSEEN`V12U=drMe0Z-Ezixx8K>S$~UP+8QkCTbkij(Mxu^Pw-{JHD;^76&3dzYU& z$;F+AU#1SmVtV*x{?TF<9d@(0&r(tVQ#RAS;mzsz8j-sAasEyz>&Cl2%KAtC^%5n@ zQjQ6TF(I|56gP3FY!U1ckMo(2vZTJNG)||^Sp;i&%B_2hyTKT6on$l(c!?`ZScKoL zkMaIX@N($T$N0o0Y-GS2l`_j!m3$@c;}?r~V7(-vO@mPcy+(GggnNwalwyn~WeU?$ zO@an(1iexY_Doq=j6q!Ki=s1@#ifY)QIXVwp!#-k>lD#@lAsX0TBv=Y$G`VUi@fPq z&eP5m4F5P(Spt#>x1p$*tMo~?={!xS60bgKtWK#TN;Sfp7}C)^?I4{*BMGBh{$wf3 zbU1`9Z1+kSMUaZFt*#kU8?uwa7>`tgj>-@)>Tz3is7Ya0>w^Tjrx)4ikR18~cuV(x z=#fOqD5Mltp(otdPRp~S_S0D^+ZsiMSdqBF%P6qPXM#ctdDWgq>H9dF<9I|ECF&0W zMK_HN9I}Vz*e5I=2}-0Puz4OAYTe@25%0$`xkB)2Zd4sg#We=Bo?UhY9U-ljdovgY z)9qCt=>Of-*@uH;q>f|5;zclKjR$ z$Nwl{gB&x9#Mst!OQEoxA|XbyK4hL`Q1wTpRE>k+)yxwc^6!sI7H|5Mk4mSyk$``E zRI(ziFpmYAdGL6e=XWF*Jm)>k^UM?8PfDA0rg~4S#6Q7)^&7B?+gvO;;I|6$7K`b( z3O>ih_5}16_gP9%1y3qvp?>`z;kTBu;eB>;87Ds!5Iz|V-9BoK{~|FaBp0uRVgxeQQ^f0!OeHW^Dbr9g_<>-j)^FOd2Jb>A)?QGDr>h~iS5 zNs-X1K^sA@D84qxBju$hF(+`pP{g!cLaPRC1ieyT=b7@}r!Xg=rHBAjw?8P0&f&1?AHUsx#V1o|Dky#%8E23$GPRS*T)=Ti-%UTES zBbMP&|G%P4QHKR=ebj$rITfBJ2a#Gl>VNnE6}U4Mc#}+|5WHG}t2&eZor#Pt#yjjG z&^R@+L+gT!Cq8eYehHX={|pIwe{&N>AB$ zBFF1=lATDT26{bSvz(PWLYHZt&;$}0p#Oc+69}qd_miuYMpDSs9^OMFT_Je2hxc|S z`K+TQYkk(CsuGX#;*sdPK!tCAnspflZ0lo?AZ-XF2`fa#_PhX?nu(4&u1-GYw_m|} z-y+P3d$NyOg%rYeG+Ol0jxzB({tZX|~o=h0e&XDHsF2E#vn;!}>Xz?xWI*$Mz%l2v&vQ z)f&UTjx-3k>hkP+US!Wgivm0?$ez#e?Z`EIau#lEok-!{s|AHfBWl{eZJ}@1DG{E9 zeu}e&j=M!kO!rG@)u4^w)k=1|KQ(jj{gCD4s$^$)&uDM@6=mxq=(799>x=r#4KJ_I zw-=K%2tdh2YgH`BCLx-8au54-}6RZDV<=pnLi+ zx$oW2dAM)+bKdS7gCxRzL)*G$l@E{?hYoR=}Lc$O;zIoX5EN z1(uuy54v*QCdIkm`6c#4+QpVg`Uau$_fkrir8EQLHHfj!U)9rh^3oTu%<`^AdPzX^ zw{?hy>CR?)RpR+O`QaDXsDLSw$CSF14}6jJ$(SvjZLxsaTh)j=siW8=<~~q3Wg!Ue zCMf4QCYcVTKCCkPdW=a{y~u{7ZNM;Fj7cba$S|$BLu6tlsPeh3gYV}gK_U1L^~x=k zZ6j_hVLbhpX98nH+`B57ZK7u{YxmLWs0g}vIqeWh!6scVrw!53trNyySF&8k*da{|}&ys~AsP@k{23mt9ULp7oWfE~B6d$tt=Yf_WU%<)Ntz=PJhlp1c{Sjuq5%f00 zEv@^u6CIuJWsY|=bO7F%(EFZIFSC#Qo>D+7VWhL+je*J za}G+DrMLjKMb&~?yz{GUc+X6Ylq?`Uf+3PU&TLua?*Y|)Gg^y$KoS&!S1aAg&d;meCxU`p7yAI0?pz!pITFR4Gg>m@Q_MNeWXb6C zmmJBGL~pq*vrE!43z6_q-z8h^e91bz_r4GWC{ZqevkCyY*WbE|lxPCbl_-z`7B@=L zc>!u00HBg88HExhlF@lekeohQLWWSHQj(VW1E(ty`8K<5WH^DG^A4&2~u&Y|bQ-IViX} zOJahXb0sFcIbUMJn+tG}G6JsyM>a3Ss45cH24 zB>iimCk=-a<7;>1-t(!lbZ zDw90jBw)~B+E)`3Psv7`g9bN8Q!*Tuewu#hgQ0Jo`jOA9W)YF+Mead3Wr=c1XrX)L z6yKaIlUNdFo#!uCvm3fR@qX{IyVB6w z$d9TT%FBe$7E#kgDJ+#W8m5F=HDRhMHB1FHX-ZX2EuAhZL)-Ij-eYOq8;J!r_=FNv z*U7t}qPjQu$oE-TbQK}YFn1PG1ywh`@hmylr{-v~S@tdvp%Ql8F|LOeIK2|hBAyQC7sf700(p`X@E|U^Ak|BA`1vrsR9Le{}q2fke(MP zUCGEqN(hBMs#Fe&1Kpaa&>L$;&ZduUW+&ZTfL;^nUnwU#nvPu}vR|$n$=_LzS7s{k zTJU<-Cn1?6p%7n_;EHIWQ1*97k`6&v(VS?OseC-A{#P?SI?FN<>fDlLQ6;-$LAs?`Tk#vtds_W{bG+~a7Cx64%t7*KFkIO75C=+Ak#+Goe4`=y64utz5+z@OrYq%L zO=wYpOmY#)wn7HJR*JM2D8G-Y6z=;W8!}qY-wsx|#C8Zkb>WAEW*sG^OR_WzJW(%A z92C<*OIDQ$wda4xx^?6lGfxM-<$|gOs zxf-fBp(%2IR%8lVMJ}m9x>t*O&H9s+L!F}9(Rde-JfyBJqHN3^aa1!BRoX}Kjt9oWG(uiYss<>zo)a(~O4sK7sK*nEfXpA{&YsIzjRH`zf*#zhesixO3% zw4$DCs1VT2Qk|?_R8e&irjjawE-J{K>7rb?Ixb3@G)z%FT|i(>V<@idIGZUHWm+$W zz#=0w!>+Uze8v`Etge^HCPLQX2Va$LA{6X^Z&YXf z2&Uizs$}|xm=MSDjp_3egAYcFpdNm}r<|94#FhkT?dOAnbv$eni;EWR4PxE-(umo= zqBw9A{Lod_AC%_{3)k`gZDKe1oqvkEH?he#G?I9*ko*(SCF>9P8FgXQmEh!^Q$)wz z3H)UJ4b)(%HGC)Oo#TAsW@feeQ_KZot$cjKRm}6p`GcF;P~YSHjm>NXz>&>tVz*;( z0?0axpz{mb{((*1ymMuP}JmO{7X0M7e^9A z(8td&h>?);J&t8IT|A1IPJ6#9(PyWa2e_mcTAoCC2XA3Teaf<^;hKUZv<{Ha)*UeJ zTb$x_s+51W1(9O;gZ#u67CI)P>zR1!|Lx8lY0mxPo8w393-`sUx21_?Svob5K16<` z9>)|wG7jZZQ$aFE?>mhnn^ym7-_CEUW4$_M;^aRnbkhH3@%eRZmf!1k{&gL@rVBMe z#Lll^_+Md&by=f$kF6|XNNYqhTQ*RuLVWn1s^}Xkc>`ow%0!=Iwrs8`=X1AWNJ{+_ z>P@ALj#FiSM?Z&%cctFlVwd{ysgaJIU?@ZOb8<-GGY43rzo`Lu29$&_DESqL#P5=L1ij8P;^ICDhMO`Dyl z8#qnETDm}lTn%X7sT@7R2W)34e)o^#^R}}=;XYAvXPAHH0NNSGB2#LUBk8-?>iAq< zw3Bu3>rWiUw~)ui)uq&?OO9^2_>QwHW@iun+jf@dpqhl;mCdsveI~d@Hq*U5&vt(g z9IuBV1`~+1c8AjOUH7;;9Id*y@}XHcT9xgs5-@mgHDH?4ot*JJrYjz^3-C-PfSPvT zVhdyqBw1#QZ5pyLM=L+&;rslufuyyY1;N47$$x?mkl@*)&E0|zkZ8gUK0v}@=$yAn zAciVA&rm)tTe~!Tx!OGQ_-yk`tds=B^je>dk+anw0H^0-ds8xAzNCL?A4PQK0XtZt zX&mxJ!>Bh;iJF+lC+=V&_CQ$4JjL1z6!VmrXaWPQFTI~lwvTxOFr_w2T5aA;?4F|c z0(>5P0UNM33Hd2Cc)s>=2S@R@ci@{h2ORw14i@K!3_E|q21kcOI;!L@2PKvB1rb-K zj*Q~Be}coXtPW9gk%Oe>Ah)F3?6b$1 zyQSMQg%SDjde+ym=r-wIcvd|D7jxhiA^9hHUi{2`aI+ks;9p1HA^)NQ};CPWHDCSFWpaND;laAAb+WH5#vy0l4-*Rc^!^?KD0ikCfMiR|C-I-tzXVNr1 z%s<Z*sibvKLYoTCY%b-RcMdFF0-WB-TAWkUL$ter(v??@6F zC1TC!Z1P8{ZvOFZ_~V7q!XG~gmj0N3Wx`0A@L-nkN56SdJo!@=5)unExnFdN=6(^- zu3R#OFSt_7NGWD|7JubaYzXW-gMa!d8`@X&FSG|SM})F7bf4-{Ug@g4Ax_<~?P0^l zQJ~3iJ}aEfwTgm`y`>Ha;dZW76mxJ#zLK_NTXNcxt)Xcn>Iy%BQmK0{wxs7-qaYHJ z$EEO!J&aEifeR1zD@l|Qq!6|&>%8I@`R}sn!hgd6ur6bV$#GU)7J!UoGmpbV9(hYf zprvnd=U$v`fx*e2AtEkB1yR1f6T(={HmgOK?5AB3Q5SJ z64z)BMLWH7Ckw9&ufEcu*2^pgP3B)V;DD{OxAOB1Y+#Q@oY+NuI_FJk%)RpF3;fQ`&elAK%|drNi9omi94G*V!OF?2JGoF z^&ZK$?qg-cNEiliD@n|3bI#Kwl}1A(1wrMla(QpN{}$mvEmOJU3l`q-HCfkpzQ)&l zA${eSFIYcQ(Nx1%mQIzvvK|G8uS^96zA}@*0PEMU%Je?~rqtF+L%f{HbG~G~25u5H zOP_xcUuEobpLB(2)UfoGHIWgxc7GhjU;UCL#Cu&lcr<>SeS!!(dNgG!4(z=n2wBvJUxxB%fZu|Feg@|9#83e_6u zQfh-CkO=lV&54pxmb3|c!vVycpvnBu0oFTQk2$|giu4)h8r&@I#kdJB8uk^N8(o6x zOLK1YLG7ivJ}So@9k}J(=r0i!l5EM~Z+*oQy6^WV9~oPgDSYIB`NYaGXCyeTwe}QGJHqEgff};W+Cf3&?RUMe%nUS;CO|d6Jn0GnIqI&#KOH&V$j5q7Whl|~T{rIGVh&NGSfX9@#^_bv(GT!7%j~OwJ zZ$HS!^hbT9yOvY6q`RKA0N9=HQsvoOFb}$nDlXl*s^TFY^9>spO7)zMd9p0?qxaD< z?6$19O5gySL%=`K9}TV{(QwK6c}4PdxdEqPLg^HJef&W&)WvTs=rzd**{{FY7f`*|3@ z{4Lv&AfgQQRU*vLfLg>E>Z|G@K#aBZNb~o2;XjX!=jXqJ|2!wF`qXp0=OLEr@NW;t zfuyG_xF8RFJ(Mow@W+Ova`=<851u2u>cTjEgd_()twW=jlOVyjz9ulhnyclM513M0 zB&%uAji^nW2nzQQBb*W9LLStTT9!JXojV%YP|t>4*W`3>&5=HJ3jRUS%1-Zq;MyJA zkB5KH5**WsK_4t7Qeui$V}Ye8RRA3^CM~eLD)3k(E1CbD? zb*3%3+^+D`bT}SKAm0rIBnNe;$^<~s$F_LG_iR|$TeF3eHfg-cfb_36U31cUS^BN1 zJn08^{{Zq%=Vn>|I30t$l-zSOxk3g86y&$Kg`0l&155Nn%?^&4L{A1cjtTdnh)If) z0gC|LS(-I)mXLa27MVUZG9uWMBUdXv26`6lBeD_dn_zWbFH~x%c`rsDc$o}qA)lcQ z7hAR@n_r~s?Zarb)uMD7G7S*3h%|Hpk*6GHA=imxGAUK9kL5+YC0+M;B|N7Zzew8u zCE815OT65-(@y0UWI~o08`qNNE_skIJB)$xwS)Ovhgo>L{C;!!$@WTUrYmxMJKjFGzNi2O*cfr(tehaJiTIPx{gI8B@!E^1gr%VZ zl=%y=GD3joEdb~M(w(nH)76q4u~^9prK8oK+48d~HW2y_d^i+`VVxf+&!{Bh(!bh; zP$8u@us`?xiG>ZRCJP!BMKu$v?oEd4=0z>K)EA+uX>43G9C}yU&Ycaf^0c3@fJAPS z?h>JlOj$TK5{)A1?lse~l9U<+m92Ae4d0KZkXaUvq3=#ax%flLtMZVL9g+e9581_i z_#yH-97BC56ZdppIEA2eXTrr-rXz;FOLt|9eA8NXq&t=VYcS%)8TKmCoVMP`2#2Hn zDDFf8Y$0{px~$=WKeM>*s5pu!rN2>*7=qQ~E{N`U*3X#Cz7}Wr{>%nDvXC#$7?hd| z=*pb68+Tnx%^E&L;%O$Kw1dIh!HZYNhm;Du;5C;Rax1;$YIw*c{Aq^}Y%q85V(wrt z3%nFuV+d~W60G4NnDA0zgSUehZwG_7!!(20tQvxSp7peZhKFFnOTh+j2QS_Z1~2gZ z;t_V;pe#~`tUm_U_oX|yE>+Bm>oigWRSezn+kRo)Zz&v2Vc%jZteg&*Qz}|19(3#N zuK4Cts8t*T8G4~FgknW9wxrT0Z3Mm%xL#DV`N=MRc&sQ}5R6lsFZ-KI?f5paIojHk z!aw^3pVp)tJu5rs|Dm!q-v3XPt;;Qu`Tcuk>w*pDf2nL;aE&3@s6V|@yOnB{t;;od z|E;oh!A1rCOJ(bV4PL73393=qIbM}r=ULe~t(Ek^v&NTbGOy-lHseUU-j;@$jB)@S6T- z`*y;_(UAt^hS|p>7B~;dVMoI3xU`qWPs%6@JU8CC=`dwK(OG;L(PHaSHq>^iuXiNH zEH(xe2%pq-@rHYXte8KI=r0~0_FX3j4YV(i{L_x-mO5O!OZxE}k6~hD*mVBLF&tG{ z-j6?Xj1BWUGL7###_qgv?%Cb4hpj_Ymj9A>DieXF8@tn5zkhkG`rpO=)FTakQ$d7( zsE^bu7H&`fYAgEj2amIXj%d->7R!xArHj$oDt+F5FNS!u1EHZA#4O%%vE{6?&6kdg zUYY?ZTXK88Lj5B|TCtlPEu$y$$} zt6nGyH$-fnA4)mgk3Q9vP~29Me}8`O2^KjzQ%hT*zUYxQ4{6i$64)PoJGpEFk#?sN zzD{<>;%nn+&d zH#Val60-P%&8&wbvFivE<_CKd7@#~fEQh+8B>=T#KD%?b-PvG%ayVX3!oj+(>PDX; zligKQFuw?UNQ#Zh_F zpL>$^O^`LhBGuOywN}VoNCWYaFH(hE(>7^UKfd)OiyW#~h(*otNE?K-sc?v%$j}%| z>{LE^^O@6lsTv2`*H%+Ip^+Rp28}Wzq@zUVqK4HIkD#^W*=C&L*}t)rb~PA2>9rM* zP$w&DM7(TK^ezVtZfRPm2+&<8M9VMB(xY8^+0r^6{@ZUXYDmRYnWheCJq_(sbJuHW zsCjB>vgY&Ar&yHV*r|N(DL8=LA{<~CfdR@BLxcl74dCGbi|)js$_wbcpa0(-V49}` z*hhR?xEhu7zcsCOe_>;5-LHGFweI)Lqq=`FyiMIVpv2PTv3&kX7Itl--Y#R+jhOA# zoAx3kM^4wSCN~({R!U<({`T)Ia)|B*$!e}g+DOXUcDjeR7ku+Nyujwy)Q|T%%|bdC zP=)&<6u%9pf-OR zz_ZHd{=e6FxzEeglrEKk^6Wgd+NL)1NHN78^|yN5$fk zHPs>z7K?=nRL{ntJoQi3JK)85(bHL9jOX|MiCwor$NORcy`g}{&#tnC0z6*?kk;-} zdf8wHdc0;LPH+V`6V>iaxW9P@W}M=ii3{WF$8n3{b-n=B#RAxF2LS8C0C*apE*aau zetcOh^bw?|fM4PweR!WUI2VpGfR2@_#pkSY^ZBtR-~aX6QhE4g@~h`CJ4W1NPRszr z<5wlRo8#B($}JkZ+hDIFV>vfzS~=AJ{c6E8{*JUIf44K2mS1jZ(aeh45$4QN9!6SwUP9#2 zzMUqNjckU;Vw^GZ{){r#LPO=^XwAxlAnB~~-B~Xy_rrV)O85x9nN>Y_#G`uVpn9-8y35MDpJzR8jK&~`TBSbPYVGh+YE#i->4ca96cEn$JXY9= zwGlCgJWOBmaL4xN51q##@b7f~?s*nsP1IzDBApCQk45z2ht9L8&^OZ|0|QLT&YesH zESg+ek5jsV& zt2~Q6&nPyb)mO%eV)tL5%b7h6b49Dg`|E8>y3nhnnHNpWky5*0fGFvLXb+`|bYYz# z%xJw-%kbnZ)+}_S09Wm)S+SuRq17+aXsVCGK>I?sQQlHr^!p%>bs*qkt+@E4sNyl^ ztm2bKscSrCmm9JPtv>LNvMY4iStMJJ<+|E|Qf;s780E(oUt$r_t3?hf^N{?Sq4gSF z@)0}Vb%{;6ZM%hrAec`QE>s0_N^QYF>e5(bZ{X}@g^^fKBNqQgbZ@Nl?Y-k zWzvs*J2|)2qCh@N&il!wE{bf=4&sG>v*KRigJ^0wVaLEo%smB80x5iNG(8uayM^zK zUB!D{W+71)i3r{sDlT%q#2P7mEMxFqdc*_k;Jx-$eEMZ(o0SSdaHxbe5BCBgL_pfZ z!}cbEmG%r_n}}uP-UzzGtoM2H!wbX_xHnUB;K?@?GZpyB`kM9ti9Ku9F(epUa;(!H z=HFdrIUVaT8;`}n|26TH7B*~nwX|(qWD9mFlt%7Bfk7SI)VEV=yJB$*wbA+m z=_b%OR`=&sEm*5dT*!~L;5!$|F)IfsJspRlsSMD75+u_0Q_Pa=t%*JmOO-{VCVSa> zA+nt*<%hoLt7%3WXth&6EI}wS!09^J^G?~@{k~*m*`D58V{v#V*1t%ix%dV|3s}Nb z(3)A`8Ooz&vp>bPvrb-rgX>lG zHXi4zTw}ajH3|XcMw&f(8izoto3%t6j6^kJtr&^uIY+4D-mfPTIMsSW6UXBqEm+#i%wiI$@+C zoO(Wmf6z`D=~olY|7@q+;P?|{YSxx6l)`u*#1f2X{@5Q>B!;tc6<~EQnwS`VNX~nV zjXNvnCVYN+8FjLNPD@F3CWL^jZd;3ad@)5&MAX}|br;cXs{>bFY}u*Au9Q;%b~DY# zAZK~d`KA86jwvxCR?u_DxO@Z^Q`L97<8gRNE;R?i$fCu=5$ZixeV_ynLp~3_Lh?T~ zVf5+qAdgm*zJ7txIM_xBcaR`CPreH)H7-+sDJ|cS7Hmk%SI<5!mN*K~#i2HF+Fh&u zJC!5f?vAI2l-fFEmr|4Y`Wl4DWc*TyY1}@mOd4`Q~C4h_hPuJC<7hT z(PGekp86Yl^C**szoxz-crk%)%?MJCzaCrJ#*iZe>V>|}8(Szk}(qpuqCX3v%9j|!U zN6d>%Hb4229G*=p<^>%Tn_ql1f2xBLGP+cAHTxy4x{^`mlyDk~z*@pF_1YgP>kIg- z)nJxqL4A_MRmgLEwB)JSjIw)tQ_Jq~O&RfLVcaK5;)}QW6yWV?=b?=esJ%~=Xdv=S ztPubu)}HwNMCpd#xh2*v0LK@&Z1W+dEVyUth#wu@&wXE(li*u31@%08XEIyxpPfDt z3-a$cKE`F51h#hjh^xoB?+5(>K1PhZ#9s*y*7)ZT|GjtL0c#G#M?U-&Tf5ZgxqSS1 zYY!|pt(F1Jrw706uY@?#wW8q>37S%t6tC)Vtrm_HgCG^xy5|y&?%h+Q$+N+NP-bCg zl2Kjbu!Kxd!~%MZcISXitY)^6L466V9X4{5o}L_>(i0Tv>G|9}OG{4==$<;zJ<@+W zf`8dj84y1YY}ib2uqXLswrN-|TH{rAi`y)v3vRXEu2dX6hm?y(@Yn!&R7pENEdWlD zbbvn?p!CSE-@X`AaPbH1M+sKfcYc(B-2u?D4Oa~kkx2+=b)&q?qC3pO*+=Xv+?bwc z8r10qP*0^f>(ZS&GnVc$KY0$PFx&G?VScH2!NX!39l>l<@adHAAs`ochpdRkD)xSc(zFy9*|GP!Pev*8~7@da>JdX;~R|^Vt=ZM zBNI?iQwut^(5qgBcq0d6jnOvY0T|e1*TdfJTE>{LV33A@bpf-nc6_XpI^N>M0$3PX zqdjAAO~dixpQ2IYxC){xp3+(A)&IM2Jj1#$Jf*>#a&rAhlWVorsInzglaV{}xAVt4 zD}&5cP!>)JN3#sxQMH|K?W~0QE&Ppt+Zi1~;&0G5)toc(P|a;$(vEQPn!vAVE!#-+ z;6pXRUkdu*Ly1cT{Q!+44`Aeo_XEHYe<<;K$q|33=1svNcBg+&9LKU}&|-}@MCZjoO=J=mq-7#6vOh*LO-^)h7pZ1!Fi*B%KR~sX zpR-2GuU^QgDwceUt8qb@IW@)*oiV$K7@g5)bb<0B`ygfZ6VX;x-O*KKwyIiQWPeGt zAMuJ>b`ySP{&;$<1EbAYG+!#%vP4`6p*3Vf80~YqTU-Mh(VcjcY*ivkKzHAhto_9B zQX;I1iy&Q6h%PDnNI5YqtC1E%jUtVxiI-|*xuUS-33YbiXXa-_bymR+lxT4xtcQyr zVECdSC8~d&qy#P2F2I5^gC$#~lz&sIH)`(SyYWS^PRX(?LAA*aehP?Sa!@pj<64We z6!${w?A1lFX2`~X!XhrL=(SKZ4XBEzldV{m$7?q?|BS{)t_}&nZo?ZCb->~+!JzmZ zB|`4a&A1Vczqkt;{u|YNLl-69@4wajco)?2&E0Om1j3U);wcTmcU}`s!{GS8B}vgB z_?VYwBiLilcBExX(V)5bY88Gqmg2|mypU2;Fn5UwsZQ_higAK<{QE@#=`LHk08tqN z#4HjZ;c)?yN&xIGWtjlh@KTQ0Mio!B)9}fDg}Va$^LZc!h}0$2wKU|KFf zLRSHjM#?Ov;tmeC`;eF|G=26?5uR&v0wd$#^=PknrRgJ})HgNyS)Hy^j{xCP%n;U6 zzvR4Pems04&bM~f*J$F&>0rxs*;lx|=Uyh@q2G2#P$FhuF$SK05wvmwg;z8$N+ zqVn0E|BC;wyAt8w>k}-+TL1GVf4;kN{WYYWU6gVdwq8)+S$$OKMt8Ed7_xA zOe(7-ku>kJvNE$Y7sX6m@I=Ry3YGH0QnSD_yzvapgDjI$i#&=BP0i!~exCWP$M^T= z^Ao^9V%G>Jmqk6^01cq--jZ4c;#D=cb}% zx0;zvsf>6ijM@Nc*&lkorz(OV!*2Hmx^y@R-6=60vYHXv!Qrw|mS^fz5S z#S)VYv5Uruy@>|e8DBLPDT<;aPPw8JyJ_@sQ)dGEaoy0IPQ~~SZP0x-M80SjbL$^? zCNczv0&0WHjo!CpJ%?!8eA+Yfuu_TlF`&-47HgR_O93#dr{e~!W$}V%WM79EZ`kaS z2OOe{rRGOU@#;WoSYoXDP=>YmU&ZU)LM;40ir2ySb3ta^9$Ehx&AD{;5hWJmKF+L5 zSBfEnZRYnc={5E9eb5s+wCFzQHXZuWeb7}pbk=>)c?#NB^t=!3(~&#f1AXgdVypGN zkp2XTxfg;dgC;tEEUR0JA)#v!^IILLrC)5>GXZO|)^&U2h*LJRG%A_6zGyoDBOHniYw);3#c zuT`(x%@%4!$%Bj5q&~V0oqXOJ?K$+Gx#=%s%#S{W65_#(R>BcrA|Ha!>b_d%VFp4y z{F~S0blMj04_Qr4a<|{#tebVs@TT}043g4mb9D~+e!pMmYQJajUOXccObA&zLpwZ! zZ&UY?e{IA9=ss-E@5$`ULZMvr)R};jF0z={B6BVepln4&qJn~m@Mq_U>oshKwu>pf*#J= zJWrR{3Irb;Tr`hF#eGIS?%6QI&acPk&Co_+3D8JYkBf(xym}tg5h|>_<6er%smJ3C zVsh%Gs+cnLf%8)5Y>R*Iig`vaB>3AJghkUFLYx(waf}oJU)wIjqPVq$V%WpKT;m=bCZE>odS5gM! zjS4OAu(y=EuX5rWfSkh%wlSbADZBauoXP3qg9l4mOr%>;lqP3NAbCNaDeRs4KZ660GZZpN>LVCJ0c(~tLb6to(`Q?zc-q~ zTMLi|IKzNLOxa7hMD+R<#V+qGpKm9uhJ-LVww>tIprGoS>bJ2nsa1J46zr9o+lf|@ z6S?O`u`Je$@3OxdepmIo@>V-hQgeO{yW9{j+?ED&RbS^ojU@R^|IU%M+KX0=0%ScK z3-(@D!@D`O6az+@?A=}*4g0_G9M`FXNNiEEWdbgxiq&vB?(KLbrCWtLXAxBsqGtOSTF3e$D( z0$Yn=tO|e^hUuDjCdr>Ooiwi(Ekzwbhv3P^`%PFm_sB;&il(fBp22xdpn|V;6tT_p z-YgcF)Yd;z3aD*WYNyRWtKHO5BpN=rDQ^P5#oMaUUWb6)bM?SJYP2&{z+0WXwV+Bq z)`{%Hy>dh+aiH(T{^9ldymywzB{TLL;!(NgDX*gBDTAH+5s78~KHbilb9w^Qr_H(K z+dF&b27d`%sL|7(xBOziSW{1b&hqls{Y-w*aiz?1i8#Z7rgE)IJQw1j33MGl!y*0?lJ>&LwvvpzO1JSzD#M7yInQM z!dRnqH;d_=w?@|*W)A%x*O@AD)B6X!aPurc=-hUgb4Q0k!X+_X{|hK)QkmS|S-fN@ zSSi~*ASN};zeY-+7aCcKhBM`k2SmK#@CN{m^82~-9$c$RekesztnLV2M52WynAs~{xXUB@xdXv1fqT@?>aBQ!IyKLwkG>z z?~qAdL_TR0k?!P98~R#BFTAYAoAhD>pbD~6Qd;-m+~ z$e?xwH7nw2W$4pNcpf#!=3lI&95CR`FUV3+^DE&&RL;f9H2K3r!fmM8Uq&U0$k?jq zR8bS5z?4NLWihzF0@A?=FtfYr$^OOZiQ>bcnB_$I5eWBOAde}wnR%BeO^@{B0LbZs%|L&lvEuqsk-DX-quqr3Npk*$&4i7ab--#Uv?bj3u*sy(RcLYGE{Kjk*DQ| zM?`f;qC^5NI!)SOlm17=(}n^ee|{AExhE#d zaF2-Tyk+8D+u$v{!lj8X?uC#wF81C78T)*edx1~B>;bLT6Xi~i=+XAmT@Rar#`GlA zqEqEIn6P3@P*2lXQZkF(PQQ8jCDW>xUXmS?Mf3Wf?ReNk5~gnA`rC3yve@JMZu`R~ z7%#R~Z+GYSqdrj+7R!ePQ0^?Z>9m_x$38>g>zJZ1Yk9JN-^kmhkvp2vP#CsCUoT6^ z9bymtn%2WovVTFu?D-8T{>pN-P|Oo161WZ&Inj=>(OrnrM{EPW{kBPN@zqc zSx>(k)~LaETLD&svUbuHtc5!9Pv6mrqaeHnG7o?(ch4W)w177i%1vUL#w?`u!URM! zWvM_PHXy7MRp{vmMA`mSfe$lLW`rHtDm>JSfOC`zygUK{*IO#^=0F78y;a~{dMZj2 zLns7WvR4cRO+)oypI8c7GxXro@jeRMUe&_`JQQ?z_2AG%3OdvD;LE8Lbal{!ZzfRC zeTE8;oZcNxpG1NL=y@U2mn@RB+-Y10FQ$f?^-l$xr)t=XBMIJ}(iv1IUOt;5S{XuS z%gHI?2^y)6rHH432Fg}_L|oX+x9=*ed1^lHd1kp6z9V1iBj)evAdM;9YDa0m$ts5eFzbudo@@mw#n!E3Y&sFLgO+ZS$#!IPotkPHexaSG=9=; zqe>3Oq9OI7NpU)Dh0wE2n&a|MjAVJa3LuMfMg|#p>AzqYf3k0GaDUI&7-|3ND>_BY z0HV3o>e2X$l)06&Hsfi|X+OyaQ-w3;x8j4bc$}_J0e(zp2k`iP2%{HgMQm(EkNC0GdJPdPiQkoZv>;2VK>BdmCK$GJ?T)yg(t)ca_2uOs>z-96c*9pZhlHU-m`8#W;E6s z_(i{RHf8X3IG4y|@of90W>U9(=A8F68p}%C!a&0mA{*+A0PK(7l6Fo zq+odXn_afKw$T}%$NhQi;-+1#ykT8E+0NSG?7Hi~>FMwOUdYZ;9G9`aK2N4REoRrD zVDjK~IlswYo))iC`*`*l(bPw@lKnY<@Yy8&8H*JEloMB9#!Q9O|8m6mQsfrGF4aQF zF@mJ{L)j&q=*uOHqg*iE=@)|3Ys<+{Y&L~%)g#pbL=_Tn?FJS9s7y0+502Hws7Kv-ov9Ec6e+* zQY6eWoL6My7G>{Ajg5 zQju+M&5|>{BFr#+mR#l)cHdsaVkcl9oMeBVoiq-?mnaQ*yhS`JCcxqVf)Wq~UC*eX zznQPx!{eUM(Z~m8<7MQIZo=Z!IoSihSglk29yLMS-~~?EJ56XlLm&cJwmyo?e)vs; z$PdK*#JefLRW-481t86pTEP|JiUA_(81G#2!=!jFkqXhy=Wv|irJ2(IoUli`C;}3Ab0$+w-%q4@<^qiUNE&?mc=H-EeWHjobj9Z} z`inO8tb_}uoS`#i*Z!bB3n&C|{5wNF-(R#e9G@Yl^~ag`wiH43IhBv}3G0#&6fG)P zn^7EQMaaF7pU{BEkvl_v*I%@4$BXKqXdNPN8ArIYvRpg^0jmk8u@Q77)KRLa+AzYl!0JcPIcxZ@}qPy z(NK0&HXb0FSiVVWT7%jppK&Pqw*r?D2Vl;HrQXZ|;@O@Db5!y3)mJ4@vY)u{TXcA# zT_ZcYKB%CnrcL>m_X?;55K+%oY=A^&i1ye3ktrFXz_7+If6oxo(9SR4e_rHzMo$NQ zY|~yLq0vK)-V;z5_u**(Bf!n_5OTz30gsb-M|J%k9&D+vp~(ZvXzDOsrVkV?3=O8s zDFcNosE^z@P&DZrNKw>~Qb5Je!CFqI?SIxrt#A+90o-6yL5iMao!Lj42MI?g$B^LZ zTsIf_kv`HhNJNA9&_Nidp30W~L87gpVYb{f2-fk%sl^Rm5OoZOgHxq#hX{sDL zM0BzBo;s{+wlxvIo|43zFUQ$Fwvc{b;qg-5b4-ob8>^K!;`T5Rn-t(PguZ`;3|m+!3K9AJGgeRDvz94gZ8 z8P;&8fLF}&OSvPYIcq8R?=)vErCvSRpI=I{O7<6(lBAOTn@hR;7$&Cdr9p-i>HL&o zU3+XKRl)G}bQTX^&#>cu77j+QTpF(4yKSEFh9++hMZ?=NMYb9y+&qkVco=(im>5aJ z*vVn~Fh)bsdT3>7yhndsrni6d@&6sj)PP1!BA5p-3~hG?GP`OdG^D8!4E3qDw|D@n zCfx>T>D}?GiX`k`IqpQWl=vyR^f5dzb_auT$U^eaZ!ucjy;kK$lVem-18bUCO{ z@&oPCwvzy8#QA(ipM171Itbr2B|6k+w4F46goyBsp%`c(PvH(gG5^3j01p9(amB-q zDVZHx131{8Z3ySF+|W7rIF>+vkCpK>$IQK8m!$U>NvpR%huswaT&ha2U&s&sz~itX zHM)kS`aoDHC1d__=}=RXrQV@yLaWkqr^1BdpqT%moY%~?k4R%4S6`2&|^cVHuAzN!WLPEb%49)vgyXsEFe{#S}&Wv3Zpe2$her1+t2Q)dg*u+ z4(b-#3xGh!(Nk%mp8{J88LO%{5ODscBQmA4pfodPysbq#6}5p|)eLx4Wvz*Mv*y-a z+h1>U*rB_ozuqPlL{+_@B82W*f2J^mYHjt7^4Jn|kK*KfO z>Cs}F0rts~*FW4)XE+Npl{aw8>x@dO#3&=$KDdJ=$6NA zOsJD_X`Lo;n=5$afp2{UTOKs2tKjh%zQPKU&tv{6>=J%{1Vi(T2!4$kl2t^(MI+2M5uoD{*eY+lPS#2OOdOYaYMj^@I4)C{j#@;# zEryXjlKHl1#MA2D{=73}@nAZwrjnR$<&@G0+sCh5%02pK1PpWB24)wf57|Y46n`|k zgc5g?ZFf{|^saz!bzokN$Kuf5Njbj^m?i7&k<>kS{9CB-DgO6Z14w-@7RwIrhy?4# zSCqEnX*Q+C7T4#mNZ&gmV&r9tLZ?iJW7BqHZgm^a2W8@EAkNye?Tn!AcgladrNAz* zDhZvZ()o&j_W~5l*|L~w6iq%}DYEl3ip2|Mu^-GI5922oL31okDDm(83o-MEXGnj} ztHZkXhE%A)sDkD|^!RhBesPA+_KJLByy)(F|7FE2^0GKzpaA;o3)GIC>3WDpSWKP= z2FjW8vfMr%oB8u7p1;JPZ6W#K7~ph(kn>!2L>?hv%;qP?KctM2$$HgeU^ID8Mf1zl zY${+tLrx+Wa14L+6gZFeoDYp6D8!$mC~DEZ*KBHFS9vHWB=&yB79N6DAo6>;_6Td&AECXA9x-oL_{qU6|r+ zN6nuo8Z|!o5{SYYp;NWYqUQSJ3zful>m`{mQMl9g00+u(hBE&Ztl*m>3MHM7XQ&<; zlXd!lh{ds(D8l0^AP*NonI(g@`m*k;|qQxU4fM<@qNvO0qns$rU@6e~Q zSZWfsIgQpi`D+WPj)0j_y^f;N*~pB0Np|&NOHjNdU-OAZb-95-nBTo9=lMjOw&_Li zLS1nQG^onmOdj@$7IzNjB;#C@teGi#k@e6!6F0D6Jxt3K!yQ{ja$(ZQShKj6Q3z+| zQ4BnciFyY~)AW%tbdvBG3Wm#!NtoF>M#|Zf#Pf!{5%RA|7)geVkgX?+7#nx`n64I; zQ`a!pZlH3#tjwh_RuKJU3aiu`TSH7?<*X}H=AeS+A+#5UP-*W4*%9Df^@$Z@*F`r z+zas#B=%lNXM(i77lQmXVZRr`n@^1ZX$y_uy|}TWYKNcBMqmoG{XsgY+N(x#cCbB} z-CD`nM!$H+E4#msWtf;5@J3I)!3(li>$-{eLuLcf zH`PS@d}2JD>}{TI<>s6TTu+)PU(p*Ip*V-xB zx2m4Pff1_METDM?A0wRub7XK{ecJajwvACx>r&T zVV9(QOi4LZccYK#Lx*YiH+Q9djE8T`6gDUzOZylP;E;9^fUq`(lH-r|9phm<+mwMY zq<>6_*ZM4Dorgr9R`}0Qe71?9tdam%i@aIp4@GZdB#Mp2-nIXbV$ea zF`VpuDc$}w=e(y^!~#m48=OmZm{p>;hv!J-C3>{}__@XaslR|L-%-@uvhe0D#3?X2!wSQ2B}z{g@3(-a4YLLhs&F-OGK@A(PI z9Q^30OkBQC+y zEB8%9a`tK7V!=A#=ZU{%U;v6eh5vI`ME&Q{|6_pGmJI})M&KVP^nNOZ;Q4q2d0-iT zK2C=edl(|jTCw*x`Th*yc8w+ohEdz|k+>pDp0Uc4h8sq-_61X@lHiWVbp*$NNO^6B zh-pn>*gDMJr=Sr9Pnc)7lwLNGOtln>7o&l%(r`SDBJqy^v0mLXtgAe9rGB_?J!ayx6p+ZzZ{YE*#2y<)wV|8u z1of0-HK1z4T$<;PqWOJyJ70m_x>-%|`+i9=_>@*4#=CW=ig6(Z)gvy26PI3blif7& z$ztkTae;3jC-Gq+Vc^ySDvg2Cp!TfEV2|qd3391k6LpVxlstjTyjy#K$one}km5ka zz3)}kq}dXQ3lMZX#O!D$-=plocfH0DHOUo99|s#vK~>|#?FcGML&7DENc26A6J%EN zUMQm9l>+H{{O$p8a6RP}c1%7c@+tOAbS6aW{Zu4E$#eKg0FXx}$P+~6}p-tQ>c z%y9}`bA@mC4-1v}^cAY8fUuD<+2~%ig?5PvSX_NnLf25M95h$7w1()Yw8_fX>NL@6m;7+9 zhz|REC(FkYO3PzvHemgHr~GEFi0m@zPBO??%%Bi(cH>G*g@H{fB1e0+Fht<%w~vIZ znfmOKP4lo8cy*`joF|$-(Tlm#OfM_f=Ata|4wIcuI%AiNvV{k7)f~wbNAg9L(SZhc zi~`UVKArO)cgW>=xS0IFPPqqeml}kjcP5&%8HK>nC5%5$qDpXa1l-}caleyQpl9l> z;x+e%9nv;W#F%RlfW?S~qt-iR$~+NO*Fiw!-e;$LZJr2^9imgEi;npIAAZhLQLVuQ zN8aCZ!CUNuE*k1&5hquc<^F>tm0|X?&|4p7p@e#h0)ht8geSq1l zOL;>mv}o(4^#Lz`V9$ASyDXe9+SWZu3Bkho?ed5DqDkGKRM__Cb}6KIx}}Bmm%gfG zafFi}ikfEod*>aC%G7pJcENjr13FjaCbgT=@}gQAsH$u zgFDTeFh>3-@%jY~L7f4dkms7gU^uW?A@wXcc6ZhdvPDt$?1KeJXj|xnL6LdjnezsD}xC z`4y4&6b?s0X{J?{48p>?zxYU1Hs z!_T5%4Nu>0a4k~+EPyBs_eTUk-RsQ~T#COHj@}-j1<>^Y^>Bb4T2Mx93!qO~?e7>J zfXrZRz0)L7+MPP-eAOaB+O|Ol72a7M{v=h`{q^dE3N-1*de_(I}|4%q$T0VPvP#`69BY=#&$gV065REhJDXR5buDH|uem?PLt~!NT|mc601x z1oZ(8vU>XX^X-}JqK{)2-I!0-T`W3>QC?I-d3>Urni}ORpII#WfStm{qNSrY-TgNG zU5l@P-(bgW0}#mG-zf!gCaV{V@H)f=jJ#X5q_#vf)#}Z{3D9iQ0i?kk?N}|@V~J=P zi4Y~FRak8l-fs`9)j-q9wcJIB^W>7sT~h#S zopo}+r{X`BP)S7hcsu~eR3kFolTrNvQSiU~o6p-bckUkO`8#u8=;;zPw> z$1u2)s&+KKLHCttWV^ALGv5Z-PsG4ZVxW{Oq}38Bip5F8!Nu~YVjN&P_OWbLBEB@t zFOZcbBHS>vKwc{mjUydzt5WgafU8LXXQ#O{3X0=5Kvwl`eWV}`)5r3sjaYG>sQ|bv zj|{uWJR$dJ20ZYzZy zo$(swkX}h;cFkTOFO`ZW^}P!?2?j*XF=0V*qirHLD9R=Y$OgG1`&G_1oo6Z+=gaNe zMXc}Sd_vG{=i%agh%+i^`kRBMixfm1&`B6RHkbAv*0F!bNner!<~|l{&@UPEHRY33 zj&ef#4qI6}`AD$oAR$6Ed7H)c>$wav0nZW=%|Ic?B!OEJlX;qDPBQI&0ywGfO>2sZo++|erf z8`O?z`6xMmmq_)|jnX81^rQ+K5q$<<>5)6ukksu~@4<0jQp}t6=~Yf#yZF!WW=(to z7kin(U`=zi9L0*_moSrE}!O zJz|leZZ!`^0TZ7~HChEEIa_?TzEG9$+H;xDKF?KD0z9AIw9vdR!WW9Z&bB8KgEBh+# zUIu7$d22MV0!fGg0)m0=H!lV2a>iQd z-HJ17&Xe&8q(%1df6!JYueOI3qL-fy^UuwT4m{t5bu;qxYkiTyf|D@kmfSDFt2C2nT^?iX+%>_roFxcIrNud1_@my(aK% z{pR)X0luVe*WVq{s7LOzL741l+1AU`uq~b2_jb1F5BM%bAm+A;`Ci4`$~GN?54Vet z^vV7^865@ggW)zvFtYkAVRkxfI~e_NJ@kns+~rd@540)Y#?O}JhlRD?>O#^Kdi`~^ ztUfG4J9+yTag(n@m$SabG2;ap@~dw#%!BS< z-{R~ns0=uY^XZ5mcNE8tZ$B%)IVyT}ubP662V=uwb8H+>!SP%nkwpg9qZCI52XxIU zpY22A0N#?OXej;GDKhn#i0ZO}u)qbszGiC+FvvS+R>+5ri?%_>02_0>xm-VRvVet$0aT}9v9{Im@!3vhCjA28 zH{ga9japK5=&U>{XPgkxeUCErbXu~6Sx1fZ64x`x2SWoC_GW&SoXX?gjsz^`+2o-S z80{wXEV0uankKKE5HCbf6zCmAyqE3~pQ99xhDYTa6{3~zFtRjjOUk>g#^r&qB~|S5 zNUqJPpa78PR4Y%~5vD-`m{S>uyGour^dUjVCrp!8Ot77c=bRn+1fWC_-F1TT%9Hl( zP|7@pLdZOU-86bk4zIu=B36$`Bp1ay%P~06fb|PH*3b`xv9biq=CCR~n6;X}Wuc^% zqaWM_vcmYS%=}J7-YM#jpR1y>tBSg@f&xgrv08b^^wlO;D%8nW$wmG2)|suS3dHTN|juwShYP zi@+NK)wkhlH~GU!oTld{0TXN{6T@mqxhj;HN&p@Qbp6(52dZ)QooXbS*<6j_E1T;P zZ0|z>Xc=8wtXJgX`zsPLg}O4^&D!+ZsAaC(M5@~+$QQ*n{YoyVI&p@e;Cu0akN81$ zlgjlfn^dJ&85j72KuvxSs7Y8!TDD%3*@2ooK&saSMt3>ffE;fykUz`!o+Zq9Y^5)q`s}T_kGN_FG zNabqsxNLV;I6771_%PK5Z-riV3pw*ZNRX8Q zIxDNY$w}u#<9Y!KD?wr9IbnZnH%Yd{f&@A{x zM0Kj8GwG_WQ(Y41#h1jR0nkvx3v25Fz4*F>?(&acM0h>!yNSKT?$Yuro|RkpnkweX z0ELxI0W!SAc?T${@f?JiFK#qyL?@m>Ec;i{V$cq15Uq;Txp?T1vlFP3G@TvwY}N(3 z*>yaag5Gf!TcEF9$%84tr>OY6pSqB{U1YNmBdS&(^I7m`b3i>UFpFuJ#aBf)Z7B>FhK{aRu9eN|wKg_D|&y z9mvk*hv)ypA*(sku}+)AHgMB`5UwJT_g{1D1RTx)!yg0~{s0U|ofjQ_jdgm91Dd^< zc;g%bs`v}3qT&uEsiwN1rDknyKo!?sC2qmOzZ{33bTUQL&H@Vy(m3pmP`Kk;csN}^ zyVk~c@1hixThZcxdM!>My#)cfm+Acn-OLbsBBfjr(AX7FGUEl&zRl1(G|{gjN!Z%^ zRB1(^`d47se&GVFV%8rF?1>6L?IvY$f>=IGInw!LiOV+(8!uzgzSxEw`E&3p^IM5Up2h^8a`@Q_5 ze8^xInHe-iUval2a`Z*fv2G6#C7Zm*%f+iNiZ6lI{3)t3jtoTvX5F{JLi%QV zqEbzF)G)%wTpVwN+iL)bL&3upjMgL6my2M$G#t)&nCjz-ee^G?Cf-p>p|O zBD!w53Omb(%0meEu1kavBzO?8z>3CB!jo#^f|2Q@=zK14_;KRWcL2R zd7O0D4}&3mv&D53E{g?Kp6+fjgsTKka=-&RjQSb@fjW|4Btb^q6hK{f52_J45bCY_ zP{&bTNoJqL{VL_Alii_nu<1SyOaZdN_mK4x5M)#DBTGlMNm1P&+(jLO?QtNQ@1=>y zR|u=W?{4N$9gAy~3YS70^k6&2_iF(R%$?Bz;rVhsL+bd`o!ehf89Fz>gJMuhVL}>8 zD*|aD+%yGqJwdQL67wx3FtM^w;d1)l6|VS2!C2xiP)5l9Im!p=RrW=J?3dn?{l5xT z{Gni+{9#4X)s0Br$v&6L&)H8=S(U;p!39R}{@);l$X79|3CHCjdPrLegC?C8JuG6L z4kGh4oyH-H^=BPK4?1G;A0S&hJyYuT(j6;6nZS;MLBo7^WcCk1LGdExtE)pINKu&+ z?qrPgVmd)7^GQ0GW|ZmHZ*{PBpAM!~`E*;7o|~;35xyh1Tm|-7oKti-8AIu=<2t(Q zpbl1=-9#tu4kO}vHNQwe@Ih6hv%H?|MdJC`K$Tr7k)?MHETS2aq?*HXz;+K?cEJ|s z%Y)?Fe?>!IiHfD#T5qqd>^@gbBF!QP74a@;GNmy(aaHo&I@r2J2dk2s^`h8}dQp`4 zy*k*@R|hLi@-5ck&ILML38aBe+*L;>t_0Fw2fLpnur82K35bGzq+nD~=U)ouJg;D^ zj1N*)kWptnCz4S+T+t&Tt$Lp6okd!GatBShqJXTO*od=;UIXQyS4CKZTt$^y4W3Q1 zh*JY)GP*4WUAw^UN&Wcz+~V+&jar*WD0KLqT2n(+v@ANSG~e z-wP&p;@2Pi5vm`cUa-Dr2mZPcV)ya5z?q&xPCSkOB!R&R}Fi_95&`W zUN?{VS3YqkjTR4B|;tb@y-RO$3| znC8Br$GQK52P-0$q$89~c+!z-B5)Oj5d+g?w>NC5HMaJ9cp6mv&h(tchZz=%Tlg; z2f3&el~p*hLN`FyKb%hxCwzhtr*zC|*4I?{2K2@5t81u}08aPlf|FolR*tGl$ z{4zGG#B`n@kUfcQiroWjoXgW(KQLnY4m9ly&~8^fwm!h(Ccwz)_Js*V?GFyMt9X5w zITqz-eW!+j15O~Zf=Q&|3oL2w)$I4Wa+T|Tk6q2iVe}pqluFEGXh=E^X5%I>u3|K4 zCtKDuwr<)G67#sJC==iGyC&8BpPsm1oXqWCw|Tua58z89xxx0Tk4DOZn#Ryp>D+nJ zLC%N@#L+=Zt!hVhRE^&r#Ed9;j5Z1VN_WFoaxQ}N~iSWQp&c2Grfr1~;&WZ3+aOCb7e7hLgU8{}z zP5EE)T{{fPc?}m_!Iv@pw%W@xaJSrQAqP+SdU89J;ln1^mm#<%Ca79&4>tDn)y5c? z;-5BxodsoZ@Vz*Ey|Kfg_%mZMqNQZ#bSUNEv}qj7?+~+mL>X=2aRPvj z^Ap|UI;-dD?gofoT&`%wCnv4zb-48@@<4&<5)}^Mpa(Hs)#FSL>2ceDzy|>}(TPB2 zi@OuZfpwfW^P$;5)v<7FaSi8KⅅwM;i1N7UwzzbiTkY9UIgfUnw#+VjN^}+>J_x z?NNoR3IqcD!0X!zXlg|+I>;(e5EE3u;`D8T57MNSVm^0gas8Rfh?=W}kWemK9Jjc; zDn#5c03KxfI-!B<$%I9h#L?!5siZgsX7*kn?pHIC=6sr6I&NlhTvIT|Vsb5f_6iS$ zFwkOkKOvjeHsbT^3dt1D)V(}y+^k??2)W=(UA6g&LSWE!Q+YI3KgLtHB;whxIm-Jo zRvP+kFCX7{OCjw8+qQ2NlC>eb_;gOH57-bOb!4Ut1r@)81nV0lMP0qc*_~oYVHS}G z_@^o4K1F$%@v`(oh&93!&wn z02x7)TW6KVbV#K!)mLe-Kt*Eks*vUiC$vC?uT)Tr^BO#QebcAyXy~zs9Eycn9C)0Q zq*Xjm@>R!hEEIP~+Vylgu8lgF6vyKHMlJ#{ddsG2EB2U|PpV75nuO9z|m%EMLYZmB~>7j3W>9#}zZC2YV74plw>W-Lu#=^g zj1L8UB-faXJ=D_*_nx-GosoERE4v*--)>pIzA>uBM?9;#j5*+T+VaeZS8Lrf#N zr@pZZ7z;8RyTt(uWh;a3V$T)rz*LLUL0e6?KFp|*yeVdTqt-N&OWqQ*K#njQI|Y3x zKQtR7;S`&V9b$n*xrdzN6I@7FWX}XT-RqiCyZj8k=}4MC^h0UUj7=V9By<71VS;z( zXvq~Le&B|YH4fxp4u@hQ9lDo1-=kfB3yU@FH03qmxC)0`!hfI zaE^uqU^JcIbR;u8Z44G4(VoxH7Owp=keti zuNoK=dhR}e!4R$E$``)6+3G?3+|;0~>ov_eRd~0b8p#<%QNth?k0hR5^A5f3@X4T| zxX^7nxkkn}G=AtS$2%#HWp$*QgrAgtQ^7DNDU84iXZP>VJon3qB^5LZk*0E%KQye($kE z_zuCXnlN!Op`?$&Smq{z1R2)|r!#kpQi-V9vdE)iXeA0Asby6nQUjA#p{f!7A{AkB zJ;&tdp~g6$M{+K66CWZtpLtoD_|iA@dcRuMpoLD0Oe8dD)?6>}IA<)I;?%HZQ7R`y z#&9uDqcsu?@kle%^K&_&DyC63nFs)q%=Hp|^>&<3ahVDTVpe(J{uTt2+C#VG@K^m1 zs!H^-QAdJ`7@eH`J_*~Cokb#mL&EHx9n5vn@ksCNP_7?PCo?0(J|a0=Ql-F2gghw( zhoU{%b0ISyXv`&2yko19G+Od?fh7{nC%!mV&7!C=5r3F6;ftZ3r=qN9t z!y$B(jnI`tB}K^KeMvqSW^~r2tB<$>iK~xtc9^kA9lHAH522fn8^VljI@1L;oQZ3c zXdS5B9)pzo@(cBnv)6xihFVy1#;+ z6hjf_PSloB>B~H?%kpqzv-*6&hs+~VLf`xdW5fgcJt8)lppm!-gWji@$n-F`Do(^A z&cyIu-F~0Yp!eX=Pe>r1!7P!!5yr?!DzPVfAD+7m#usdgB z1v7NPFOA+TKx@#}u3-_7C75;#d7-n$4L}rX?Q)8MXF~~NSe7QPlJz5vwkD}88)ZYS z@p1qsaMkCC5b?n;E>TWPYSE##g$Xzv4m1&iTIbCQ<^* zCyVNzlO&!PMCLmlI?`>&RjM&)%gd0Mr3DNyORLkg_l)HX6p3HK+)tzyQF8*G-=io| z8`d(*DX|eeE%ly|JsKICK0umGJ?Ra~c2i=_!StE~l^$n}>DIDYn^r-IKyq_nfcPS{ zru?9hF}g)O#UNY01hXN5IfHhnLeND6WaOzSzi(uWOpDQ}_*Gw{t)iv`1~++;CpggF z_o$mrmg2;Z__D1of9fMV1i|1)W>(tlSdc=|F&WY3Bco=A-_+tjFl8-@c!96>|#BQ9v0)JhO|X`rNBtXT{`K} z@9a62&6a;e8Dr`Vy!=`SiD&kjQrRflXltq~ndS2lhykC!L!xC!i``x7%LgV(Z?v(o zVML-F7j2C0G0^{d2pSe9B`|D2XBA&N1!nOG#NhTVNf=AM&^EKSiEpl&wJ-QuGmt(W zcw8QfHa35NZ@U7pY{c=`LnuiVm9-KS(ea`p{3DvaLEO-b{Fq7LMmPH0flXyQn=!n% z{*1z+(ZHd!^k@LjidKySgt-GTn$WFMEfx%+Ch(>PAM*24D`k4ca+b|#^>G`9ND}lW z;2&KK!XUv9*U}*+Ejym#kPXe3vPK}DdlyiJiKk79L996z9R_A=V2GbOrDq!~bH^!E zJ}Ju`&{Ys-BVL;wtMUuBtQy@%#x^!KjvCl!43)?WN|r?vD67XP?2a(iQAkI+At-JSBQMSx$I$?zt|F1|$cx?I&bgfozO zX6iFBFry}L@GTXw^vNR>eI?ykMrkkOpSHwQ7rKNmO+!Wp0H)@Mz8QE_da}PTnbp>L zKm-JjQzE{#GRI30B-)tK z9VH+k(a^nc7NF)>Y8ROHfs4sR6%li$y)E0vKyeqmEuV-nHf*FfTy9UG9-~y$vY_Cw zoET#akE8s-^uiHJIcBXn{1#=GhSMgPZ=j8#+NuGttXY0oZi_M6d~_X@*rU1ZM!Ky@ zv6&@$Ix=Fl$^3o>z!U7TRGd<9MqAY27Vo-SW|aaNm2i!oxPw^efqT|fwK7mrj%WxI z^-FVHq)eb27Em$(ugd{VjSUQChvjQcjV)fNploS}DuN21X&y_Vez*&AM^FGEs{>$* zzc`I11-|AiAWU#NM=t>1UQ6Q+fF`h$rzuL4aX0i@Q)9HR^b@Ac0<^3trx0?0eWb0^ zB|oc7q0C{4BDeEYD)do!@YrlAsX#2f_yZWtGY$Zb`G{rK8cGFe(ik_Ls~GGpFJM|} zbcc^o`_K;360S?gdO5`+<_ydQs?eW6sGtNuPgZH7r`vaU*Xah91+!h}r)SV>hTp=$ z^C?F;WZE)a{?yFa&_-4miC`()pYW_AV~QYOcvnbEb7S*vWPU(+G@a)47`8(I*n$85 zsOEO6>N9J~GJ&pC0Al$#v88+!1fFI)1%UUdLq_fWai_ZZD4H@~AV@3#!L*=;6d(nW z&}l2nBI;<763r7k8D~rqsV+F$Ns)D#Iq~dSU!s!rZ1EO~hd` z$sTrN$9ko@xlmG3Dt&figrU4teqcBDG9+4Mr5#mWrVuQvQem(m*Ha|wizJujY*8Q| zS|}oJywFPRj%ncmJ~*X~Lt1WTwT9_{ge7ccfLSk*1cB4)Gn0ZCOQi1bPBy*dc!$x% z)(2#=C=tdYdK$8L*odI9ei54kkQ&R7rwKq^l?qg%jl-DQDm8wCQLYlOki{c83DiS) z5HCyzt%Pk2K$m&}MXjF3KO$tU7RILWY{ejQ6Lp$I`T~i9fA+(tjxrXoPKL*!dwRBQRrdJUaasV59&HCHH$r4savY116Ppu8k!`t~&r5O{Hi z63Z)LIrf3?G{<#H2)CAJYeB1EzA zr{|wcP(Cg1WW-v)^!1;@lQ9&+JU;YP>1x~xW77?jA3*G<$`ph~KWG6%y?H0EuHzKm z!jm<=2&AnfOioh;Uys|RN!@mvC%+^|wK3K=puQ)xF*fcQtFw@EQd2CHQIe2TB`O6Gx zpc>IGeAM7cG^F5T@t?~BZH;XWC2{gbTcgt#jNP-8>^#1RTB|WmMwwAKy zCe@t6YMz8A5(ierXHbJtN$7!;5!_t1mg!0kK$Qt7c))^%k%B~{6-xOXl+jh^2N0E( zQ}~?_yf?UubcN1WjMG~md|N9xLDkm-WtfJRc&F)7U~831 zF!-JXs9kzRdaZQF8=Lj6);YrCMZm9W3`7r#Mi+eVtIiGtEh8vh7*i^&;k|Fxm_5lQ zF$?92cw@Up>O;jDH~BH`U+$}}zv7LqcU zPRaiDE*|2S7dD^|g<{gJ&7kf8iVE{6W6A|+_aE(zEgd~Jp>s^}t4`KD<(+?T-}bw{ z{Qfr_ZAr3g$fU-Gf;ic&1IC3L=ql;8o7Ae~UGG#l9)Jf9^_R<8CRFDnlO*(s6jOB_ z*P1<`&w{;E1hDqn(gqL1YfekyAvykge6mBom%SfAO=uo$!KbmUjle;PT>m%hlb=RT0Hr+LSbX(5qXx!&ipDv}(lRej1_2-(v znkF7$Nl**)IaLYH!&t>?XR0OS`<;x5k&auG6&8Z&bSxtFjctUS#WS~LWhYoO-EYb3 zos9OLKi(uXn*4Du=2T(Lww2+U*sbEZ-`~f6?|wAZGT@`<^IE6glmlJH=MB!Aa+}L& z4bA|LoYmPFCaYb>I)-jH<$o??Y|rm*+@FmK;~gD_Yhs&<=WKSd|Nd+y-Joou<)qHW zHr+iv*yGXNdl!PA3iMpK7EMOI_B8`$deli3qhMqA7=HS`DFsFYW@*f)!EoA zZY)*?NGIsb0zBe802jQ}iijG&H2e)fc&MR#tnB=N(c4qK@aZJ#L>B@s{Pd&Op8V!)0JxwFvetAt$^hmsJb^$ zIYU0y#rS}sdWM|R#n?J!LEceVFk})r#v?U-+YbsdkO;A*l<|9SfAHn2a}{ssgQ(o# z(sc7GpkqMw9f=%ig)t+11!+;Cmd43r%#r zAIaQ#0KjOakA1)p#*aG00jYOI46JfmS1t#p{xNTC!KA4Owf0SYrQ#1fJYbW{x*B5) zCY#*b)!5naaAx%tWl#~hEm3kY$oW=9-IMmQ+~Bd`B6`>8*;Hrr89Jp! zA-l#g1Rtv$!5)ikGAB&i%ipBSpxU*azQUP6ixY%1&{_lD^S<1S9wxvFjOGY|AF6WwUNynzl86}H=0n9R)p0KUM?UN(BJ6h62kIQ{i5v6=cf?SQysBy*EZ&r8jAb#L>w%Tt zc7IaNxQtN1IcMyq&LB~7;oM%0NC*fIsWC0DDw%lE8hD}KdYVx9nNZyJS2QdxiU$z2 zoPpQ3I8oZ~)B*rU3!v>ER5IQMTV6*|w53^PZck%O-FBSPVrpWQ#XXJBwd)^Bl+x)$ z7B)uG%jj#s;TbGwQ!UOKMI5cO)gn|TK8*GGU?jTG2N~q3x6RO#1?hCE$_A*!C4cA= zXS)L${!K$U6NwF58p;(98|~rC8nUKal}pFfpp?%IkY^t@whkZ5k+54Sk7+7<)5wO> zkz{Pu`EibNIdNytEhYE^aJE2ktGzcze#N%rbxe9|!Q zlKh|-=Hrsnr^oVhc;iHRk)+5H>AJBVA^do6v&IKxpcb4iTk*C@&<)Lhr z-dX-X-rhXErsIttPj1|EY}Y*@mk1%@hFC7KL}Q62M0-O*w3eiT5L#O+6r~M`trZbV z(Fs*TwWuxn(TY8`imj+!7rJPpXk*{1`M#f-Imx|gaKFFr>-GC1nK?7_%roFtW_o>Gg|u#`=sik)}9KL`F{JhEbXl1yVoFS@P6oly%6u!V)N`%9~qMI zc1klY{GBb2QtBG~erMlADNPz>mj~-uzgy11BMzxH)%=~RK_}s&iMw&Df(sPNv)a*0 zV3p}4KpQ~k@=C-0muIg+ba*V4fSkHNUYuQ?eo!Q~xUALw)WHv6`_;@`Bac>Mad54BIx z_2AJ*LL7=XCZ#-0!cr>YvyU3A)+3)fUzxJK@x4X)&u zonkJrA7j&X_TH#I1nkK zGTPmM&FA+NP5V0xQ)x6gW9KUq;CdP#DKJPkwxJ!+B}9LRj6aEcXqRx8@UFs>VISID zXx^tCh+m;eEk(KZSaQR$(> z;1Iq8e`7U!qM331n&qD#IqU(_H64xZ=a%k!%g7RXD&FpKY(h^Z%srNE>!}PWhbUkZ zIzN^*>ZOF&q(@K~FkX1#1G)*1r|qZSY(_7o8Oilh{M~!AA9^V@{CoFvi%z?RGZ>G; zM{`vC4h73D26Io}UcH%jZ>44cBF(e^@gb&yE0&>Nq*>biLUr&BhC{Fi^k#9rmHLBY z%AEmRGsv~2uJjV#<7P1lFqz+DPd z%(r^6xv`3mdoQ*&R%u)TDQJ!Fb--;S`z2Nh^WT5~bKhdSHyuMhUaAQb7-y<-k#&qy z8X7LSvypL1pA=1{y2WCYA)`Pu;3saBCzb#sFA$5Eqjr56O_eeU;)X+8co_x9XXy*` zsRFpcNw{(ADcl^mQp_8X*XFtsvK@RMxBZ}TPLF=nFOVJHvBK7|$k*_pQx7)vH6^U( zWH)Z!a62j*e*Te8q?LZd(M*?KWtU!4{LQcO_Kg>dC`kUsFPXWI;$4#mVj4w(nD*R> zN(=3bFtmxaud_qE?brxD&>O)jy%8+a8^IR65iq?G%#n>?{3|0>GHU#1>x<;O zWuJP`4y$|p7E6s+S~hlW9xzKwIneYA47He(^pAcO_WZhF9lIRw&|Xd!x0f5;nP*>o z4Az}B>Z@3t+RJNw(G54SkNe_xyN}PX?R^y=k9VF7X6O4VO}Z5|3~xbt#T$CNXn97q ziz*#uyWoQy{r=4x2Ko-8f|>)D@cAK#SQNv0_Cw!|VITBU>IB{nFQ&GB3~|~ItpPJL zeYC8M!2BB<*vWpd7v0#O{gg)5rl+}4!9m_&5HBDCUcU&=(DOv&!d~Ogy0O;%l_0~f zr?w35uat97$^Whnr98<$`Ztw7MNjzX|2^n3{v+b{j2=w)%Hv05{sRPk*q~W*5r-iB zW*8T`pZhi6mBKZy6LI{_LN@o)p^LPvjH6%9O(R4Yevyr5w-c1Gh7EWChILu)J>xv7 zrFB3sN|SpvgLenb*z7yjcL3g?Tsz5?Q-%-lXB5xaYr?}d(;eavy8=eVB^MT$p{ zrwM^(ntzh*8=wRjR-R;kBEOI*-e-b0au+}CnLPu#z>mR=Hl@(fUJvmu6(_I7h1TV1 z;!lFH8By$|fl7GgE*N^umO`?uD2-?6u`X=UK&5tnghLr#n#nP02LdpJ?1aOI4t?DD z&ybUDrkl=!XHj~LO{I=CnvGRUR2sQ=VI32dI{hvkuY=T`i6#+ThRO#|Xj|cl52c!<`)1vzZjXt29!K5Nw68PUm#Bo5<2l5K?(NJf z4#Hd~lD$0$Z}=&cvMY>a8wV-15qx}*;!`;i!RUMZ=lNVz=2RqmJV>b?ig2QoD{w=% zp$B{tIuGII*>z3a@Oa%5h9}}QH$0(mh&5Xz>puAZpV0@kaB1`jDrNK;XNS?EIcmP( zU0gqw_7iR%>SCu6$%pNHU8(G3W-h+Y%}k9qU}kC@Wo_TU@bkxQ_Qo4Z&*I_d0p&-- zPm!6Kcto0+_}0?Q5Tw9rz8rq$%i(9O9Ddg7!%qU`1#`5yY0>a=89^Xw`AgJL#Lz>h z7O=KM@YBOfY}62?P0dBOWZPJcwvqqq3#3&HKleMagF_Vmj-5yv>j}y&|KLhWfYT3n z(ajo;wnq8Pfxa7fG7LzRObkfD0}%%O`#P~kLopzAVlhK8AR*8^`%k38fVAeOXcrfb z^LFta9CM&)UqnClQy-=7|MP&f@%aPN;s}QUi4Myt8jyat#rh2^9+3FxLqCdoZs5=( zC7;4L4@kR)l|CSuBiP@=Fd%hg<%X9SkV1y@mNH?uQY&ERL84!_w5YUOk!k15vyXup ze0jU*@4?uVi;EoCPkoiZ;-N}3N{2Soi)Vr6~6@wU1w7mmsF#hCE3TVFE1w>b2 zzGz$HMf}}{qCMfv^d_cy;Vj@yWvhD_yYwbHNXijb_@+{)_V6QQ7vkx?E`2J8kEda9 z@(6QNYFIxv);&R~)=(HRUKY#4{f=W@jRSmixgo|n(*ZX5Eybt(Nu)uB__{03c82>k z->-yg+$rMtxVDG;oyWC_``LxJ@U}Nyq>`r z^L~;NRDXFgen~=l5A(D|NS7X5{S)ykQV^cpwt8dL6Ta2Y#(qpvs-<{2EqUozrKJ2j zU;6Ohfr98)_!E#xySB@ZuXa6fmpMHz;lcUuokl{OXHUhkBk(-A`0YJtS0GPL@)VZ; z3MEYReK83?0u6oa_7S%a-F;>U^+tO#UTH^2#2Nec`W9|8rUeha zkc=#(`)v?Fvt_a z>DF1R^4T=JI4p?*JHk?gVhMEpZ6(-eBR_BzAG4v$9HW2V(q4yIq1r~)c8ucFFnc9V zem+n(a_WA2RNGtTSwHcmm1k&e4wk3IEL`8hwk&0g($u{z+c-w)SOH>u!G7K^n>`t$ zwDdy&UW!Ie&Gp0g0UlU&?MAd|Vw^Hbwf;7^P5wI#Sp9dD#)hFEv7YZJ*R^iGv+48n?>e>k z9;P(ZmvtYnSgPL|L!Uob-d>2`8d3QA+j(a-Hr>ur#w+n{=*O_B5AoXLrV)K)^J4k| zaVq_O_%oiT?pQ28XE}u@`qe83%^2BCv*f(OEEAM~M#*E=w!yVLUy+M?&(h~#vv`Y- zPP>mg!Q_i8u7w!uCy2l3OJWTHEF&bKvGHNkiA-WtI3@gm1o~0l*J7 zJAa#>KTQuWQ!Ec??Z0L0yGlRziX@Cyr!BaaG?ZO>PpN0FR-32I#cQ2C`HO2auViI~ z`S-8uxaIGe<>%HVaq}v-vFt!H77<&%X7`hoVE-8-*V4{4`r&Nd;j*K6hI~ggjGMOk z@Ql$cdZMzx5IdOtIuQ%UAZ{-bY~meR0ycf}K2E>g;g{(lHUDEk67sixCJcz5J)?)! zJ0k7st`K29a6<=f`}EGYALK913p+Qts|IT;|IGtRO|HVOaFPqUaY=mB9w@ z{%mQg(uFQ#y_Tvp?~Wezx;drd!ffI3T9_+5H5Z6U6P7S@bioG>i@izZv3aW^DrTkD8qrv)hY~f@jC?a>= zeH)aOnSMg|T-H4W@Zb$Ml>di`!zE zlcsz8bWadGMLD7DJSR#obE4|p0H~d-;JW~0SQR#D3R-IADlB^nUZkgvWoM=+5d=?- zsrcm*+rdMp;)e``Pn)XrD)*NseR1IXt0(()sxr`!n#*3Eh8Our{=qbne9biFWeUGF zP3dc}z0bN&R~mWixJ9Kk#)%*}?2+cHInyez1=E$T6)$Ir8(1TAQoFIgrYpl6>HEZA zN^hL;&!YRb==2>PS@;?vyzl__suiqnOqF>ljUw5!_c68Ao(zE%_RR2x8Ppg7`~HkP>fDH7oGMvGJQvj1Z-Kyy)i9@kehfT za_T-UvhZN%v>i7{L)WwgH%LSH)B}dd#3zwc3k}KG(bL1q>V2TRP$L1GzU^u29#PWH z>FeBw$Y+SK@gFE%4X9q;2lxdCsFsD;2U>M{#kH_XA-koX>{G1YOr>!>WQ?zPw#A@sG4_lx)^`6XqBZ}Oek^+? z`um7a*~OVktCWa(BKHWIwnQfuc1UlzlbU;n^r-D+rr(V7R9Idn)L z7`44D<%e(jc9wzK>4zr86=^(Kq|rfJZtb_)Mgh&h@ZL}-6yW|^u9VlB>^yNS)MfN# zH`A5oL-cy4*PNN|#XChBM)v97O^US-y-F1ve$i1IA~k!)&aguC2Mh^;;ja`a!ySZN zS@^5GicZ>Y$FuZVicb{>ibo8p?3Qksxt!(9Qd&?0y)jD(Y^L|<$X&&~SMQi&H%k00 z5Bn|5IKs`17kHp8yp*9djYL4lv>olE9;07oB;PG-ANnUh9%8rN<2$D(gHEHd6D}X+S}$OceQ8K48tWK z9`;6gWc9cCz5IkXcwEyof!&ycws@hH!`6+;7@drNJA{m@lz|4oC9^qp28{BOI*9F<3ds;FlEH!eEK^5(ADY45_L3vyqvEZkB%Nf-%{eEf4UEl z_MBkh+8=T7W8X)dDUtg%TSwtqx`;SzC5Q{|#{F~}0exD4chlzBfGQ#kpMiM>+<%mh zIb}SyIG68t{F)iR0{rqQpB?%Td&SRXu|GakLJfWetloSjz#NXGw3T=d&M`7tAFA4zQwsXGHuq@6YEg!iJu>3t=>HVVKr0HXd88Buj4gNgc z?iugL@(-%Bd7x&T*u0o<^ZSbtnjYMD0-L@-sb9ATH{B6UX%;6gR0Mu4JAARfodzmu>WMC^B`8GeNjLRC(12?72u<4ECX3PGI*A`Y+f` z-(mmz2w+cxw(zaV670)pnT;jbv>HYY-80yekCf`2_0kq$83dKPW{K)f*dV|T(`!M+ zrw0eH7l@=?H?RbTNv@tBpk0C(V48&VaO`lP*9%#ut>fR36se4L&T*y4@z3=fYc4*` zIt^)@u)fTc;BZ|V$2x&0L6g|Ej^{vyrLg=_$f>x*Xc9yiLB6^P>y?RR+=?b_TBg#g zfu0?;^YJ`QEZX=RJ;d7#H}kY?1f~aH8pAvm0p!h46TWq`1UVPLwv-^#S`C%mG!vJC zmIiV@;&<&3^=z-M^ND z?1sRi9O=O!$I~Lm#Yl2%5_3J|I0Iu z;G?xh(A}TZRLvE6MzlomX^q(NSf$y4Oy^V#2Jgur*`jWbQPU3M8RY{d=jTvICk;&H@ z8I8wv%@}dREpi8jp-D>>YgbxxM5SfYnj;R?)(-_sm4q6p+v(h>9r-*0tCbn@nGy_Nro_GB zSV?ok!*BKB@Y4Sh&e?2=LOHs&z0ig@8t{wYf?C1fd81E@aOG}M~vL#Js@-9z=ky66NI8ykjBP;o!bQu^`w zbWPjABd}a+M)jd*O5n9znU%7n<~&TqjGqW+sc@DFCrdcXg|h+JY1`Nh@Lo?{9RMPS8W&5pH63~sWXE^f9igYz8Lwz*aw-#pDYIjBu!VQ%_FO!=^@ zsmxBw!k*ZYXVLbdJq>q}3HcCRUYveF^7h2`COa~~>=&bn#kMCO8;lUC|5Rz>6+!`K&k=lw?`1wv<+3I# z@k>Tfeb#lQQoZ?s6UYm{VDQb0!6|dD5 zen=i+j=hc^AGelYQj1Jz>tUR&F*3OpXHUy}Mkai7;~f(IB~skmMTMYCU*pz3BkPm1 z`ESh^&8+2T_(s={_J%?wzasT6o!M~%XVURd?7$2}Y*cy)=a6JtxsmMDXV`)jMEekt|B8@T zS>?}_`sO1Un3;1(3Baq&{<%`~wIDhn0lLQEURs`5J`chXGRT@vgpUgzE+jSEpWkv; za8#`HgkFXxqU{1}Dvg8qohjL>Q9hyPzh%2V$Kc07L#1eEX&go3RrJS_7n+#I(MCup zqMrieHcDD?6)B!}^JMfon-_)WU^_euB)*BZgV4ydBXqM`q<9Yu5p8=(bt(U^`uad0 zRcJ+!JTHQOzqL3-K3$};Q&3z$Ynjp#Qya5ZY~)>l582t}RZ0WPr&sd9csxGJ210lP zVc(W@RAB>FD}g?Vl({A0zX@iugw;yZ3JYstO@<`CURT)S)k-Z3Ck*ItX82*Ki$g}U zW2=>bHeVbS>{!;2M=#hQaXpOh5(GxH<+tGoR!_|oRSTGTlr>(X_;;7+$MHS0R5NWm zrvTchCG!o-8k0fTDT@Tlq>QI+g^@Q#z5$uemGJbp5t+4CX;9%>3t~7HuLfqb zWown5HM3@y4MwNnt;g~>hcm*zwK4vi!?bmZm-#XUqUqd%64S3ati?LKNPYJMmb^~s zW@!BZJGM@Fv1P#)A<5gF_ z_t}~CN9dIZ##+_v_T2ej(#n4@GCZK-+s+fHz-xRZlF-;I)a=v zOSXLC-(#cSMv?8-EPD=f&DhmXeW1~FaDmEfr%;R%YelRU`sHEv+XmenAWu4X10O0C z83S=H3f^F0E=^bS#<8cG0{rYppIBMeOoJsD@@z{`Qd0=G??<@V`M*&0;xx84BFOzy|YP?`sySdw3-_He0E$(g6Wl zz~0R)e7{oFyn;eeJo*yJ^4Vr~68Uu~Hx-{yPoG4M1|WW#CqaZ8owkom9Z95fWZ;=9 zd!n>@05o(w+q4D8NAeWto&V!05D`3(@`6R6YaOLw1&;JJm}$-w;FwGb1EfM5TxnaM zr|{81Dr0P(Zi7**IYCWmaCTDv8zn1Uz2_7*Do5$-{)ipVQG5+cCbMB*Dm81gs>q?m zX&M}0T9OG^eJir%Ujo+6U-B$rZwS_}zZ9^3M4>vYi@xNrru>-d2rK)BimVxz!F3VV z5nLoTFFNfw{o+Up=KL4qUX-eoO5%`HQzD8WK&~#;`@?k+|-SMF<^sZ|Ch=xe~m%& zjLIH<4XBrF;5kdEmu?VHPohvA>dE-x71kqVda@(bDSN5ln(+g;E<)Xdi#!kN_LQoW zx)RhCPy_+ByHsBeu8UBgNWxXS^q%o^9k%8*o+gUx2*EVWVu&vAjW_ zC~DA~j4WU)P@cPvXDU(taGgMTG==IYk6kBFj(gV;<+pQ@1Sr1;*F}`=T;zEuH>Xsk zRFhD?G(kuC?rx#}30xOZKFmd)hw^4hRf>8-dB6mL@-Pp9^7Zk|XFE{-+(V+Az)_~x zu)=I)c(Cr<(J%r$<7_;U^a$Gvw`W4FdI|c zCH6ESn#DgL6W9-RXA^f~@i%@oS0%B3mxb+Ds+nJ-KppzNs|ED#P*gk#>9a%BJrS;p z&DP%t%P-^-w z7~=qal7v1S-fB=Xp!4-}+p%^R5)CgWdge0rr`<9l`Fl zP1LqGTo=K%a*^kOU5QeaQdnux4A88d}0iu0SF_aHT-J z1BL2{cUmbBUo*-P@s6|vgZ=IT*G0s=xybVnH&Cils*K{8nxY5-@dLDOgZg7|T||5_ zTYgBX()7R6&uNrbDH@@0QxeD6{UQrLj5&6@BzAb8QpZDkJeU2wPpR&4=h0kNVLw`K zmlZq@iDUE%fuoH=bsU3M2pq?Zbi}a|DCkxx-iEjRfs(Q?;65G_}IixnPF>eTx60dKkZAsKC!H(vT2Tr}Q; z57>*}U?^;r#j7QO4#^TgdsCwhHjJfP20s#2N{ z0exVE4)n)7FU|NVTo-{(lIoq7i2qr=y!I6J1oX=z1kkDXIMA)X8}49_?b06Gb$h(y zo-`Z?f#Jw4!v52HYh3eRwmkR8wKvVHpXrjd>u(!Z<5&K^@ zk;wC~KT4^dg8ialI`(sTUYe1?brJhPQvI{B@A?$=gnf-+0{i$oqLF_-lqDQSBmeM@ zY~*wGMxIp!^td}L>o9<}EaBCXKv!ELfWH4RLUo`YeJp_fX^11x4>l5Nn$Zm_N*94X z#zme7^cG6>6rcy82*N7AE7ebd>mtzIr21zA-TEo&3FzP75I{G*C4e6F23vSksnc-q zEeUj{4mAA)F04r;kCQ{;12Gi3Ep`Omuvmcp%OVHRuPhRvuX)`O^k3Ht(67OD5%e4` z@;uO2P^zbZ-V{X;pbwVn--PQT=xwC>XM=8iih2Tl(_jI*p+JD%ZZJFiEtWFv3#1Lv zxDBC?2L$2)1op@<){F zDJXlO2m<9eseS-l7g25^)ju0$@299Il&2>W%JJ;X34EPuPGt8_DApGKHwa?dfa+<< zfd3l3gc3(f!h=uR5n&wTkf`7~OSrE1Xr~uCLVtFlfc|R=)uG?IP(U9#&=LAAYXtPW z;kpR@EH3gq=*Lm2r$E1NfDV0YsXiR8i_lk+>Yok$1F^LG@4H<<-)Vq=-f{}iA5UN} zoC5S$|15^S=d~i}=^ZPFo?f{c+aW^dC+ANA{pR_O(0@H&K>rbi>d-HmFQ9+Y-w}GY zN3iMM^1kviNOZEP6U4;HGTCF|zz2AAU(km5uV}AjC&oh92 zPCxd}89=`&zZg~Dt3}Y$D^?DD7(rhK5jym#`2zX{^LRz&So+aC0sS}%)uEp-Pe8x3 zuOsyDd`1<~j45zkgg%OkJP-O-l`oDCG_Lw2o1V4e~sP00O-SiD~5hO{1SS456fFUy_PjDa7d*6CZO+;!8J+fdu0gdTT!SE zed`Qq>*E}ue_^?(`-^a0guWsdc^>rl#KP@A&5o8y`(kzIw^AxVzXz_1(9h-~&x3ya zQ`8gmonob}|AB0MZ}!3ufWF)>#n6w1UqVkWXF2o<1bw_iqVqos=v$^cLfJvUMhw@^l}mOmv!jrRjtvA2;J6aT@uiHr8z=hHBCT& zZ-xW(4`)bQ-@_65`?TOfvnzz_BJ@YO$n#qL*J34CD)b#u1Ofd7seUqC7oqPe)ju2h zkf*38=nuRqZT)4k^{=ummjV5vAB#~n{!|1#eU!{weG)-`-67GC9|iPx-gkul-unXj zvlObg`U~$%TmOn9^yg^V1?VrqbrJe?T;zGse2HkKhr@Ld`btv$v!Q<= z)|mfcp4<9v($-%gTi=zva7C$KsosTR=s&!`MqE*RDvfgpyLDb5ySN*F~gX=OWKTI)+j`1?h<>f@tJbr1~0gT|_#cmW9uq#(yW4 zl%*nF7$uPI^9PVli((W1Q0lkJKU<7+k8^0`_0YC)j;CnkMb{o0LlCE1_swSo`ZH2_ zUFDo+W~xAc7=`NSznLn~-_*qs{oxC!F1Y&~u8Zi0agpbt-;h#01^pLL1cCkyTINCh zUAQiyzn6xhT$;8~Z z>(C#gWgehE1J_09S8$Q%K|hO9m7<=YpI{fz|9lP5d)S%Bbu|3Z-xZ_!6a2E_XXy=J zz700+bx7C#JArcs=JkUkRbeE!L zs`xJZ^Dpc>xEete(BU?8xqIZEpUY4Nrblu`HbegbGK+hVOTZr@N=wzhIy7FP5Nfw_ z!6stxW#Ah9BjU@*)H7iH?ziNTmiXkBZqd;ui*Fd|;G#5&L<8A_e>gl1M^W@f(XM`o zlG#xz`<~xL$p(-Tlp{?RNLyZ1vaTXZn_N_~C|R<0+>O&Cv<-T}f3;w%-ul}1l&ivz^?s2mZdTMmidf`5n? zHkR1aE=8r`H19v7(rym%!-l|{*vp3PB~x!I4VvitP_Xl)=Q}h-!;k7gcoAq%`#TC3 zQKVgrO1m1KBlf4%Y{D+y#OJSYAKX;@s!--pX@A@4Ah%ogw5vnBo3KW=aHhoB(=6te zQlm;uuDv)T(mv@Hv?GVLx0JAyL)ZPOn~Edfqxju!0qbeTN#AsiArH2dG(JK#kGpP9 z?1b(9ooE{An0cf2>ah{R4eN>cKNna zyXwxvNQ1+JBCepY_-r1T7$Xle%N?abomLcw+oXE@NN1tpzBDR8YYN2EY5Uf!OqOs5 z8)51lW~q0S+J-BcZ229W&++#m_QxGy`(Y-lcvq=kYu+K5&y50+4_aLOkL1@ZmP4%j zUB#!TC#AtfNKtor8XR)V@3%7f)BF?n`iyv(kMiZA3S(}<7k?W*-<5d~0_^c5Z1&_a zbWbBrYXdm_4zb+3D3<9E`}wX?-LN>1{c{&4Dv7aL_s}+0m`2Me%LE}5z+EKSB&`p&J>>$}5!MH|x{N(gI@Qr-^%R=z-tc_vM%a>t+t#Ae zm*wnX|2|NBs!;|}=`5S>V1u!s+bT4qVND+@mKqZ#8KcrHkKll2`m{do0S@cL&V~CE zSpSDgEpAbx(&wxe7g}N;%4M{}xWiFtrmwi{9x|t7xajn&xIFu=q8$t{ybnp&MlOq- zVTNOIauS*3xNmSK=zWr)ty>4pWZ{pL>dm&b2D&KGab%5limRY`IZWGzfd^!Kg!Wd^C64jb4@2h< zW9g3+zn3OZG$iP9Ia@M4K*=?Pzzie!Uj(lSqN`g)quvxE{I}^S$@p(XAMzc>Zaz|c z>Xj3DX}fjYlC4?jD#$u6bh?-p+Kvrn%^oWuH9j6H^irpeJwmFYtms$TGH^8QF;35^ z7sB!%;|`Uqd92buO8bgogPYPFrrNAVF@ss`KiKhVAIv8Iqf~!2mSV(>x#)LsBajc8 z(B?*NLlKVLZ&3CBMBY)hC>C|aww2ghbaMy%rzvV(qq0NUjepQly@xXM z6J>VicUl2joFu-ZdDt%AoKPjO^k9sYjzyE96yGp!C+(Vu|A&Y3r)!mpo*~Wtc%t-3 z8B6WX)6|e$&>K))1X#)m*VaI|!4%#%yl;5F@c#SehW8Hd72Y$vN6%N&eM5fs$41Ah z(TRr(k3_URh24%BVz;A}_uz@RoViSf=+iZe`n0dlIO@~;B2v=bqZ4zHgxd!k8pe}E zwB1KnYh4x_94}*Ique0bI77ECwhLn^hXZ5?umiGedUJbXQ?W^qDx%HJ8q}2E?+NQf z&#BpX2JYFbMR>j)8?y85taYJMp*-K{h>J$C&#`NvVhzgSY4H(T7DVIjVo0LHz9dFIF z@6I~Pj(Vs~wM|Zev&*t$9%`UxE6UXO+TKZg!(+g(G!{`t4Jq32$aezMzE~(8&E}O+ z>$LGB$WUjldjz@?a9tIMK+$#N=HZS_`5>Zg^cKlM=~#B7j9Pz$9C!HviM*j{I*k1I zRP0yH7TF`;Ws(tSr;pcACEJBHybWSOOU(<`&v=|dfCJ{1Iy?M2THnu`uvDX3TVK`u zf7RIaKN=&Rrm;p7LEQ@44~eUr`R+(7`j6NJsp%dJ#MxAvcr;63yErI?bx_pC9g#1j zXwM}2oT4}7lZAvd4gaeP=mGFup?@^Ol6}%r^JSU>q{)6Th@Dr}PKLrp ztae$o7G?BeS*Xcw#3q$hUkuhWFw zFID2Pl8Z;}HQPU5^5sYH2pNHSl&9?v1YR4B=-|N=jrm|v`X4rl^ieW`r$30mYaJ;4 z&P{A`MYX#B3JUQh1)5Yamjsa$7kIphh1XYo%#u&Oxo}nX0Or|H_10EP_UQHm?Sq^F z%-w>4^=>_!P4FIa%uXPxKv@!8ofC81CXTQWi{_=dwB{7+q9Qd0tZ4)v8mODyf|7 zDwQ)zr83iHD!;E=M5VKC7pQ!eZda&GfbL={AJh?4MiZ7!RPI|ZiD~Wt^9BS;DjQL} zlf?3MQbMCBN#!gAN-8I>6I2eOAWr3Xxj-Gxy&n-%kwUCnNh2VOK06KaQQ6Vu5eiyx{Lee7ruf^ zGlA*U%cifE%-kW5WF`rI$>ohzB_$Fmgt+{UJW`@7{IXwmTqU@yM?sv+1-e8P2Z@wb zf=fRqE`ubOflge8NG{`Q>ReuyTo$;>Wqzq#K5&`K-)j_c>8#rYE}x~_6)uNEcQKb9 zH3XMQpO<9j_s=9VJt;~ua}t4)%dQmf#Qy%3QlbS#Nr{aJlw7V{DY*QAf;g9Vb%`D)I_q|U%V+6!h0Af!UCd>rDuT=TOG`3SjzXxHjVF&}=FTTYT#ka*nae+xNQqt) zB_+N?pycx463(UH7Zk*~^rnQQgOR9|@L*x})f#3eCbK1ztDTt4kxcIN)R|0>OeVR? z`4~t#b+KU-LLgrtj|90V z{IXMix45K)okB=r3wfkO3-~3Gf!JP6Ys(50#EG1sOFURqEHMb%>d3(6I#GFoQ(2w! zTQr9~FPW@r)|pI|XwKzSh*vlITD#Px`V1~ma;(hc;;xL9pH?bj(pk3)Og>AuD@+DL zcX6N0HHkjildyE6@<^s6ri}y4Un5Xb*@EJoB-St~;Z0GJ$^{6NRHiXO

JmR9@62 z;>aT<4q)#dQF+;k$^zLd^PPI-1IgvciaM8BlFMvYxm;Z;mpLwT`A>x+E}eC|z~!@a zyTavU=q}>Yy*jJyk6!upMTZxf=YE$^{EcN|dJ%l9)gqDRFl}5tV-~ z5L6zcAWmglU1A%8bcuS{3rJMDB|0rF6Xnv9LW{bkccNHY=9SZ_%#~E0aFxouQmH)e zGL=1{S{hhq-7Zl1EZwe9d9kbmm4?w}dAA%~jlgv3WEt}%GyjlBGBX~2$>rS-OG*r< z5aRM8d89-y_+_{3!m^sFHT|1V2&Zz1E>Ock;DZkZm3~fC21zOdou~|vRQjtrm6s)z z1+G$=Un-RkT&8k^QbeV*ZWpM0mTp(5YysUxz0z>RC@k#wc_oSYYpx`wFGWdWE+9}+ z*^ASVjfBXMp6zvOaDMo9@9g^yKeiF|hPKFCJpTJV_4B_IgaG3zrl1pdZE^zrQ-L7zX#m$k+ ziEe_+&gmtY$)*rurYU(OGath*xeT0HQerlRkc5dmQep!9lFPSd3NE`-5bu}A)1*Xu z2Z?RiSVml?I&nEyayi3^%S_2-<3fM=V^^5uGR9Rd?WJ-V>oS*H|Ml0obk^+xm(SAe z3YYDno7>ozTj0m8vrpKj7WlDi?TnJlc^FE@i&DKmv50rN?e3r za(Vm%!R40}#JLR8C6+lz)cAn7^f5b8nJuYY?L=jcq;mQ{I+Y2M$|P5*98xNk<6Wk* zGF0nJODEkfQ28v~u29JyJ5t&7v7oZz`z47PO(8_g&FRI&^hKbg^3Q1{C1NNcNgSsr zNo89EN-Be<3o5Hn5T|k;B_s(q2OY`S`9|F`*NMv$oXhH*;G#+Fc}e9@4|OV2C7g3P z7cN-X8C;;`Xqm~yU9qskp;}VutlI@DpQYOsDhnPsQ0YE{Ww*e3eBr)MWtOBe+f^!8mr7-h%T(^aS45?=ZWpM0mTp(5jD+rD1N-w`(JQ}AEy+wv z9q0d1lw_th0wtI0Qc6lxri8@hJc^PMPvDna-bxW%o}nPl<*SsCB=$N;v`-OSy2)>m zN3(_?3T{DUEuOrx?SON#cf9} z+uRmhHl0+GnS~TWID3&tGLs6w;q{Q14LK3% zmqewGW!QQ~7S_*+%OJ^Rpc9uNlFO?%buKSUE(=`cGQU(VAGplrIH;CfI_q|U%V+6! zh0DhUj$FC^qYl}-RP;$8R=Hjjlm&gAq z;?h~S3tX0chHh85>;c`yHum}r!R5{IC7J0xK{9ieq9ijN5Gc7k{!U4WU`j|_Zl)+H z;fFxUrDeR}@&Td+m*XfQNnCM|81RnZGQo+T(8 zfu-UZ!o^+TG7zd|zjW5^0+-Ly?FyIcuQ_tr>6+m3m2oAR$)OO!`33SwWq962HJNxjZ*oaJh|wIF}*1#3~1gx}%9pAF~sc*^%GkW#4}?=qDae=nlaS+@&RK1;VNRQ88%PUZPdcu#QuilEYKR7qmqr4Sp}}C449$ak+@1q=XTHlFLWK1eZS|T5#Ei5|YGW z2Z@)42`=5leo*Wv!l&`8w83(9Nufpk(tEI2UB+J0xy+SZo^X}Ryi&P5?=qKHek$V9 zS+@&ZK1;VNTn>ZoVlE9o2`*n4T9TPhD1>mXNgm0}4EQCNmLVl2-lGtbc=SfG#Onx@ zT=p5lxg5}*f_T5&K?zBs5s6A2s}P6-IIhyJXt21(o3 z;b8V+Z?&;u(+}*;-fGR1%S0A-NQryDuoLZ5xn(CVE1#mU$5UL>hYckUII-ABB_iYv zn2=d#)wg{9K?M$Yskhj=Mmy)Q-zj5wP5u;#_I4HjVpHgfOF*7FDyO>DA9F+|DM_i`?oA5zF%aTW+g>Lud^ zOZlFyidEYr2q}5y#w2>8tyie&FyY62^w=tW0wKObCrEnpm6d!o3p_1(%W z`8CyFT+oU}unQZPSHGq7LfmK5BIX zqGS80b?SIeGV)Vr=wytvbNWW&ReC;KkZwsIwLxSxzCAqdW^~$t$URq#Kwit>+kc^K zC*S{zrv3*7FVuGd|A{ATe*;IqqP7>%7qqYZuChw;>T5ORC8wV2_@(WL!p11X@33N1 z@6>oTAZ5Wh)E>LLegt7)SM$9cL@;;`!eyLL@|oCjjNOl6^!N$wNKP@+C640q#;b*5 zA8*_etG@RYkci7asmsW{z$0)?9n@~N7H0i;t&*=0F+V>fqzK}L_MyG$mH*K0dS6XAlq0a#}%GvDcWd%l-2WMFOern?` z7CKVG)Q&qA8yqU>H7fHus~-uXY`#}2@fyaR$59j2Wg33L=w_?8OcSuF68oy38fXYi zVVC-;RSkYA>^>A2u-P=AzgpLTt2jINSGyWko@R^ts|_oRx9~hQ-xLcw-d}BCh_|pu z{nZ+VrWRH$L2Xj|?^6Pv>%`hdUUxbq!HxoA5ASF;C_$ZR=z5A3B&hW(bn=q9MR~D0 z1CUz-FBUyO^)WoD!iEk|Yt+sDjyDoWDGU8ia=C15VfY- zP>him#stv8LQ5L*LpyLh$E-U1cn(;&V{|@;c)VstE--mACF?ds9T-)Cw>+Dda6`+G zORe^Hc^+Y@D{={Oq^Fb^e68kuz2M1SMn?xQ3`nC`0!Hy4AyYvgB98v0cYc`5RJF(+3xjKRv zva4fBlQ^^n$8R7cn-_}?qrq@<1UJk7Mg`%j5fb-3{>9T~Zk)s6&&Cf^Yx?qXAY&ZR zqM0hxg^_{ek5uio<9o18!_<1gylEp~w?2g#VJ)Cy(P0u`o6cs5rz@GY4|&sv2geJr zio@0RhDUiUYPcE^!Ap&_e^c(fd5G$oWA{_YH>iX$Gmke9Z3`8N7u_8H2PQ9clezY3 zLJxL&xLU7O%vp|m+{{?v(YEn1jn3kkfxa+^pGBgriXrHzokDg?>~t11LiKK_4~SIi zJyhVCd=vyQG)s)b^a|%tU>Jk?|?~dKV#=WI>@5TWGL#t!LfE*1ltEpI-96y9-#)@z>GKii=3QOk${%#Hh^DD~A4gD?aEU8Kj2WRqpB`k$FlgFNB`XXv>5S+EbStp$J z!r364jl#(m&L-h}DV+ZaXEQk|IK4_del46W!pRZNR^e=Mpy;p7Tu zk8t)1XP0lJ=_O{wF<od5DGz85lPTE)5gn?*<$ge8JCK@bJ5ChwYnDiKAx!=+cL<5rn51~DzDVi zk0;SWr%)UwkK9G#O_^6&@EA49kb0F(9i!Gwxp#?|m>>5=iy>{zTv@m?u$jjw#P}!D zQ2FzygmhvAUB0btok0oBaew2FR=Ke>ieU`q$A8jT(WP)AjV}CJa164UG>b-fKt~Ek z7pFQhfHfESGysrUdP3a_tgl0I7Jo{D<4X3Hr8GECP&3y1fn|?ZYgb63RTPzI{AhM@ zylOT4`~61~RI4qM+8%P{=eE!(N4(i+^HN3k5J=vpg4zyz&$>@gy$zp!&xTJ>8@3+# zy(sVxMWyiEKbL>9oUqr1PJ@zru#66*CU$;x_+X-ro>eq;Ppl}JHqCMcAB5e^m zkl|f5psrEo&Oxy3ro<@RCCcXleq8`1XlT!@t%vKJoFkOjrTI@E~(V& z@g@SCXvRvNcv?@Z6`JFzt7*aSk{F%w!a<``E{**!vfb~h)eMz>V&~sgn^ia^`Q3zG z?e(78wALCCfTJy_6{Gw&sa!XCF>YL71KtC`$1kuC-a|V}@5t7^r`8JnwWH8Ig0EyW zTO-jF;;0YBU8C~w*=<0V3$i>KMf^tP3A@0QWVN0l{|DA2S@jvXSvD2CA>oJ6pe;f@ zo;1rE8TB168Cn|6#Nu+PWVW3hcnM-pQfdO*bm~0m!U35`NE0-K5+s|?voDg>TG|NF zqyr>T3}3W2}^OaK-Og=SgZ8!^Vq@O;Q8PXM}N$wkKii z&?L2guUTi2KcMCdeo>$5U%_Sqq-*q#j^4s~IaKI4k<@duxOWml`8fZP6my7zn~8WW zApZiJl!7-pZfDuj6t!BN-9#$tPK^aK2S7n@)<)n331ypxST=kn7#?|n6{e`2tf^;s zosS|qHlGSX#}FDHwWpGcGhbeRkqt=&8(k?SGNm^-0-oR&XV}N7Fgk`aEGAvG7}lO= zZ=|bMuZ$w%kp3DnG^L+s%hF*JhrpetR%b6xRs;GbaV?l3qP%pXF>C`0fCFn zI?LeGqB>{v(nL@Itr^Af?0mXze1QkzY*^sOt$1kgX|`uFO19%1`(?7)qV*i24w>`A zs8Ax*6-udS#$<{@ISYW(K>~~#DH;Uu3K(*Zb)AAY6`!7B!>6FDjXlNYO~H$^uiLTg zDXJx`8ZRbRr+g?v6Z)NaF~{S;KF!qp6ff#JerqLfW4!-D)fl|n02>d#W5%g!c+*L; zT|7jhBUGfZGC&jw*60r^`(-Lp=zz0q>{PX7N?S^UD6;Lszy}ZcPSgaZMF$m`EpA)P zWqV3N=qK{`Ms}{$y!|>?J~rTYzOy8(wS>uh~wt zVYQ}%hJB}5+v#XU`6pPf>1rFpnA0qDuG-2Fahj`5nQ=m><0qKXDVls$#cQU8wh&Dz z7Uz>PwZE605<{72bkzEB z*1Pu%wMm7yNRR?7-?8p9)aqV!Uf^v*v&@s8V83r!xA|(-{@z>%UZN#YRy1tDvhp*) zEO(EQdd>D3QEi50D@(^>^;vVC)u zm7S^9H!M8Ln$1+JRcl$)pm;Ityp%7TVEt#R%?*!_3lmzAq{ZRS4kmO%OHK^l@oP4p zVD`^Un9z$yxE@Cny88%gnhrxX`-m{1FB}&pv^uek7I=UFpo*BP9T$D<&bLJ-)XMHd zHs#)7k+#T4o}mCS(=dA)N4B7n2urz-$2eNbJrsgTbW3`t?HPQyITFJL@F~<7>v+t) zd1tXja%OQxs2EMqx|;HkxWjDWEWF`(c#IdTYQPmD20744*?iin*%}@u^XbQ|8JN}O zA7bq@)Q0v5(u8a{kpL5hjXil1oj@otHfqMwXRAIhY~-QnuSIrl zp-9)m>W6fecY;tD)QCEbr6H$WGqpL&eweMkZa92I*u6@5-0m5+9ifg=wF=Y+e|wMv zOjbrSo;=7to1@mV-fSu=A4x3&8u@$bWHKaTvf*j#-IV=32PU@rL1vn(HZfd1ENtD@ z!=$PzOP{M>r`$=dFc)2^N`_p?Xn`gTMKPhN+tDhq-Eb!-j8I{#>}X4Fm`tv(vwokIk1HMZ`3wS!^#Au?MQws)TDWte?PAiL=RyE_lv zCi4KR^`Yw5YPLF@-%r2;rvpsRu` zSRg*XK##C2ZG=Q6P0?1K6(+dmxE_2kXTQx?r+dD)?~e*miQ#Ppe>I=P>LNzi``HgB?dtG z(HZu_sJ45f%u&3DhOQK8F}*CF^8{Quvb1(>)97jB3?i~rGPK==Rgja zn3N=2#}kRcR;h*#N5)y2C?T@Z=cNJv?q|6lsr74K5fsPKOz1cUVVdz`O@SVFYCrQ} zs@4BVF4u?Ngj{XBNyxGK5G6aC%j^vO|6neAgQ<}f+EH1k5xtkowlmn-#r4?_Ol@X3 zzK@wR)jIzF17oCwAdI_h&+rO^S~3D$t!c?V_DZH2;{WAtp_b}ynN?u$P4|QiJNJ6M4`| zOS8B?>^Xj0J>+XAhtiDO%Q6>Xy~ zYsNYh08ZA4DFJ-8`aEsiUi|t>Unp2M3Ib`~Pwz=Eg6Rg{xI{$7(lPBgKG#kvG7%QJ z-LG6>OEe1ltp&??mc3XFGql^oZY@@=jVA5nI%E0oBhA6RRVZ4CZ&APhe=*wWure<8~gpWgXB`re+yW zr_*bp(*$3$#5}?5X_{Y0G-`Trk2eYQ{Xr7SW=cQDYJ8&B_CLp8RUJlzr5cx|_N@if z5c$~poMU}HQ9HO}mG+712WR&uYE?LAKT+$$`4?HhskKyX)8H&}LW$69;`#fBGW091 zsovil3;e#uXHA~I3;o&TrRrG2`+u7-9dF}l1VZw{y8E+Tj<$YvE zhr*)M{X?I~Z1HA==kFPM&1Y^3<~ zVbecV{i4pv7~AhMrm@wY(J}>6p0;%4YTxbm@)O=xyJS>+g~~uH&3MahDQEds%2@{c zu$!Oa2-vJGB0Z(OL1}GEbsg(vT3chvIm7D}M91ZK$tV-qEu&%>3DD0>@c|i9AYh3U z7-TK`e@J`Jz^IBZZWtDpy?|@()hr~yg#cOl(l34KC4o={Ng&ivr6bY=0)k?UAc%61 zBA|eRfPf%Xsz`65Qlv`ny-In0=gcha@AG_k-w(;%JEzQDZl;=xwdB zy*)H`Tyz1wN#$?PNhp6`4e}OL!uiz`D_AtX4hPOlb3AY!WH8!^-g=76+ftrZTy*hd zfZ(@C3^Z1tKsyEUUWgE7i9^N;oWffOvyR4Wt}p|87$!A>Dw0~M#SpHZrs{{~|B1Pw z3QvH^U1aA}S4^A+O%#`Y(NzHwy?0Td;6Md&hAT5$*lW04Rdb-Eh;@u_U8NXf6Kd0(h{z#R>M5c ztk7k+t$yIjQJp8Z4srh_dei8Pyhr?PNCO>e0) zK#-9igP5}V<<3y+?=gGGc!q|4@A)+Igws-5Gx+&w3ZLuw$2IZP^80f=cG@DH=gMvi z+k3kkeuovf6qi&8TV@M*cdTwwxa^hz>NJ~6)qe22*@)A5OO1EY;*zq&rR<2=a4J3a z(`1v4KW4#@QasriBcD>hFf>~ZQ-uyTAz_*vqry%+PDIW6!Ba1}{u?kvDeea9(GU+P z0h+d-+*Xe}>Ty>+?y1Lp^?0Bj5Aldg zIUmEv8=%}p`alYzj`CBj1)d^JX53c;-lfhgcB*W!e-b2N6#qN(LZVC zkDlcB`Ey~y*qtHwym zzS#3kOqyocp5`fvOjxnGx4`5Sv;+A<&?Wpbe~HjZ;*1kd^zWE+G7Z`%(-_Ujy~Gpc zGCrdGOFYr}(|jacf8{~qi+}h`Ozg;wCdwr=au|SXY-KI2*7M zZ^UZB4fgTa1~Z-h+vvnko`_az6A-6S;-Lg_H^J_s`3M`ig&Yn~;8z-sW)22VAb|2q zuaFNF!T2qWJ_yxgb#|Q*#8tvPW|T9i*-}sc2<4-II<;+rBNNT-r>%5qDHf9WqEe=1 z*l6%1Q}bn>iN$n%+~K0}2@Z3%p=#(6r<~^U|DtQiTo%!ca{P?rd*3Hh?Vmlx)!`%d z=!0%)rWq37ns7_29!msFWHEc3e+rtP@w2BJYu>xu6H~p;G}RLQ4e)~%&mE$IPIRjA z4;aUj%IoKqCot@)+L`F9vYTkRr*mO3c93wS7&{2@Q*pI%jg!#$Q9t!3?hEx(SL0k^ z!4;nB#+sox$QYckhTdI)B^S=3&RXHAqE5XcgH^@fqN^)B#a%FwQ7b(;qI74)4g++# z3pM6_{r%jmdQ31(-v?7Daiu4Fj5<7ntxO>Cmul=k28)gICr(kt^wq2>f=?3?BvJ-%A6vnJyB51DM89hDJ(?Mz|P|z@8O%l z7HM}-hgF^;j*=BytKh(!mEHO4XbInIvxBT5QsBEmQqV+pb0thF-j_D7@|5MnoljPI z`o4a7AVaap1Llc=G;B47$7)~EwAG#(`PRovhusJm@AR2J?&SnXA-gPBIceR&c*?K_ z>+Y@blz$Dj_P3@`-8CM+xjsc`|KQF(>IzP;B(H%p2hWLXJnguRJy_#;JI?0SKG;kQ zb@T1a{%~xnN&sXmclFrRpLLHnKjMB~KlN+f-OaW93A6gCc+iwzJki1BLvaYkX^sa@ zxW>pM*ccC#kzD}syqB$evg!YrS8r$#>>J_FT+*XoJb5yUxj+IwNl69QdMcEC@Sf`D zyg!{NADy$yFeTc@5NH(=%T9l&^Rsuu{1`ob@>)+_*WR^scCDwmE7yBeWu0e$QYz|2 zDowr<_SsgYXLdI6fgp1ESwT{y}Zo)?<6B?MSM+9%}3|lG<$Z_|n|~ zpx1iOcLk-7;iQM2Pb`)mh9a!w9u}9nnA>Yy>PqymDjPi2jGv^34f}~c-k^He$_?mY za;ObNOE5g9+lU^9p5|=y3JyF*6@yy7y@8cPh`W2d`dy^;N`&_$AM6u`z zF)8-)#Z+e#4voZX&|Qo+;w4%sy%Du=50%VcMO00FGMf5ln>>+`oO3BY5g5;V+52jh zy30iv4`poF!21XE5o1fJk01fzE#z$Rlq!3>`~UQj5={9@A6cabNa-V=ppQ)7f|KN1 zmeI8>o+MYM?$mUv=bir#eZ)?89qRj!PLhRBL z5>?=da_)go;w@q4GjGwb9ca0pTQq$KEX|0Uw0Vc8dX8N;Aviim)2-*hZ!0***SydD z#5d(8<=u%rffgGmZl@<(nI|`Zi8IWJ@EoC}GN+3}mqI4?Lx%CEP32vj6BrgvZ=sts zb*CqLrd>P?z^c@`NgH-z-=WS;I1ER|-hym%hl_7!8ZcxKr*r^(GgSzhWlyaFj=<8iLd71wPo!jLp zoMo^!?lmuQwz4ViesY}(?Dm{auIkE?vqo5fPc8mYEv(>(G=nzdlv}}+C_H1HZj2qd z!HgOC&^2UVOsa3wT=cC}`&T?-QiHqX&AVG3zCE0n;!A^O2+X;hm}0x|27=WJc9|ck zzGdxG6R8nMZON%!A21sLTH!4=Wm7EHv2leD;^B^^OnW_H z$=?li*G;YIF&f|>$lU3WP%m|qHA7{5dCpLG!_=wPB6)RRk=Iey_bfn)I|H~f@(Km@ zNbaLG>5W+|%XE!;3vV&0qcxue;1icRdd2EwDc+Adm-UM6B)l@)t%~heI@7)KYA*pN z7U`fu{z)-r(zq1&G|6m0+co8Vs&!r=*TO5y za9v@ymP|)k_Z4y*#h6LKI2LbU%#>hUPhg`Jq3s4AXo2Z~>Cs%%tP#}fvy-_jqbx(- z?Hf=a7tO>gurq-Z%MhWkGb_f5*1q$tFlI`i-oqP2U_ywnSXG3gvwzZDqZH~?yfW7U zjGGLV33!xMTxji|!HH!kr?8hR#!L&wGbPi}LYiWKD{s@85F&6Bg9uCq%r=^9Re7~z z76nB_ryI!H*hr(FBTjkY$Ag@!cy$bmaFk%@FD&#j6Uqxy^o+*5{u0j6?q3G=7b((Eeh5J2TnQe?ho-0uFQ@l1z{nU!*XNMKT9(Fzrkcle$g_QoJ)cF~!#&>_E^Bk7}v4FfO^1<0UEB4v*BKX=;vX zn6_HQ4PhS+ABqq<#EcBf#$Oj#VGQY)i9%;wHzXd>TDNVArcX-CnKY#-YeDy?hHj zN()>+u#d<|AIqt&AFgS;dOB z2-9Ft6gz-oQqo6PTiFFkIpW4lT1awm_T`%VS+VaB9fhZ|RT)Gz#(uww=CXL+9sQ5A2P z>15+fU(88V$Pt2ZW5!h-^d7Pdmn`=UK*3aQo83x}Q?Q4KSKk2pTxRk9T-wj5$qsArG7^A@-jm@tB-#T$7lF9$5FzzHzn?@7X^ z0yS-7JQjVP5W;b!MP^@&>D#Hn-jO5dalDb^?J+vR?ym5Wc>)I%nD!KgK*$|Xl&R$o>{EAe@{{dBnmMQl!DUBj~jw zp6oeooq3=L^TKjn7BYMu(+p%BM8^}1ESVk*RM}-5K~EEm$mFxbg{iD|Sa+s9pE2+w z_^(0@><0=AFXsjpD-?D-!<`FV{lz-{L8U@hOu$DLR3HEj2ZNn&lm`2!0IXWh zshdPCj5jmKX^`D*IAuQye}0?cw6BGctzIbxgjvBFS_JmpBwTYQ}eD0L{GU$&%?0Mn+Bi0l&&ck1x{# z_3ms=ri{mMK5c+{4^T1{JLZW<9+NDpzX4k=JC5AMw1H0Dbzr;mh8EmajA@6u``bp zq2>Em#<1sgsO-$28tlI;BOZ@XAv-fogX}f$D?793eX%ofqZj}?^96H+a+IBcbg(Uu zu!pAejuMvKxs`pXsQ{+8rN(f#c%SB<^4Q7s1oOF}L6em0UMg}9(mv7aEAtDw!Rt(o zL~Qt2f!rDcr!K6Z4AW4OeXFma2IYwa=O!m`k4y{V`bk;qcfG-04-^&!-b*p3J>_$7 z5H!!aSYFzFHKLlncYvqHQrUE{yzMl^TTURd z<-?XMVVjzp@CE?bNH219CU&L4l}9+5MlZ2znO!=+H^6moC)Ch2j6>Yxxc^?_mTfQV zDTOwj^a?j{goi4~{@k#wQYZ*wcyR)?RHa(JpJZ%%UX9AIjnQELEP$U)pgMQP1ge<^ z+5P$}!`7ug8@BMqPg$ZsB?iNq#A!jEO{3srH-q^Uv7Sbi6Xq{Zqi*+*5?Ssy`>SbG zMnQUR-H?gz3@#GnY1C0hMvWYOPo`02epc_!Zj5126dO<7Utq-+Gf*ra%lW=S4NQ6O z98K?HTaWQZ%gH?;7KsEYNiAdCIx zdt<=ve-@tg$Na38xTUe)T*6CS$v-BgA6C=ICF>O|aig#>jg_UkxHsBQPAG z=eZ0YRP437V?H%sUEbCo^OIWDs$8(DeJK}s2dbSG)%hNDLTzEDB~P>%_x(=ik~7C9 z4aNHUKIe+MGO>bflNn(_a<*nKYMW1E59d=2=rnj`<7e(Xrau3^)*V-IS4%@bMi1BQbDw`alC!@q*l z85JEtg5`rHx+;V<(c>5aIq|9%_uloO+1Ic~>eg^y(;oEuHBa6m$Ge0$ZsohEK)};% z%gL_<=5stKKZJE=ccI+Z5dg5hH&wolfXHRNDfb;u>F|b%gBfV8S@}BRD%tCvg5h~| zf>TY6DyCy}p<~xQg9-u%p%sco#_3WIMlSJrz*?h zp7hI296RXJiLTznvDtDV7R`H7*eygCM}}CG3$b|Gk?Pz63$rKnyah4bJ*n}1Pr1xf zbQSql^`M=%Jh{SCbb>R3Yl=15xg$Nj_y`SgCMk&Nv}s3M?xS8SYRLTP^DbSl@r=X0^yPYO+*Q?U zMolp_`XE!F-c`M3?5gT@cUx8Rz@O@e6}+r_UGRstG~og2bx{{3ICoR-QdL4E4K#_b zSr;nt5Owl~PH;+S9?tzX)bkOX?%s~^fWt~CvQ_|Jwr$Ss(C@lPPCK>?zR#!Eyvf1PCmk6(c?&nNp&|u*|7!QSRURc@^IeL3i18k zk%~OV8CdE_10H*FhSv#k>7@Fu>C|sUb02%6GUwG0fAx;^$74^l9Ssb~z8`;LcmH}` zh{%q#;Hf8H@qLL(rlrvXwah${SiXJes~|hd67|FKZD>pWbVk9Jb6__> z%vboRTP*_}Gzvo7bsLf14&NCjbj>wTU#!T=>&})3)ce3jy#DM*w}Ktp(%f`Lx$u9R zOV*Yzo3c=*??H3Alg{vmKSb{W&21*WDK)>MITcNBWUu&xrkSN8`M}P*p1UFtABh-~ zHNvOS_jO&|<4m1-ZD>?_qjcV;<$DK~#u3O<7Z?2kW(=ztbDJ!YU{k8`NQmqV&y zC%ozHujtI+P|YClMQhsVHi{PRu873O@>LzmJsPML$~jS+JE!8?ls$tHllf#bC6oVt zE9#j6&Hfzh4|4mPvz5!KywjS|%nU~M@bwBJMo12e1;;j{y%~%wt~ssfdX{kF1ZrtLJN@*bnKD}O8MZRex%$P$`w5u)R5V4i^J3W7AM0?WHbsFlmnb7%fa^K-mQ%%OD)gX3hiOrPnhV`3uwmF+~3r46ycz=QwS1gt|)33YO$-k+2d4ZI#I= zkaLT;0(sL7>t&o=!@3>|ARHJ(5r67S-vTF7RduIYU}azWGn0`gd>UxLUFvf^bNePH zKV{Br*y{FHKDOQ)Jiy0tzcHBW{|U>=t~#u$xGTmX6+cW2^w?GfFTMZ_eiSQsaS-EU zu?Hs*l*XfvIXOn(_N6W@2Ls=m%mARzt1^gBKsUlGeV5tDRroAepgi22u$Kd*(Cz2A z%uQ~agF@kxvYgF>=tgEEzpG{+%J!O(qhV3_33)LYx;2*Xw&36kc99%}fE>xZEL6|3 z2ZtPvanblJFJwiyiPSbrcyb_p_?l5TYYs?*0`kdUK9I~ztU%Zx+VYxF+%>y5JwVQ` z&w5i=U)|LjqGQyEGC-Jx~Z#D6_Kfl>TwpxhTDXBThTT0VB(;T_^hC^U!DU|kDSA3cD6f8EHRl^5%P za&zKUfBXf`{^y=#h8cNX+xn9eX82w6`cq;URFkha4Gc44DxTIW%B9gK^lCxw$C~== z5<>q&UGQ1aAMePbc zSP$B3!FaL#^cec&%fmvv9(H#a&!ddnnReQrI>au%LpEry$t}8uh?i)}^h5@wo z4e0t@f4cStI@8;|DdJ5G0^QMax%lpXtBK5#FCS3Xo{-B(2Hf&x)~*8IcBG)EJcNq) zem(7$C;~nxGFKGBub>egK}}~FlS3m8mUC=Bm=I`UGU4_~pmlFzHu%~*bofo9teIKu zQ(*T7Ir`mwDR($#z$3d+#c-n_FHAdyW4hJqU78t=A+P?sv@+Z%=33gFE{0=jIj%cp z@fmrt%u+j9*a{Cb)r}LDe^Nhc;4`wA5$q*^&}t|6jh@ucXB5q`stQYK2IL8`?UkIs z@~@~uYkfvJ*KhC9eIKf7;d>O3#VFi#E*4WL#|e~2HvZ*iMKLejVyxhJwb**ddO}4$ zhDMaOcz zlhp`Rt*bPNV;tByQ|_^NCb>Q$Br~J0vaCLgEmCK)^_ee$0JbgKRZ#z zNHpZxov2zQ8uo&o)F;w-(>0?feH3ZrbD3ReVWg2K*KJ z_oi!+M#+k&pm!Ls2Pp4Wt``o86Gxos46G=kdMBx^D<0-dZ#_=r3aj3g60;daYUR)g zfwzDrIszB&{1R%X&bZvi>K&kGSAvUgGjOqjE^xIZ+La9jEr=x)o{`m{8_2}kPT-j) z&{;1fyHRv@qiB|IcoBzG-bLZ~a+c({4TWVvlqwZi>9sA3M-grz;GeGaHHx-)%}!^r!3Sb4Awi-(r1V5wfT zI)@QiD-EY1Z~uW#^279>E%!Wg%T5E$qR`^vm8rJ9mf@(79U)fkb`)tFd0ZFTQqVTC zyV7^17B);1TKXUxEj_6{O|hYvdhKboZB%hpe}^71Uf>;y%!%~bNmM>3topzt>X{Q( zkrT)5w*Tw;&(_50-pq3v4&!-_wDvVl)5nofUe}K&fs`W%A>DkZ)#25a-n@LXiX`(&~DdvqJ_B(f6kfE3v%W~ zqfBS8(nrnk=_bo1#nDho9NS)22W&I)|hftLBv z$KUNhr~F2~j;Ek2pj`qG^F&=Orc=7O2&T;7Qz%UejdEpXfNoNz|4uQyG22;SgCAz# z7N>v>kK+h}WesobNImlz1;chV2M7wnOxp5cy+0?PQ6$%PwcwUJVYw5)D6t`yYPXlQ zp&R*7*1QRnJwLj7#smuTGgmumlOO4i+fqt?bf(@dXkmWnCbk6~%@5PizCAt458YI0 zPx%XA*0QiAH7a2EYK0XQDN(m!ruSk%UM&9xC+{9N0b4i-dZ7mc4-2;28&Jmo8d>kK{&AA$g6K-CUWYY?JD zVix#B73O0=ae6Tkn&{n|)iN?FbkOQ8%3auqwyV7LKNP55K}l>$?F$=zI|wbl#FS?| z3T0JOnpGH;IH@V^E)1P~kw}?}pwL4SsZbH4K-G&%!#pZr0sv7GF<*hS%9{M4ptoU7 zc-kq31gq(1^>n!veO|;U?Ap?b)`43m2aON7SpKOYoTp!={arz&I-G+voq6iT^2c&d z2G~E2Q=YMW__mZqB2>l7Ic*h%=rPRlMz*2)0nEXo8dJ}J5uMZ3SgL|?Wm17L4Go-E zu2vvt0xb#{d2^;kRiL__!g&xPvw>Ndc}UQgSgPd-21tGf*tg%J*@uvT!{@Ij4)(W z1v{j4d<` zzLml^J{WywUAj^hW+A06dCTEBvk3+GnbL&nmqSZ=RFC?WGYZt0mlL9cT0B1f`TPcv z0-Nf|4>RzAN|93WN77i{W2mQRt?STRbhI4YTeUT*v#S&n& z<}uW;0xUxBM%1$cvWRa)V=G`rusfC(Rlo@OWe!ys-IPUIXHu+^7At$pzX@7H!(hFu z@d9na^fzNVv~PVShdoHK=Pv zXn00F8e0*q{gZk$zanbneogwTB7{9wlkQhUMP7`f=t@RK*D~0MN=CS=Y;77)2@Nwx zZJJyO(;0sQ+E&RZUSL8tC0v}i;q1$zMx64;z1@(a2SZV?9(5>p(8v|tRJ{k{(B1&Y zJ#+lTsvmXCy%}*E4XH!WD3-HYLs5lXuEW!RjPbzX&Ta+jSEI#2R79a_bR>wmb>-NJ zl`*76u_~V-&8x27*a2zzW>u%^m5n&p*t#?hxUSxH>F3I*({nZGKxGtbXAOGD>Bs6( zR25j`ZS^Qv#mJjw2+9LNhoE%etQv8FTGSt~tZzoB99}ZWW$M$SDn_L9cUAzoK)=@% zEQEfbTI@BPjM@-46E9g!{|1d2G^@~)DlnTjDwDq|@_tPziLYQmTU1V%>$RzMRm>VY zSEbQajZzgWR8=ytnZa<=NDnu5ix{oGkmjTZO^vRq5dzg>=uTBAU_~{`QVp4YRgHqx z(0G@`QerjebYd(GuVxg8tf{-2{bm*^kk-MK>a?MnQMTqISW;L!ai8*VfJ5*;!9#0h zkFh<4s{#E9?!x##ph^21hNIZ(Y5D%CM3t&TkZqNyRdqDXBQ@!R>S%x~YSO~$5Tr=3x4I^)kwyZs9`Z)J$ zb!7`oEZ4}fs&t};5v=qbbcf!?c3Eti|F<_qbStnK+T+3mW^oECUYXjl8tz{ZLkTqv zf99S#Avn7NrPM?-`lJHQuL;vIr#fw|i9&o(oo?4eA?{YBh*~Jb!HQJ577BZ<2DPaL zVGq=xQw3X9q*P`>aP^kR1D6BU4eOs9d*Ea0PotBb^`@ZR)!*3{& z^Im0YSKG**=VJ|4L(K=`{N{7x+DYyoSew4CZ4}H~OA`jWYljA0Z=xJDbg8w(a&)ma zl-;)~y;cX-p;1*TQwQbvwLG=p=lA7lSRHKZt%EOAn|VD4Scbk?2PMs4kq*>>U*J&% z$`peVh1Z}${CrfM>c%kVO4L0D8fs99CdC-J$_x#YlELoE_MK@baso_89QU7)J>&(L zzXC(JBFopLD=|h6e_oy7R4AjEuqnZGpg32Zs`CP6sA#N_H>zS~5m+zj0G5suTGRO} zQ=eD}{YhDx6>9_{lgff0hGyxz^VO~|L_(9h7z@8<%PN$;E{w%r<)~a;*wXdo=hlo)Ca57D!g``>M3Ct`S+_L#Bb$%8vT8RFIO$vHRy= zByxKy(6zcowxSgo8`5({o3>xkTEW+}&3kFG^t2k4tp`uUy;9VcMMS%b^GLj6&IRJCFfAo4-S#!njzM zmR&7K!8ju#x(N0~Q+zk%;r&M*&LuoJx6O>{_6BKCoKY}17}bW3_y;t^E?c=f0|8c0 z5q9IOK#~G;kXU!+1(j>Vu=j$)i%)j9q5qm8uAfS=PYFmq{z{VOUTKz z7i)fj86{|UeIu*uvl4W!KFr!T<>|EsXz#}Z6XTe3r+H8jfSi{m;)IozuaRW!M4Tg@mYaT0L5 z1nFu+BeL!oeg|=&dolTua9n1IkVqzv(lis*8ZFouC=ip=#EFQRDMY;{f-cmBUq3>%h9$*u$4oK)5S)xfiucchQ=86y(OtcVqjcMD%FAsA=VcISxfz(jFV&0XgrieHKwe;? z<;j4+MGJxodB_$jD^^B7GtiUC!c1G9z;l8DYTN|5<_ge&CK#T}I5eXPYTM<|&L&2Y zuzu{^fr!}8wVYl>$@3Pxk%^k;fo>T95MdAfc8kl z_`{)y3r{a`=W)qN{8i2$DqPAGmlTXdPO(pM1pMUxJw$v2A9+5#kaceSYr{RIZ~ z^$3m~k4f4V*YyxbNX4dX#3^`*Dv#nYaut4!GxUfh=OFUXDfuxUJqsKU#bir-`3rJa zTbWpt_BAu2yZ!$PCg<}0KZD6Ryx{z(_qk%;OGAC$LELT{oUDI2aQwySb&eJPe?+f` zGL%qq6}^r__b)}SBf~VvFqONBGx70Ro+UhsB_I1Za2AWLXTjv70SBI+pRq~lqn|~R zp=luQ~(bYMTSK-)CfV*q`~6M9we`Xk)*? z^VYw*WWP(EFm9oU^0bT!d3SITUx+B*#uS*dTwnsgdFAkOMEUV_D$;W$FrbX*k)Goe zX>d_GiHOQIQfD6coO!`ci+yERni=Tz?^!yLfJoprI=^1&RH$d1;`%~F)EkNoz73^N z1Ld`7x^}=Vt3tu}t>+>VQf{eK%V#nHPrF_UDZlto>BgTH;Aat05>mcIgY11S6%n=B zr9#TTVgT&wT$j=g&oiEfl#f(o@jH=fdA|gXsz27T3i)iT8M}MCBo?YnCqcr%@Vsh# z)fow@=a^|+JqcSb{%*U1%G_VUr9#Z#RPRn?*;11HRNBwFtmJiuwb3y^-75OAWa{b#PkqZrj@^=Rb0{`=2^!mLfOFK zd6Nn;?@U#aj4Zjj0QhPsW@*jSZkbkvVg_}BuXI`t#k3#a*FxvuOl9~7TNPlQk#;2+ zSu^cp6!fYaX;gUmS@j+~mWK0t7Kk}rB|Gbo%%Z&Oi_|VDAahPEW$Em{1pt@(uacqG+Z>|!D8KHPvc2>sc z9a+vF^`oN8Z`@N#2^cD`7vnI)!c6Z*4fmgXdX_Gq@Z{~V09!bx|m5$jzK&Z+63}!=g5pYx@ z12zK?n5O`ycZ0@ouYY`&7M$|r=)PD`pPM7GJ*bG8oCRKF2W=L=VEpRGw#z6YxUNc_W>Zo5$st4u;<;t5brtb>6QUyL<}44Axhi0|AhpY-MCk z&J2qGF?YLfik!|9J-9tnnR7%Sub8_7oXBP43i(wo=zB`5*6DQtX&?(28T!$Y9Ln2_T1X#S1347@#3T_w@O9KGZXxewR?D7 ziRZTferD}B4E?o@8f2fmudJQx&-*m9vym-sE<<4GR`MA5%+Ns(e8?a;LDA`1*KFXt zI_qkuIlG60^R%O$tjYZa>$#mn|MN9rEO38y+ErKO?yswJ-vRZi88fV*>CdacPDOwr z5}R50H`F}G$;m)5k;ox%{+g5{V5GfIUw47sxhvzG89d7X7#=1z-6!}BRp>u{kB)aS zvLqh>8d!6n@e2X!<271fksd|WKwE&pr=!APnA_34rwA3U<$-)e{oL>r?Z z5}-aFzp>zrQCET>#=Iflqqc51mXWLY#xuQCjMee!YtsOrR^q&(?V9F%`7Ua$-MG~Y zYwh%@nbkTwsTFPNYBXrZ0qoBg@DUupt^?LXYiPOp4RP#>Z79M#MqOXV%WKJ6WKtKI z4aceIcZ_I>To-vcJY9m-UtHdDxH^Q_m$UsYLG6fd4_%oSTHZdpoJ~cp%Uy30xt?Ya zT|_RfsQ1Y1hR!2l>Z~0JhUYN#kf<-ReChJFB~cuv{vu1Pn7ehtin$hhDS~M(NVS>n zr|0nlO+r7t@A0KRLgRhqss=V^U+!(U_%63}?XwacgLl-JsO{hT7eRf0MqIq1Dk zRu60vjJZT5df+(pnQIi=!?3e;x};FQcou8RgNJ3$xkkf#7?I%>HQf1J=j}vZq6IzR zfb-v?U~fp7?G{D7hlsPK7lo7QU9Emt_V$}psi#pi{1ctv%v9Ffbh=!mVLh=4Gw3Gu z=>;A=Z_@gnaQpi&(v6-*7T+CJN0uF~?fshQ-sx#kKf@RPw+1;6LsoRd1*+8x0`0n? zm~Fg4pZ0=4iMpbFNg-~1Z_ppT5Ls6?#G^rohwlPey}={*4OOTtH@Hv|akzu^${tEH zIA2$Uz2iDf>5WaA$vVMV%(e(9&cO4u8;puH)sRo48|f zr!{?XP(T9We1$aK7t!%Lnn8J;^MDw1kMSpwUUF26589dDYv(ArkC7#OkFNFLU0qed zW#?#aACzj_HR|y$)V%f@UGHO*^EK6&_PYub--wLR>aDIpPC}@@qs~#Mz9`Y7v!RyK zTe;IMeunBh#vledd%R3Q>rAkahWRj zH=@J0>jdY5>Wrqd=oIzu50)D)DfRq(Nh&sTT!^&WCHl8N8fb+OY2y%S#3?E|0M&W> zAC=1&(Y^J?wclc#|E2Uzbma4B68rUwG-)8JVuylQ&PCmeoy8~V&w;3lUoI#$F1etpGcLrV zr6#f4U!V?yP!-uiJj#c7q&Z1*20?Gu1==+T9U{X8u{(KxIT2EZj)2u2{!5*1&p%HU z1{={~6VW}<*x3n%kAR<`9)peSMQUoSSt>S_J9Rtm#!%(6Aod;H*S#&y)6&64p3E5( zh3Rvjpp%0k(35jyypN5GtK9uyZ+N+B`c@oQ>Ip7CPF3E=cId`)l=!|;K6B>~p#O22 z@xBpNqC4my7f(eJK8>!bOb)!D3Xi{AtD|O>`^-5X@1saC zfM{C#Gi4r3yTK7Eo@|s)_tt4ugqYJ@ghI3^*~k?B&)*^(;`r4M%Xj}2?M_AyTBZ{M ze<&MZ2B!Q?nTI2M{5vE-jQHS9o^*2$u9o*v%4-LK&Lw@}q$~githVHveHdIRXzPEy&Ch!MXBYk{0!jS=5_P)X>V)7HfK z?I4XCX~ZOV*AV|mZJEB1D;z>RG&&M3hHr z^fl3;D;-YV%)k{~;smuCg%I=o2SiHKe^~vn?33Df+3OF`LZGBuavc6fkCFfNaSYL` zt1pgIwhxW$5$md{aPk3)3df1SJ&VIftZ88Z^9Z0^vf9BNe)l%O}bKxWGqhhx1 z`}PH3*hk@USOR1pQ!jnR4gHG7qy(1T8p3xXe~kzBxTed)`|&N>u+Ipm?H?LpSrkG? zh0sYMG!z6MrT@ryHbr--d?{`68jc1bMXC?s%W#YXqun54h? zvyBk!hfv)46vUbT^N|rq)}PhF1!hm60XP;Us#8u|$cg?5p$KFrGZ4U0&RolyRNAA{ zZJV@D=O77wVr0wa1}aqYE2D1Y`r#_Gf}H^G^NA6j?l|0CAAf?w z4hwG5;!lj?$s@50f~fcKC#FLpsU+@}PgGdW>W2d7^D1JB_}rvA*7;aCf2-gZ0OyX3 z;-ZCO%MRTXoY}F$&LpTn^{!}1JPvH!QGh*Kn@PC6ge9O^$MezIZ$!aZD3-WMLsN~2 zaB0(F<`>Z)bMJ#t0q;$kn`#uz|K$S_PJEoaJ;aWsB~lAv<_9|RK6v5*Jpr4pPqs>P z;xJdO7t{Hj(^x^(zzMD4HAV+qU*OU*>Tkdo>Xw#=SrvCZ^y8fw=*S>Kda-bnx28A2~ zm$NYvGKvLk@i9hKSLvJ7cZ^XYD;$zf2z}Rrl9ozD!unIL*?^?yerG+@}Pqc(2i=2HS$Gm z`;C=p1q!M@8kouND5TnU+<%)=#~Q_}T+lrv*oDE*Y{%#5daBO3OPb#5fI=bW+cbSVT1AW7v~xU4{QDKUJRW^@@fGrY zj^OVf?@+_fA@^5zsK@6x(CNKK<3EQ>>)KUX{<%@Z_YZ3Zwf5|svK55V!X_Z>w4U-1 z#BJO4o=MpMs&FgSn#04 zd)a1isrd>jv_sUbvIqMB*S9cS;(Aez%3C+6;unzkzzu5i1r{YSm*}G}P_q1&=*KUN zg4s)}cFUh&RlDXK3(k_}y+yaaKow28Oj*7(DrIf2^d^lP9(r{>#)r45>zCl(^)`+9 z(kPd_@nE-^O`J3{gwgiE@;t7XSk#cz`O9zR5<~^bw;V*T8SQp#^H$oep&2 zWR#LSrry(Ur7``p)PN>2)^ffCM$ip=0{=+tL{#ClbJTSrPH6vpjXs_TZ{AneXu(AE zpNzb21Us4Hs%uTeJT&6`gSXDpt%-=-xpJLK5V-t#of;EP(>2B-mk{+*_##aqGz5Hl zY$f5KV8l(jN=Dw~R4lVmEU6P#yachC_NTw7%+y%jiQkZmucKbL`#NYipLDhSAE7S5 zlW*t9O}IMi_nO@+TFs?LqFCM{oCW#T1VkdH;0zB{X=6tJ7n8T-&I zoBbpp1&ia{q@LcSTa%49T)9q>X9^ldxl6Qemk~jgrx+PrQJ1Lp6twm)PgB<^=-2~J z(`QqR>e;%n!v`AXITMr$E^U2ZthD?H&xMo;WnN*5Cb^IDUbZ8;S zP8z=~jJO6Y=OJ8eNEU7J>eO`BuK)`aUM@m-lmQhRd~$+{PeT(ve}WoJGxFy8_q-TH z9`~RlGz1->5yQx5&(pYR&`ZmI=%;Bgga!YhE7Q;#s$8V7uhB2i9}0hs71o$j)b4Af zzk7;Cehq^#`VxKjwGmUfmg*NAD9Kk9nSu1nRpI=bcqy!pc&?M*rgQISq(R%NG$$`p zV7iel(`HVEESoP==v{Nm@JuL}gFXo*5Vks$ZZ-GfHu=sSGPH z_#(}pX+&mf&Z%LRck~h3GZROjI~}3(GZ8pE>@=C*!2WkTO@+UKr9OO=nto&CF1zk1 zZKST4sf%s*Q(*ZoA!;eb~k-03-+4%fCC=M|r zZhcm;&^e0!7ELzuIjZ+9hM(RiXxO(#;Z7sbOc+bXS^tMi@CI~uX($ykWtIh zx83h)xWhhkbYPiU9R%ETnlMP3B(QP%!3;Li$iaq(DQp%TI>EzKa2D)FqkpKuEL2K` zf2jK`$TIzJnm)@YTw?IwlDj!S`eW_2Ji)^FC!@zh7@3>!oJNGPoYYhF?<^dZzIKF) z&Bj#V@DYle4GVDVGi^3G(;@ z09ftKp>~5s8g2UCcq8XID3#k1$}T(lJn;dgSgQR;>DKq~%9KAq{<%ipgdFUefQWJw za8&fc(2h+d^Z+pDVN1@~Nz<3fKtDC}3FZL?%PeI0K{`6@FY35v`RLCpPX?f56?=3MB#?Fq^^5AEysLlig9$QDy(K4{RzuEJr&?Y=0#gGxp)ULBz# z0$-tixmbY+4HfmkYpUCwd&NmwIM2wN`P2`BX!)1yrz7(alll36X}-^603{|wmNLu# zrd&TDIAHAGRP_g=WU+^T2rXugVdzBS`bXZuOGt)p&Y(g6 z>j#Vhb^apHe9VP%|3%Jx*p{NlsO@~%-8YZXqRoac?hCDXwdx52Q^=46wdw+w1)<0W6s88msJR(BCTDz&;5~E6VrN6Wl2V9grHM)!5JL%5%Tv_fsf6=c? zFqKW&LwAXv5n(cIY zGFr&Y?P@N&>h~Asvfuo!=CWCL5-mk@O0$#avbpKNQVgw+_tTA~X!aNOlXn?7wBAL< zmq8cKE^4<7^-%v$8nFy*uIQii{W1)>6L!_vUd&)eV+Pnjb=>IS+~I~bY%8_>*@&*x7H%9Mvl~K~DUZLx z83H{*E3%T|b|*7ow12gd>9kiSsd@k2CLCn^mRT{cx#7Lyt1CGx|Hf^UemN$6KkcJ> z%b|qn`>6AB*aqJYN?8sIcVjy(TaGTCem@;%`1RlE?s6<^8|;MCd~E{?S7k9#28;%u6m@I?s(Bgf!gAhH?U`+1f;cOrTRBI*R(gWODL1akNCZuov#9FBdh>_CSIveFnDxdk=I zxLaUHd8~*11gd+*R(i4$+WmDe6PxfmAV>uabI8%$0tznke&5j=wQYIVyFss0rdSMg! zR%2?Nb|(c_!y;bYLGi0GwQjYUMy$rvy833$FEq72%Vm(MwVJRe!iSE=C&^MDYHe44 z7adxS;cwM%lzt7K^cxjhgT}OAH#J^^9yE404P1j_-r7PxtT75lW?)+Y1|^HLo*+lTiPEoNO}cyDl_Jh8aep;2CDQ6y7l@E^!6`kx%;=# z`@dic_{%o>>KA05XA|xG#VDNZ@kYrGgT3r`LJW>Au^f8`S!)qd{rV0nuohF6zQ0o9 zTGUaiUun=<*pB`?Y0_GZmhn4j?OO2rWi#DaYh;VvFbVu#oDSQ2pd~II^EKV$8IB+N z;tOXGYZy>&>ZbF)f?C0Ec2U!Hm=3oJB}`o>cyhR3`WR{w{$<$Vbu&aY?Dt&Vs6<*H;Ur({?VaJYcrLOByplTaw+IrN=|KaZ44mqC63X}}9Ov_5I(x|jS!Ezih%)>VIDk?2A&xb@r z1Pw*a#L6c5^gLU6GFg?B5uPgHU17AU%9<^0htx>ivil?!T8^yJ6It2aNAH*e$bZ9&Z!ZR4x9ph>M2 z{M#+iTra9Drd7Zl&6O%-N;X#W*sb8&(e3=Pt-82pAFmW$U)aeJxLavxi5l8Q%|gCt znX#C4(G?uSC=9m!MVpNV0jj*cj~c5=r^ zi<4}WdfeCi{MS0CX6q)NQiai5yoryf!l+$U#S5z-7w1$7W!Bvk+#*p;HIoXhf%u0i znO*P0BeoO7st-il(PRl$v=cE{B4NCWT@Mohs8EVJo&HJ`Y)vFM1Lm#8vv46k(F5@% zgIIJHe91tu3fIs=IF^=kXm2>Of#pyMe`T94HhMLkcbZG!P{W)jsxI+{K6z;gU$RZt z+Fe#5DnNPzcBa%xTwU)7@#**k7~mh-85A2+qP1^2J%$GbbxRMTj_OmHO_tD7L67!r z#U*pn)MT4yiJb|P{Q~V)p)JG>6yAS3`krPBAH7`{*YcR`PbAcF9EuW)eO}yCq+@AJ zhFKf=>h0KUZjFsV%z@PRu_vXf$?03Uwi;V9_N}zpY~j7Cb~unwwHi}ULf|{#8wAs z7PRtDMVr3y6&0Zp@$_Jhl0$Xz=l(1rk@Gd$6-R_vw{N8!q|l#O&RgujG?ZP=dy)J7 zdj8@LU9YH_a+^#l;V|y2UQ#iy+01wC!1OkMGe5lp(^=CEyx~qvhnGrtr=1w=jVk$L zJJF5LRPZ-K|#Oe#h4_eQgoivR2uuO621yp;XkAG5JPhVwg?bod3rTJSA*`}XEkrM7v1T( zQr>nix{aY-aqFsL z=@t73qdR0ujo(x2_tY)L6{CB?!w^PO2081uI8*jcIO{d+>dW6k+QC8d)U=AQIjPtFdZTbAex)!1NjY<*((NgPlUVT{iP-t!OVUbPxrriF# z$r0USZtJ#A0@A}9y6ENySL}Vf3mO(*uCK4JOy6uRc}m84WSn>hv7-hgF`eg|>gK(W z0#AYIDm+fl0#nfZ7gGG`k$QyJ84aLD;#`8r80E2P$A+Z&_6B(fWFqr_2Ea6x?ThwUgOvg<-ADJEe)?{pLoL^>0OcV5u-CT)pecR{dJ zhah1&Wvrsc4nUB=8RTxXzTC3?-wTv2(*J9LMhbYTu4ay)I|f0@wdO$?&XHjS(Nv0D z1Sy}X1m0{-`%L6>ckzp4@zdr4gHjYzijCGSQKF)EvuH75{vC@}QVQfzGM{H2({%~8 zPM59i+>qahe{@WjU>=+PN&;Sir2UF%xCH+}shca7@?*!KlBLD)(Br!H@ni64rF1lY z9w`j{=K?(B80ai?#bu>#7&9u*kXYd=Op6=jTi8J1PaoGsx8311dj64m z@%8YaJlM+)db_!^5H6LmYk4uf$_dJ=(qb$h)`QA$^X=ZE#!hP0#9kGt<+9efi}6B* z3R`?vVNuQ)Ibza1KlJcMuPej&e%R|;7vyopx%^qb@j9Prd(unx?gu8F;?$T^M(N-{Hr4c#{?d&Eo@4!U#8!qMR_) zooN`-N$G_fbKiS0MO1DeEQvS^lcrFUJVE)T`I|)KOYP$H)dVYi#YtU6MhF^X%8s`) z`;Pjh;$l!x+KYRm>MKN9?Q@Y%Sose?dW(sLGuh&)7{(h`v;Y9*;Z1L_u^ zuA}0wg*R!MH@xuX2RzHCi)<%~e^=2fs3RAS2KC1S)Lo(m{6n8EBB44+wzC&$rd0gL zhD_Coh8)_HAM@$j>1bAVmY}yroYEye9_Zqm{8O8=JTUQW#snkgm-G_DmV`<~vW}V=Dd$(&e33gih1nv%oeN^CNir1z_mo9S?9y5hTo_p+6Z=GPX{1D6Qiot)&l=ygCT)vc z-qlw{aAO^UE^lej7ZmyFRT26`hfsgd(q@wG66K4k=Mi4pOcGulDWc1oNy4R8nbx-l zQ=IpccoF)tPK1^uh|rp=BDA_QLj62tD&5lV2o@Ff^DI;ef8zXtyH4xgY^uuPZvP0! z*fk{We?7rDT-$a(|Mj%a8fxu*D~X1&v!wV9&d%uW3;(sj8wp-V-WQOChJEBue)S99 z{|rp^9S-VYNb?$=X+h=z6vJ=5t#rXu`&(~bbVm222J-OK8QlO)Z81+dt6N3xA7^zV zqLp62qs4&{9;X*~0!Uv4V0qAWKIRYDezb1m@BE>&E7!1xhgn+exTKd_OZe75uxz(3 z;g|lz4Z*QJ*RuLrN3`e{2dCkh(V5Z=;vGbpgO2-)-;||hXbAB z_58CsR9SbOU#-)nYjiby-xXaff95>yQpZQ|H_v0C9Djx{Icq5j^1{OhzW0;cs8m{m61KQ>AXm?=+Lw>$GM!W{K_jan)#>kq?Xta+U_w=jT}w zauw#sRlxO)>KGa1EfC+}!UUJ&EG&!51-v{LbZCkh6qn<>G(h0cC1w~%A}u3;HdRHN zCBVL2z;uCJXP~;g3*w^0wih^QexaM%exu;Q2;asVUDCDC&&_Z7&=6x>fUeZ{jcA6J7f51?T3y=rhg&Hswy5HSHcy*|E_!-amvz8rRJc zXca)K^mXQZdXs7)SL`QD}LtEqOk5PlWmG;hIrvdfp6cMXtXfaH~}uK@s|#}LB% z57+Rxf08*q=kT+z;vePR8sF#Hnb+;4bjA%VbsWx>vpNB1U>Z`RHV`1*RbrdNg? z4e9IIonCqT9!#O1_Vkw7T@Cn@d)R>(>xQ2au{@t;^0MMQ30YPPPj6#g-tH4@6)~nOkrx@6UVC!P454y~PbCe1B3M`8=Q4;uOokM{=(81uge3i{o>fYFetG#0D@1ieg~*OE7A!rEzym++2Fm^o_9?pPS_TnWsgd&Uk-mc+ND?+lflkJ5y zi~E&)#)kBR8}SYCivGc z4`cTPTlU9VQE^k#(^z0TJq_`x&#Nf&)*g9XH=%BO!&#jBBZ)Fge98y4del`I+8xXa zxm0XhxIr(=MDJ3pa* z>ZJl8YUc6|5$vH*K?9Rs-0sB|K0boAS1ww>iZtU;Ixfz#j62U)MX+Y(oe~NI6f`a= zFYSArABtdRjkOEE9Kr5w8F_w3JPn3xG&|C9yrCBoiP8+fujA?*-m59QH`*#mDYl>L zcEls1ATAdq;W2zWhZi+v*7ge!fh-imtI}gyDpYXF)t)q`^>x8<~D-Sm~weBkR-U`>YM$w?IZzjhz+_K?^oP?g(EArfv2-~9P&N_!Del55BBCH zVA(o-FJBPDVw?6{^g4EV`M0T9%O*#jqs?wbd(6zgi(!xZIrTYit%FlbRZh)CupRs(|}X$tSqw^aVqaR8gP$nU$4mBm{lNO^BR?zB2!Fq>an0QUmed2 z;v$K?sNkhAzQf8M)tt}emKLm8$Bc@K1T^%1`LE2{JGW8*YSKJ)AEbFMCthfOA@8=v z-HsAZ`iwu;9%id0jGQ};bLDA ziq58~POftc}I6 zc{`~uTHf2qy*75ArlFB!BZgic6%9uBwrC~`Q%gtD*Q1kap zJVsFqlpGm#fT9*C)De0no~5X@O0JBew{sb573va?3|~5ZK<~iXWU%g9Mj`D0w8yn4+z7&Jl&MG?Jf33pP? zd1{%qIEU9eD^G^d@Xiy3!Nj?x@9+weB@4EXoQocZ@l3|Am5uQcViL*1v(k)fkf3y{ zP4Omtz*E~m%3~_XZUe2Lhk+NgVQtz?k%M1|-Q#Kij}}eZS{cY7yLeU3&DI=z6pfu= z1GncSxQ1(cZRg*_vnVb9(X8zPjNM@sQ>FpP>`BIv+VB*?%s7nKNU$9hD~ zohXJ{#fVfg5#0>aSr(Reigvu7XSZW5;wQ_>2~%0?)c`&*WwNZM0M>%gx-9%Yk z^f(8j>qj}EC4#g+#pe@imLYX8WlNI-} zmYS4T`SMSpA%~LzFoaPf{ z!{F;PCd)@^;5!7&^CqtUp(ENr+4jDiH*O$ruVd-^{LxOVO{hOZY_hiIQ#!Hfe}%-} z#~#-eZ_2@j>zqw|!hJ0I;pkgoSg9_KB%Ci-w<=$hc^D=kPKO zHGFImbBcy{=3NEFiwy*W?=-wqnuRIXa| zMz>?RAx>-QzKe*UYwo8$qunh@+LlRIyH2=t$u-lyLHOy#coqp zXvn)7{Pz8Mj-TNT8fSME7Koy4UX0C9r{{L*Lbw}>@snDjZelI`%oya=mI5DB(Qwb} zP+9AHCSG<!>}h06&01U14w)63hZu-1M3k~w{&UmB;E7B`eC0e0|nR9{K)^O|I^nYK`Fp@qZi z#d4uLM*Dj8NMw^Wo`0CanuZq7y)AkiHoqCK1O%(o^#@W|O0d4|vW7_9^sA|Dd8~td z=0-R@Z(F1*rQTQ&f4jaO3^Y#92JfMue6$X{vF!dhwo&4a#P1R-rW|x@UBi!_cHqb9 zU7U-wPVdU8!YL^t$Fn4N9-R70r)Tw4@_9;&$jRGc#chYk^ptNVZ1dW8O?f>b<<|-K z7lsVsX%DfOXDKPZFMu7DNK`QKvM+N_40Q1m^u+=2Zca96^eGH~)>(O^fz$gA1p%Hu zl1O`ngWx-3M6g^qp2-75d8TCur!>1Q-}Vq|(N?6)nC_JBI|GDkD(Va@bjGROrJL() z%av{{JSORP(Jy|@e_d=h0R@+;PxYp*X?d-f_vps%>xLvQ?_}bF%R7y@0IbQx8*&Lu zuH?=t$(<^fXA*J8LHHiHmK_ZEqYVYZt}HB0xdB!_0dT&h#LcdD#f_*q%E z-vv87NoPeJhbN!NquyJQo^syl2|bG%-`kx`E3 z*Qo2cV`!4cGFV)=GID1sds~AgR&^?SO=G>nAL;>p%F6lR9_(o`8n7#{45MLd4>nj+ z+>pmU3>^h(Sl5SHoTm7B{>;NHp3cn*$i)Er>S64I7SH9!9%e8g$v8`6cskvdw@+gh z-LW&VK{(;*%?G40yT-boyVF>Trr62L2+R6A|4m>Ip3{@vtFdn22|ZaeO>rFW)03rY ztf72*Plhj&?&B+ZvQEKm`Qe@{$7bCx$34|wtre8e=oIrZ)FWD#~D?I8P%j_fH%{Wb!G! zS(?T=kMHVDwe7;|dNYRxC$AlyEJkCU&HFp4_7nJ6C+nxN{>dwyjQ4ZSMNa@RN8UuW z*`|>P3w(0Z^S-_XbxwmY^bi5JsPVS<)dcpjs>hZ+k$;xXy10v=UNx?r! zD19grn)7Dz*nTWF5mgB!48`pH?-F(q30+9&9B#*<^;jfyqjCZ_klKpWsU?5O(YHXH zL?s~e%7wCOyT_|lqty-AVJHLOvUb^rsW?!}|0qK04Y&^EHAjpSDzOO%XBZQ3GRR5Z z)o6H^3u%qv`%d`axz>nV`m-$erv!j1=vCdfRQE;I9iqB@R5wv|o2zak)xDvYbWW@8 zLDk)*x*JvZOVyn(-S%3q3U~{yr-rNxdn)q|2e+@J+ef%MG%|4q*6bKDt$C{fC~!c7 zDGg{V8~oebm<_p9e=%~e1d9=dVbL~3`ZoD5NjNtKCZ^5)2?<+c6XY}ob=to#jbrq# z9Y*vvMoj1siP1?$A8rp|VVG>!hYe(#H8jiq@EBW0(`()!HiV|tTH)e*eihKl=>@xC9GNjR9&qAW?J<^3I>ciQDY`h=UC`p>Kske zVcY{WuO1`sga>pN_>Byfg;K81%w$CshKe+h}IuJcm6a6ZAh76muMXJZ~UI0UMIm5dYHkus5MIUs$BLT^(Ygb*H z>Y7zoJ6+&G7s#frYZr9g==8#4#8=E^Mkb3u1d6RtQr6CfdkV7&End{;Xp_~b7gTq! z>OP{nT~xQ7>NZi`>o-K{wZBW(tv#RucB*cv>Ml{;d8#{2b>CFo7gTpJ+{@bMr;8!` zICdVq$tRcNNd|TbgD?ru--flAdFWnChyc-56pEq^M4tULYt%D4Dk8>Jh;wn=r&K@! z`;0KJopgSr@K~{35#+NpGh-cVIdT1&E?#>s<$z7*&YnLuREue?q7z^FG>dn~eccpj zhDAVg;(APXe`e)1vJ8cT;=21*92ZDaRV3ValqD+PA3$>Yrb(n1Rips{q}l+|0N-;G zsh^6J;SON=0$3To?h>n$ij^HestX`x`*JSmur>d4b zsH0%98}%FG+bgjSs955T5OKU*C$WH(<6A0`HVGtoA`+mcsCcvDa*6koBNz4A;K?1^Zv?TrmGzLSCt zKWt7FEjhu@zZu?)j~>p#y5&%EjMjuPxUEK4TjP;_F~g;@;mI#$(*~sF891q)L$1QO zA?cOJ8n{v}8Vf$B@xKWgartm)z_}gyZ*XIO=`@p+qidT{Yk$X{N=3)2*#~Q)&7Wl* z+;?O~K=Sw}6zDtIAl#=g`ewS65QAB@EyXW9MRea!bRO;a(UC5)t1i^ zNnDAMQ1)j)1qyp) zD!xEizcoGOH{86YYLD33GJLT^xaL$DwsayF@~t6$hHBRgo1llTUQH6T z8cX7g3T%JTCbYeo#CNn%@y+o9V3HF9?iU=UJ+Fqf(9~>~zvW{_utekDZz(TqpWzXS z;fHVe>JhB9CS({tfXGfAyU@-a7W(mG-^!Sk7H9QE2_RnH#goQIH&dp5CN=;KW2*T> z&$9@(??E-0HIOXQ_q_ljnbn^xRZZ5mS`>k*0MUW`3WNzp-^YG3kpVJ_ufR_x(og34 zBANSG4i3$6sR&f&(s&E^Q_-nZ zLU6Fhfb-&s7Ipci(~# zoTiPAgIMW`t7U`YvdgPwfBZf!k_SH+5~iu?$oGz9(LMcX2Kai)G>|ef&B*foGR=gz zNDMxF50oycO+at#_9pc9z%|s(OCR9(jbiEH!%jwsjsd< zv}3a<8jb2qU!?D1AJ}~Z5X4b&kzV#0|*(up=$b(lwNeF z@}LBvR}?;vZsqGH33OHoh|W{4Q!xg`jr7H+1agP~loJ#{2}FR$elcYg&uFP5qL$y8iT zW%Z|897shVUmKvgka@fsPnnaye5EAQP?E`3$t3v6lmy5S$XC0M$gIUiAOGXSItc0m5Ubph<*>nKnnwnCOtdJW|pL|AEgNi=FJ+t^BL8 zxM*-@D?c)pwQ}*Tq!1{EkAw^BF%U)br5{Io8JPSPn;OPfcIg}+-%~Yan4c#ch?*Mw zn4lgg=9jkef&XAhZsY5;@Ky{z2{+SV%#j-pw&?_b46+#rf{kWj4%~i4;#&@lr*s(y zk%Q%^glXqX8roelsbYCldNM6LB!*)ZrNUX^Zv5b50Jx={{EAGJ%+*BN)@mZ{Y90xEh#w1jl=}eqBa4#6@E_Hv7Ng zU0!4Nxy4mUY_W`vt2MSYw?sTRpGBr{GVKMg$%-b)3zfinEzXA+ll}Jxfd`aR_{p$$ zpzfDNNnt#NpG548^_vf z8otKYk7L`Fhp03lDIWJHcYcjeAJ68v>&5~KZ0QQ?!^vkP%pqK;Ubc$_fX9A8^=Qva z6ti#<;}GfLvDB+5=Aq>@a7?!G0u_(yp=JF;`f>gZOA+KJ^TQ*?^2M*SZthE)C;@H% zlC^S^VVyvx_w}$V147FXhN*BgDp{h&VLE=uz=mRbm@uh)Jwy_dWts#COQR;k0)&w2 zB3MW5l}YxZ)N(<`BMTrfwwQ*Z*k2sWU(8|6Bj1+kO^%7=!6+6+=W@pK4|7;l$JZo1 zGI)`38C6LRqmri@2X03(wXG40^$fbKxQ}#b3_qEJE4*XJh%%azb%;kvL=OW~=(eiK zv5{g$KIldPOJ*kfMS`P{IGe_`Q)3qFX_=D01(2#j#R9spMt1;(QOwbZ!MLFdlCYYG zk_{93XForS%vSGz5!XxZOnG8%V0~ITaxIR=E zma(K09K{hX$}SAuz^GIfx}V9OGKM#Qlf^drWTPm7$ z7@WwTdW$_AoVfnux7Z`WnjO#ZvlCc?JG<*L@Gi6}`_Kxw;Z0>(wxLzI2UfuiuPPGZ=&B+Sj;ksb;SN>BBAisUQiQu! ztwb0n_HG>v6c`I$g((0sQV{R-hU}vvIlW2ys7Ovv$Ue%#=}Fp0S*SJ;_WQ14%LNyH ze;$Ur1K0ye+~J&Z`R$jAurzB8En`FWkfmK*-buB)$nlI6p&33A`d|z~PESeh#I+5b z?YtZ62UlU7o2^5#jO_O$ET^nOj6?o|2+4-t%qNg1x;S8$R*cJ*)XEZ-ad$ zxb~gyEvyjEvT8V3tz2zCx?#HKP~nTS7M+kv#GwgJr^;XstQjQr|O{- zDF1Y-QU4sEMtzj6MlB-tP^v}58A|mzae-1Tt5&0y)u>S`vel?{#6Rj@>x(9)A`jzG zGCZVg%22_Z#}Ij3BAY^-r7`ku5hVqraK8$9Zww${WA-Zko158&e6gymT{Gxcch+j5RhL??z;dMn4Z_|EqF)F4cPy{@MIZOD^T-LN*JHhY= zg=6mmM`bweIjKBP`6biP>V&NkvF?(;>{slshGUg95h*rwmFVcQV4$`iv6~Rx*L2#D*mKEJ`no63O_sbd! z|78+;Ml-<9yHCbVPlTVI%my|&V-Y(tmac2i=E%F&!naJu6#~TjCbRp7#6yyM?4#ij z&#PS?+eiU&jDmyS_ofWJB@qUmLZc1E>;zM#M$;PV31Aq^JArHSsXI_eSi$K_K}-N#_xwW6Z)0F<8+t;!}a7!NRkVx+3Ot`nZiSzVs)iqa99YCZrkpm>H}Ix8yUuo-i;kui0dYDWBQkbbFsg@N4<3aU;=nk<$LlO8)ZuES`6n!Ys^2 z8Jd!(+j#aA);ie6tutA3{>c;;(}JSF5kpsaL|MQUvf-6gL168zIDU8vi`J`XmIrLS z;XABNQ%TVgE8)1~24u^IIR5cW77=qQZZuty!c9xiAz~);ZvY9;@i_j*JFF|CFtW{v zGXS@sCzK|6P_C2s>Kw%6C~S zf7lk;ivRI0vj?~4(NkG-IGv}m7(L}oeKUIq&jwhZBg3B}e0VmCXiL%q^;Gg`nnE{) zg-J{#(0TFf+-RCJQligC3tHzeb0ZQygie6&b6B{0t^{PR@y|g}H$(^iisTmjd1?z| z-GP=8UksT(RZX-}#9?fZ1*XiiXij<#Z(3d~k}C75p5*MVijw0jZ z7=KtJnLeu(2$^14FVYUg$Sp6C^fTxC`9t<-(ICijL7X-CNepYSX-g{1?N;c!cS*2^r9Qdg&RdH#uvKB6SXot)A>%ux|O z6$t2gWWylqPa=0os#mDTSESVtnT@_(Jgb0N-6IJg!qhi1Z_06N%-VwhDdp2iFA^Dx zx`J*c(WOO^_;{6R`CJuYsr=}lF>{T|47sMl3=G>{xmds>iD@~hT_BA)m-5SU<0C<` ze84k(HC58el>DTGBr_;OnnpyEndTI$8P2DgqH`S;t$|8;MG-NYh&X@;5m^bh0!46S z$H;~lBiziBfEfnGP-__@49`j+kTYr#VNR3C=0P!hULj`M7JOqNCIKt|sgSjCFIEfS z^|!@0RKlOBghIT0SRpE@wiS9R?j_4PK%N1Z=IoaUQVtpMF#=;&ULsrx$@Ruz9` zxVu`#SmSRJdhd~ijSAUfwUT&&YM(Z9qsr?*la>eswGA*ulbJn?6f{qHjU=5pQ=|b^ z6g?9wa?^4jVop6t5`lq{Me?%uS$jPN(Q1~yk^DSVfM!ia7+X{T7Q{KRf*_yao=6h- z59(#K$?$1YK71yGIEAqk-74X#5PzyE3Gt>D0RJY#C#&hro~9(jWBH#mp<6@OzQ zB+3f#Ybbuk@VkS&} zG2E{MJW3GK0fxZ?1}Fxn3NbtxPC9^vhjZo(Zc2(kjCFwMYJI4W+%=i~uk?W`{;&GL zi+A(^+Q3F5NR8!xLLcC-%w^37{I^K{Wlbc%%wT;n(Itu7{CakJ8Ky72wf7BH8-I(}b*)7y1lbv$3bfJM4%5I)T?3Pbi)zza`Nwp6rfA8&59>_x>RrG~s% z?Nuws$Z(11379={#Zn2LseVnhD3?$GnVT!M4yAai3Ryf=g{&-ctDur1k&(%tIkbPJ zNGz9(CbbYyc|lC*qehcjAZ*whmEvMi7?WB!>;M~;#l@1IT2Ns_V5QWIO#VVc*EPD8 zk_uS_lfMd?JE+vT6|#&be}%-lS(#d`V*9IybqcVHs#R=%WyPknGFP2?O#Z5i0|up7 z)x%7Sr@Cc2v1_d?7E31xqm@N67qM}mtlX~>SD7SBQc^2xt#XOhOG=UG;^3I%lH}M* ziKlXjlt`s`B~b*IN(BG$ut%~Br(0-2IjfsgVz^EO!ahkvjiYDg%G6px#57lCfX^_Y zd3+M4W*~IS#bSXbzMo)Hn?OA46O4C*dzlsZG5Hye{1Ec<86`r<&tCB~&*bMSazvb; znaB$vKMU~$5FtP3kRL)S$EZRkweXquqQ)k*c2p~qsDaB<;qvS>PAU-1d6eq^Fd+8EnUeLZ#Qm9=CXUCF&V{RfP2YUNDrLb~>;86q|T;(|PTutc82-tRE8O7h;rHEWhXrS6NY1)+nNe9exemN4z1I zuFgbGD62V`BH%I9%@7__7QW_+GFx)jh_em6gws9_FZPD;8Q7t}f!$en(h7tJ1QZ6B z$;z}b6I&%Fp1Y7W)Hv2~&q5Tc*BZWfA&Y4l14JynfP`fjo_DLc8%=}cXK^mC=03v5 zrgy_dEYW@6YRb+O8ixc2XsQ8074uI;R2`gWcE_*w+A5J?P+X*wRDJx_ zbwjZa0DO8N5MZ_ozh(-XY!ESBkf;9~43?5rLn8X)Iu%Z#jMM2@H<9NIOf;A}>opZM_PlnTTd!n~p_kP>yR?CFJrQPbHt{R&h@ zTs_#hg3tVtJ=02QNZiOEJdDuL<3WOUrs4Hzls>D{*ZLBVT*_KCMd{_9c}>qLn0sMc z9$T5drTo#QxWo~!@VA$;ry7o0j(%Epksn!#w}#8Jxw4EE1_$#Gm$7)(BjROT740yV|vlLH7`Y&e_>B-2q%h_-Aw0ijpmaeIG@@p&D`&~WU+?YQX-pZXhsu87^w5TesmSh7;nOBTw+hD;j1Y4RM^yTbE zDQi`8E+$LF?H9p0dDKO)k6R77S~5skDi36v~9S&<0afS}!Z>qTx}&8(3ti86Z0*JPr@)lx`B?LQFP zcQsp1%(=B1&p)lb*H2sn77i2-)nohr-w z8=deiydXm+%X8O7E%CVzd=UbEyz_a34_U`zjP#}*Wyh$;*X^&r$fvGj@eis%`Ur-S zM)yKYW|N?RlVIGj0mwOA$IwtL76>(6{jKH{kj- zOw8WcfDVbLvi4HEQ>weh^GaEUrfv`awG`bL;YJ%_e+uF58(F^Q>NUP?BU?!B=rVS{ z=IS24une1QSNE)s*~E0gv3D$!KD#ksne^D(ZPL8=Iyb^NY0q+)qk`FKcR6d?*t%ey zodj1ePaG_i@`dHh7OUJEnF8*4BjLI{pIYJcFYGWL|EKX@vX1-8SzOK>G&|$FC z^JM~|Hn;}t&hTxb7dfx1c*EFN_stPPR%+^*$kABO$Lpp>4R=ea%8=Q}Uuo~os4R;AfS>xBwUjod zAXvoRO=J9NR0sdcY#5laRk4nm++O^ND%M}~(X0IPDweI+{vvc0gX2|h+Qw2Xs%4F< zNQZxA?S9qN33b1(@R=MotobR7O~^=S6edf&8i2$#nOmLWr?;^-WOgQeI~y2m`t-(l zVJb<78Qkme+!)WtSF_fCwI{K-n$7IAH>_LuUpNd|pAQ!E>))`4={;h%-7K#8 z5y@aIQcbpXYCv#%23yJ`}4oX;6r=ZlWD)j3X?$J!-2^O zX%r|2b_rn==*x@a@g_%`WfXk2mz@)Qwy3V{=19R{!o8_P(KVzS8+g|2)@Q(Q)A6~G~oi4HgB~3t;iGB^h8r6c(T5*{ffG@%S zuHUieEHCbf_S*}hZ;u%V&hpzrv#lJ##~fgtLxsH{%)GO_QkwS zN8R|LY+x^lPyT^@()^%oS?qF|lEc*iE^nFa#)Ip-?Sn~7W!PESqS7Wy@3VaUkN<0% zEbsk<5z`@3G-wMrfd(Bf8}!;~(V$Bj$_CZ@WP_@%ZSXMJppOir2F<;zEr+VbH3 ze{IXbcQxa&f76U~XZ$bCNY~HRX8hx4G~@$2V-mICV+S$2Wp0x# zSosv+bdbf~nP;fAd>xSdO7j3GY+tFU=@&()Q$_rT;e$GV8 zV2-fYk_XbNCX-M4gS8e0*_^_%ZV#a1D(>d`7+8f$niBeTMMA&AxS)RC4#!Kd3kRd4 z&yrFXE@cgTp;Fku7b2#;N4!b7 zjw8_5vlmMJQC&k^|Ml1h>G=z_%%*u<&u7%)T8uP(wr~<;Rf6Y=E9Hc-;7J`FhvAJa zy;bS#93HxkMz>Q~0B(i2zTR28uf8NKb%mUbd`p;M3fWB_mp57kD4}OdL`+8LZt2N1 zjLjf^;9(C61=lbMuA4Y^{x>1-T^{oT0J*&3A}tEtSp=g61;nOO&|~QVC%rHnxpmz~ z?84FAlMFG1T|K0ykU}}@oG2h9o-Ar#Z-E~IQ_5EdQJGEVy$zpn(;4$VnAUj|1?iy5 z_N=9G6|!ebQ?gJ#76|i*z)@|+8nYIP=RDM_62V!x7S**$mp;ge)fv5Lr%%*lAsdEj zqD@@X5Z+M1FlRa%kQx&3$0b~CM?+%-ooe&q$g-ix1PeLi(0wTKaU{5T59K=$`zEI3 z#rN=+kHEI(Z%^=*N7%hh^xzS$(?2jv=%b4LvnP1n5f+|d1sSj7axIBnxzm!l)pxNR zBaV9PKb{ah7O#j=UfM3eM5r3>x-&VVCaaM%T?Pk#QA2F|G~`)FVHZZ*kiT&h_YQ>q zA;u;)h)$unwCkvSNsIC#8^bT;K{DZDb$XNtiOUSwq%7Bj{cL`yP zn(`YlxXzw@TE^n7m-L9wy_&Rh5fU%N==OFy$ALqlrpf;xBwB(XC#)GVpaYuluNV%8 zPy|-txawUc3z&IBUa3INYbX{c(e#mX+PxbpzqqS7R#OD+y>%kOAo>IS;HoTL2e?n% z@xZ6Rx~9;f9yj2T(0Pwxbm#!k;hrgQ*To$Y~@|1AL3d8Y$H}p|j0*1>g z_PvY|;kaXu%b$gz322`0aEU%0YAiA%yt!2h;ZaKj$aR)S1a+725ZYf6TQe75?L#Dk# z#@MrW^PwkL`;xa>GZTj#yso{=a5r(T>BvWel$pnfeOHFC{Uc@N2H4?+tO2sh0sbZKvc1x7xP7)>g zW4PE@nRh8hd_C_nl^{7EK#Yuago8dnSGRFv1idf$8I-{_-0%^_(9okW%49CR4Hu~2 z5Y(0?p#GO1jR{$OrqOPgN|ScZPN1tm4H93KRNs1>rj!`MvK+S%!j+uw9w`jbP#Lg! zi@inYb0*tPM2UAE$S+#2ZZF^!|zqRR^eq_T#sP;lj3M zXf?-il|yznz`I_ej)(quZ#B<7&7$0I0uKpbEoM9V8+b$S0Wy-?%VOfz7(kB4RV2rs zD$-S0EK>VDK16Da--AkAM&7W)oMAactU?vVbC3rF@m~PKu5CJ69ou1wb}6CY74-$) z>76J&gA|!yXT9LGdWABm3qszTynF12C|DI>SaZa0Af&EPK2`EIf11 zveggl3>NyuW;k^9?XNnn-hg=^A&c8%J~WcW(BilKFlU-=jf$X&|EOClIhKE@;XH?3nP zst$eRSwg%^`BEpEaN#jGcGX||8o1J9rt>f{5?vvy6|IjIR~ z+MvU?3`0vNFF23>(HR_olJeXXI%dO{vd7@mgfhrNre4Q=-wDx85*Jdn1S>Z@cU&pf z2KYP@hTb)q2xAj=L;Wi_bxnXt?GF@9y~ItcK>I_YDw(&CayN9o3r61Y0&Aq{ag}$y zaF@>FyCVaUO8doSIdsjDR8x=%(u#%9|WB$U`EARIbIyf)plc%?q9ExG~=T-bDyR zXe`G_V>t#Oa5D|5m-v)RP*@ru4^mhfUE*6WL1Afmk)OH5ay0`k@|Q0|VYz*Que{8L zX+FKc!>+LXnp+q6*(QxpQHY628 z53Oo`fjsE7kEZf-A^J{YF`6zGqwk5&xLEEv8Plk5VQ5T0Z}@Jxsxsd=PhzfXI?{#T zQnx)rZ^=y@CFB;F7j}9(+@jeAFP?76Yoj5z+!)MJ_%x+Y!Ok z4Z%G68Z1E0KhM9_>YM5*4z|qK7o6gwuVJqYAIf;|8qCj8ImGG4AGxp$jcN1;v8+QI zhx-?v;rnRei9!ni7N8yN#wc zlVu{psm4d+56}q+B9f}qy{xtVTkLUF>SoqL@irSc9ja7&*h}N+4L5b36@Q3MWK&)H zia)sfI_|_LfC-pf_aYk0+5^I|9E1aY9V5q!r)I=ePx3!0vasv>Vr7f-mcTkTQ!=BE z7wcJCjKlC7;^fNK;6^GG$q-v5gc~j|JS89*I0zkj+d(&gh$l{Oc$H{9k7GP#>oLa) zw5%-@L+uGrIDufqd%GAZ+s@(xY3w1*y$|uC8?1eIJ2-_oeDGUxp=l0&D3-oj19fqz zt*;=4{Wo#TlCE1yek+DnXC-6W|G{tEz^TW#+j+uG_Q;6$7s-{VIo%N(1U(vL@RUX& zcA^u@4?j4X_hnD=f1DO!%TDQ{p?K6u8w}6s#;8>SJSe^W4BvE{#b$OVim0>d zT7-)P_K!qF2HoLE4wKaEGYN*t^eoM{KB8+IKv8IklN7S(Wk_+1{hcS*vsg?cNFcBw5o|06L zlXwzOSnTTvWW)+PQjTBS1mL0<{zxcTvqHMj1~NSM7bqWE6VMvu?`s!&zM3JWg1kCu zO6~!C*n@bqe~kQ~kTju6@7CpVyT4d`7q_1MhQ3vdpoi5_h?r6#KeDdlCljAhXzTc4 z_3To8E6qyF>_htIDNTGrf*6*pmh$U&Vk#Z|XVF^)c{#0vn2-@f@jJ_rWU`D}&69)m z)@VvcFAGp$T2P-MVMTTd6ieSzd~C2j)|3RM7~>7kofN#WI8O18gZ1}mPMzfYg7pcS z?LOX6qaUcrI>`rX^frzCB%h?wf2k=t!S8LL_iDoK=NlX7-_oo;!8?Zlf5Hj=LWsV5 z(=I2_m&zcuXiD&!hnyym#k+u8BPUFGA~_R^LM1-lgxlr0byyjJiN05k0L6tKkON ztE}=%vx5I7Y4%v+-O|i$GT%5tZKRmCK zb954vO*Yj{mM)BoitSChoRz^FH`F(DuRxZNYy*G~$(AV`%V3cjiXb-7wu8GAzDvY~ zQFKq^z-sEFhE0c&^e%yi1rv!kOwIX1`rj?^u+1w4p7tbCfkqlGN8(}V5j>iQ%-c#p zT!zBI@z?)G z4YdgJ7%BnzCJpG&=&|uy${L@QILzPG>Dy`gY~*Wn`iJ!qABY8v{f~q>Ods7n<(QOY z>Twq&*;>COs{?QGuh_~2Da8^lYNHrBkn5LZSxn#4(ug1!?ovWuB7Cq5%OjJcww9MO zeKS1^p${XO%=>EjVW!9TKuKi4lqV_1WQJ3SkJJr=QpivrMa%_|B-$MdVe~B^Lig=x zMn+u}`>KvY#C?MN((v4;7;)wCcZ(u|fOY995`U7w*Nz8%BfroqPw31i>Ge?&CKV~2 zki3QqhlX`2@7$TMLY(_>7fGNQ;)uJF;V!>SBYwC3jrgsY%aIPQ<3s7%E=#zjp9~vD zkc$M`DH4!Ou8?VK;ekwBB@x=u&iz7R)$0O(q>RS1ANxrRcHYoGzCrTIZ_-ifOsx6=zlV(<~T?r5_tf+&syK*Lr8+3!8K zgE+kb03w5HLuh8Qsvs``TRO=?*@lUHP3AAu>;y_JA#0!bjax(Y&D&Dm1i)M6O!J>2 z9UfR>-lt8vJ0h>;Z~WO%z4bYTC?WQD%wXmhe-Uy}e0fORTMd;m4>BrLelhND*|;0T zp#ts|@($weuTl;%ztHo&bl6Sdr$Y5{ajN)~3kX`%{fIRk$$2HY02yO<@2SstCxhPJ zM6B?Lt&0v4-15+8e2hWgI$-ssEFj>t7Z*XYp}OLI-EC?D(k@K48!G%bKpAw>3(F&j zByovPUn4uhvRon$z~X&qzOd#5=_t3GD-lOx@-jGc(-?nZL{EOBnO@Ih8|#^7)`j?`RoP z)x@;pHAej&P5J@;v7%4Vg&`dleIxmp5Pg@Jy*~@_S@;!(SP-Nr=^0+b>EGyEXor8} zolN@3urK4~a50yX2U&a)&%0UlZPm3OeKF_?Bo#V+%(pR(`r(*>juGYB&k}|V`usnv zy$N`X*V_l2jEv=(jG2%j$S|0ZAVWx^NJ2uwgdh}6G&BT_J=9uD(grmqL5m(ss?pN= zx7yNDQCsY5h+Vaow%UKFwz}9_XQU|NMTWo*}GV%uV)o#c+aqppADCe-u5#R58kju;yAJlvV-S z_bwotUNvE=Sl?KX(a97uk_C~NaKsfH5Wnmvev1)xMSkM-l_6~>*QAeY znIKUA>?c`W#oECJ1>tYhk+txO?km>>GnoxYfKwmLA0`_fIS|_nPdOwQ>7Jw%s~M0# zR`-ygyA)#<#?uw0zEkCppl3uds{eT&Kbw5o#gl4sny^g!1tWq(5d_dKe9i~I2jMqV zdyykkX(E94CZU0?+D7VU(!y7}A6`-EQh}0P#_!Lu$Uvp1X10yJ7N~S@XhCF9E%X{D zyNr=G-etrX;}Cn?dG=GF(xP4lZgc5{Ob(c2l(JN{I^lhpO|vJPeJK$0!(;Mql`g>t1=q@y2A_Z$sUf@%Kazd?$eSj3&Jk?x7bF{@b9dP*~otJi;Zio5#fSFsoC zDYllf3cz6E1EEB$vWoX%9+4tmj=uIP_HjL>zV1H7ihO#_)2ytXQcv?u8T+}O(pvN0 zDHdE`iKsst0mxO;{cXZkuRh6*?*vO}rnIVOh630snf@aM!g*YQypp!nJjFKER~l)q zoZNQ0zS73mPiff5huscQB3OrDrI%*dNwy#uV(cf`yP-<7`A#W3_Fj3!kK1AE&XU9S zJ<9^j==S_KnEUD2`{Jp+7W}lo{#*F#=zY)l>u|9D|L(6xA17CAAA^U-UysBOx4$ko z!(X2~L4p29f8D+z3MWSI1~pU~h|#XIwL!wCG7h^#C73=zVzRaJ+eW<_=t(z9WB_y7GrP0T1q&Q2_jeXNIZF zrMf+b>fHamZ-%LACmiK5w8^KPKT(iNPLn7kQj?UA!V#Uz#Ki&_6uF%oaH4E%n%Fi_*I(MH~)#@Ic<>i5*do zx;!ZPL+t$od*eEGJ5aG|Y)6@IV7h7tc`qk+Z4fJHT8^}KHFHyY(JGKC~({>59Pt!UU^3jxWld9uXN3bBGSef zTT+*eZ=&FWqA!`Ni83j4!eK5a_T58BtW51C{Dga6yOX=;lk|~1^NhpH)>LU3^6fz$ z+Ma;W5D<{admGwP`iXWLoyU@9fALVv%ol<6^AED3rixk9`5@baXX6wK4eKlu zD4f9u)SvW+CR@z$huGt$N^t5-0k?G(!7F|0AWonIj?+x-_&Zx8JI?7;yLiZ)2}m zG^QGATugfh-ZM0xY|VhrL-oPuQ+Nx#(o2UvAy@ejt@La3Jx0vDpakg8?&r>W>>_g3 z4OxZ_Ljuow?4}Tf=v8`bUO}3}hzz0BbV$9348ZmW82vfQSfhw{fGLDtU>CSo6m>v# zv~JKa=WB;-e<333hBzw!&Eq+}Rzv!Z9R3_CAYX`I*))93%#vu4D=>Dbh@>#eGtR4(qnS;yR zF*`1(Si(ft(PEySKcPf$Dcwa&u3ub`8=(Ka6)j+)9cQV5;(Bzn>~07m7NelGFPg^z z=8GW0Yc8P&M39}s@jLlXu|f(pOY-adcQ7||gr13?4(F}B5)HymrEkvf5NtBukm$Xf zSy81nOxc$EGZ^^GXGW#ZFNYGvXNQYCk?`1LJMe6}nM zN_ZzTueu!Q{<%~6yhvq#{-jhsFH$*BK7;up0~A7iKYL|r%brMpDNzu4YRk?@fVn-0 z=p6nYhKDOShgV%l&s+G7)aSFdNYNkYv-1{G^gI4${+_-&^_;%Lolvgiyhk|51)uUt zs^HQkKV(Ar5wXl)yTM;3FBI43t>oqK49elLsm+i++#Dwdv(0v;wm#b()MZ*8#!lOn zx;c^i=z0r%b3B~KlB0h&%h+hS3;FXNcsf4JD=bZOk__S(Vf~fJZ8Ow1;W+yVs`PAnA{R?WsTXV`C2pX z*H!8ozEvn2P{tEnTA!A~*zft;R)!z{uBeE(cXKEnE^Vz%Y+Y-mSsv6Kv*1?fuL4C)e5c0;`TF| zNT%FIF#I+OO^$F)Xl*GUzq^c3rq35)R3Da)Y9sXy2-<)eY<3$ZOfz#4`?!sgpvhXn zes80gl=;=U^D%X*&Wvr*N(-*e+O}0%)e7TutOCydIfxj3Ta8U>tJnjVhX{SO%jAoG zX$bqGt#Zxsc~#NIxs(4a z-(tjh-(F#8B5QZ53`2*t^P{HtFmVDUBIfqvC`bk$kFMlRL|EAfu!HUi)YBeOlWZ^lKQljhVqFbx^FnGZ^cjG_(RiEjl`Xy&S5HrPb#F_RZ7BU@I_nT&O&- zuRADheWx@3j!JV)Nho`+qw*3xS9HXT97G@Ps5t7#6HF%GM@&=q!TF{6Jw_N?w2~8+ z<(f%%g<#t`$blg94axoYQsn6`TASywj3_PeE)ZqWN|&6=3wga!f_mfnv?0*LTvkcB zG%Xnv)1gXA*)%Hk+7p5zT798J+2>8ELzH4KieY|uLzqvdDdK&s)O7yts6dtT$L!h# zIemSdrnBZ@9V?DH^{4RsxmH2n3a6j}Y7=rYp3y2XrK>MW30VoP01fAUL4w2#xG2J_a zH;+~##9V7Gm8Q(vz1ZSdMQ0=?eb6q9DCtoUS?YL^eH5#-txr^1YY45hfx*Lg2>O2H zIJ+0Cgw+3U15{;DgOF}zCH}ciN@NZRcH@^LEQJ&JjnwgN62j}Ce69=9EZLfcY%{-z zka*hU(@szFS4&Vb!ENszN-ud5MSr@qh_+^=O}1KgXT&T;2pl&LcZ1Qm0q7nYI5Rrl zBEY5-?|grFHSvCkqE-d(+jBSZOgI&dGp3zkR&oD!Qo*N0_v8mpn$Dduu}9Pb|1;RE z?dK@iUKagH$D}Gx+}Y5v8JJ|&MiB_`d;CBhGzdq$cm*|h2Q_ZyT>CkkzoA*n^B&1` z+vdeBP6UbdNWO~W+bSjR^$wdV!n%V7;m{Znlm)~ofiY@fl-Ce82@J?~gziOZ4wcbl zLn}FW_^V@9U&X0REe#g585{;snea+Rb!3iNy>$svhpmha&$l%QD|8P3oYjw4f)ft~ ziRyKWwTui0J=~u#__}1m7&@Z&Ci0g&r+x#4!Z&Q1H^#nCKj0#t;6QHtHmwd~Gvk#J zP1EaeR(x*>*3VW45>1LYJb(iiTjm2+rHc}(kEeiGHi>mFBPX!-U6f9~O2Zm& zm}o1HJ!1k}(?w}fXEJ_b(K~ii2WT_+dtE%))h>#~cO0weqCD4eJnyBW?o2T>x79!x zFusWY@T5lDcJUPlA@Bq9Q)xOLgQlnSivJ z=@J!VNEre@Eojrf2@HEkqfehP`IaMT>lptKa-3HPstDk?q@R{yOnWxLST`lSO(;HiCz$X+Psu20=$28unLX&HSlj(uTE#sr zgOa;^qz4=HIqTP5v4&9be_FrPr?JS;ce*PrEZI-#r_NA>`fqn7tjz*-sDICm$!2#f z$~LnE2V(JWDOL2eCOj7PJy`gKH4dfezvg7|(^%+N?m#Tcp3+a9lL*x|NeQh}PVkDu zNqH4j4Edj-$AwXxZf~>fBqcC-y3uoNl4&x%W3d6P{7SdasmY3xP?a32iLwZfvV{+` z(RbSAlO9#m;2kNVh!}--lZrmC8&6V|+(zDP4b%gy2qJY>EX5%RAD|wFM*{1En5a zULuzGRYIC+Hwytg3U*?KFXY_QV{@d=J8{7i)&NMgj|zcYVYA>KX2nNN7+Z5#FORzA z{yc)it#t1oSFjy%B>hrA!4yHdZLZ+Pb-g6M;$B^R<&67&iME4KL)(UE9`#!i6`34Mx#}VBbg$D5h^+ zIX+S~yVIivGKd;##Q2;f@?4vRRv@wN?#+5QIxEEYElK1mQ#T$&`xQy#QKKn&m4Ot_6s2QBdnvVZay=>_ytx0SRFaMoiz5_T zUteckoXeTcJ6p8kSnOBOVqI!CY*oRYQ#Pno4rfy5CRPeB6)_BFiM>lNt!2hK9OYeI!P9(b5D|Ozeuu3 zoz9ZCy`AJOQfGlAN9E)YT{^OV_`di6^Pl`_H0Mp_y>@OboryoJj7}9mc%B?CL9^!x z8aI}Uf);g3(0Fn*C226026)~q7URk|_6(6T&dT8<6z0<$hITg@yFOF=5{K;B?h19R zRCvq_#1DDeH1cAx2)mKHf6}m_Ab9q6M|~n7n1;h=duRGZxzL-!CGg8^xku1n6<;t4 zhhe~gs0r?XRppD`#1T+pqYRlYoRc|5hKN1Ox5fwPe;@)DDG4_Y#3W7#z#N{CZd*$s zkpMZOb|;N&AIOjPSn-0znQnI^S$r^`fc=t|1mL$i@?|nul|l8tbR5q_|5CB*GL)aCE$s5ZH`P_+U(!2 zq9pHuh71Q6s|A-@&=@u}7f%pxfM_kHet@C5c!Kx~h+(DFo;EZWPZ00rfErm!ty4pD z@dOn&xTVLGQe)51Ts%Fc$geaU94HCI8-3tj#=mrl{2<=w120H{rFY~9@#ZN?!KEo_ z8^bv-{>lp(FHIQnhHMfd-VCp6aV-@x)I9YSw&Hok5}%ILMi=w!J?-+9V%c$&?@PQh z##ad09}N*d4x$Rd(ny2R_J^d<=zHuhL)gRTl}7cBZ2u9L7K^pOXubGsUxJa!`0c?l ztWAH6>fUb0e&12cq*-=~jqZ;Q<_a;yY5twP*D8 z(3!rBUukC?+ugzFS}bNC|#9#ar#)WSlIV7bo<24YHJ zhcDYPP-&%fD-B=3jar9VM3MzsJ1`UlJ^ zE2lpDtg<@<10^)}U0*ig1tlmjR-#QYGOX=~L2UI4N*jw&62_K2Ae7i_Q(FeN*Yahz zUr_3IXeS71#~>7g0Z1%UvbKCE6E)`O+{u#Ra;!x41I!$??wc&1&3ZmIjBul#)+W^6VRcd(*lG?yR3mw1U86NqcZgst7Tz)R?723TkC-11r^@+aIOHonD>6ukd_DS#|+dD z_`jd(0A9XL*JKcVJDlMFRk>84ViwP5*=r1i&|{MN%ISW9^?r zG6cl_i7@eo9Gtg}?hD{5`--iX#=! zxuTc_n112xjUqx2O7lW`I+KB7S56<{ao{tI+GrwDugCr>-jJd{(Afr8yKgB(<#e!zb z$Pq-;e@62b{vKd@geRgzbs`-kizRx9LTiE0|0V!@DrKgiX`9m1?Y!+%=odv|r+C5L zuRI*~gBk*Czum`Iq~BtCqSr%f&Qh`SE5O`NggQp5yv5&*rZYTrfc{nb4OM97QqNC$ zFa&5n!AEX@NH#hwI>7#qU~04vlHsp`Y>X`wT+A_ot2vnerlo_aIjAxM^d~rho2LGV z2y9B_PowFRII>VkL?xj#z$ z$O*6)<4xv1t}>9*1U>09jKn2a@#7Oy9}~aWG9r0W`LPgJeb#rF5}eaolD{Q|ZOsKXz|4>50B_#NFx8i2TMZeSPfP1{l5F~0 zDtLsLXr)M;zAR+(JdCB|J4jLO6?!qG;`lIQ)OR`jM?mapLBvi7qy4;~MI98h+o0Kw z3YvYpprI->ns*7B?Gr(}44P@PpqbYS+G)`A>jllUT+q-A#Ql*weAjM631&9s_pxpSUb>M zt4pTd0@gNUQAmDmI5lL}S&M1ZkG(rmsTn{)z=1~I($1kq-N$y1RO*Gv^;N^gvWRWq zhfo-rqfLQgzBj{6D} zT%mKVaeeJ(I)Qo=_SBT0db>H=nsry=DC&$+N^f5W`)rhQT9N$L;za6&qm|$Y{u?S3 zaurDN!?b|H?;!txD7^LnoT!}tm*`f|+1I0$h#oie;r_JAl-AAol>hNZEu1s#H;;~O zH$9v?Ej;30#L@WZzYY2Q#X?$h>M2+tib$4t>Qls1n{tW`9HWfj`@^vGv{38g(MgwG2lM4yv?3x{EEP4H-Z@FUy%ts>lja8V!#?nqAIw+O;jJ)O zuA-YOwiu$M@C8OlS4$yZKD0+ftNg(4wS0PHZuz8aEUi16`^l0VHfXG3sed&$PVb7% zSodizGJt6*Zid&f z7*%D!pN;{2sXf~|L1|_> zuKrPnEYTi3BFwu~JoUSdFyBeaV7FPo+v91Zv(yoTo zbxq>7C-Eu2Ns9P%B;K|2@o zELx-WVOJ(83l!seEC$V-t66f4O?XA=!w(3K&!a=kJHRpxp=fj(^Q2MDb)SS&X+A03 zCmCHh=lT9CO6RbjdU9hsfK_+Yu(Vy}zpdwdr@Z4S5xmC%HfyR9l<aGO;=9UdGWTj z^w@Ku+n!;x+@6qWZ6;t&H-38tj9(n%K!*sC-Iv!`U&rTvF!0?$!VKR!R0j@p z3qLGKn6JfSzQts|6A=K4&y~lAVC`%P$h$)kN|u;*!qBl4r<*%$C{IO%Jwjo_E5k11 z6a;N>h%L=gI%w35WflA8oiQx>2_}OG{M7t! ztY<%x-caX?I$3>Tc)TmjS}^?yPRWd@AdmVtk^omyEFHoOc}jncW&yk%KXtYyFF zDJ}f7{r}q*N$umX4;2%bTan2{^1>7(VY8!=jH7DeRP3 z@*=A>U+GoT(%=#ICqK6Uq`|gv^RdQPV`;#azM*^+nwdr^mxjOYlxvHkkCdBgMao5` zzCe5Ow6SSy!~&(WrtB2^V1d#{~KhfWJ%All*1HB7kY9WHP z@46jj|JHFxlH}Iy@i(D$c+zg@J>IE|4E~?c?2Z!~=0wP@tj|KFam}(*Bq9WhV!^%v zo3l{qS=)aXXz>Nf9et-*-5 zl9c}xX<5~L<}3BB(rWU}n+RnvuHhyFHs)eM_!L&-U!WXLJo@~> zNaQGG%J_{>D(-0y=XRnUZT_Mmu06WqxEVvZ+BbK`=neDlA^Wg*xgE+Giotpeo+AGi zKF@*{DJ^r{QNzwA8FBJjex*lhzOEmMorHd+Wq89u_eY2xT$;M$4Nea(%@A*?g>`aC zJ$wx;b>s+CU}*~8h-NLlBsp12uj7qqF{N3OOH58_HeQJsS(+-rk);^~=0`=A6PWLd zyhC8nf=j0p7_{KhT*87DSeivx&;m=dCDvN{Kw_<>J_dn}DP173F{Mj5)<}Y07>!{N{h2(h}eN&pUG1swIjg@bBIYbp_oY&nq!3vhotE zJ(t2xE>T(zcb8b=;8*In`I^j}6ueR9Agfa6*6|rFGQz(!Rfg~{&A=O>kVcY*G?Fx= zrKBM(Z?eH}Vy!;P!R|LPDDJq)zJ60_Vq4#b{LnF|76x4|=R~3%D!%un?EIUd9 zlZpYcyrn#!b7Vh6b;XvBAWpBq7PvFBE?@-wJbg*Jzs~@hHO&1Se_`H85>Q9dS>pO`!ud<$yZ`y?cmX2te7T?mp1&g~!RH?cO7MA~1MH8tlx#CG?P_Q) z*mO07<{OPr5=&)?AIn*))H4$o1X*UZ;0uBj8pA~pV#l^FRl>uG3yN}pVB@GbmthAoQDbulV7+fOfQODD(J;b%aoS>9y;m2y}le7R=Pxfj4Z7V60waeHHwdz$ezfI%N28_4lqsD zVY$+<9_f(m3_MSQ$>T+zo^=i}`E@nRUXBy5>i1xU%N2W9_X&6C6s3vgCN2h(r=;Qn z7TE+v1uh%BG*5)_5GKx1b?hjr_K+=R%C64;TSiYaajj5Vv*(y%>QdC)k58CFrB(8+ z*fL$Tx8bqx`|__)NlvT^m5M(4hkI-6o$JW3dvMg4DiKo8)N9_F`KDLT#a?o7W* zv39Z45ck@V2povMU8=La$XY`th-JBW!~I~g>Pp&GG{{G&z1wn&y|PNV*vWEB@Jag0 z^KH8uiTc2e-09|i*qyFK5&Dw@US z7b@Y+ZzUXzOdeH2t_64f$TkTr0onU~l^z8vKE zdLZ6lpo^-zMHgxL8&_$2QM;;w{#DV5s!?nSQyR0e?0dQ=78^hyuTwhqdqG1&t1$D*LEnbD|l2yWxFGgIDW8j=m%KJBsaHgMa?MU46P5_BA0$ zPXG!q1HTF92Gn>Okct3kJ&n8NL~kHKUymfuD}k60yv-Tx$a_%TkiQB^Vi*{zUAC&B zk8`i{Sl;*5S{_3~GvW--`YpIRlX6@>eYT3@qXN6kkIcH` z(m%P$POMcL`)*;su2tf4@GS}R$Mg-?T9mD9=!1&y5JBiE1k61o1k61o1e8=yirzQt zVsiAw*~Z?^xfdvO5>?d~XWhA{omoG`9}USz$n$we=LAqm+~X56(LdSwYx(ujX5?J0 z*oHb9`E_KL_1}ZM^vQeRH_HYUv8lL(x5tgWTnVKQP-jB_yO(-=3H=W{qW_-r%^n&^ z&U;YB9o&bS>QkNy&V1ijnl=@U^@d=JG1hsaxsHIzlCMAbvhMFIma5SHE40qWy^sCi zWYh86$QccLLYCr~xAoEcznP3=XX=)Bs*_qQOqjiv_0d)9w@>^uj4O|F5>+l@K!l3b->bmm!e4jM2mY%jd$LYx8iRmTaBfcehjy;r ziys(2U|^E-Zj$qf%jEj$sl>W35-TK&Y$2^9i~9g=#gbEO`g$}ae0H&Q>y-$jh+_jZ zHbflRf7dG=EE|4Q@sf7+q&xu^8N0MoJ9v1#_<`VsX9M=$2TIVh43B-FbapK5creli zOU<2KJ+It1@5qyi5}e4O%>@~e98jOfb;z%%L`urpA9pMET)+M$?UVd?%-=-vTl%EJ z;nEg#V0}MSf*cY5&dnmYtyeg=C%M)fg4?>cIJaLqu+1N0J1jX=1E(p#NI&#$l#VWoB_wG}5J~xMgzNcjW zwraaez!=oc&w<(#RJ?D!q4?bFoFjhJh{A^m3QkeO*P#A?SKMp@0!|tTYA}_?+)KZA zh9_1zl31n0u_wGv9c;2inv@i@_D^W3|GhqfwA9`bk00=eFG%u@M=tB1j6H6~@dp3k z(Y>I5QkAa`5X5Qv6@-YAobom4y4)ou{F4o#$%Oy^T$QPH1TgqKDH|kMj!dm*7mdPLChR zIZ6in_Jkanqh+6XaVl*GPNPhhf4HH`zyXN~qs)ipYo!f<_dXCIJ;7OM%|}|q58Pf> z+S=d4y%02^*=+EOAILxKIRTX`xopol_luX{0SE}^7l+t1OsWaXTgELfzF*Sr@at(t zO7ZP;kwMAM`0?Zg<}PN=kCoQ#y-YE!gU;vYZcwu1 zW<|xGl6A#opVo(ZpP$>{LQk)5b&#PJ2YSBMa_a_!E(EYNA? z+V$(;rvIF{;Z_t+*dhE*i+#KF#OR076q@URGdBw1L>-{LIdh=thl?BTLWa#?20 zg(Bsnh!MnxqtdHXtJuS{eQpfZjQy?Q<(;mxluvNZUr96@kEe`%f;Fyu!=5@m&W-#k z5Vstf8(9FByN_^I<>#*nIVp|3<&=5kq!vjzDditgPqO_wE})t9D$gxz_8T!74rBcHj1} zrE?JrC0hzst^uZp%l|QXN=G*&FP#bD7O?v-0fZbPmtVMA2aZzg*Ha9OI%4^@C+H&z zN>STpKB&Uajv${B-HN(qB_N{yMeK0l*vIIj!(k+4@d~>vd^64x!e!1z)K3U3Oix zzKilj>-*9hqV-)2y3_RJ?l`o*AJN~azwwv%sywZ4w4vh>%<&b{h5n)SZE6n!HKOq? z>UvIP>pKbj9bZ+pzD?Oeke&e4`p&{{@78zF(}3;>fEpvEHP-8)^Wy?&V&YIHNW)$x-E=BkxId16#65sorg_3oY^ApKgigeo)L?;!EVSNIo~=>D3ZH zIon7btK1LR)lEtpWdV+s>Fq2$og2gIZB{xprjd6a=fycK6RAAp_G;UUw)XImjXKyv7Fh<>JdXHcpD(CUNA?06`ULH3YP2HG z$L#Djq#1!us@R{D_YYQ>t_xl8D(m>U(m|6@#-@CZlcM<7y-9fwtMqm*t4Au#x?Iq` ziX(4tP*O!na`d5D#W;v22&@~n)Zw^UZN;}}E1EX{0rS%D!CyL&EZ$i+-dPiJEn>-1 z#L=5SJg%rXti6B$l*uw5$$8GDUDE1cWV|bo)&4>W=sX=isN6xy zJC|{IkSQmOx(rC3f-V(_DDD;WO6J5mGLJbbj`ngbq2Z}st`*cH?&T^f_hFe|D4oqb zRg;{@=h%~7Z&05%*|nIu#>p<0g40~g%(j-X7Rr{r-RP9V69Y>dB>T;s}ZCySnt{x`L`A2eX|zf^i? zuE%UU^QBUx(Uixs$=j7=dhXkTo}w1y~Z>kHd9 z|D?RA(WDO8R`aH^*;ljv`E7e|DVbFU|2V#y!g<}j@3U%ml;{K>e6F|-?A`AMD<&0w8mpcf2Ae8;YULAbx0L8jIwP^x_0AW<@3HQ%wl zO?0){)g(msKSk_HO=?@;JD3s6n&J8aEeCCDmZD#JM< zVbXAhU!js+oBcvC!#m7yPq9guO4_6U%`)#py>GZ6m2F*;2x(hyeIttDp8F6KyPACm zSTg}r#p+wNpg;s+hEo4Ft@o_*#OvJUXXABUjoZvlKS3J4`#@>jIo*xqF4B7clEXEi z$l1k;LNQcuQrYJX$w?N&PJ)x^q<>@0&6OZ{oSN;Y1ml~RKiESPW=!kTGOWZ$9LZ3}8AHhoHZXZZi(t%&O$cFx-M6_Ap z=8~y>=OWi#mB^1IN+$BF7ssjLmMVt7{7vm^$7i(UA}U(a)4DyY92di*@wyb{p)fW}RALwVu`R~!+x7z|sSDOjlz*C<)Z#7)I@ z5RXx(RPo|+I4)cGMXg>isNo&)K*>PX*|*NI&C$^1=R%!DvwRtIK7p4%_pE6JNtiUP z>~rJUHF){(y30H<4;L_1T@)-~vM$n}V*&G#%v+YSYi3aae24{uu{OlFfeuv&#o~jG8p5X#js8BWP?K9j7 zF5jWEw2gnuBX6KUs#FbAs~UkIY-n|T8_m@>S)8vf$a99{#K=_HcbcvJ5AtBK zFY;jAn{2bM&h&4vNHr|=G!?Ac>@i^B6-zu?1__C(($!ul$5K zYZ=#3WhXHbA?;*j8LpusJJIMYF#&F2u-U_L7TE-9B)F(z8mCtI(W&t)e+bOx{ly-2 zcb?+HRX#sK!lbpWJS7UY;U#2Z++udMiZ0kHU5y2=!oz?3p|4S1ma;T01T86Gi?oPP>9dBCDiP99vP(r_{fGJ2V7Iiox}6)iC35c(hKb&J zH#m2#O|Vnd!0D2mtcWzFEUqfl{!hL~?R_V>61BY5&X6cRsF|sM_k?Iqmc}D9y5+M= zRdu1(e}N5G!y2Ao)@l%T(B%sbiuRtsbqn zgim$z%G53vP?geiG`&8cwJV`v0;+0dPM1*G%B%sYRJfCCAmW>xY?k1ReTF1Le`m0j)-WMz6pwB9_{s0F;1ML<+(vra-}+B`lWyvf3;{+2fD z=6a;mtw3@FX&i~XYPuAzWK@>q#M7iitjRZ_Ktwn&(433b~q+G7P@`dgxZe_h6gS5UO^ zj|%%g`<{(hu{vUx_K6&rVMGq(xw&L&R|u%8=)1mk3*Hn7TX59L{TyIs$Iv}~olJ)vt8l0%jP6a@3yPHd< zw#&ajkEo%I_OeE`p!cy{*1wjnMbi>4OjYntNQBIxLN+l78V9|rYuv4SZl%Vq0p-pV z42K!DGX}_^9;AAbV5su)Ws;$+h?)t8t!ks3mF9R1@uxjp6;)+)P9kMxw4eQ652`$d zDw@$bY(to?p>@1~sq*TQgvq?>DPTE8y2fq(nBx(s6G&Cuzg5S*eD`=3o`)99;!4` z&zG>)KBC zQXQzia28uu$6aRcsKZR$DFzL$*U?#`gJ)Hm1o|SPwWDpelgCw66#i0ksj^d4554Qc zh(Dd_iSGU#9IEnV4RgGKBR)oiQxA@cI8 z9+7{3#YL)8*e71}Hl+=feZ|JDL%K|Rm3o7fm}&usvgF;l{UTqclByph2Y*+d{G3S>hQQY~m2s~W6}=qOMq7c$+b|KokjAJW_SEc_6qQArcU)(j!U3ac5am@S)#JO9M8t}$Jj>gsqEck zUEPp|0;BQ+p%Nqgz&~5z2YUPrKk(iZkE|UaVpc$@K)Dy9a_?-Dp zL7VyVL{E(V+|1>uN=~vwNf&@~ka<1*^Gf)sX%pFpjdhJ|0;Woc6bX|Fk-B+2D=bHQ zt0J2MtQOuWoE&Lz+N8SGGsLb(@~mFIBDIYn!OrhzJXHqqs*h#-+el z>ANOkA%P&IOsBa%5KY|@nWd@DViUaUdZ$>rw;p*4~eJ1QD)(ZRCGoHO<)z#5l?8>HDb?uve$|bAH zX_kb`ayo|XZ2=#1f3<((j&;UMokfdMY#!6&Tc;#tEGT@$Nd zqneDIC0WSH$g3Z-;UT(+cJsz~gs=HnWVN9HXS91&47n01?RFZ1vBlLefYxx#+o9;~ z3z#aO=1Pdnr++>YJqFepP3R9st1?P9p*MZRg{!>A0?9>sje?J;As!Lh-pwFW+v{IY z`EC?5w${~WGvoXl$DJQlDbp1fT!G14nopoH+^hfLf>o}4iDV&N`;PyJHpt>#n7tb2 zW`*Hj0af+-mPx4W^`-uY-D(cERgp!#zF@0BsLXMNL`ZYIwt>CW0&ZbpmM3H0-N02; zb!Q7D$|5Xr)&?>9_ss+hJY8*}tE&mgqE2nyuvP-B@~uS@EPbnvg3wKN?7OSFu$V7j z_D(EI-UHvso$t>%BR`qvc2Ixt6M7&teIc!=7)fXFKdLidG}o z9XpIdAXL@MPKl7!ODzbaNr2CeL)iA=9${zJabc>V&k_lhL!Y0mW35g=*udeeQ=+aO z`>vI)wpGAXp80@;$$GHGIx!m1I$LLnJDn*cQhQk6!Cl9QX7Kss zvxf2Jo9zvOQCZG8iIJ8w{5=uhE016Wx?#-N3uzVBMpxIm_E~wC)bcK_Wow2ZiC-Ve z3frJH^Dl^R)rhpUqR|%(j#|mccSWf;M*?&Taqoy;Rp-lH36&WZ zh9E3_r>>3W>>$>&y)MqWii=aZogj&kZfEf-_E~#fM0CeNQc2M=6o#X92nMR=U9e;z zn|HQV!X(2xz$8zkvDi4wh>q%@Guu{j;i~qPMPg<9YQ@U&tR&RGZDi*(PZFg{n4y68 zj0TUR_QEL4q{wL;WIUw1@by=kgPV2prPGZe(AT6AF& zE)&M9Cq6R*ZEz z2-Nw39@MXIb6JhOt){(1H5OKL{B7Zxe~HjrG?NBW1HHFZz*M;(BVjW4EpM~$-qJl~fh&zHF4Rv&*?;6^l4Ei%o1|SJYcYu^7U5QL+It`(Ka=YGf~g+*AX7 ziU<8!vrcd+%eXvMsd7k^ELDe=iCV!>*S~S={+=|NFOaH|l`N66WMwX68T*ifN`ERG zjaWoyT|mdBLZG2wI{4o-;}4Youb`^RT8d;Q&EddO(J8xi*WXfo%=1DImfapbozl)& z=pi_$3Qm8?K^7c{X1lWd+xmXT`gTBfs(&0t+TY^ps9>pT*p|11bDVk)t(+J8c@ki< zfT|K8U7Y}Z-(tVV=^|=A=qpg<%Y#E$+jw0!6Q9G#kl|%gZ(*CeQ`W{))=CUgXY!j| zNtLAGPe~HU%j5)Q%B1WaWeW7R76 zU&4Ovf;|4G4{O>LrF1bDq{_%_iI5ptwpiFx^Y3+*I@9`ibe)%qRqIG0{c0=Q(Nz~O zS*hIFWXVdpvnGp~B>}>Z_GSYTbav|^F5J(%*iM%S*<>wTB$}+-6Ofr*dwVi7T|iY$ z)>#rNo2(rcu^NdGcD)xhS%a+wT$n0tawS4$=Yay@Bla&v4{b~@kFdD{s%rSnl~CF6 z8(Khp(+ExVUVL)GR$V|;eqn)xNZ0aXzL*gj+YR-?l4Q21o36e!pDU@7l&_XFHJ_d6 z2KQ%9_UPB{UrOFg7O zRJ*P?i!<>K?0odU@F-IA=q`BdybjTSB(bn0)VI!OC9hMXPCLglgUi2d%&eqJvFLW9 z4k5QUUvN<6>ITU{=4vK56f8u;x@HnH_HYldZj^zfY2I7Fj0}1Bj>D6hUoPOvsmj78 ziIQbu=>pNk{B$$&u%m-klQgi!3!KVgwn&_`&4vqP9}_Es+Z^of5qb3uE>cw@iX~K* zh#hZ?XM=knHp9A;$pk*vUyD0;<>+8Q94GPQ96s&b2E5-Q!I zd7khDaea`n2NKwz-a4ywE|;h(UFRfBmad|?VvxDs1f8W#_XJO}W(cTC*-L6=W9MRe zGX?p2qbsd`3by8PX{ti`t%S%zc_5Fy+6U=6p)32W4?0x>p^DaZb+iWMv2q~7eY<*8 zt1nQh4#^FPk{y!!ud|*hK&|QGL2Y}TYo{`?a*2{Aw(NEGDNvf|F6@UCU3j~r*yxN7 z2`+SNy>}9gI|T_IDm%C>c}QEk`x;B?3m!%BY))TYLda{7irpHtiDM?cqs>MSCw6O8 z?${Xm8oSw7*V^|rR1z)5#-s(_tT3KHef8 zc@HAoH95)mmrNoAlL>QpM^jU)6Z0Q{nob}*4LL#>B|^6U9?ce=%y(m1&Hz~4Y%U|r zTSlNngb5joX7diErcEsSWdH_U1j6o(2$Bf9Ks20P*_qVrjbVca>gqO|#RawSh6PJl z3jzBSK}1KgZwxCPsH8!N<<$?o7R@@pfH=+MqCEbLU{(o}-uvWC zwkQ_;&xz4q=^mGWLw%@5$`cG~d+Qo18PpcKX3S*26N4%$26Uh&F)#@Rf!++lB!fV~ z;Ez{D{c-GbtO;G-(OZpV$>qaWc|1CLa|xGRItngxUmefZDE@8hhe!^t#1(u5eUtO` z8wgSynZOKbXyFT1CT~{lB`cF)^>_x`i_scQQ3p0B4PA*DT)1b+I3;3?M93xM1vA80 zUUYZ|Z}t02^}7fTo>?v85GOgvS*=DhDo6IB_qF%tk}A2Jo6hy{RN=%WL2{8*_~z-N zk}!s23ZuV@3za0wkdcB*kazqYl8cb%77w)&4@w-adZw9H7L3d#QFHQC8%xl`Q;K67b z2v|>VSh|Gu6fhju%a@Ce8H{v$Gl~@uYzkM`GX+c$%#biS1w4C-Nbb@OdP}q=%3J3Q zFs5{FE4U^EQ0lZ2{K;cGE%$|*%FZ=5IbKH{Q|yg?4<~{2QZs}b?}Bwmaq;2 z*7Fs)VeHqoEIb2}CUHr*P`{<;sB4sP0arS)+*P6>YpTh`3M&eKhgeY@RC_$ZURNB0JpxLp?22 z3^Jru#s~(H-l{H;4Cp{QRFm~4j%Tl#{M&Zg8SbS*?g>%>|DeV3Y_3I=H@xzks0F+>c0Bt=>mR16AIAJ%)-BH&1B5Fv zms*#_;(YXWr>(=mKbMDuA2mYs!W;%Fc| z%|s4}kpO83?~E4Ja%c;7FH6_bMu5Br?xqPx#7Tr~n$#ODr`XmtXRnSzqi__5xSNq2 zk{}_n8TrAe%6eGSraAj%l&+KSC>Aza*IJ?*$*Ss+wyaEmN2vO=@dOo3Oswx)AFy4y)#E zNs}c^4iFp~CKB%5)`0bD!cJ%FVmb<#)lhI1%x~u54@#$->dkDrWM&m+S$CL7&g|as zrSCOnduO8~SvXPGwAw5L+}*z$J2X*e4XgFJi5QL<%C&P3Nb^YLsu?C}52Y1;eR* zS_6Ne*rS&?vpDaV=1XRABBo!zBvPAi4I18vef5gYY!xt7NnavivZQCeBvPBU25Ek6 z$kxuswvb+vb@gg4qksilgZ>}7t~{`&6r#^^sJs_69C3E0K}nDNLCc@kcg zQ#+S_POI><3@GG4ylMyTe6&9!Pz*u z4#a&cn*+PmReV5(6CRU;9ZVIs1;Ex5vlpSf2rhJkeQA;z>f(QXC3PtM-AE-3r@n2Y zp0rGEr^~1V-=s<2E*xLR@zFuP3iK+Pf}Q>qgW`D{;w5I}KoveDFnrW zajIk*`h`g2h2_c8OjM-_AzJ=OW$gVCf{opol+~DP8=5w-4ygRnSBQBLqxD z1(W)-xIe5?dCeLyV5L+ay3a)K-H+qYd$-2k?Cv%4-nZ$g#(R$zY*AR+7*`+aM@JgO z)nty_J8--pQak(<0TE54XFm#^tQT+*V)Jxdgm|$AHI|X-*jOgA)LFZNutJ&po}Z45 zrNbI1NXN#K#(&FdQ^5uf9_3}0($}%Eqzl=iv2^cCu~+acEVE*F=sioSr<)TC6J|-K znL$XSZXI)(7|}ZBlVtu#W%+*}A&AmgmZ~6&2*Mw!Acqlxjb%a~uB@uD)NsmQF0>V` zB@QNifzCbXGj#6fjnMNmslF};mHkXI>4J{H=buT{_n$HsFXiqzBZvJFD(A&Q zF-v*uFx+7&ox1Uqg_N>bm9jV%TFjPA)kYoWs?|(@_}NmR-!;m>qY9;Mrc|fnVG*X9 zSxTv#`02Oy4)EF`Dj7fFMyj&kg=UWk!XK$h<_CmeQ#N8s-jsVXD`Z}ud-M$q#5xP) zVPiTxpRpV9Y=>{o4SIGL%lFFc#u6r07Nq48oCE6cR4z5+kv9BAa{BISWByO7fAK0TZPry>&N^LY4XKsuE0!Q@S5>Sz*5Ul|Ifg)g zEVqY1ekwDq+#Oncfg#YS1627)hMle^1d_W`W<(=;)RW#*y5aU#-6s;P{{rJ6BTJK& z6E9v;^s<0e!-M#Lj__p zr8?aM7R^OdZbPXGE2O%%k8@@AfXkRl7t?)7ret~#@G0{His_c9l!_xF{z#?N2!z~q zM{~4yJwYrbT7YQF&%4tkJKGZ+G`*UrSN!^nD)I;y5YbyL>(2KA2h~?nf1^nqB~lBU zi9!Kv@h{s3-1=BmAz(Ix;7+VqUaQIkI5*M$0xu@OFJKaS9GoY0t5Se5+M5#S zlqLDO%)!J53fe=MRSKx`{Zh>BeK60*;Yd3B;aL=Xfj?C1gFm3Ojoo0URchffjr|tV z3Ci#{T^T@)`BJD$8hbdp6ZD*q53>L!v4@k{!?m4YBYTJoz>3+!iR@uvC#aT%56>)t z=2`eKg*|-M2}ZMr6PLgO_HZLxTnQaQkA2TYwLf*~9E; z$Y2lqErw0(VG?^-6b-l8!)J@2`j_}Hkv%kahR$E&Lp)Js40|}2J&fxN-{He%trlT! zk7eXy+vNUg1{>YkqSX1AR23MGfz^w#cGy;qO3!pPph>pW#>DF(TO<^-EPuePC75t) zbzBrSQODYIZ8(-KdAlxR>9AwOfaT!6D0rMLg+^ZfimSkuWO5ZeaQ+V~pnf#3Zsi>V z7qeW55$_n@bfQS)da3MJX`XWpSiDeb?UI9u4n#%4`GuHi{a5f}q0}~PEf<^>%RR5% z!w=uVDm$Z;S(t6!hju~hE0>9|WN|K< zu=_NMFU+e{)u{#)te5JzCNS}$1KHUfI({vgyc7BG_iZZd<0?c)jD6$I>cnW5zNn*v z^a2Oz6FRbYd11QOufcDT)VTIhDuUz+MbL8_SQbeE?TXmX+#T?9Bm(f)-!&BMQxI#RWkjW-CHE&@RM|yZ>|KNI+w_ z)t;-Ni3u+W4iOU;U=qG7v3<98|F|Yd#QaA>dzw&YTNK;>`pQw65ag*u zJgTtADZfPU2v1oONjn(de+9djNG$^*x%!%@`nEubFuivqUv5+B^1tq|aE4TiDg0kM z4%19ol?qrxie0a?v#+>-&C#7V`N#*83)7JH?GMZW))j2KoyT zTuDu5`wC&qLPTe~4G+Y}Gih{fUcjkuC10rgEyl=BJupqyu7Vfn3cRAA$+s9IugZj> z-{R}JHjb24S#mq&i8gd#O`mc`+1gbmwnE1Cs3X)^hK?m~E_W;iN-Xx24Xbd7N9>x) z@DS{xGOo8)zgPGN{`+3?YG~1CwdQ(hdLS=>6g|+`*0enJ@8{5HIqt+Jgl6{8TOh>j z;e%HE*sPGr&y`lL|FM;0{`~|>6zRNH)KjvvvVzr6OB!LY&F{Ldf5W8}IC)4gO$!VXFwp`#wxlH(eB=Ai&meIn!os;U4J=p- z+ZzsRR^nosuFq@*FqKf6Dc%r)5>vd1;WXt|dll+<>nZr=G~gt#AQ&!p4!ANA*k}|i#xkE0xDj8eHcb_ny;DI*H4((Mna;d1w;y% zsII?5Y34FL2Vv8mP%|jV!DbM}5@zj+!XD^gP)E3vBZW4-^%?7x^iiQaCmKH!D|m&U z@d>3PAarXV!<5xHw2JEixjz|9bzZEoN6Lw;cN4u%{~mCox|^xj3I6*t{3SDEwCeXH zu1*$zq>2rfVR9BuDr3ZnD#(Z)(EK}`bQvwuC?RK>DoqN}@<%G#mI%R&UJv0J)l~On zkx^0Idqe2Zims2~(s#Jo?JbBjEuwRX{Wt~P>4%W8Mv8Eq#`W0s2>ZZ;MI8XvNTI=D z>0F8V&AAeq%AX@BMdjlgMt-*EjApn#|Jh&Acr9x37T8=Z>~S+XonhKvOo=+M4`HtJ zNt1G>$>a`jcP(mia|YL>Z8NTkvQ~tf;UYmP){1yGv#)m2efI#SeUHATDTitN+EM`% zer-upc-#pcvH1aWX{}wBSsSdrgLoG6p>E5}=Vy1c>R3=N^~gzP)4$g;E1UrRs3s%itNY^KM1Wt~YYftjIyLJ&o986COf)7cTyQfm|_Zl3qcR z#mk`fMrlk~5K>z7*Vvy-dJ#pc0V35a{0MIt3H zz%ab98k(}(LvC9Q0fBIHuT-lUFO=IPr^yE1hND}g2vVR_Wak?RSMT!;4KLTTI*Q6DtjEcrKoC)-0ZYhBjHS?I#@JMeRdB>>6HJ4HwxhE3;F0ap-QnN@vf$WZ&dM!l>QuoNj{ec11m>IF@ZQ4Bn2O zVr7X}w5mp(RkZT{;k24}lha9{a=AJ>bFW_&3k0Ux!b4 zt6PB=RuiyW*6J42gKIk^Z|8dOVh1)jKa`S>8#B1EJ;VkVzV5oBT$g89E4O?}Giq=- zEVWL}aDAurK5-faXWTm39JcT`4d-be2Tl(y=Vl*@viM%%CcnYc zT~b%#^%A@t*CX$WYZ~4w-VVIpTHZWw3ZvNSK>yZubUGV_lZW6X;zzJ_xcMV)u3`;4 z=;~FQtaPiXHj3iqX~YYwp*p)|2IcHcjgS{qfM6l(xmZ`EIfWNipJVKnSR@3>~B3~!)!fH6mZdtze)`qQnq*|d|PB_Z3_DaW312Su84racR zZZ?DYOlP+&ZvUmdW22oyoO|$>OUln+liZvM}>HrRXDc6SdTpYGoRH?0|)t(~9OYy>L_=?@K z9A|o}YBEN!P;Q4PY2INFpLll5a@*BY#j{L(a$7;wztZ4}O6b`=uYcnh=A_q1Y;^WptgxuD@p27X2LdN97*y(MR+MkLj>| zb77PT{0>L~f$SIi@~32!ogdR1n>>z!#qy^*Cedfjx&SKjhL?c)I-(EOU^@wgHjLwnw(0FiqoQgt!Zz=x(?@|KPuq-fp7b5QSrXL;fi6nh*+h+(Oos3cRve;!?+TQV9HW` z4jV0C!8A^+?@ojH%wkx4SZW(!bQF}L74)T%zZYEMg-fTOpRwgJ`&bk^*#*NReGHLQ z7Q<66cA_AuOk&MO+HXHsA+YFgx^dBs)u_n=)tFER-0VYwkU%?h20@h#23%m8fQk(U zgWPD_!{O7AbW{onaOJ`@Do)d?c+Qo!Jw%*_KaS%379lh;(zP;TT={xx-KJCU;s2y& z0R}EZSw+XSnj;Wm72SRVEiDc>1uy?6O>~{he$Luef>YH8tM-JnpK-+0cQ79@osk{c zvbAiRWw`h=2GKQ(KsqM*Mla%QS*7fylxmETLPM|!Mrd;sT)X9hPqZ0NnRb%TI0-q& zq_)lyTsF!ne=`kU>$85r?5vsXbSg}AgCt-iO z(9{?^K}Of>7@R#RMFhBT9b2ej7X%Co02`*EzYConv-fAP6iLmfVlMTDrA1O#U5B5c zq)0L~u7WfeoZ?4*@s%z&i)+YY%}PcyvUS5q_I?%BI=U18gZ`(ao&kEULo2loB|?W* zq{BhIYQLZ`|8C>7BecH1(d5hR@Uh(krXQ%&JiWy!IR6ope$$4|N(J>`(y9b3~EVG(3zc(K-> zmBAZ`%%x=UM=FPK{zH3v@>3=Epyd3KD*4(Eq53(g5ifo3c!)SB`S?3Kl$f_rQIuFU zXZqs9!~)oIPU`IH$GLZvu(_e&9`NRz6x!xw0&ll$KRc@KbhmF1Zb!;ymFMSBv2JNf z#RkN~nDbJzYH#>w{tWL^(2}HLE?fn^Rsela_f54dSbhopF}WJtGPQ zv)MlS>#lz}1(SuuL$wQ%c_vZmPvSF7t6%mB`)kxU;m_Umo6_jMuDD+#?w5)5Q$_mO z;(oTczyF%4=&t`-q_>FQ$BExhir?R#DiZ7!50v{&;{HQLptv6=?&}J|o`O&k_npQ4 zzn@Zu+Tnd(csv+?!J}JZ1o)`<(OV=A5ai+F_qDd)VfZgnLvkK%;vxGN^y)qKt1LY# zp28rHix>vo*=Ms!5e8lB4c#x|iN5#goILZu*Fs<(WeJYj&!SWAdsqDZ~)*FI< zmD&?aVmwUzRl?VZdlfI5!Q2%NT?+oiul?C3=Xm2!V#Z+M{*1-g=*pJ;3n~3&e2th7 zewU;W;!KW*_}i%2!27tcB;+00Q#U&wk}oT<-iUZ`eu6*m^FhV^^5OL*HvVQ=8ZChB zFXJx<_NXu^0+WNk_zv1bx-u(t;>u=>#y@x~|1Yg4+_)@x>z2mBf0v~w;+-gXyY7>X zaOSb(0@JQY)pbkqR1zi&2`P0XBV4*7x$DC6;QkdUNSD_OOjpsTMD#(P<(D66PKWb?lLGo0PJc95?DoDN2xPD>|Ixw2fV|AOu%cDLRCdht) zZFbqLjny0uWa_&usK&;Wwytw6FPr+%J_Bn__(8oJr z!*yJ|#4UBFDA}^>Sh8+A!R?0BNLMrg+TM^_1Uy5-o?Wi&KjP^NSU#{@5c`jwK7}uD zU_3Z*2OLFY#8ZyIt%>ZDt9QR)8MEkTu&3J2?x>&=Rq7+=76WIGHh!ShPk zM>H!)FVsrE^aLI!$TeYq3C1WLx5JGRT=7)IOL|un7XHEh-TA7)I_smEX-wp%5v!_| z3-K`Freq2U8o|4T1pJA23vbxs30nzY6H>+YvonuV;bF8C8q#W;QaSpsA9H0jJ!px5 zi5_&~V;B~I%Cf^%bmw!S=kHQ|=f^PqcPWt?-)&JzfBi0*+Zu8eZfY|Y)1XHvLhEKq znFLD~w+wPKtsbSqfamxP=G9x_&26c6WuxKRL-_WVG{Cit%B7UO+r^b$&bP5u9`E@7 zwaRB)ndk`XmWp~@{>wp+atA$j{so<0V1@p68#7yP3)7`x?N?lbtVgJUx}{A>hK_$o zQOU5I>f?MKyzWVjT*J9GyUJMxqhcZc zo)p@8YJ|z@SjGr!ZB%3Wze*jIiqtA~vNRQ3U!viLZeY#07U=FvKCV&3UW$1=I5`%Y z-pADqE84&?{Eez5R#Z#Ezv$TBS+A;=1W_&3%CK*LvQA{xHc^5RLWeTkf_{f8=+IuT9oJ_@ekBc+w3PY1vSw{7`|-jf;zG8WT6< z$plV=$K&x$$+5pAbDQuA0E=nfZ3m%g0>>E1*Ng=7-`K>%9%Akb*RZh06H*^a@n{Mpk)f8qXeL<>8uvphrsO&B4{hEc{7*gbWM5Q}y?A6Daa2X1_G~j)fPs zL4GW`u^7YWF*dCEL>|S+pLK(T$LP(bg#LftY!R1K9||609Y(HJNm|M!MbEsPLxq;d zXOA*75?S-IEat8?%j1`Qz4(ghWcCza^|L=>z_XkylEu@jI`-QA?7>L6D*0MU&L63g zd*94r0@diV|h*zs@bt|IJz9kM-mCIyk?4xN3+-!^Xk@6JtpS_CJl{ajB zDoM3>l0Hrqd~!)2IQ=jq8R8-Xe zbDhI9ZlyrLgj>0Aoq9#~orzBE6sWaJHXgi}ZH^01(#^4$=BhV z`Epp)u@yoCq_L(KAU-q0$X4!J^hRRQgfxYMMT9gDeuKq-OJNQBD=ZcQYip&5fh<|Z zmvAT*+fj8qfv)Y7$Ot=eWU@A#6Hwz$F0?{if$Nl+zv?;f!UF^N-Ze^&0y6CLWJoyd;=f9Ky9Cqwrq{kI5kpEly1 z-o(p};$LaS_qt4>qHzue4Lg^@*q4%TZKpo00J8G9Ph)dBv}4cE6IQ>JJVi{w(x91( zLfna8;AAlcI|x=+cPUi*S4wb|InS;HEVYAv-EhGS7V?-NSp2UP-RxIX&7$AU{$w(% z#(!+Hw?)5}9_8Sp9DIbw2I&|63i?-4oQogwQ=WiS{mME6hlSHh->F0$Sl<1cnPalIvQ=s(GWXpKb6UpO9Ftf38dr1j9!R=3l8)FTKdKpsP6dz!_&W3X&pqgd{uy1OFQqp18uODcJz6bDeV19 zMH|9sJBAJYN~37uIS0mYE#ta#iyvVzyjaMJp^`9b~YjW}O|V#&5?#a_G?7pt`@V9A5~aa&T}h;J$8 zTK)GWy~^c`A%c;;V-H>ZrH0YfkuhA$SO)IZ<(f+#RyX^!Q)AH|&?yXDMjPB6RvTM@ zcV)S5_#X>2GWwn&t?7&{u63kVM#z~|@Ee7nI>=Z6-&B^T)jiEiZx;K*KFV&j9hxxy zG=%6`Q6WKoP=6S&mm|VH%CgC0E$+`#8hI>baDPpUJ9-MP=;fw9CNaz+bu) zuzAfw)-71{TTVJk$kj@)o}`hQD?8EU+&qY@D%TGrn6fO7E4EX>#Iii&$yD%-kU}l* z&9f`DX((Tay8$&wXQv_OUm7vP`lwA5V0SzSSe;iSD@WHd;iJM$M;0RY*`7$7f zl?zxMf{i^wgYlk5tfpkYXAp5J2WfK7 z%7RlIq^Um)GrgH-Q8DGbYuB!Xn6@3_+WAhW{+csY6-;6`!Gc4nF!*8h;4W9w?Mh8h zQI!%^6j7PgRI;El^CSizq~cxw*mQEnPSj%06>u%;tD!~#txwRc1@N?n+|>2eOuG_G z-+^W|YQiALy(A9=hX_B-GmKDP?7BH@58L$aGUjoU)lA5Ufm!E(%q0(4#fGnn-2?smBO=pFvz?7jLmlPmiVyIXD z0HmAHD8@{;7h+&PyHT)%)-bE5_j3h8)CvR%rVvtK)BaRgHCzfE^kkZy>ZiVTsx+j^ z3uYi52{Z|(SFgnsqC}AdWlaUwHAF}dYbt#9L&7xdw?|Ba{93Yaz&@^%GRwdb;Q}FM z8P?%9Edwxj52o5x+SA9bQaa_XFEJ@I4V&Gf8T_4!#Df`#r zfJgxl^|5O&Jn)pmy1trX7oC$}7o9+&hwXK=p(w#5Y^Vb!f$_eE7BLwrt`;h;AmX~0 z&$%>)6(hKWVI9kd;LqhSS63C&;dt<_EqAQS&=!5?e6ZBU2s;^p`LXY^us$Lz`cuk8 ztc9=4-Ma^q;+U2^LR)D^cvbyp(B1?D=3eMs9gN<>* zia*v+4%khUGy*SSl>N1ot#@VL?UE1ZKQ?gd4pLX#c-}~-l z6;Pwo!R`?6EBAKZ1uK2!5a*q6##c_&6?TUX_2e$PF%Kc5o;=cT2MWSXa%@TFF}n6* z*9Y0PMW4F^s{6@xL)cwr#zgkA65qYCKbaY6?2ko1jsJ#=7TJ7!W|z76D0K(C<0rTE zXTR9yh0;;~{1J)NjiEuZU{>D2BW+Xb4@4&T!Oa;3AGOXKod_@e9XL|79Amcg zfH-8)B&8tqjT}J}wIG-66#MEzCqbE64hY*t(c*3>=E=6 zpmu;9z!9^{l^B9&XpVr07-H~N?)=)#9j_|fl%g6y_QFHWA# z$Su7}MJo>6Vvmn~;_T=$W>B;oo{L+QNIP<*2Ds?{QHo6p+mVy;ql1*CB&AG9vHWNc zc6IYoU{M44OaILdWxZTvTv%<|W_u*7TQd%(Hk2C$G8CR_r7o`=0jomg^?Xw*G;4=d zWF80qHI!EdY~m1QBRMaHwE`kGlE2vq2ZOLjYdjX71<8Q{8#zMRsLBx=1VU_7owX6# zHK|Y2!lCnfjXVV}Y=!B9M#J;Q@{WKFsFv1|>=W4*Rq|v#O*80x z-UsJkEUERpq?E{xmsEj(h{$g8dS+o^A*)6ynb3z5O4DeL3Y2Ix?(69_Zb7i@*C|qg zvX$!AcM7cOY@C%Doq`RhU>(;$!&Ru|TCt8DJy-`nSJLhg^SNlYiGrlc+is3@ty-}4w)H+KXqX(q3qxfX?? zvuE8zI$iVbBaN*DiP`WXm`yzo5-Pml{ z)&__7gG2GNFe{U7qB_3?S*%6k9xsM0D987>?ULbEsN6$`*=rsq5B1M+$le95qCPpi zwdvXng+IgOIn9y>uv2KTga@p0fL_u9<=6q$?9>LAapVsqfuFXKP5y6zzS2Uy30l>|U=C?L&?fZSw_K<) zzr%$(4WdRZ=6Cjd3#JIn;e`PtaA<^VYVa0VWi6}~CV@I8Lum5>Hfhhl;nM1>jijo8 ziK&J2-_VjM*S0vA{wtA*Y#i_wn5=~*d;>GuqNR*Wv$_lVkBB8)hH@|k zM+69jm`eI=3C*YvZI7z0z_StUFeMD)upj{wVGy1cwZFX_)*<*EQPSj;k43QwU*_14 zEasw>sc6m-EI5>@=*7^igB;drZ+|UE`dp;Iu@4*k#1oD3xkOGAB51^UU%`vvJEZB* ztpZIHo5g0TTwlahQBDKm9N~gPoCdUa5k*}M@Eh74F80&v6UB!2j3||elz@^GwGl)j zpzN^-=5$15Mpooty#wQT^)*+fwc7Y21xIV1#Bdqky0RC?3!Dvpm7eu=hX<==Pdp1| zE^;Ow6Zv;pYU|O4L&f*1Qiq?1aOq zgT5CF+D9y}> zBx5_2&6QAIKylp@1)F#QwKAKOxPolDUAWbuv)sa^0Q=hfq(QK{vm6}}5idko1g+`n zmq?32KkaAbtjUbkLLUyLg)KjQ3Ena2x=!_0;QEglZg#mwoe^4f`r&(KwifuS7%Y>q zy7S~JBMajxv zSq@p5=#Z7;Sx~JjW~C%ff$K9FZg#mwoyl5tMrAaST0)o&qsvT3#SQy@WSWk z!@mshS5FA(CI{8x1v^cosZNGHV!r)-Va(K?LLWL&3I`NHIEBQflcHm>Wy(zA>~f9A zN*8p(W8Jm#sSsV|o=_)NZsKos&~c7d$5B@MXht`y2ds$2hQ(0$%`3GBm@8nyi#(sl z2QE7I9$@H>9n3rjIrFu0KAuPU9Eabs(=F@{S%{(n$rcHP->x)IHMsHZzNCljACbxR z33SW4f`xW>CNDYtBKA~U{)@CSKgfin9$5Zav9Pd*B5tWjBcg^kbKwk2QzsTyq{!Y4 zHqYg8ZWo2 z!WG>I+bSFC!PR)2ukh=v=*eC?n)b{lCB@V&8|)QuC3`&*GdwVxEPNV%ZLd;(v^&g- zGSnpAqr|%+0eOc+Yk2?p%z?L1%lpe`%uw;XVz;-1u&P?vF8t6OTgnhJ0LP_8 z5lRO29}!d&56S{6;=#UCsC5R#qJfQxfL#M{9l)oK5{+7k7eA%IZUuuqZUapR%5wt= zrWvnz2$&eJ{GFBx_nomwjBUVm5WZ+lZGP}<4K7J?!@y6t5RG1bTD_(rPG{nM>q5WVvtn=oU zqTa;p6hK2~JfeLxw zN2zd`74rFT=s8684j_oKR)LptxPXYY3Z3zraBLC$$|2|^hlE4H5FAKM=8}}p$#Ym6 z0W*`NbCcoK5GEs>gwXSF1D_&>j#iN47;Q;E!3VTA&JHPW_X625iT}P#f|RQ{)?FnS~oYzU^A1eqvcViKgzc-T1- z1+{DncSp)S>!+e1txK6K0K%nw0*}X`t*6ew5bmpBm_G_JPsm8qYAl=Vf<*ETqA_0 zSmz3aDApR|U>_nvnl`p&_1su4$6f7v<_nZNd4#QFq23sjbD|OSA0vnQGlaG>vIRg? zM&MWq&-g~fs77#ojO-aWhRe~o$3+4rYGcosRG3s7H-;1k+0^@#V49j(Dqx}}-W?;> z^^QdoOATVx5n6w=gO1C!Iu?TSBs3V`dXl;Mt%f#va~ZHg)Q}^PB5D{($TQQ>)Y2QW zvs_KEW1L*q|9uYCc(t_xD!ke?aQ^^>j(uL;tox?{^K9M$nFQ1HSsMgQ^jV__c8bAf zHDLXgPavT*6a1S6sxejDqfx2Q{GaNfouvjMdrH5x787JjyC4>~c*PwNL0Hx~Nu|1ZM2V&pz}CfK%fY=T?ve_s64id+4u_i9DJX zj&M-sC@I4Wft*{)2=d@;W4Ug&zshSCa<6Fyww*k}Y-bm)^N7WPy@=RVu5+b@tn>l0 zH`rC*rr~B*3%1t8!|Y-L;~R9gWpTqq+1##Q1ppqAI^03%1%cz+d-ztXVoU^c3$UQ> zn4$F~d3WG2UbvdD;Sz)4fJTH3`-Y`LpA#5q{OSkYK9Cy)5JWTAaZNzPTt|mtG&oIR zTSnjUgX15_P5qM{C6#C;?S!*w*cyUA#Frpv>OuU6awCD#c+lGdB|K;=LTzEFUiIMY zhjPv6kiWgz%KgF*jCe>9+pUNO?sWGhZwLA_L%AlJsqj+4DW+6552f%tVlsy3M|_pa z(WekpGwoa^pkms&`%ntcmm}0OS%F%+uz9Jd5d6>3?irk)jO93ltDy-r9|YU9A=K-#Pj2Y?`7lRQ7ykT6cB7_SuGsU( zXwTQZmF#8+drlvWnrfTwE5>ihQrYt$eD{aD#{E99!?}K1Q+686;zl#vXOfH+lH#GE zNe+@K53U$IW+kzS{ji#A>nf$v#SbU`LiXei1nVbqY~UbXu$q3aDq}&L7X99@gK)s% zhCR!ZI!b2s@D`Y?g-sqrcAc7rJ48)KTL*GAHSNelAVoWxKagyxdKn_u*M?6%#bAkG8nf{dFkvZD=8^)?B!Y1#g!W2A?Nf&K`Yt!YBz;}42G`d7;by@k2SU9%F14hn}8yk4f z5Jl86(BfNc(7R_~t;TrRRHB@k{_O9dQjEZ{qM*+1P=Dqj!gQDL3yQYULF`~QIxs`V zOeFrerj1zm1usY9I3ia0g{S@C1|!C=A$z3DkpcZ2vznmI>cD<{m9Orj8t_B9+=^UO zf1!%YES%PT=3Y^iC4C+8nCPGiem46HY!+UtBy)qgb_!lhwie9GHA$2YmFu^w@aXLu zvt-YR1{F{iQ(+$m!N~$_FWfbK$ZzoD{_~A&tW+0fekL~)gD{b|Up~WPZeXmKx55Mm z5u+XQwkCmGMXCqR+U2|1INsueQ-lStnT_HSD&b%<{&e#H;p3rx%p=d%<)7AFyQQR zjnA3Jkm&1$&++L^-tgYv=(NKPa4!Rwx%c8QP1utzU?S}Kt`}d`t=lidu+Qa|QWUPb z;EQjX_QEd_$sTQq0>5Xw1O5EnMEnnf=PLA66R*q>#3Ej~6$cM-xp>G+NvS0LnmDeG zrYo2$P@*eHk0Y;p^?S*$1=yic1l0_==L=|69`6`%DJa~HM{g4@!M!i!w&Ep{NEASc zmf{KJ?7*5{b1~xCTHU5vVo$D`hJTTkzhzHYF&A5STy;1%S8g8AgCjKatV;z#jF^7t zL35Fhim^(LR)c|=vY&uy#)HcROpFJ^dyvuHFyZLDXEmFS&$@GonlWLHfQm8USv>G( z9_m<%M@X{K`Frzlbp96DS}iP^!^BZLgR8=!c^Hz$I!fE1g%v=bh3IJftg^ZNRTrgk z@LuRxR0w`QhMCF-#G|pn&4NeRU_9|GM4n1xUEE=p71!o-2bDV*W}U*d`CaDYtk+Lf zl%n9XUh&;fK@8VavtBzHuf;a&)wCNwC_)q4yGWtjk`(>?Js;C4?qCmjyzE=dUBl zP^#%AznI#uG?J|%MyJ}+Z;IsdHRA)LU=!nmxsj-ts*8FP(<22a|7J=M>?9O8d z`J9)4p}O<+)T4;X`2T zGIYEX|FIE^mR6&U192N7<_XC0K4?t~xyqNJ+Lix-I?M4Ddus%0%|4O=v5g6{AJLiu z!H7>W4w(8}k*p73$l2u@bBz*EVXn@t;p}oWSFh(#Wrf^}G7&R`hB;GLV9%HSx5B6N zeDzy72Zz>T`QJeboyXr$eWl#axg~U6DR(yUmK!JZ5G%^VDEYKEXg@uk zDH8Qh!+8#T-Ll58(E~rfk}c3k5NP3Kio&7FD$E6bu&muGeEUl%<*)%RufITeQh3)t zoN934Dh%(&J+%q+AgCr@O%zZOuRg}loH1clpF*u1ImC<8Cy6woMm`9`c}4!+-K;0D zFbCh@;sdM-O%RwYFd_(i5}FFd<8bl5;fYP1?V$+M*8K>rr0h`Wuo_?3ZF+13bB^TE zJe_UU&eUN*)s}%P$Q-Se=@|;U5v>b-3}vh3!kH})f!i$Du8i7roz1S@*|kNlV}CNc zYAmNnPJ{&h%z{G2x8X@9bEAvD6Zw>C_Y0gC{3n)1xML@&?%0~zd$Hnn3T8jasRZ^!gs>NyRSF+K0WJ@|c;G-WUyT>trvII)_UY z$3tx`(}+%N>L7ZqR&=YT)Wh@Rp}LpBFYDwk#5*6SZ`b45Mf>jCDB0$_)L;k7Y@$>) z-}MZpF+M*U>fQTLxLyt?%0-;gmwy^1?8-e2ueFH-?@}%Am?jiZghb=!vB`F{dh-|} z@|O>#O?@+SvH$Q=r?Z%jH+GPcLsFE@W9u7JIKa-r(QUsADL=@Or2Se^(0s>jv%5C! z+1W|IHge$IK)lMH)%qsq=kOQNF(jHV=fj?aA9U-5fj`aZb6S+3<8!haiZ4Sx>|-UqrL<*#%( zdtu3s@-Hq0th_fQ)0tB2%f5}q-L{vD)zmUjXd8?dRzm}J%f3P56POCC8yQSZqmq#z z7ynaQgVpdPKqR`07gnEJ?3R6m=1Kr8+$MWNNPEfbcjT5LCSy5UacJ|DT%){XNGQUw z?YCQHbH`!Yq*hZ9G9LO}H~TPF0RJ@BFy6gzRrYaJvN$Jp%vQs{F!3i8`X7F}Sog>8 z5Rogdr(72#Q{bcDhPi%zQ&FeOBde*PKB~;>Xg6M14cpi)%k_r(>RcC6uK7|!t6{p5 zXfnh2jAyqj*CXq*T({Ttz6r(KD#wqZ&=wiQ0U59ZLRW zQ_IYxZVLsj<1dYTuB#Qe<0}-nju%$LC3edS_l&Pvfl`eEYn4RH7{+HYyJZT@_ay~3 zOOo03qu)pj+PVIR9k6Q`wtKEjnW*VnKgnj}AJ=TkU`=oJQ5E$gO@(Iou%eFCO}Mt? zuIvYAev<1D-LquoSAD^CH_|=0YNIQ)ns$4u=*o$XdsV9;%bRNMsu5NFM4*_eo3=sa zdva4K*)8uO0k4uFCl9Tn{S_Pc1*^%Wu8P}f7;kYG$SQuTL)^U!C9}`5%XSoNR4zK1hwPQB8+ZO{&z#jX!%L;KheqlCUZix?Ke(`_PyKaQu$ z_sWf^h`j_oo{n!e?z*TZo$09}_12KS;|Z(x$&o%CRe%ab>?erv2z;~g)-P(}A8T=9 z58kW;G{m?o^9VYr1qjlm{=$^)t?RN0oDax>q;HU*l_wx=?gcgN6q8EdU=3}8i3*`H zo(S*LR)wmdbckSQivWz*&#T!tc&OOJHS9Ayh@GD|k0&VdB0hakZcZw<5v1%Tzwz=p zHR+m~D$+;|>8CY`lz-#La*mVkK3^dFIumQuFp3Ro9Y)9UN3o5y4BN81a4hTa!fME2 zx6HACyV|kDk~khMSPdykA`8R#3}&~?vGm7pj53dhnD8$=R z!Z7MI?|p*?`t51i0OOCy)!o*fv8ja47C%OIT&_iqygv!%;e^!?W2AikSSpz-H9KR3 zLqAG2i8)a)H#&+1ckZ;BZ@HVQ;F2_iAGi_WDn#j$PQ&4&@*v_%9!4Eq^#71=&nX)p zy1&`3D!viKC;GDiuEaOCDYp8~r{L=UE_tKP`~v(77h-9&~EgJb*_rc8*aBGjPZBn&wwPa_Q`4}+V>&_TXB zVH1vp{j;PJo~9|B(&oQ8;G{F*A+rd{{Wos;l_YHHCAcI`Mro z1y$R59L64(7m;dngnjNurHz*gZTg{oepgM!H<$Q?8KzYuzLm|9Z+Ic>J0XV=-+W;V z9w$-1JI8E%nBQ$UiEne+*_!-*QI+_5okqS%$Kc14@^a!^G>k=7(KF}$tWfdz%WCT5 zB3e%@URVv$?3T5j2)uaPhe){>Th67bqAf{*=@^U8t16;BJ;e*nhe%g#lUpTk2JOxtbD`1YfEy$(;y3K08Y2&p?&G8#jSCK` z`4V+1z5)$jGad2m^_9$3y#xxJ|CK$!@0=W3>)sMRNXTM`8$WSNEM(caxCA=Bl0$TB zm%z8@IH@ETl4Q}i_dAhfws25he~?gC{YOr!#$Ch^w#MC4 zAXZLC3vGFjHEv&Uxu68F#iG*YUBCjJbik$tR$99^Fza8%V_YCLgj02Y1H<0Pb(j2t z<@xV^RV%+FS~(t1Z-kuFvP+%Z@;7g~XCA_DJgwSqV@DGk^IFAzjo5`p?D(3q!z*W0 zxzT>s=mVhkMR_6>S_yCY^ip|oRm(3$ zoqt6qm$cVLg}HC=N<~$s$^EN;DfgXGy+89=n@@s8m*gl??-6hKW;pm*6v4~_TM@k3 zqlggB;OCbqGyvL<7gob=cFUZ>7QFa>ok2O*M&%6B71$??#b*+`Wu5D2#&RFN{}atU zZZ77#&mM9CoffEEfYWg5w%B3$ZfElp4VfQU2CGYyZng4o9tn#&n)HH-^U-3Y9h9eX z167Ape_i*gY<4TzZKK07-3Y61Ds(c@34=;6C%PG*W6(ZUfLOEnJPQi0q8G>yh45>N z7cdTov;UzPSN;u+_Mcrg5j(A>L(f&!=0P%ck{5vIBx6t?thZUam>0l>BCK!n5`5*1 zuabuE)ZZ#TZw=p?zlkqlH5NhEPFQ$d?xpLU2_@HYVuOm2k#++iiUK)!zP3ReZt3S3rD? zpAugtqI4eHq54fZn)pHlA6r>xoVQKG_e8}PuH}2e_;7l`4X5=ywn6pZ<+ZvF!I1mA zTub-y=TP{&+*sH5b9nZ$BOxYnUu){kx91CG3d|DHhnRZxetUM>+!;B zSj}#k9!v3KJ2pM~Ycijzq#3~=K10|o)8m~7Opo@u4x3ez0ExrF{f^u*sA!W~>XI@c zH487ShA-GHlRBeJB{fMSwV#rv2ZQ*;uv;dzT^Y=ZG57%6E9n{_q_F0hI18$jD#QO| z;Yhy3?XJc}8*RFvBN=jERm~$vrtl=;_sKkeD@F74-H3AlZK*&AAtijg9hVsfv3taf?Q| zyOg+btE5@C8jrLR!(30;d|$3X%xQx87N#+JtyeSuen-VTO~agbhnRa{FyZsvIykniont)`cM zs8pV>AuaxcNSm%fmGjryQ596q7VInjLiQo5bU3xBW?!UXe|?+S^|evu(lu1ny&o#W z-KB!`yN5_RV2xe4)#QI$rSftO>5E%Lx&T-5=x%*S!`*(5vUS;D$Z*cL3if=RV375C|2JxNo zz^pG>sS2!eMcX>`4B!5>U1{TEyR$3ZNKw5u;p2s!M9Z5PZm+y~Jq3QnuNLbrtWa_0 z3C`JnBWKzQ4QHq84x9yA&U(l>vmB1*S# zIIR8$3nFrvO$Zi5m20YkDAE+fwX0MRmy^)>|NN~DRnhqu3+KPE9G&i1RXVFF{Hkz& zP4L2M2xPa+{dps`&FNkuslxd`z9Q0;;)T`ccXrF%-{mXJ>3T!N3#{hD-$2p}bpF{B zVBHHjo@%;eIJ|m+8N9jFrfQ+pwCS=cgSSakA$7{LE>i}R-La;}ErlH~@#7TDf~$;p#ZadwOma4O1N(xPt|h?Tf90rJHB%jj zBX3e@IC3flCcTm;8Mw$tM70lY{wR5Y%YP{N*eGg6R9afi!M@zrXxx> zV=?6Xr}&t19$jH8uv6{CR`838>J?G#B&yB7Fe-0gr|{^OEP}bOBs%4Y09=c}Ra-2zg zeioQs$Sj87We3vMrPQ}D`*&i+H-U0}(Z1{wzf-E#{D6WiIZL+|| zhu!_(r&WBx#3#D@lc$N#&<*w5m8Eh=A%gEaJ@O66QuFx}9~peOhVKQemeJtj-bcDy z^Pyc8!)Llx!|`(;1`&j};k5Ojv5Udac+RS(U0$S8Gg3qQK@q9B$^~mA$*NK_N~rlS z{$g~}@SQlR;)~Jn<(wowA2z0)HxCw7HKb56##(5Q5LgZQE@s;FyI?itoKW$_5ub=h zK0ZNwD{$IGmz+tRWHncV0d7?@d|c`4%5@;Ay5U!y`w(a;8CJYkm?B;kG&}TB%PC=b z35<=t1k!iR zHwMR4swa}_xnxoOkCEyRB4u-}4g!T?TE+%?U150nGdzl zt4;k`B_o++2&?V4PVwF;wxu-pQNiA#2itACCC?E*Ura6liLLjVZ>ZjL1JifxF7{iwU$G5 zyJo65=L^p7YT~iB17_NEWSsRKITvX;oeJQ2O+%RO=?oT`;ErPtLp#d*a?#j!;>m}` zZZmAW*x1|;s`8#ggrc$CIY4>e7$Mhpo({cCh7YL2TuaGBhk4tCq@L4lq}XBJ+^-_t zAW~B^*u9@fuY8Z+w4DaiYZ=5V-Oao|>S$~}&Bla%(Tja5rd(nYebE^_U7y*`-~{w7 zd#1ujPbIrMEi5eYg|-`TlDySa8z06dPHMh9;uEpS#l6JW&I=n)!4w$cWe~5s_hIkG z#@Yizad*poFN3GC&J-Id&cEHxS1DOQq$0M;&nHrLtZAjSpQ;V~s4&PKwGHN=*iUVQ z*kWqriy*EVURVv4*ewgeg0%{)zvbtNgq^Z+E}ChAz%7B`80? za0IOKHbl>C_pzdXhBr?CVwAgE(Eaxl%fdhSKhMHnc=5I=zT^?eR)qiIqEf~{)>5Fr zb~6^AZS0oiY6D}rU$4^1voB&T$73^bLnY4lmjqxV&H4xy`WQS(z2XtD)d$sU@{vtF zKd#>MT|&JFcwsf%VYjS=uj9r4tKJ1tk1>#Xxe9DOWARzdZkc*Z8Hrl>SqJ~eZpHmTK=v_mM} z4==2SUhI}B9fKGDuhO?kX)cVfL#d^}42;Fch21ixUvGb#(oy@+1KgSf?aYRrRIsJI zV54XDnq2NxviR!xMN^$n9ry+1zijG}yqGwyKP;diYSPkE? zTc+SQxzzM|J9)+xqSNfHhVe?8kqqK9l-)9I-pysxSM7D}CcrI!!vJ0GJ?Ic%@FEq; zg$jeWqYCNc)hhJfDpcr#7gj?@cFR;~g(v#6AtYDfl|}_gNuy&BpI2Li3QxC?_ep1A z@1<1e8fbWrWHX>Cm--V%>Cwl!OlV6VibNLT{RLQSAl8<%79N1AM z&0Yra*~M;I5p4dEu;6)a4FtOkam^;igZSjKTc-Z{O{9LW(Ww61v9Kh_&|fzt3d({EUM)vQv50jDej3PV8o{p< z@tQ}jVzVGFvbQbJ;aVGo)*Ii&j_gW4u6h~Y$WT3K?HINGA8!;zk%|{q!~5)(RsWEU zD*Zh)`iCiL8Z(Gb0J~-S*Tq*KYzCou1nU24G}Lcwh%|Cdycph^m4@yGZk9=PVcvU+ zN;!=U)jNDJTBt<(EMhI#FPPf@Kw(u9Z<@Ruz3n`)mTJr^V{l~xbBF;yepU^Q&S^CG zHZe@4TJsx4Mt7hIcDe1}x8)k!o!bVH>neC*H8`*{$eg^XgZK04yw z2%Ek64(9kFXEY;G{O4YSyUrm$YfVsc*VAT*MM@&r`**Vd#!K@djP$A-hh2(*Qi z5JN+vj}r8ILy$gdctv{GH4gMK4)k}wOMzo;*_`4q=+VN^vCfWGEHmyIiC7J6IG2Eb z**ANZwSqM*4DXWUSkdr&L(%YlOI9SamyKIji>hCT7r3m5-Lk5O)hfe_<9g7x7+h7P zq_HrF&tP`T>bd`FGQ4>F_J*!yGTaTt`59i32|_;mDo4=np=$Y=IYNFqURVuN*e#Pk zDMuy0zeavnB~5z<@oCL&nfzusB)=>S4c~1jxP==&C;5rokj$_@9812#5Ji55uqJtx zL&+ym$*ZjC&dL;c^tl}BcW$r^|9_;t4Omsh_CJouQ55E!gMy-hq8=4rFib5HEikA< zpVWNug;M%W9i1VfjL( z@%yZqy*C@J`@hfg=h3tG%&fOrYt5RMJ$ttBePehcs+um^*kG z*UY9+fOS>sdh-CLOgqKP_63CVyC<>1QJYgGbtUl>PDRYXO5=miY5G`-8--<1lJqg> zxn;bfDj;N>hF?!E%Qa|&>CI%b2W(4n|dSU4p~ zp}`x>3f*{>Lcd+F0Up7P68TxM!)&%YRT(!8a4 z-BoqkEbCZXG#`Un@z7&4vR95V}VK_fFl`Xoq1jredt#mQH&!t#iMvfRS zKZhG7WE~}GjQ(T^&$*d}bt&N+Zl?7YaH=j}A_vD}deiL=y)LA&BTs2~pW#Mf`zc8p z+w+vvSlkleZ^4iL4!**k(_u;oCHzTBlEzj(C8sy@r}NqbhQ0X2x?%~x@fPeAq3@SZ zI6Tc@-G<@Azt>8|$k$B;r>&Axn>KJPUb}3=SqvSrr}2L!vSLZHQjol9{ENw*sAU&l zMQulCYIhd%idztUZb;_e-oo(yJqcL5goh_#h(q(9Nl)FblXU0GAK384sj+IEfb$s?lP3S;#i0z+@L8v`89O$^|$GSN$HyuP3h}#qp-DAg~n>uM{lFnMM(^F4gRi}ej)#UKVo$A839gGqLHpOV!QmCZTYOHY z(%o~o!`#QRYXl9(X4KOCPTZfIgp@@gI66?wvXbtG)7=^YIoskAm=fa&!tkfkrGEVU zZET7w54>nop*ZZUc!#4FFQvv;m8Puo8DHzHPjL7zdwi)+aBxDWASv=EW$8Nxpze=zOapH(p=q&-HBp8_uf&?6){{BmE{?_d*)Ltq|)g!+E zH6tYH5q{`)Hfl`7`}f99Rl-g?aCO!f2+{Dymd~_|3)3?uZ`(I`SF|(ZOJ~NIP5TD( zYm!-ba4YDB(%6@A5DB%49ZRN}J@=gE#@C0U-1~puXuSYao7(sxkQnVRK-9O|di&f_yu)?$muGyEJv$uqGMP@Msw)y+`$K%t zG#2ig)y8j}#s>BZm550|bQW*9ABg;cX{@hr#6x@y;9UNqK$?KKs(ACh`1W>Bk+}0l zO7yQU_V$6~Z7A#{d9;)qcvPfr2beAn5%Y&g@uR=;Y!r9i7)fjcpiZ=aj*{&dl0zDy z*DEcMzN$>2%UWbhUH0b-rZdZ&(x%=%37*Z4S}-&7p|rPLYS}?DfMzq0@y~$s zR)}&cBHp@|2~2Ihz`fJi09U0XvF}1>Eti|fNG;a_&L~yFL31!7T%*-VqQMa-@1eK z32G5ZQne3Mt$u!onYh ziqx8O{Kpj5*Oel(!L9;y_kAt>y_{;GoRb!I0$$eyRgco%ROvrU00Z0q*m^arnqe!Hy*o zgU66TRH~O|aBoaDf8#G~*iDDe_V$79omViUv^P!=Q{Mv!5y>JVp{NF;rtliCGn)s^ zWW#(PIm=^avVlEPB*GnM`GT3OPhzSeOeP5vNpv7|X%SoQpUMf zUOkhI?vW`e*S7LAGueQl46cQF$F~>qNhWPA&QxVgW9B0ZE~nKaNImx zy?NO@eHQwOYg)N$7VAH~P%;Sw!ik}%0C^9!?W??}1U^X>YXcSCd7|U1_WWg?$R-cb zoM$Y7s2BDN<3)fbiVP!rOAX(qBGvUHzveE)hBBEA1`z<)eI=ACbNi3dSE2!L_7zHd z%LSe~0x-ahyOLXl!HtSkAAlKFCTG=MrK`8{A>MEo8+CK_8GsnWjP;Hb;KjFpoZ#6i zZoRdV;W`3?hNDTt(I!gXhKKmXyWuZ)p5bYCv+#wD5@8GwAYm5B0oq{UZ8jvNBGp24 z0T+XscYHb4t>Vebz=-n*TSAB?I1jjk0r%7R39eE&Vt_r2Stx}w9z!0C* zEBUk;e9}>*dliAHai{qMso>+2%?nfUQh9gKK+r6?f(@m;{?f0GpW+{;vZ!85|6Lb3 z&3)XqHXW5pP^P@Gn)jFuC6#33OBAfXt7YaoA&x&>YrPl*7C6Yw5C!;$RGTf1Xe7G$TzkBZ#J=(QF(wlbK1z86+zuNy-4pxqy#vuS;tGU|Q@s z+uC=q*qNLW_0rOZqLVYGJd4Zt3*+}Ddw#vW*w5wM)zUS-DtnfFozJw`A8kb|QPJcK z%V0O(Fo#|5i(%=5QPwZ2HuWK!=g`HHg8O0A-?r0{~lnzJ`eNm^H_Lnp({q5~DE5Xz%y@+j;C}*BB&eE)y+0v)ZS>FDH9$K z2nh08U2mVH;>7l(*m_&hAySj)_asmKR3%t+_xZnu*b3f4cK)wDZ3SDA0@X2|aLbFf?c7wr=T0sO*V1pdw8p=sF zs!iGIYu|DI1uU$`Oj*5^-|?sgtZx!cje2G;x*nebGO?K`k~3M@n>%@_aRIbW@`8 z{FMU4x9_gX6%wR5GIa@(ixV7~@m0hee81lfcgP5QLp0f4$42?;VBP{CCXL>opsW;R zF^kNKZ?8?D!4~k+zva`@*|23rfFkS0i4&epl}p#G>)fnaHx5{HiQCFOPBj0y^WxLu z=f%&DU$DEwgzlvX0auw&sxs|i{-1Q#|6W~dIiPp({SId)|I7nudmW-B-FO#DU9LKg z&ixV&WAEToaGH`=x(aaMDH9&%bML_vQTLQ`cokSZ3|1yjsX(rws>y;82|(HEuit=S zHaLh;L^~Py%PI#c%FvJDp5Pf@dQbe>m?`tW=)`$818LaPLGc|h7EhbONAbOrOM{0uSJ5m;rqra*h znpi0jNa>8?t8zpQJH({gq^RMblAuf+lKxP%5*3=x&t$MEefEGtd{u!!Q1{eenv)SV zcr%Y*h~~EsWr$;ypp8l;9uwiT*2Ia7Olj{yQ8jf4Kp1ZjPlwYbTE3;NpRyyQ7?3Efl_b`uJ1iNn5fN~l% zAZoEC8qlSO`IC!S|L_U{gsue~ngv{eBModJsmuEww)N_f-C0~DFx2%73kn$pJ1IooUc5ezR$=a2%p7C$mUXwix7BeRsEa)l zmmn!jjHDk?BJaVymrPzA_&wjbm<_y+3c!x$CX}x}?G7f-|NXVE1JK?}kWHSVIbKyD zB#*CZp2dTfu%W&UJNR`=*!Z5o$emssyg%|e{?HN(zk8hNk%fD8=TUxk3F{L#MuNb+Wt9Ew(cXwVN$vIV?H?q>ZgoT;)3&M! zApqXjG?%>ZBmBbABFgF_6UVpntVI|}PI!dhlL=EaYNjZqifN{xH7>}scsno6WXr}+ z2E+KOBSP)!$!a;mtpFRn$|Cw8?M;xCdGrXseklu&PL|0TM}z~`0s{XGEbmOCB2=V~ zI>HNMsQ(btiy~gQ*P2 zWdMQ=}r!%mEX>#$j`vM?1a=|F>h7C|dvzM#m|4aKL~j%z>c~UF1kEU-Kb@*~v!np;rXtn%5Z|(l zjrHyJ54eB3c|R1IAaSYx=@Ewq0}#SjYbI-QN73HTDn-`qizE@Eh;P z{2@!&3Y|{0sndDpUDHcs88!KRpC5#uIA zo>@3Pd=Sq#W&xX32HI*ZK@@Y(crK-Cyg#s_D!VBYobY{1C$zI_H#cWjpp7S222ES7JPLz6}y z^#M9;JR@oV=ePcy4GeQ_M5hF&P4HZx>QE@JDg@2=D*sfz^6xCzwd8HsS}P*Aj!9B% zP7fCGyd{FSdf_t+J(f9~W+11xR2CjWpWg(h11?SA5}j1_Ru5o}nkGBa4XA|%RJytlKUN25l;xJ4&E&~%mo!BmWU7emwV=#jX~orgKoCQdA%5hsm%vW5*9fc z&7ipkC}nq5-#8$Q5m=y$_S6BBXq8usRx3q&{ol}IM%0}91_Z>{h*4es<*vCmQ0u+1 z4y|`8TK#3M)nle;h`K}LKhu$^hCogzDLMA?ih2WJk0ywpda=zNKM>G?xOyw5U1WCO&Ai~ zM8+uGZD?W>kA8#&N1xKz%6YCjyMyyCL$q@`=U9z%f8yMBC1-?-+fVXW9%18ci#diG zs2RogDxVzw?ISEIE@Q9^cqw|oOVtBjx(IlWa5F3VZ`;SmWdk1Eh>Xl&$)GEI?;%;BP(3df(+RO3B&PL;FSP z%g=%z2RMD~s`Kt(uv6QCGp&n>BoqyZFx&>v)*ki+}uU`$(*D%aFnkPSU-`#;du zrJ78{#DW;e#P1;FWY0I#w0Qce7Ee=d+ZF*edV5Hv|GrKni6!Z$vK)qsULyz((N z#?|nVNfFC*Dc(kI5*Coj-+3IwHy%WG?8nBO9Yk3ARWvfF-AZ^81yqKcfu22`*2M|zImqmQry&=V|T_($cYDzDe^7h%agIb&kAQ_R@@?c{eq!J;RZ>cwFwPV)RG z+4C*-oYR6SsX`x9376bRph6X5Om%WE-~9v|J!!K}VcTA51>HGyy}(J!0n@2Vfq6gp zmPh8YvHhu0Ry%xQ_)5}*Tx~}A56wI)mxYb}XqOFxusN2Pe>H^vOcBeEgvfPZx{&Sg zqfT&w`cDlexrA5evi?2uwRGMs{41ng3$%3Z(_aS&8dwrCXxJrP3izkR#V+S}OkJJ+WgiT`2QOXB8DA--wA0_R(ov}w(e4s?l z_}diLHR@LUD(vA>{`7Jd9`+>RW<(7_rokl&mG(PY>fs+QM-3h#2ub5JKpuVID#%DB zpNYDOVUVVu7+JT8ov}aT6Fd0ez)pJ(Y)RKz7?#0z^66B zCVofDcJmTs1igU_O+1e?W8!b=eESMEX&L$EVj$L~$eXabba@k8mxUW!p9b5=Kz9Tt zjkZ3AZ6m|n(KI2=ul2{~yxg76*aOQ4;uzCf;@w2FAfev|0(Z8*kJ8+)l_?bom^fxc zy_&%9ak1;Ve9uc=Y?gzbTl^ev3o3Y0Lho|Mew2I6SsZv2HiVf9c44(7D31j%CCJ6L zJ_U!P#m$^%^ioBKV`Og8VXX!Skh}S?Q|4|ytX1a{av!Dmcs}}jzBZ5bohaGODbP5~*{BhnvtH1FMgU%Y-XO@~aGb!MDDJ(nsPlBYsv?K4TggWGruXMHE7`zU+55@IzoG;5UaL}i+Yton?-dJkH1d}Z8h9=eJR?vl!*-B@3YLm#hhINOW5#rd0M zx2PhIA^>4}_Zgvsven12z5vhxuS(EXGw4ABl(N-3BxtBcwapBAL<6;JJC+<0_S;wZ zu~n?M>$pLQmig3Qx(lW+Yn1$vXUKQT0Htj8V+p!O1GSq$&l#YUt-c~b!xY(v)7}Y< z>R=!5B?DIMsmLMNj48|GC<0p^jN?bvveCihYUEu9G*8@oAo@8z;3+nu@4cTd>z)+b zW{W(v*cNa<#4WLjF^BkXCz-GGq9-~05F6xFwEB4~% ziL_=F*&&#ZH&6gjhfUe)WB^u1%&G2P?{m+%aT6|B4trwZ00qrZHt1T8ywnhmlY}js z>uzAS)$b!WL1BFhV{z_q$68KxA&ACT=FVQnZEhCPWj47+_=FeF2(PGE189iRV76b0 zAqHiu1r}kTqf_`wH|rfyYLqro>1>o@^Z1e};ED-qnTKz6v#2R$fJ*k{IwEPFi7sKL zBUJ4!K${k0Xn~SA_uhD0@_xc{Mk7X2UJ7=jd&?i=16Q*Mm)8$`bx*Azt~69CM=nNO zuRk@BVW4D;5-#hb1bXmiU~M&IrEGN>a4|}_tdA0CM~eZw!+;eVUE=Q0fb~&AoEdnJ z0ZiFyFkmr5nHGE5wpJe{$Ofj1{Zm(3H1E@+x)C|?mF2Vf=rwqR3gbG9v3-iPkR$pr zm@W^YkUCy<^{HMy3HPyQ*G^<1-e$p4VY3&ZpJI0`;`wXX=<(CL_VzhBveF^FBszLr zO~mZ#?q2G#tF!yx=OdmGW3C9zb-g`> zcHeS3(?|WsNuE-OrLzb?pwodsS)fLKNS>LAd-ZW&m<9zTGX8ZaG19=vLw@Nua@QGw zskdl2g-D25m~Pr=1E&N0LL=Fz ziv?)<)&A=$*7xN%dswhF4k#pa1%M(_`63S+=>jwLug}ZMG$5a9V|awq;L%7Z&?^Z> zVCr|{P#p{l>qNBuDF9HEG^W&JiBkp2;6!6H*70a{Ps5w?=z6I zCt1H9GX)>jwVhw{BpVQvrjwfua7-^=_zxAOqewR=fvNsJQUjStkP-|Hv>G^BDALVIVCunk$tMR1 z$;Y8}Ds2W*9?Eok5}aDr&gUaUjr1`zTY~JioBs-yFgbxN16^3py{f}{+Z0&OA1EYz z8GvBDFBCTN2C`lom$FwPpM*DLw;Mca2}QFWfvMU2>3dnf&@)x(MATT>m(8T0iT|~T zMLU8;HB{y2ZddoVp(u;5Y)R$bBGy;-wtZZI4)yYPBC3Ayoa{h5$rB;ff_hT6`t@Z2 zn*Y26^*4(iX@F9;`kn;M)2Q0bpwR~CwUn7DERi2~C7H6iA zZh%s@x>|zXq=7okpqU0JWvg=~=s}n4=~FsD(bH!cu#~Sx6Kuwmi4#RnKWgHC@987^ zeDnt${rM07KRWtr`MXcCAv1FXYc>40Ug#h1eoplE=^eshcc38~Wvl0Y?bXrSj~{>H zopX3`wD=LoJMr7`G?t!ncsw6d!p8K{MkX(7Lyea)F8ON-8xg;k-c`8Rd06sKWai%H z)V4G^M_+Wde}J(=`JWC`BKS`wY?zDoOgTOCYUMCLD6zB>GWy^vN8>;B%sS$k)#90T z+%rq{gk(=25a5}0$`jHzZ3^>*9Ec8y^@P;-#V=mru6L*7sU#55bj6F^DY&i7qY&?r zAKoe!5I7kq7v`(-)wb(wpXHN_mRY=wzNc7|Hy0t#v%CSPA2~e_H+nvIdOmb|4$*g) zl03DzG|1zMzaHER5?)dgFL@H%l*G3Dwsu>=i9n5Gb%cwbc?P56s?=Z~cde~}77p4i zHV9mANt`={fmORnV%mKKw(bUeVzPRJ5P3r*eQwg@ZWdpiv>d!9Bo>;jqt_Xb+d{dr zJ{>Wo%3oAu6HyWJf9{gfqq_)t3G4VBD$*IM0IhyWGHexS?l!t)SQcsw#d{MTw+|jM zUd_-h84?xA(BSt{SrC-p4V0@RHC=4Nn1FOajjrADV+iSDjQEGbwAeJY8^+iN|E zYJ1`loY$q+`?={+TnI|)6Pu||Q!J}er?B!a*|*du(gR*dns^Fs7e{*(of)(6iKc1C zF@sKW?mC9_ymM&Y1&y^(7d=Qjl==9=fcC_5O5(ZvwyrC7pB#Y4Xo-NIb*idGs8ln6 zx@a=7JOu`tv@tF;K~KGx+H4g)QI!4T-UO=Fig~btsd1OW-Nq8CW~VZ8zv9GFctN?i z6~MAxjE*3RkJO>M zNa}=l1@$8vJ5jGB?*^GnKvq93>yRa|+&Q>ZMxYKOP@YG5S5+cTvLR&e`nkMv0~_K> zUy9Z8#FmTAT@#OYO+2E^Qe)q@6;!~Nl*DFt?Zb~NmL7KrkDC4`9;L7e&H({k;Z``H z=zsLA?c`aBsKiucwm4^<+ov3|g00WVSwafmhr|CHP_(HK zR=GIxaB+gYo$S;CnN5WTd0CG%OQy>e>^@Nn{Y#@}n~h4nRJ;gm5bLq&!u~!`js(s5Q_$%W)ZYNjm7p%K zaH~#ahe}wx0h@QVOOSIxg0^Wwf=~mr;7>vKOV9`dw2(ku=mmuY(pxpMFH6`+1GeZ- z$v!GUqX7y>AXSjRrJ+K$cP($%VE5L$wYGOdBEBAgj87?C(|`=1qzb%2Zz~ul(^OqT z9U_xdB}(>|NfMiqelkgtQSv-Fm*OVwl>83Kb_^jpd3r5cI6U2O^ag7Cx}&@F&6ZWk z($fjryTkDG76R6Xao|L0>FMeBnmxS{`I@K85ez)Nff^Q#VuYt-Y)!N@N1rJjz0oD; zYXcP+^vj$-^z^jOp1w^$wJM3NQ_5C%@xfBzPaxf?)}`10K7eYyUYO2ZhP1|92|4iq zO-ZQgt_Z+v&lb{bBb=H>IVHjQsF3R6%8t4xR>~M6?fI^_x~)5^>( zH~BRIMaH=daR|W@7dIe4u}s2mdjqw9Oit<(TPfZtB9Y(bYbzQ{hR(oy@^aLe-xgwX zcSD}mx>5D^1{?}v9xW=7(~NX0caHPKD+U#O=ey_ zsI{%_LQ^+r0sl6TqR@cWehM=wiKj49y-%@hNdEsnf_9mQ(0@3B&WVO`ERWoX39&_e z+8R)A`5M142Td*(Alon#-X?LvlpEH0*k?LO#xy)9|g-D_&`lU(dR zg4|?}pTp_d8AovB%G;dc&X{4lDJ?wO?IIxQ)t9Gs!RD1cc16&@)Fe_{Ca}DM<33?% z%L_-yZ-Oi@Agz~i-0O~MxpNB1e6@>MW&@Kxm^rTJO{=H zXp4jIbnf~Zvr=JCzdE^c6;$vc$q&V(0Me`&h22QPTwQs{;`-ytuP9P1LzX`jEn?p~ zG?#Yv&{}GH_v2TDOUsK+*_f!~qxMl*gcw|1h@Ywg{QTFJkpe5qmf=bjw;fG`(z0m~ zkZc(zZu+y9@e~e~rIxYgkZc)ikk(s9KJHB#B(PL~QMX9Vph+|XmpC8M9w?sK|71%; zGe|^nP@vGN;S4LhC-5y%-R}uTBjZ<>CnJ1dQ>M7-aA!%$F1G`KqvpG56q<>JFq{hp z!#YAp*$w}bbq#@RYw4s!#j^R`L>~%if5AMub1z(ufho?Z^;$x8-PzE%F0ucXJZG?>N3Him_W>f z1lN#%2-IdB^_)a)F`<@AR01)hs+$C+s$*V~n5`zv3W-S|X3RGwW*f3yLSDZ-nl8G1 zs4Zxtv`UFeFlN+jiRuqXff*<{F4pfnDOrFbHOHHJc+N0H zoZ32b>L;LRokiI|)}uk)a9kD3Q!6GitZG;l%l@e%vb*0vpf_0+x3y5Dh$&&x?HW21 z>rz5~y0?@0E(uL?xf z<-Fou==NXFVb2InP|-+3sm_1w)DcwVaYuG&r8-!!EEY(@qww4S-ZmQqbtYj1(&244 zqUZ{56EDtd9ZrW6q(BWijR?s`WSQ9fT>6LJ7ulKPen9Eohs8}x-L*7)HqCEbqQ~su zoND4cMsgNeCeDv{;%s>1gwC923MlD~WL5IUpP;?U7{FCKb=P%$uAXKH>y!&kseWNJ zevg2dSm6a4hGjAyQ37+51Q-+}uUV~VH0WjJ+b@1iG1gD}Xqi_brf1uLH?Qx}1!wVrh<+^`Jd8#t4=YVzzs{ zqaKRojcA@;k2hw*ceCDob%UEKq*(qYAo>JgHc1sxEYn3s-=TUs3f#Jqk~`M{^DBwz z0_GL6Uk_Py(Mc{6Jq%a*bkv=V+j=*RcOWVzKz7TqkHv5=u^e9$x&~gbAs2;sG^&Ub z=Eyql(U?`>(6qU&%Zf>)S(+NTjQL{7!^1_P?}~x)P-z(3^35u1K)Z=0IaWt=>@>)S zB#Gre*~WnCUgy%i(Z>)UGUfp!Y%boPJ=FT$o}R{&BW;+SaHz#kv0NHUTJGz*5?cvB zFc!)K37pgR->E=wCFB)ALsw6gLdGjS3SUhiy%}W}ASaD6`EDVy6CslITAWSp&9Huh9i0u`C^^SRRUke^}1uVe+Cs=(Bu-l$ao4 zrL6J#XsCjo_pvdt*ls87i28?n1(dXv(V>NZan=Yb~Dxk z`|UwUQiJeVZa!)aP(qpjh{gv(vhm>t;Wq-#iF5QL0OGOq$FJc5C`V|8=KQG4orkm$ zyD1SxP4^S>X470k_ldV=cdFdX<-E%q*!X&)61|sbuq2r?L)T zQm`t0TpE}*f{)&9rV0fWi!4*;^<6W%O+iNyzxi!`D_+xa(q$*44-Q?`1PuE4w}I+F zE zn3f1q)Z|Gicub>_T7o16(7X0h0JTp;_@d8p2hk0JnPLeUH2`Z9qZ+USw!yY)Ai(vJ zfefZ0IYfU$9Oyl#6yxG*zxa!1!+PQ^L~n0^>1P6{t3dErl5wxN2|zZ;7$G2~Q74kw zxwKY>PC-SiZWI?Z%9bIX!?zkiEWACJTCO?1x0L7puBTv#fs(o zL(pwvp2-Ii^T=ENhGuAP5%q2#;8|hW%2byO1OCV`qG#S+om$F583qVP4!$EGfGK!V zQU=dgwo&uHhs1CDkGD9chbl72F5G6I-!bYmz!wN-eTX zQu`Ovh+jCX;d&4Vt7>Qi1&z(jQ_aDV4}FNi_i! zwRSYCgc{KtxJ9QXH!F{_pT2~)<+40-CK$Wt3iNQ6Sb(1DHqB?zL7Wqttl*i-w3ds9 zx)zn##pa}re2>hM9H~e6PzC~JRss67cNI&&?zBCx&|pw#W-wiHpshs)hcMdVX37T# z%F;Rbc5o;Z9B4yBkyDvhyLUG~^$zP7_}2aUl>NtXJZLNShNeIT2n!S~QefBXDMVz- z2$3r7Oc3}O*PWf()mEY5ZG=+eGf%XuXfgn4TkIAzMT-~|Xe%w^ZWjgypDlrdD2e4R zMJz`4lWpH5ObNJ()xdpHm{O$SAySyfXLJ(gh!loUYV1-NBk*i%goq|#C@F;@kR+Ff zq`8%(sdra^0PV}DJScf;frWThAD1pgAm*mCvru3*>X5Z1Y%}tlb8`Yrk`siO_1G@CRD!_ zwB}JvFlN-IHKL}`I;ve_#+WbzB_@HGF<+3FaXMz0#B>svOH)#?L?swA>ODX`F@{Dn za8GDK^VBlj3g;-3H5Yw^?i}~r(aAY>2u*Awl$tQ<9L+kHZ7nX`n!KY0N$DK~BUhEi zE)o@|RVktEa>=s+XD#j|};74*rXD)=UrR+V=b@w_V5FPaV;A_#im(w6vfB#Y5GI2no&=1? zoj}zq)-JP-RLvy!HTiAwe5n>ON|APP)4dcH=iI;)U9qQNK)_QvdN3ArXtMqQO+7YVqn6?dkfOGz1Y0dkiXb)T58MSwh0$V-Lxr%gZlJ;G{3KLSv3bBZDO}>Wogc*q( zL`SMLA(es?MC)}Eko1s-;iheEDQ~R7dmZ7S`m56Ss9pQ>T5Yyy} zl$5SWAhQ2|cCO}$=_VyikcJgmCgy(zvktD5Lb?dm1V{F;vjC%5y7fVa#(m$% zJN4*r#=g%+kI_1u^?H{izBdV%xMH1LEctWy`z#`5eu(T9kLyn&5|0aCqE{pc(O8{F z2rfaMKAo}*1ETR2jxFqADbwk1ZEibI>2x$Olbgc~tGu6lyA>k;Uv!#egOK9l6=B zR&-}BidQHxQ3=*SY0F>QE=eu$=6>*#pu`FjTw;X``zs{fE}iJw*)MO4UQnl&iW41X zzb~nIr%GxVFeVtnCsqn_F`Fg1cO*KatBI*jE=`zOlFQ;Pd$5hLa<9Z()ER@#yIPR> zczr)etd>!G$$^d~rCyp~SdX+P(xPNy9<`3%nk;nv6Itf(!dHEQ5(^2jP!jtfUe>aa z^6(RsSYq-nk2d;&kKN37)v>;gNbKXIApwBo-W=zZ8JY9=r8+ic1UbJlN1`Z}&+S(Om+8P|25_bT zepUi&6_u2+maHu*o9abN;=pYsdw?|!#1?!;-L}X9eQG7{jvNpnn16j1eR8~J7(i-h zoiYf^)hUOt^oC5Y>8yPjo3x#Zn109+`fkKF#ZqshzKsU5deasO(YjxfeVZPS@Dvze ziY08e-o4EUm)+Y!0_3#~EHrQf!Du5ZehfL`_fP56d6j9M7r<&t=kY#!*|mKq{e?Ot zEM3M3WU=m8UA}@Z*vmrZdb7n?h;$?s1{LV9c(x#5S69dYPeI)5CacYOq#(kRV@%1Q zas~ec4DOn41|+=^AdQ{T0SgATl7YxF^&owKr>S@YDUh_hK=vTbSe}ackiFoNFUhr{ za$=rwKftukCI6INvE0IBTy3qlED4=YdvZw+G|l~jZY_5qQxC3|m)>7ZqUi%dyCriA z!hz*l6AWUh7%bA5zd!O_<{2P$7Tt7Ur#9PALVoT$f0Dv>1`Y@)o*C2y%cDZk+5`kU z20#!U2!I5w4!rFG&wT}6UNocI8?i|< zfKqq~p{G-S+X<_)mh&DSwGW>{NL#=c?_&#kJH?E<-V4>W%NGSt@aBDN?383GD4r(Y z-lg4>7>ZoLlQ7-w&tb)I4{S(1clAt3MSo=VF~4~~yU90w952|<=J?)H_+HC?X79pJ zeasx;CDrs`Z{VnFnfucfeQ3cLTTN?f(b&C_uk)x+*Z|-3mHf6(*pT5BtLVgWoY4<+ zsW(3hH)fX84@ToPcJZDC4(UnvX0GJVe8PrK$bty+*b92KD`mGl!lIEdC*#~$g}r@B zV9IyW2{UIUKS7vz1}5!1ZU9d@)u4qizoo|&%rzH}D~cTQ6?MWaT*>1afmvc;wgK9C zO7d(a%(VhDT4JVB3l`FruH+n;^U4fNf0Q+9JbiGlUN114<_MnY24=a$fMk@X1`*W6 z1ryQwaRx~`So%~|03^PuWghQ)0AHgxvWj1K0Phu+_2}gf+uh&%Q#&r-)&7LdQZI_E zUvIdGpcV4U2Yb3FdHU$N2cP~8aWs4w1W3*Z?@yQF;JLRIPiUgIn{LOmv83XeM;e{Q z8y&QA4n1!qqLY|w)&ybLL`J8>q z#st)g+Tk04KKm(i+}o(bCY93|WCr!*Me^kB zyXh&7WyZYv38>dpT+rGqlK^ImWC6I)d8?xPuD3*@v;kUk;sa07&Z;MZ-@NtM;{`W4 zJ*?+E9`+f&m(jeEPyUPz@oinj(?4UwhP4R3YTnz3m^i)MjwP35&qXikH`((8>ih1V zOYQu?mtBK>)s?)KQ2q1y@y}43)|K3Pko8^s)ZO&(Z%P4Optn1U!|udmAs3W;l#~m_ z9&G#*Wp0^5t2r|gVfFLlzNYQni9S_r5XrE=@UZwQ$9%3F#0c7+&)+-9!lQiPTo7)? zQiu&r7Q$wBs<-e*e^E&JCCCrU=UtlENMHLZKBkEcu!kC@Whjl#=XW=uG7+ozN-B*s zN-I!0IiGJqX=Jp)!T4!1>ZkR@K}6j*H1|Hu%A=m zL7I&dV-Mqq#Lp5uA+rgvlf}F4(k$LH4%H@0L|E3%DD2%e5|)4Z3mnMcl#qqsdSp#Ag#!_#4cI z=x_Y#stOp;6Xm^zw;W<4dM~;HpsEtpJSH=Zrm*`-LXsp%BRt3lTZUXoC7Vz2dn6o-k1AhYum$(b0&4Q+=pLxE#O`T zoX3*Z$fthEZnid{mfrH^Ws}f)zqImoU$V)e4kSq#DIk?sh;r(;tM=vd@4sZ>eIlN} za}qkLLKM<@I;1i0!F=BT2)=oA1k_~zNS})+EaWLi(3X$q^T&^{f%a2IX%cM$SnpYV@I}7;JUqN3fLTDn8hn)pG3VA(HeNzkg*{|5ZVd+L`2}&C@jTGWu z^<99|%8CQOZO-Kr{=+8vW)|@K{=DtwzH@=>J8Jp^&E?L-?#M;GSb_QgnkT zRqsuc9f}GN@@hlKMgzMQMWMU-&&S|P%>_K{YtU{nO6mM5h<@AGEOLY@X}*KCGjtbz zhabcV;SG4jzOFd4%lLM5+fIyK&mQ8>ea$Agavm(3l&lo>$rIQ0eF|}P;-G0y!N=5# z;yYO%(=mR@p7kHon@i684?>->ZMl1JB+r=h{R8kVC~;PY&HbzpJNOYAlQ-D`ig~49 zQ(GzBr1>?q;KqZQQIMY)`gEl=6})BbilZ!iA4D897}yjl5d)ii#7$aYlPV|#1vZfw z01n(QZ|uQMatSFlxXE7v2RF4!;NT|hEvw-Dbdx{jx$AI#!NU*I2zsm{J>)A^(AU-`UJORmw`>Z-amg9AeUo9743UnH5!vB>~7dAnfvH8vXz03m90j9HdLEit_~P zurGEquQ|>ZCX;P?X2;REe+!y?vUbQQ#!APMirLtdbn_xMFv)hYzyiD*(#p~z< z?xbRcR-x5rzdPTazhhJ5VE(sn+0Zch?nYAa+fJY+6+aUv0H3TSz?AR!o8PiqT=X@^ zp4r#jRyMZB1~ z(2^pgyk+3BoX9f#{yjkvk!fru*>)Tf6k(FMUs+wb{eBe~-D| zr2^jkJtC5yTkoGD5EqUYa;u7n6zJwLDjRrHuu&R~(pD|rM&e$50q+i>UVbrRnLGg> zgHl-6gK(_TtnIT0aWrVi+0Xa}l{u{xH`JcrwUgo2UC&Rd?8b!cL(;Icm)iJ~ZGq*V z;(k7sGVunixDN`UE6E-MR&~O;%4&}xnw{#o7{{&{#4mW@81RFkdj3H_YO8q=C zv^z=EVH;_B@?tpu@dS(Qe_dGTfei*!3wF(!RApby6Mn!NvcJL?|G*OaZyRtG+*h@L z^Iy&D2=2&}{QDo+=s=&kx}IJ{T%YQam-xVw=m-#dZ$8OJyJr6idu0zKtEiB}Tk=vb z|LRs29at3saA@Q7-Rr&hH(~e6?A}Du$;bL6m?*eH2|Z4 zo*{LS%EW^H=6*Rb_7p#TlG$Ao4872}g#J9?PXUj7ndW4qPr8cpB5_}f`%q|4UHzE& zz!sgVr27>OKPc^;EQqS_JSY322H@x)Q6Vng1cPa}MwB@`eAOwqRkE9JIfc$>rcvC2 z(r6Dqj8a#cB-#~3^_Ft?K(v&MVaq;y6g%$aNY1&mxe}E~@I*bZ(Hbz#eS8Hpx83RY zuSsY(-%|Z#yV(*-?Z#r+vZuRKS?_3tSQjjF+NcG23ceBWp7r0P0?+3D6_roUv6{W!lm+B#+bm<%)hucn1jt zF}D;4G}ovvqX9Sf?T-(sY(7dQ)0Fi`Q^a-SQDQw!*>N--*Cb`*(M(*)7&>?Q1hT!# zfi{2LVRLczE{D>^k2fw3nYTqFgUp1{K91LLdB@Iea&|`L92-+kFT0 z;ZsMmo`s+o_#}Vw93uP{4OxCzAi=|H&%sVx-TdUaj=^fVMCg4U2s;b} z+0b|ra((x>`QOiXAkZ34@{|0z^K4w7eF8z9>qMAcFB*j>#Ji2RoM$n>l4>tCT!qHDA)kMp@Go*mU^)^hu{F?QioJ+t|p_ z8pWfoZay{X+jc~I7viTn6F*g%k9ukJaqnr=D zGkowlHni(RKPDO43;3hIuu;;9`YaPpbKZihMrb{J4%~cm z;Zpv<3D%F#_>~Qgj+9khN1JmgrT{=c5Lg80Xi-i@v@lgs$Y1^yt{zjs_x%c|N(vB? z1V(CFEx^aX`O07L{#eRyERbZF{~NnDIIsdTL<5HC#35>EGW6%(-_U?!R`ZtM5bfg( zDk*>gFY6`na<1kvm%!_24?h1F7TOCySVYy<9kT#9ga-|=2U~DgqVR@GY>4&kH>riF zw{P?FsYzYU+b*#&VUv59dp+`?2w>26Rnk`TxXUc0KOzJ*D?bNqnk5(V-%6IW59-a+ zE!KXHg`xszo)}A+j7)O!5Er=-duv9Q;<*IB;s@Eg#`Hu4u$8`owS=U$y29?X(u91Dhx%}OkDA$ z49^|KMLyU4iqny?^pH+vI_bRCe8cZ-G%GZOaQY13`+sKxS&5OAy3oVhkQGyEWMwYX zL`_$_KqyjG7QK;V5H2%7bFw{rdOI6Dv|P)A9|1B~5YRq>^D<`oN>tz${!BX?8?Ym9 z0A7r7`MGOf;vWIi7uPfGY-FEW42qJM?xs+aTzp5DpWT@ zc_Kj>(?rV?v`he+KDQ`aU!vuK70aE)h3a|k>|%{y6iQ7qe;2;pus`?5#kP=Insqis zppSIMo?MRO?ut|~Qg5$AI=9v72^59-@-uH3a%^c9XVg% zX8#NPOc(3z{zss^_^K`GJl@wjD6Bab(`$Sln^uH%l~?&gOz-j4JlEHHW1mw(+3J0< zCO{`V^b0J zRn*Wd*5US;HTv|0Y@P1mW4c*GedE^fTe?{tT`|Jp_jj}Q>#MgD3Y5Ae-h?%LQ#b2a zd$K`;IyE$vFM(^HY2-E|6RpM89l2>nu8Q1c9)2_BE;Mr6kc+nQD00UyGjjdGR&N_V zxK|&!4wI)(D`}CFPUZid6qGS#Mp>NKCN+w%?|Dc!pcp<=ywoJLU8-6`^G zs8!i(_}l*0I}I1CCGuK0kuI3KhDY>(*$YIS)cOe~vlp%5***Ti>~y4|PKC@)X>Z9I z{(cXby>tyf(*u54wublWiFElIej{Bg*6_d5wQ>!AwkO=8b`3w)(>mZLNKd^CRk#FE zhGs9M1O(8F|G?Q39$CLzJhcB%s7b|225i}ygWe( zK22+$#KugdaSbmFunron;g+Hn;974-bPGJ~00QD~nW?JY<~97&0PDC}E#NMQfm=8v z>R2?z*Kf7@M53%rt51ZKYAPt>vm!6F&!u6B_=c~pMX$Psrv_Sw-_UBfRt%6%p+6%9 zN8?^yH%_1@Xy_@(Gtk@C@b?0(vqDOKIVPT8#z88S;_DJL)fvpJgIwwT|NGYz_hs1O zs!@aAp&40MZ8ApRG#u(Ieuq4emM|hQ1H!lmzA0uvnQi@0BV;ElJ5Fj|dXl^|S=oG& z{4g0dDg$`3GI+;HF&>kYQw``&Hx>l^$ec-XYCki%f;b(2udA@jCAjNL& zH}-#8pL<}tzCOq8)`$EC&x%s?Yl?#@ua@EGFT*so^qW^VOj+jr8%va}iFn|7+ z3s)@39f+o{^5UzHc=r-(WC@;$|9X_S1zB$z)1+WA&a)gLa>@_lcFITca$H{Oa7i5h zDT=>Tct$U4c3{b?z5KD_Y7c9wc$I(C%ev5BK{>Y6uW23D(Nyv(zq_~f`UM&s)}BQ< zt@^L){mDIa`c+7VyhhzDufyiz>c)V?KJf;bW0~1X`7FLP*c$2kf`_jQwoVBXjBdsLX*^7gJoeMgHv8m55HD@=Q3!o1 z*y{9c-OR5Gu|^GO*$j~qY(Zh87bn>Irj7PbRuw#cYn;u0Q7Bnx-MXDpY4FQ>=WmL z%A;Lvky!D!TZR*A0x6X^(Ve3yRor#6*~c_xy~?laV=b9+3xV0}!^9OpA&DB^BA<9$ z`@w~i@mzN2+0Pir$$H|;$~nJ_RE35Ve6;m7frW2pwZ6t} z;npbMmRI?W;nu|7f}U-*;}E_og~zsksFu`VVysgjX zMnJ9_XdN-36(Uj2j>a|;E|HGp^Z~=giMBpPjsCu`6^uP8zh*0K@3V+{R;kG1jbPBEa%@uSdRp6%9V{O zYNK|;UdZL24MuPC=yHB>u=Q5o>+mw~5Nm(m4|93i5bFTfHUhNSqgV#{Wa?#5o$|EE z3%Ze7FV^d*351+~g5driWB&u!^Y#9Z<9XJT{OLTNQ4~ceA`yy+DT=2FA>@CA+}Boo;P|t z9_O6vI@dYpI@h_*bnB=cfA>*%bpSp@a2CpyF3>}>pZ~~kS0^kJz;F2pQ zbUH#6?_0p+u!b?AUhXp*hhn^r=kDsLAGDZkTMJK}?_z4#TC{Ij7cilU_wU@H|Kw!n z0q)*a1$T6q`5rCc3eU{S~q!OzHeI*U#kg? zZ)P(j&GSc=&EZZSYU=mLtEmr;S5v2sC#3ewZ_`es)zV!bONZNwu5}~FVuMbk!{D)W zzrARpOCC%0d_ckYvH4wm#GzXCMnyHV2}RyRqVg*{h+aBfbR2c;BwSkbk5gL%(&f_p zAjKPf5>2O!=Oq~Dc5yVVljzu@mYN7RwspEVAEmghwckZ(A!Ai8!cbYDc(=P#GMAqCiSfR-M@SJ@v4~IR zy9doZRp(AKl;~?UxD=A8EyCVr8P|9&C3Y51bY64loi4(?*@9HnAZYYR!;5u4LP%k3 zDjn=1x_d0v5(KxCN>(piEjk`Ss)-d)`SxAKjas_A2>R7uw5b~$!6k9-5s~j4AQJ4{ zE?j_)Y(>i|bOw}sT=rfVkw$qvglnr9)vyHX8Zv0YnXowx&xlOem)8E&(1up^6)q#9 zm_S5jAc~K}zQ7t%=rMJTjLSlJEWmhn!s#%>=nDiU2REP7{!kRlG&P=SD2h&_Q9VVQ z?y*3u+PQN95(jWrRCDldUW<#)uwSUX1TL=$9G6C)GH|>Vn3d4Q8ZaxX=0FMjmI_=^ z6F4!A3_$>1X$39?z)B6cn1R2;9b_hlx+<`_CU8a?&0*jyD{wggGBw~b23{(GkG7L! zSJnj1NuzT?qTR?`0CQzlAUQ1YFI8r+R+(mm?*Lk^OiqW&99|(WQ@ZSZ+Mo6As+y?t z(#Y5gld1wMT~z?utI<`7ck^~!l7>0iVh!9zo9@ZhC{Ck~0q$991?TDhAr0IH@8(by z+{>Hp_Y#eCWocBqH!>`@Lh!V&!)xYP60z=I((6Mho4S6S<@d9bxNZyIMIPxip^xaP^G>JreMIvfek#>q8ha?NRhEXqyLl||@U8Q~&z%Ce zrVwT=Y+yQ7_JQ?j=qzd$EIjMn@;vQd7@SW1gE5TQtfkmsC=T|44s9OCO6kr0)|VoOQu_X;cVgdvrQ24H2EX zAPKno?6(bB4>H)@3QlthV7=b%Rv^D&H>YPjSEDAjiel60#}F~HM;!7EDXdh%gZ?k@ z#G2so=`^P=sx&d3cK3ze@aR*z*jF^OulV$|zbW9GPpPu62~eTTK&r4a*T)-)OvDq8AN(`jxfjE0#=qYCzT|FyI(RCsmFmOs9>~2Im&q%sEcsaZ*cV2(L&c<~@u)k+`xK5BpQt__gbmJ7CsCDl&mi`ugo*af zv1;AgmBiuYjw3+zk3wl{m}uR8hon-y{IT&X4B$77dxU!bWAIw~BTRJf!WfJ(_vE;M zn&uy0>1od;-m8^ZE({v6t;Hpzu-7yi-5(Z*L+O;*A0^gMt^|(&Wp01bO?Nb%D*B5y z&WHkU#9hVk5wK_>A3@a5T1d?X2roPCdNlO1Qxh6DKy+s7LHYpE*d@L%55sf6LX%{! z<}`TOvHC_!Iyyj%;Z#kGqQ_v*7}TK2cc0s7xxc$aKF45tlKh4d478x?P;HJ5c?jg9 zoI+8~=)cC7p)L1n-#73YQW!UlHW|fht)tMS=IqN-HajDR`>XH^?uP>C$AQT1ll$3Q~jM389hLFoE1M z0BMOTYw}O^u?wavenmF9GHs`cv}KTJ=B<5h4FC7#_-`l5J;(Eb9$OU>kIput8QarJc}1q^zQQjIc1mYhvpfgJT;=00 zvN-140+=Ub+&jC_gu#$)fw{D7u;`)7`G}4V7H+yLYw6p;kTtm<(X+wARhRb>xeUQ~ z!AJDv9O32~m!*oO2=llmV4~{tAt^UPQ@oltp|~MpnQvh3MeLkwz+MMz20pnSZmU}V z!c5DK&T_IhrsM}B0SF6ASynuYnhzD8je=L4@CzvnuEh2^pQnS}$!Y4GLCm@X}b{9F96sI&siT&U+N^DT_!7=v+9=~O4Oeo}00{8o0f~7MrrZt^@CA$3REzzm)1MKdNxw># z5A)79CEm=Wkw9@eP9XS`aUSi=q} z%$-I5L_&s?enc%tisptgS+P8ttOPz(-_VhwgRcA|TEejv)>!5%RMwu6n6jEbqVkat zozZLP?~$mq+3J{Hfh5`MsMRPeM#gI7CwuawVedMM8imEdIBO`gZ}B=x<!=tQ`X(auML)p7z>q>WWCSKyT++~Hpxgz?dpkqE@Yws2O~_FL^66{pa5xz%c7Ic^ z!#U;TJzDhDC9k2`qeZ7$vnhKtt4V9<*l38h%r*4$Xp}U24LL@M&Ivm>JJbSCKN!`E ztV0T;v;uk{?uKMdH63WNJtZY-)o>o;_gWXMC0p)Q%LP%M0YLN0Tb$JEejX>?A#a?v zHs7IIYv@E2B<;~P^ehSs5~u#V^o!Nfhn=J!M+(}m@I6L7L){zr9C)e3e z8Z7kd@l;m)2@;_3IMkO340`oB31$?7LjqDH^^WxcQsn7eT90vz!4Y{?K{Df8N#73y zrU1iU2vl$EP{Ef?VL8eeQdlfY6Y3f4iZC9sRQ1+qL$qY9aE+P{c)lz?n&XNpv|5k! zj5aU+Srr!%>W)mrn$=jIlev-Fi6hJ-Ihn~}h=wOt;;CFie~c9&x+R^c^EfbjOeY#K z4wA@-E>RRL`-S=J%170TH_VWR2A*6y>+8yrL8tHJ?AwX9j)N-tivwLBCqmjrF>%R zCWvOeGS!g?73|`tRj|RF1d{{Gr+@FtJU>j$#@OA{9F}8K5HlYmEXrO*WfO!)iyc-B z-gh+&9_F?hh89;ynTXMFubN28;J<&A*ijrdgsZr#^`&o}_OI5rAAH*X_Ib=@!@Ax( zb_hU4RsWGqpiiY46Gf{!ygmMl2B+wwiK3Io9@C@hkd)&g)u%(2J?B#;%Bqtn^x&AY zeUo~nLHWNqQ8Y@ZVl-NH&z2A+A;;9#luiq;`x-^&j>eW4E-OEa5_`z~ z_+mNpPbtiN58KPmHe~nM@y8dtqzHy5TigLh2FB2 zLAGUgTq5Gst`-$Z^jfs&q_fMQH={+f*BWG4M%>tFt&O71Y2V9sNS52O(82YKFKK1B zjBt#x$SH$LqebfkH!F_#*&2>Ga|acN-jhL@QzYfG0xjd6MB=FeL$-3T`O%GrxD)IA zo8069ub=im6NXS5o7-RE54IdOMG#hOukxhzSiEE%bK9TZe}q%U&ea1?`^&y)tUkB2 zjqH$|OzV(wX|#H>XzW_JF zn8%?h4GsfrKn%J($Ap?1Y~rpR3ZVyK<^Me|c^k3Qnh#uHCHi|_EatWJShf+&Mvw0w z`>6UcUUA#$4Ddiw?+Yzux90)E6p*^@mBJNEhKSb~!q2jwJ<-O7s$+z`t`||=siK7~ zY)#}dm9>dgG;AuiT9vJ$xp*d&%Q|LF&1lrxHrSkgU6leWE;3t;v6JMYX(5ZPLZCtg zM8A$QPt?+nvZUu?$@Gx)n$R#?(?^>-B0aRtf!OYEKTR0yAI@TS7~7vbrU`FXm#wc9 zvQidu_6(Xl4J~N1o)%9N9UFg^gJc@^!UCBjdd3<$Zl}j)sA)p+zk)fAIqkECHn&?t zqpdDZ>fHzV*o35nVODSx{HBT-w)COmBa>MiQ)j)tsjfo?^_-4bR6J4Cbm8geFPX)h z(xMU67c>Mo^`6OY9~w#6i_wg)5mWJch_v0q=vxhB|A@fEPek5sy=c( zRlOlP413d^#TCoSSmdsxhvBa&pv|kmp%VC)SXJpP1}zrlJ`wLMu#!Dl;NYC&!dMZY z3(ugvvCw5BwZ5r};!L7%V|j%rgLE^Xuuiqc1|r)WqQDu#&856ltB+^|TG*m!b_UI! zA-voc0A_GXB`W>kRNPzoxHe`q-ymm`@8N9PH$!x29FG*-3!>uJYcpeLu`}q;8PJ*& zwHz^D%q40vQ*>>eC6n8EdIL#K1+-We7Nc$1dYUs+xF-CIL9ALIKb;x;*I*2BA3Wj1 zF$>z*gp4*O-HjWV0zWQi|1R+l(tnD-(5^s#Qtx$mN5vk%2dgW79OVs1M+Wx*yz&VB ztOYOCjX#eeX$oE;4v#OInbdm|EG;%m!%P8_H*#yY)tb8$^kS#7CMSaZnCut&S+5P$ zVHSuz_O!%5gHXtXvcHrG-!f^lBpn8a?K0fa zeZCrx1x|T5%6SdGEjr`p8)|T1t|ZBOCUXZ=MkgDa?loz;!P3aOl*QsP*qoIo)jvm| ze4$3>Wzavfgxl){)>f*aFxq@AA)Z#L5URphtfqwMiZ%auiN_;fV8py7rA~^z@zWBT zJX^SQYwD`iP@N;GUTmQn7pm7J`rq|*V772|?1lVZRAif~61qNHbar_k!Qm-C z^Z6e)Uf<{C>IUD#cGGGO*2P`d)4(~xvwjZrPbrXL#EG9H+?(dAI&7Q^j1u5M)RfG# z2u7U)h_@JZJYN`fN}pijRed2eXQ=xJGOYmA)Ec42M2vSBrV$>mYim9inh|QGcfHSJ_o%i z2K4*=?Fl#%ROj^+=ylRMRNObkX`eLico=7#RE;~u1Np8h)wmO$@;E%@gt^N)SIDRo z-y@Go=<1uITZqN%am`}(xMneXT(g)xu35|;*DPj_YZkM|wYW+Oj}uMnF`7XsN3RE0 zQe2!EYkT5h30;g6E&(l?HiOyPjBP#4&re7o0^K)2F$A$n#7NWGjh92U)6d#KBeYrTUzvRLn zRmi3H++v94$lk{g0@T?JY1cflvflG!EWixFy&g<^2bX0 zPoT!+`}G>icuRPVwdX}4FogX>bQRSI<1t6hyZ7w|*F&p_X440hkJ+9PMFynqTFmc$ z=H4()F{HsovJ$(gagos+=;D+`%6zQ)dt{Q|eBsj3+ZyHVhar`5dYHdD*U;v5>?P$I zRdrLEiB8R z=lF1rd20eT$im+SV6{V;H!`T>Lebsf7pu^S4a}mq7Q)_eH-pwK6hRHk5p{&|jEH5_ zjP2)zqNO#T`ir1GJyi3NCa<^V)YH-)%jwg|o)>b<&|u;44Z><`5!<4V)C35r0dQ3X zsL%jP|0jR}0FcPRIQ#5}!(wJL?OY_>{5Us!Ww!jcI?U9;6V_E+M~2yuDPZ|37F}A& z3#&jZ=7=-t{vzzWFlJG`w_#VYSxtd&i`EAF)#^+^wJ(j&qFHZ4C>d7MM;z;7jb-~% zY!;PptjB7)i)VXp#PVovMqDHOe<8RzKXv@CkScmLt$s(e^3m#M zTXVH;u#FOPXn_f%Dd3~jnh0W7(+`XQB-VKs2;x>#$9F+uyp@Pj6aXYnMyM`vHLc*- zmDbpD#Dc^^hRs+_74M1;hDcM*9I{rE+j}rx6f5vS1f5u!`EY7t%w~}i?Q$%=hQ>UuIEPPTHc*Ze<8n3`;PPLvHoapir(Wsd= z{V-Uah5=zhEJw`BE5I;LxFIjD-Px&vajRI*Rtv0pZ z7ma+wlWUM7S`fPnZHSlWJrp4Ffgx?x*jI!MZQ&kTFR_1@uZ+$C25T|sQmI7~k0UMW~oK^+#jQ)K0^Zt*axfa@QoWa`+%pWH>N-=1_N9pMr>AW{mMT&4$%4!DsZ6x~-g^xoZkZ>-%F~^>c_p8;&Hw&+R zs8qSL3h(Bq@3=*Jc013j7al-wB_VhtC4PvFHAXA7xd7;;wMY&F5Bi6JZw5$U_Jd#r zj@U@w1Gq<&6}SKZMh!TRf&chhHfIa}^Xfu(P4MWA)XN0$SSxrbKq55oVg_HOf|qtx z!Pzx}HTSrUw9zD*_f0~i(k{_}%E7}%eN>jnuL|{1hKDi99I^14DWKrpR~jmQBaL4# zn!41PjOvfkr-D=*9$$vX5O~FiJ59c+qPuSKMw*_A@jZDXeVB?Zw5c2ElT^{gC6kHg zLyaFScI5NkM?sCrckVm%Fje&IoMkOqIf}z=Vt%h&E)R@HI$jdOu~mq*R5$MfGCNht>JIBnwbHTcs(2$cPDc%wS|NBl zkfnxg@NPZ~T_64J4?ner*-4SLhRZh6!gOR@zLB=1Vl-X zp9`01aWE!^6#k;>YlG9EenF4Lu97i&!#CXhqVzV%LF!=fNNUZ(tWt(9z`^E|`_ONgH(q!J;yqOd;Z)aLFVcYZ)T{;6@(bZczpfUIdQ_n% zxpllDj$*-R-1$B54%W<7cbl~HRomFbzD5JsWK)-qz#{h97LgnXAPbB5;oZFcfn<@d zJE-8iMaSBHhHP5>k!UpO&ts!|!s5Ueq8xJpsv?)MyZGR8-e}mPPMVyP?=Odclm~FV z)?(m{(>$Bg+;JMy@```k(({;y&e`7FaK0;QEpGlRJ=86mY}Y`d$8DufYoKI#W>eG} z(LAApmF6rABgvZJ$iTb#y}#rH<59twU2+^L$1YSW(f%qNn2v+ZLy=b96Tn1(=pP!( zJppfDdR~2J7F52avw1QR>vJA^PC8-QfeKjE%H%w5MCEcnlqk^RS(L$xJhD)bd-Ggv z?s%A-JF6+IzDLy*vz_eC=aJ&2OlKlfle^1XH*qt4&r1}MDT3X4flzK!Bbp&wYtvx7 zhZNe)rM;QZ21B#yt4t^|;n{RQ6EljrY8Q<{q8o6g$4n4`cNA*W2XaBM#k2d`z7?&o z=}y@B;L))$7rfOXxgTcG>N9dbOfZ<(67}Tb-|*WK8Nti>%LC!Mz!cy)@0E6n%&yH- zgd1~d^;*&W_3>QIEE>mQ{)XKqD<|UknRHgfo<4$hzbajdM-;aMf%@1p2z zYPe3cZyjrGHhZ*y`R2zMm)w=OoAs;poxdvaf2@D4Jxy8%zBuzGf4Q~C@C-v#q4#8PPKnUqI}sUzMZCJH7ZNkYHn@7quX2=15OGV78y@V7R-c;M2J=Z}rmyvMNo${t%39I-O z*-}JPnaS2}GPYkMDcU47S(VWxETijORAuy&Hkypit-lxXezNcf{ zFN>Jx%@MQNpSmI0lldDj4y(>{@!6mNzGCzEA}=aikE+}KoSv;0UaqgrR`V=|c;jDT zVh%HU@q7x}fX;V!D^1uSI`>TM6UaVgH6B6`; zl6niMl6rsjJB+nh12fpMvTq8AOWvzrPdoUBFE;?;Ekf?&^Rqe z>`s9>1@P*)>4y=Tr5)2-6fe_oZ{lF{ETp|N7}JB3oTuBfc);Loyc_5K#YWLSfw6$@ zY)LokDE6o)3{{)vsH2zCPCq>LOdV_AL4Wb<)Yu*m5A|VCA2#Zv5`9}LUzck_lpzy9 z6swOs^^wDm#_bX_@Kix8ee>H^v^ZO|uA{Xg?aIb#)UHyxkS)9tM*p_luUfyP6eE5O zKhH0u@txRLWbKCzQoy%c1h=4a@U+En7o0w6P zwNAzUE)7U%841-nSOG#CY$N~8*dA-xL~m@yB8m&(v?UhACPM?=EZQXSXjous`#_yr==cgCp_e>QVZ##1uFJE&lj!(TIBC3Z50 z2Q;7d3b9iqu@5z3-Y@4PDom+YSOZI6ZO~*S`Jx(OI2Fl z+%DQC993yqwE+sBrt+M8gPr*q-0!>(oyVmA1rNm=Tj0k-lV+CI7aiv@y_0?>p6q{u z2MuL~2Y4*0_r9BQo$W8lIPGFzZHsRuxQqBCM)v*rr#L3fXSjF%&(%-v;;GwhL$IzHDbozcQTC~=N&cYvF9y&9S zAumSwVceuQKNig!SO!QZ9w65PMHg*=gkuqnlw{c%2Tgsol4o3$mq~E^3E0Q{j$_y{8Ma-;V2ta2AW=qX>OUK9IaTev?1!~HhMo7NW~e&X1I9BQx|>{M)x^+xQeZPar&n5{I2=I%xdm*r5#ZkRPLY@BYFU~LA=-aSpuBg*N?9?_zX z2WDo_5y^e8@Jz_Tehc{OAo*67;SX?XYl#vyKYP;n}G3$SYjx67Xvzv=i8}x zuWyE-4t!+S{KjyM{&PqZ>zP(|W@GUWc&K7o|94};Vdj0gK(i04@FvC9s+vJWcg zjZN$Ji#A@rSOsi6BKK-@?>M}h@2pb$`Jmrv(0&#Jn`kCL3=gd!D;eK*%GocxoBXSW zLa?z9XY)t^Kh_`lhJM^HJl)rizKQYikh}rGqO=rxNb%`3k30?tPhW2qj6BQyF})$2 zocJ~f!z@!SIuFLt2cBhqVVPwHccOU*z^WZKQ~CiE#eXv$0SaBO%~XM>U#OI^=9WNo z`-Ei86{FPr13{WKe><7Kao!rbRN&l*2IL9D>kTYaT!8Hh=EJA`UbtF$#rGsPBhPg! zAv`QNSv%+NBEx3dng`NcHq*sC@tQ8<3OO7UEX;mdFEn(84EO- zoa4V<&daBEC2Bmn1J7t)(zUIVE1O6h=P5Sto-3Ck^fN4&jOa3$mMt57+CR6}0{Fft zJ;Kr&yLPBv=3{owW?*qpWA3|no~lE{ zBQ9b)?fVaoxG&zU&Uq`&DYYl1A^}K>o1xBl&+v@5>wnZ4Z|eryjMs=I4NGejB}+!& zM&V%duOG@8Z#mCOp!`JCOq#lxrhbYI-I<$d%ctlu8Zj$D%+&34=2OwWUbe($_Ww}T zfOsyyLn}`Pl8IN!b4o*zg}BArsrMnU!`{s_;}EMgDq*OJT*Rhsr!9v>msUsB3?frx zzjL0Q$7RF(mK7Ktvq#jU2Zuzbw(tLpX62=gJN3+)aaEIi$DDL#{qz1|L4Pd4tkB^6 z>;MfsEPT58BM`hG&&OY=iv%fuzyWPjgx;wGG2@Jv$9f(9)>>HajQ(-G^ZwkP(pq6a z2~TMy%mbb3vPA6yy!vgW-wtDRy0DoXKf^e4#af_J#KO|u=QG6KKyg14K3>dk8oM4} zRd7f-3J zad9zHTDjO$r3+ll!Dg@J%*AOIE-tN^w1YJ%2b=$facE#ti9H+#1^uZ|a3M~6`fJ1+ zKp_6TR6D5}@h*tCu$|Hh;d!B#O8m4kAPgzYR*6rYqV_?U;Kt~O?op}lqt~b}uSrs< zl_U-}>zJe$D+3iZlZJ00;}OxiQIBwS4(O1+n>*vcC{LTn+)6y`9A&EF5$3c&VO*&Zm1{AmgK3NGXC?mAW7d;Xr$L zJ9RG-0Sd3VFyKl66rS2b?-gN>>HIbH)#o@lzpn@?|NY7IMUm+4w-RgYLB8u?d003p zG{|ert`h&nurQ1q@Jg94$TG*zC(mQZ+GYp!KL#%+*ZQjp)yKy~Be!s9D_quSC1#i+f$Dm0^Zlb@B!3aIxs_n!fez7){j>WtA=@KcZ^&#a=c}yIOo$0bc zd5pa6@)zoMuV)sx!{lz;-!c~3*xb2*w8Oo6IhdS1OL#p9$1Q3l{Zwmt@$#>g>z2z*2`hDSzzA>KRX?s1(FqsHX>3|&}9mZh?@jbpzg88`&#PG#XI5P6R zeQ8NO?Pje?C@9$N*^#an3%5>eR^mM~cSmj__-JTBTcaSnSy zH@ekqNY;?TtT^sguJqn<;Wg18+Nk;3SZG=)@Bjv)ZD)em8273fO!Fa)W`ED{8aINy zpt%EX33IylKWLWA7={R{C>G9P|4-6$JpLEy(s{AolgCW%U8ppZd%aL@e0N%SLNs(+ zpjqGpS$(xII^J=(doFE1fyQ5)O=nJsHlfL?$sCMgM7%{i4#vCL^F6ikldrOlHAc-y z=9q{Ywu+h5Z0dXxgG;6rQxu?2ZKXF(Vt~xXvfD|~$hE5PD=fSN$#M8^E`4!QbobrM zz^og0dRJ;%r)X3eJN{v+2Sql&~zD zDo;VfO1@0(OGI$OPfyRm>aZI9IjOgMF{}}v`;E&Ln*)`#(97AcV@%Bdji_Nz`~DhT_;p3}l-re>cQ`Zh#)0jIMG zUKKnrrM5}Gqo~CHwkt}5n;!Nelkk|!Awk!b)Domg>b)GXu)Et`WpxDUmGpUNLQ_D` zm-39(rqbB$(}X4uF*IRQ#F#TMw8zRA^ZP{&ZQ$-6yNefl8j4iA1aFg!HOC?*+~nj5 z+rG?$w@SvzWE>x-nfA>gNOor+l!EhYD)E#_`OWF7v|aESCAgg%Q;G{AzThzg?yA_c z7U@b+2{xhB9M4AHuf5U`896kl6dVB~MQkaCrmP&=RSJ&G(aNLl*Ml+QMk)3I!WdES zEEKvI#t1fWz!(vD7V}9MBPMffu@#mL88AkyItyD8j1foALU_X%aqTR44#o)Eb9_2A zhdQ5o$r!;V3>YIOodXn%5vk`yx7Ia{5ldZpHx)Y#GYI?{uVA9%aQ!0PItLYf;SusU zFI>C)9Q%PIui{xa2zw@bzJkMQ zeULa{eNZllLG^zB>l_-w;P87EO}&6AX!r&C@PcUPyRQd>YrEYn+^X6^f}4ZL8e7Z2 zy%iT=FI|~KbuNlF{oZ?U4ra4o!-5_usYfJ#Mi!|2c}wNbI!gM_vYd5mX7YkPgPW{z zOl~~tid<+8#fJF~Ss1r@`!DCp92ZffvFwxrj#D{zyCH8u~i zsYE8kHuqL>4#)O0(*k&N1+bMAznygHEAXYqE_(JA_%d)O`IL#K_Q8O`(e(v1xD5J2 z@-BL_3~LeI>v8d>7-(zUS(ESzvyRWXH&`GwqJ@K0(hVRNA_Mz1v!#QkqKKW;>XMkE z%d1T*E@9(YTxTk}1g|p@oq0_5>r6K)PrdeZXo_!5g4tB!f=T_^ zQD^FO{o0t~T#gsar1efGd0j77f`Fq!rM05Ql-(CMg3X}X!d2%ym71qfQ<|F z=pxym!M$=n%DD{R&2d^>MUA+4KYDmsbWce1YiwUsfj>t)@CSorbmm|12I8=LBoprb zzI^zO!z0|J&z28|h4SG%L_XYC$%j|0d<2Bxag6a5F+SX}R~E^I{mYo*{)2q;{Syyd z?3AJ}!b=-m{`eK1;VI4)^5K3^KD|6h|HhNO9U2ZwZL}IQLPLtYyDU^`VEBXs5%Q7WdRp?02&<>w zSY~)Xa6Mi42HWq@$?kq5T>7fFoyjAyA95OF(h1zaR;i@=!Wh9_vMNnX2{pXDG|QpHj-X8p6|X z3FUgqxh8tpW?AY!<1f2wWL&4D{|kYH z$?=yyD!=7@3x3Ph>LRX8tBcr>!mtu|HEfuQAe;1f^=3DaFb+1~nvCOJ zB?GHz=nc`!)?AaWghV936}T!{=lZDl-x>+B;E6Z+hLtR~bzAXQTJdwRxgYQ|SwU7X zD_I#e!K$oa9Bgg?F!KCfIJtVadv(-A6NMCZSU|(Rhi*4}7tQ%z^hv1t)QNkO7eBQl z(-;Bnq5QrJ!?~k6`ljKjc(YXr|HuD=Ag?KEl-Ij53O-E7;6HaYgFas(9M7Dq@24iy zkCVwTtnleRwi^zUC;T3sXi7dmh;ZlIZELDFxpL_Im{Nbhu7j1ibnFMHni;utgP&Qs z^z;Ye?)-X9kXQ+FNP>9KpdW>!E-sfQ{)qJPx%A$TqG>1PKTf#qR&P)rj{HbE6+Pjk zDd1q68ktADgy|PxY7vDX@Go>6quFO7b~Hd+2TP*maB3hrmz*kuPrX~i(7KR{?#F3h zh3HhT7(lr4d}UQGymsv^V@+)eAqzlW%E^H#S3*+YjTD=A z0J;QGY_6ZfPua_jxa1upnNuD%`Pp=lqndX+z6;Rn_9NH&L!g%#PtwSrMC;b}yVcP( z7|8>%u?l0{J4POjFhIyc8+OyipM=q{^ZGm-(ZXI_kYI9HbDbXiBzzn7LYBr9I2cX& zG9=|mc+583fY|kC(Jrv<_lxS;8Dp07O^8Zzq2yw_MC0)aJt#CJS5!q7%VL)oG3_`7 z=YfNT+aJ18=FiZuh6GXZ&p6TWb~!1(h-S9kTHU0CGcGQz8X$K$@nH{ZexRL4GJBg( zxDc#YMK7c`ei5Ua^Kp6HeIh+#yqNX{0sscog>>T=9M)AmCyr<36%(#P9uA9wcT@9Q zqNA4)DN!TWqZ-08vSTVI<%<^8Ntc>5VmHmZh1QPRO*yy3RGr^nRQp%av0h?-wPYSg z^I!WFUY@<1zzc@S-2s0?)82T7dHC7Tpr4ggzTSr$_DW$>oXpT4E~NEl7_jI6DMhs* zyDpD?)B7rov+K&y4b_%eS6;7)u*|x~^|($@xVGUCuF@TK<=6Q^}2n*|qac|5` z)UD^ei1pO|Hb&1VPOC&Mk6Tag+{Qj0_1myES`A+tkLQ52(1tjbJ%$bbP8Zb}!=_j@ z#im3&L$PSZN2!qLIuf~E~dz1s8PU z3Y&WcT^hHr4@nDcq3esYo=3r?xZ@a+X9fp7U(z7d2@E#RyX?=sW^@|tMPrr-a-GJL3ZZ>Z**4Ja)Bo_>cQ7>VIR`0=s5RWwc zgQ=0nqr%wTH1dvU;iw97U1tncY1!k@@N|EhQxjd>ZrXfD^z0C?GRENH%os-#RK_?e zy&kc2XRIoFB<`L;aoe5T$m1@EU%8w5+!g)ZZOgz50Z+f0=NFD?lMAjhEn#Beh_mEq z7ut4LbZEfe3+wd_vU`M(Z|{m3I(JtJyC;0>XJ{pM@%V(6+yl#H?WUjaVOpKNn;QHf zn$}uGZT}EKPTc1hy%AQ)flOgh&Td-t2Y4}eH|_aDczfh=DcB$sF;vcT0&CVt;US66 zRTsL5#Gj(26<@R!U%_tb_b04B#k*OElTQ z?V)^M9tACwKT98%QlEdsaNXy-^Vj?%L@l>Hxm;AoR%#k)4mz>Wi}PQdOJDp8 zi`&MJ>A}BP1seV_^?HVZq1(q4^$eQco{uT%8RoMoAJe{PB2_nHSANiQQKweJ*LTX= zOLGCr={VTmb7y{JH7?B1eYcH1t))EFdF;vGty6Z^(!G{L5q8RTUCb8p(JR{mJ@!DL z#<=_4MFEcgY-@};91a{t<-h}@?LrU4G`7U}JTS&gC^e>y|DmeLV-M+TD>HQK?oeE9 z#iiZiI}Co)*64HH7&91&!p~QIuA*L8YBVi@8DYVbs$&28bk-TMp|e zL-;$tj?!HB_9nVkN9pBy|2Ct;dGDB!XMfWBrrRac2~x8Eq`*Ky_j+h7$_ z*bUy#@&mu>Z?C6e^^~r{lWQ;Sa0Kkw#}XIONA;9Jx(<8j$9hU52|HvojbuG7{6rN)ugfGC zgR($Zk(r-vP~2IgY?$V+`U(mc7VmwwhXtV4z4zf%Awon$<+R65&4anoH(rQez;-E|Yw$iqcx+-yav%v?jqHKY}2PAUy^Q3mQ-rBb$w zGF^AXM0T!9kZy^ILR^(h-ESY#HCH90>Aq`de-O%DaHslS!S9={(Xyt>z79r}?|=S= z*@XFiVUEW1W-$E)OR&#_&y9Pi*G$EY7By3bYG483GG1~HgE8j-EFi!V?DM<`VE3CT z?~8p`YY=jkmbob-bhw%58#iUB?&A-rt-I1v7ruvHcUOAp`hSqW(p_m@OZUSH%5ARr zam2UH6(4@qX`u|mjhp#nTPXgubdQrM!$Wb`T}#eC;Gw)$t7%Y~%%+sf*j0U(<@G6} zI<1t9p=-b5_=N^zn%fVcbOnDNM*)2JD_;GwLzg$;X093X^EX63!Phv;S6Z(Gl+zF% zqc?shSujO(_kQVx&)5||9;6xSqkviALgtZsUx$ z6ObUF=u3)gt++YnAPUYN^x?K)$jf2%m$a!hTq^`g2=)PC7i>fn{5pAmnP1ye`9n7} z@I2E2ENKlGc{~2(MwMALQ@=om%%*|-w3Ph$IZleNH2+0f>F=DQer=VmuYZQ98gzUt zKX2L&_Bqa)vInXmQ%zwzG|etiqjuTLsl1VD?l~%Mt2jrDemM%dsy-A!gHw(VM4ch0 z+KgH)w9m_F1CiE6236G7Qq(<1UfxQcF6KSD=B>1^69IlMihi5y+bKQkK7Q9VC>Q5b z2h$JUO6xk29G4kS3lXPFd6y2hQ@V*LRdn%)aV#>P9<@`9x_{oGKJ9@lngRUdDXu*L z(%+%I?Ug_=RRu_7Sy%Zs{ncI>ZvQZzGg>f|Zh9*ob!N+a-lq9JN_*YLc-rEFeBxBF zXwIiJo__XGUem?zq1GJ~UtQuJ8qUv^duUk)z+~*9eI1mxx~x6)O$Q}d*SsX(#aFSd z<<{;LH*i=Ub9YPiJ(u5I@lNBqDxGM0N5wGo%afdJVX?;K$N1CAB5Un-Lqqr#V+q>_$c@=%U44F*!lh2;xEu2@+@})945(GRs zK|?!%$*-TVG8gi4ICp{;cT%Pfor+*EGV+p)Jd!`Hj66X7Vn$|Ef%4PB$n7m(DkF0V zo1CCdeoEJbpN_wj*Jb(n5+fI@DJ+c46zzLC6*KaN7pa27Q6XUa5Ts0cIVJOT9D=NT z?PpCDq3c2P7!dN96Kx9+}0Lz*;xlz8>ea{tOmG14S27ZzUqt-Yg93r zJ1Z^S`n*Uv_2raaTFSs+daaA%+wuCbm#X(1e_E^esQP88-hIbteHY~o$506~5=~-v z0x~Dy7&&%T9tI35VpL%T%!U2bcRAJssqZf88mLMC=h+lLY#v>zV1xNt>glfx(1muU zB!6f@Ezi<3e`Tiba4F3QQ0D0-&ZQdxN_+Ps53t?5(55;$$pgy9=Q%Yxkj=Zae6>Rd z8rn^9(dF);8Qsu(^7c?xH)UkKH$N|B!>gX=e*C1HQmgx|*-|MST!3M>62orpi$VDu zqD*0x)nJoiEoQtNuHExyQ^!E%ly2K>dKjn#>f-R-1Ny?dvuJ7$Wlh7Kv!v3pJQy|2 znXR2gb$cq*u-8nPS(+chSy|{Xlm62aUHFrk^suKgTsLYa8H1Ery4y48a1g%N&d9IZ zOWC4pTkxr@NCQ`7p7susP_fDZT}oIvDmm*&!5;eRHKnu1j_IsK=*Mt6RX?oI^usI! z_x&{AqmL3_E3)h$<6O?NjnS6K&#)YR{{rtYrz~fAl(L-ph0htWI_`n@f?}@hlrv_R zi_(U?JOY7S%o9^Tz8kF6(%Hn&A0bK$-H%g9>8s@H{+L3geU&iXkCRF1r?ga3r^sp; zSpXpS52jF`e#*k;fs<85a(+v+L>UU_g4j@5-+H&n^mRWaMCTPv&Y?<6`?7sZ!14^r z3so=y*+U~kl}@^{J+vZJ=_AS|FKo(z3@$2umoA4wK3D9ao0}Y*$umrG)tUEDpD?AP zt`dPo4$dOC%8`v47YAZN_TPQUQdy%UBB)Z#Q@$tB537w2GEiVUQcsl&1X5pMak-861TGjhp%W};` ziWvZXrrSiy7@&AGkCfqK*gu(bpnM;XcT5R^!#I(?9H6uha#GX4rG>%WJb_6b&+aWG zjE#(o(qL4YZ`YEB3WNUq1nO#3ymebA&;%poMdAcnWQ4{%a02Z!LZfaxJ|Akot*wjW z7^#{jqGhs>i>7J*6GaaODFa;o6D4C;YVD@QW=G`@4_EAJHCs2DqnDde@|WS4jHY>m zmG@h`v%|uyH3ZpOd(wr%6C7gol7 zZNoOI7^?iJJG_;SM}V6*Z>91GrFq!Wt(F3eysLFz2qOI(mf$DlM%yxUl`3eF!(||b z+n5QJNPAr!{2YR|QqVA^g=Ao01^Cl|YU2m+hR(q9J?LedC`iK&KOFkqm>D|+x^IEvht2@b13CZ#z-q*b ze8Uu_T`gVxeiRg=Y;frwB0E?fx=;Cw?$0V5;)2Zfyu1oT`k}y%qx3 zpUPBSc`(hLrd;+Ll**<0$<{PDull$#<}6Bf4%%1+#%ez;%II_M$iHekxTn%QTyrgI z%P83npZ~juGN&ttbf%h1p2SHWOs* ze$^6;=_9b0#3~D#j^;3JLON7^mlL!BDKuh+@LutmI5y!pIZS>6Tz%wAsrO z(gY16;Oh?<0(xM~5~jg27yaB4jJYTvFc)o0VwN&abma(BSO)h4pAYEmS;{_LRbYOb z+1w@1sSyU@}3n5rJ=LO;&O#51D{J?H1VF66!d z&*5FD7eBjp$se~s`NG~Ubs@8dV_3!QAuzLJt%Oe`LwFnXZ!IMMB}$+A?b@m1P|L^t zXz3EAiBZu&GSK($f*aVpfMF7U9Ud+y#YTjV$KS23BuDbf!b>U8_`d;qX#oBJn6(t7J9*QGOO=3@w;uKbolzKs9K-Vd8?LA=RV-D; z+P?L$AN5X9=IZXZ$={ctbhB-Ewlx?T7CjIw4JL)H>CyX2%ZBS-3188gypt3UU21E3 zJxTe%(H8NXS-~9#uAjTD=%*wlqV2X;YH$^^4I(i5M(U>_ux1`3ThW+g5wF$f)09UW&S$uB7YY_EXF%r6S?}09W|YXm<6KKRe_K>k8k> z0bcl8{xx1)nw9wf*F7}X7P{czk%!+o|5~_#1rPQHg~Un0^2m(5cIFNFo}hmZPedi- zfuj$d&iV7IHUhr7ath&xJdgIqPF~AKoC@BE^DbhPWIp3y3OKQ_Lb{5IV<`LzGxl2+ z&kN8?;3}#*LwVsUijx&(sY!S*Ka!Ze<8dW57BfomVR02D)8*`^Nvo9?uA*dM?tc1U zwc_KD2P6!|J4rQHQPFs1w?uLuGv3YDFFRtH@AHo5_?R#6`Q_COiKSpaRj*dsbT772 z%S-DPS5dJHeBl8DPf>v@Y66$;r|};tZF-bhfqDJh;=4#Lw!aBrY`@9ra8A04GS>tz z-%pLnU!?23WnN~XUuN><;Yyx+x_cPya_MBfnknt zd&9dftFFF3UpnPqfE>`<<`z#^;wqp&Pd6$Qy+(O0(gV9a*|W!4_Uv&Eo;@0{354grh)nF}UZ0hiyZz~bAODx>wxQeh?NfpB?_;6KsleZzg|uQf_*$2CkyjD95^ z*jQ46vn_2hq1->*Pg63LR=R)p(~3-3$n6f$u1uwiV}k?QYL01v0lPMO$K%Ac;!3); zic5C`;<&AIPzp-}XWICK43dmo@Y2KTdq7X!r7$XuW1W&lSchqqH3*}f)t-~m$B0X*0W zUIq|LBbPGx(LW{lBX1R)J*8Q5Hy)t5>!8s`9H8~1P{t zU5q0JSk}IheuXtjg(2=7=c09Wp?}0Gd0pr3XZ-gn^AX*nDOe6JvE& zWv-bt_5dXjEYi7$sF;*yt(K|8@F9o1!)kZqLlE2=$&R?gXYCPsMv8a6yhCcUW!TZB z4{e+1k*3!J8kD89?pS~*-l70kSvUn@UUd(x7;tNjDoctjvZNTPLJCutQFfNn#r<^Q zDEKbLnQ62#4iwlFm1;;jTr3zvce0e02`iB#sj7OxoaMy{GvK5GjB9s~9X+TAuQ1x` z77ROfS9KYB^6$UcX~BY?P^^YB?iuH#FQ>GF(uouMC`FOx817e_d^;hYT^6+E4EXx- z)tDXK5hmZp9C0zlSKt|HXX<@v7Wluz*i?vEpPlN1TM>+#JXHkZ*I54 z5xcKHyhHUjKs#^zJNa)=+UP0{(fAE$cde>D&>R(qDR~1-isnO6SwX>DE32_FV zRwwNBtVAm%^)`GqioIza?15tnabP-&H?2#9A4+dpJAX@th3#k6o7SoS;Z4itpC>?n8n zTHI^7fNX0wNwszp4mQUjt#;)Wr~-RbH@IJoN7k8VI7GB77UWqa;~9O?nN!kFlkp5) z*`x$GTijmp8J5CKV8&sK_o(@1Xrb8$C}gwZk+1`yvZq^$V?~EkIhqtOBhKOws{-%H z|Cc4wDzfiECGLa!TT*s>Hm-^=IoFGXV!=m}zyp@3b*G-f|J9xTLG1tPU^g4+3ct#a zWJ45-&=~iNsSWr5%ZXH~+^jTi%ey$ZO4v8%&@@jzuyU)$ftBdCuGr9PvzmOjC~o7+ zxCl68V?g4U4%y7?2aEZC9kP)pRle%aV-;_FlUl`<>94f&6{j)`BWB3KJs))}4`Fpog=NzR`%Yp{1ZQ@W0wu@u0Q-h&vmh}Z^5 zAvY*I&33fGwxu>B`e{DH*zNgCKEt@pxThv+J(ZOd?#}#OWMDkS=1+lTVD;(2K@XRn zGMeb#Hl>~tShGYfd6csi62c>oE^NiT)H{!K+mu#reiEM1MQ~Z3ZgjM{{T;fB$$jEf zE>OpaLntk4O?>Jtq%ct}%hPvil;tSb2XD4m189_`c-a3{7Ao4t0^tCCyAAY|9-!LW z74KSsbm?EEQMdN_4p?vwK5@$5l<9dC1$?aU?+#5hE!W8QJ_HM>QVAMmf!yn)TUw3@tC|58l zhC3VAHvfz%&h-%!Qg~=Fh3rr~+D5S}0BaBA8sa^lX1jRS8@$@NL2rP68aGfb>+0od`G*@z~Us}XN?5EMMbgbAsI<-@2>&2ZGtvmK4 z9F^u!&%%*#5=t_FIrrYcwU5RxO5jx(0Fdy1wG^cWAE+5sw}quVMMkf zvb{$IK?OzKDhennC<-Jb+Qv4DrAB2&X+>p8r5;Yl+*^TSw?VP2!P26m78(}5prE{o zAZU_UT2z*lR@;=qQo~YtK5OQ_H~4nG=Xt)re}32Xa9!-V?^$bJ@0m4gty!}MM`ljE zk7=G#z^}cp^kWv;GiaYTW-^Y<1b%?YpHaXQKL9k_0rdy;LLp!I0n}MJ1-$43CBQ8g zQ0kfBPU7a!PGP`-bDl1FP<`bU@DX{S00oX5jDR}r;`8&6Ls0==nTPx<9MA+=dPyEi zuPxxs1g&>KlVs`rHY@(-1{sSPmq4q=?i1ZH$mc|W-Y)Vm;y|Dv##9d-z{l zl<{WIuhQVuEPM~itZl=JI-rckmVNUB-q$ay#@1$9R=@wLnVg!@`CkY#tz$kb&w#X1 zX2B2op&8NG`B-DPfCqbF*aavmN9x`v1_60_A3kJir2Q)_z{_U$wT0$Ca6>cPw^1_^ zU*dHiD#8I5kKXRllXuJq(_s0C2j(lGbI$(Q+HyS%3ZuXBX0+7df$Jqhb%_0R zBylpSksYZt4E-XYDl4U@t&;n1DEETszU-HHX+F9S?zK+lD+?M>XwJ4yrJm|=<{7vMxQ-{8aitk7>)*Sp;AJZ{(yf7N6?6`- z%E|{JK-fa75^ktepy-Uemn37Kiz-lS`Tng+4|1#Z2)UK7z;`Au2^xEdrkA zR;vKd_8(W#DmfG-p%#4D17N71@-fsu^SAM_AESr`jw0-$etf~l%Fy6NvWVAKqATfZ z0wlOaZLMDFNYw%m{C(|5DpQ(F6^>LI@3iniX%o8p&RW=*L!}1iT14w;=nX2Y3c+ci zbpRQ$nA0p*UM5RYn{VK3T4}xcv;xJ;Cw{wZS|SLu{{~fIf#>LA?`3>>0menbcD|ti zjY$NiXg3w4@x!|=#QH{eFeWpa3U zbIUex*#5it+uM{rT~u~6cN;Im>!?NgLm^9^MnzI13qHrlQ&t9nFv1b;OqppTO(Ogi z=Ry8}2hSC}%XTyv-njy{EB)Q8#4W`j40~{G!R+nIz{d@L^>%`_%+<@isgDmWNd}eD zdm$2UwM!lqCH)EI2TEFmKC*@GzW0Wbm?$Z51yvsw;RcjW1G5n!^)0O9uk7OAp)5Dk zcEN6VM!0jg9m>GakQ=iK6Iq3+StZL;jP0{Z!AZtPb|@pvQNYAxee+d85OuRreW)6K zgDys_nkncM;oJ{#?nL>6jsXNYDEwO`y&5&6Mbbr_JapiT+bncoQf;9x+;c-4y+v`< zm|)>7jCb3q^rFMLLv||tqWolK_8sj`hjSCP*3%Jz#4>*g+z4R%!;Uz@D;ri849RmR zX1-&^R;xAld+<}IP|*Z~R?&?HYM8uQq9@Uspyf08_dAu5-Ha%OW?lx`hI6+43c(o0 z7xJlv8086S<>e*8IA?1q1Qkv!SL5&o&Ozc1^=j=lM^Dbprw=f9RWx-N14-Vq} zb}N0IcU0eRR$f0}l~*T_X7(Z%uVFmX8jY6P|% zapd&tgMn1IgGB zNF*ceZ45%=yr5T~7gC~`f~=X(IN{pP<0LBHofYw*@V@|6`Bjn=Ok7gHnmedAxlVB6%}Hg4LZ3>kbuP=6YkX^R2E#^l9u0g{>xq{SN*s1Atjht!Q1&gC1B#h zw)2bgP<^giM16*j<6))BkfH7BBcxdJ^{6fVLdS>qvSZtLdMWC7VjJIAs(88_FgAAO z$@Pk7zh8@uXlUE|E`7N?u}%*TE~AF)%apM`2~eeUSmZ^yrU=GDGSR5ZZ(I1oWuSGR zSMz_ADZ|W~u;OaJ=Pqw2qM7M*nii_)&)%ffBiD`!6+x-F3r!+|~ zf9Q*e^tJLALIF!R$zE;2m=O#>L3;(j&h7l)mCC^GB~%VgNYXpi=+^(6AFqT)_OVHl z+4S5GX43{jF7f?%$TZZV$&du-c5vM% z@6fCytFO8G@^|2zMd{n|ugeGd)&oi(m+vnh3?ZWDrFYsPTT_GrRiAPdc!Wjht{TleQd z{$Y(Wa2|aW0DS65JAm3^vzzXygj&WZA%S%n64D6B_pNAI;P1HA7v#nV3I^f5Rz8Fy zY2X#(Jdm4&z^f{V9(ZuA;vGnr;C1^jO4k_{F_~A*kVJtRN#{&8M~t+>mLs2Mx>vxi|fmc4_2bhxcX!Kl&U4g8D4N<_yTwMPD8 z176f8KI*C50NaQ;w|CrSm+#PVorJbyOmeDp8z#o#Hd8eI@dC@YQvo=6~M9 zch|vK?$xjxmW2Z36!hfs7*Mq0G?w=}CopoW79xnb=@d_*g3iV|LsJQ0gtq9N2 z#Z|JhN8*Hbx8y`s2yX?U?&Jyz2oG-!pDGdF6-aG@c7q|#1h@L$2*JHsc;FEfSc`FY zq^)!6k?pw+e8~|dbhzf>%^wMh>(x3K@d6y=w8ju7a%#4`uC7`~K*$?*a8{4})NQ~< z;O6Y&Vf9dVH16OJ*Mqv*cW`SvlxCpp+{L#MN;B$QuLRul&*>N7GVT?K|Ku_*a_X8Y z;WBPY=nb9MB04WbEsXqa2r{dxNTeDf0kLo7T!h4?d>&jd8^nY2(CcA}77`aY|x$daZ7F^(^@ zW*bjA20FUV!8~LD?6Zr1bPS_&<2K%KObMH$>bfWI|I4vI89P=g(pLLITV~U}iU2zg z+1Oji6uUxMbKG#_jntx9eaB_|6Fim*E0$54`}oKo*wItKuDymdfJ~Lb^5qt z&FC?h`Cz#u*<&f1PP>t`(WK#QsBEjo2RP#m4>`>~C_Z#0vb?Ty^06&K5y2RB!!T^T zX={VB#?3(LZfqDp^}Bf2FBHQtRTnlS>vHRvoLbb3HoQ@(^fP~u%2r9AUqdx_3+4%5 zC?nmf?FU1aq@+f|24?jaO7D|> ztOrciLny6F{xxF$^~;arZWwqE^D%(`!o!?dq)2-Zv7|^-UVa(*5Z)s)rk1J_^AVr% zC8~01#0^zxuS9b(7?6~Dxm{JDLwn~-7-s$J=Y!Y>68`m5viKKYD*bvdxG^(LjmGs2 zkY1rO8`hwV@Q;$Jn@=AjEpqTy=u$~CgF0L|uscH+5W{s{fSsEg6rbVbe#_?jM}AdE zthE>U3~#`Pu!VjUbVJ3x3*n;%YX)>-XB)un`axg(mEsqqs=dC*C#qvIxSDJ(*oo>5 zH=NL+X>B77SuiQU=6t0L=q@~d!4+LKX~Jy2uK9fBSIWSS1%ZwH%r39K{L`-#)>SIH zpj3pG>&#b5!0>q4tn(idD+d=)7(I@@E7Me8@DT;Q*BxIghT(}4e}jro`$}#2nldcL zkPJ;&=GT~G(1h*!TJdvBK{_fRMJH}f>|{YYXvHpntqjw&VyCuH9i$TOx@_CDVs!W) zTCsb+!J!6d#mwI*V+Xa@irHK*-0YQZ%2D3%jWTTdYnve^lGDT}e5)F=+M2rq$mn zz5$vc6BMcTd-7<{k~KB znjZw1ESO$^1=B(j{iPL8JNu>kHT$KULTSGg4b6~&a=oSf(w(0)!(~cnMV6yek z7;EIE7x0pL4Q5Oqk{Oc+;HN06_0w(=;S0Rkg4PY?2fkARdr4a+I3uV)H<1^!u;^k~ zF}a>pd^9Vj4iA%r`zXBAoy1f-zmea6QVAZ~2t}$Gkz%XGu^9|bOXV>v=mgj`6`TaG z1G}b%lh@fb(NNUvnlkWg?>kr6H3^F@Vb_F6nyD2C$*w6Fa^r36nx1J?M*3yX5Oz)J zZFWuVGk{%FNh6LKz^>_!MrE#f!>8DI@YkgwfU6pOfzOO+J2R5!n!0Tjw}uuY8Eh_wyw9_LP&~|ecm=X98L5rd&@R9M)D1z6&H@;y zHrAuxK7%oQ<>Kxy((S}L12QyO2S*|al_SIMx~rS|h6A+%sI@Ap{_Dts_1^$9F1-Q;na|*H+1%LF7FQ*6Jqp7oeIIFJtTonasXuiNxCYsE%q3iHanVxN zo36OHn5~AnYFL2Kn0mm~82;zdFJr@7aAMpVc$Kh?tu=UG+6@21Wx3F1h1V-9x1c@P zhOZ`gTtGel_bFv+s6;+MIW(gJ-+$8EDOwqpcA4VE1C=Qrab!NusRGNtCTx7OIj&!zhVHR1%G? zJyd>i;s;YPz;ajMx0r?<${%p(uU3pjIEv|y;%t!}@JpXI8YQ+KfMXXAd?(b7Zuf4R zJl4>QPaNuOjr;?@a&nnzcHJB|4(1QJuCtBOh!$4^Y5+s>ZGR#%ZLp?W(| znKrAndC4n(gciFzoL~D<@rrx60Wyx~U~^5#m?r4&qd@6kk`NSvXnT0C4tTM9l#nSx z5MrDb^(K}ym_a4F5zQ*g#Sbt>e?b_3R`ExFQl>q;_siR3R^YNRThK~i*gONP5+-!? z9FAXT+lErmG17p*rXNVZI(qJ???b;jAN)pl{IWyPT~%E9S?Oc$j|kLvKI&VF&u^yd z&B5b4m8Wgbn@~oT+mnZ%Vya=6F8T4m+mxmHZLA z;@9Jg%7h6{%jQA=$cL(}qyETo{<<9pxncq@fMnenn;cBc3-%twUvd6!-u(;!L~)(8Kq~p610I5+Ch1J_VZ0=z%*6u=0_0ns71`9 z*CWZWNDCcfr2N5%QSQZtN_S$!@u|Onht)R3DlkiskCT1J%T!SHT~yG>amUm$ zS^zWbmr^as;`qAfp*ywdTgQ&kLw3r8zJ!)6YDC$I-TY@NSUjhmc^}Cox_3J!E zcEp3{l%C^UieyJPp?Aa7O13~MMuCEbhorgNy2M-e}APVpY{ zTv@_|)XPwZ#-gKAFFPw~TOKA+^M0i5==%bGZ9(vjuWwR(yeHv}dULY%99^_AcpO1} z2lVFAP1q9lQLAGIN4)emzN`t~(on>=G~sC9E8dcea(wJSAV(Mbr18I+l(9X7Zv=-F z@kzfTeawEo@KdSk5vKthDT7h z5En_#l}E?d4lI6C!*&XWTwO*T)bIRwj25-`sn z-WQn3c#E5d665^YCBwGX2u9pBRiU2tXWqFB?@{-i@a#frPEwNoKFHZ&3YJdb;oOwlo z3aQs14QhEsDxd+OEHBwnUW!^?ql)7+T5Vs8TAp16xN3kTwRz1dfJuPa<+xofuSJD; z10u__ILgaV%ku+_`XEZn*7aW5IsPiZr~y*c@`6=Byas4diwhF~7q1lwqj}hQC1N~% zy=icDMxSV!&8M0^h|!vnh-$%XqMAN~HW;iq$%w_G4S32ZzV*D~JMjI(P;0fPUM4Xz zp-w2kY_$0<@!;pqD`9M=9I(J7(?S0O92`)9^PD37$nVOKS@RvxBtR1|hiPb&uE+iq z)Mr=-_J4w5oNq8;jlngi#dxFJKWS83zq_vd1;~m(_O5)!uZjn6{#`M!MUMQlF)2+4 zxZw}DChGVJU-*X-q$~xLbf2IvHs9Sn_=kTeek{$ABnPAsuCTxP15TYL_2B(4z)C2y zh)=ixsXOlgpL;el{UYLb7V*-Hil0vja%+>@#2JR*G@*%4AHvOlDnVU4MS$gp6Vq4x zM)6UXaG1BEh~ISyTz+j4Uv>$`(T8u&B1UFWIap*dU{bi6g+D&3SjB45n+_sgU&P)1 zM1~DTJo-;%VBZrrXP6)}Y~|4{%7_7$32J`9T7LEI^J^^Pb;wU|r=Fs{y#Z5XUwL_+ zyE*FxX*|3|2^mm2Ud=R2%e0|=rp-k>y9JeODdIIPa8_}pi1)pW4$a)pCtgN}yA<=J z%W&3J>wW{-buZ>0UIty=xSxM{SsCx=Q7kx?i#8HgrZhX#P zINTL-o-h4N@$twXmmG|N6O45QE-!sV9`f^7{H2TtFo*~m$zi~-E0noDcE4DQqS~)T zfyKP{-^y5Lr|0>Mzm+iW5F{ksIqlk!W{x(~qKhx2^7sD6aAnWu;`qxN18traM-=mK z|Hgn!d!0`o&b+vCMH#91sTi~|R2);x)Y7jv9h$4_0R_U(Z`7fXTg-2ggd{#%v?@WtN#eWOkG(9lPI+K8NZNBuon-mlLF)}mITgXN4=lM6Sir*u-)xAS$ zL>jFgR~vJ@u^H!awONGjSDn_U%IkbC=2Kr-fp1@G?67Cq)y@Xmxuiht&XVEM4-LX>=1cOhOp3`@J{^o&>NB#5FH6VZG; zWL{)4Ci7ylj9GKhPsXgdXpu4NE(Xh(br-YO@?V^p!IO%bZY>!>*%@2+(_`XVKByxb zJEO;5+9pa1or(ozp6@@eR{CGkE&E}DzC(=lq>@J0X~Or@Ttr*XXL(EvI0Vtcn10FX zo^HRm8T0obv{b->XWc`w4emD2%wv2@M>c%y0@t3yO7WeXaAowOaWRKYXS>Cg88=@RN)B#D$+Sds1ZcOz!5w`Z=Gw$cMYILC(1s_;eRG!h9OYI34Sg zbxc0EWSx)?9$5|Y!9A-{JUj}^g-4HKYbh|Rd8R)SE&P$Tm)x_QX5lSbS$G-nuknBC z55>IkP~L^jU`U=ii+eOS+T2U2qSiGnl)p8zB_D1*bvv^qNb zZr-mGo8|odM!vWcyQAmo07>=hsq^tiRR2IHHhg@AThC!)#|Y{n!D=7`VU-$ZJZmCU zHlBru()B44QG5fWh%&h|>)EXelYr9ZK#cLJ;*WP`xI(3vzt))z3qB?$h<)lZvH6!~ zpzUI6LzfNI7D$&Vh;R^>6UF>&XBN`^v`qCAPRQAU{C2_qBKjOQb?Ky7V-AmZWntdu zfI^$Od5AMYDyD;AVj0PGWqv*v)GE~?mS_iI)(Z^RG=9RBP4l^;Vl*R`s0v}UXc$wv z0K;X^&C5qsJj6QvTkr9LF3h60d0~ZE^k58lx5n-~+l~412i(|vzr)+}CV&F-XFGr* zEgpJ<2t0ES|IQ6v1QFP+D+cz7U3_>~*0K=ZVpY6&5 zC&hsHlIH+dtTv9!Eso47+J1hNuztAMAc!&!3%1A2*ux)iXQ9s7d-!U1HZW)n(%!iE zZi*wJ_#cC1hxoPQKpNetL)^H#9vuP>Bv8+W_F9K5@JV4-i|?BxI^;V;TZcf+MNR&r z8#VcOf#{p$_D$aCs6Iv8UkoP1Jc*dn9x>m6NYVBgK*Xl@Kw#3QwxvDlP6w*ReJ*f^ zh)ly|rWx%qOB|RKZNEB_O7AHVv)dz9IS?t@ehi2x{iTojBi&ffpu-Mm4na3d=mQ_i zy5+Xd=-3|qUN`1%KH*4`M@eRil9wR`8dK0d!D$B~McV@e;$(?f)E@Di1CgTb&H~X- zB386VyZ}UQRM-&bD|>jd!tUz5`O-&2q2iCBR8jbeE}{$^+Wqj)T%BeK{PJMnQKF?tR2EP8CV_8kkKeTo zzY%Ix!p}5Q0@}j9Rf2DhLMaI~>Ls1`F)W#j7TI(=k3vJLyY_3Pe|p8D`D*F3ENE3O zn~#<7R<&J;%v5|@Kh)ZKI^8M(kM6;|%q2ay;P7_Z*O*>0wsi&*s%lF=G0rp8_C~}X zn%37}G0al+w|rQ$%3nULTNQ=}9A|gQidx52EdZH}B_J9&9udZFgGJm)hRpjpkO~-GlY=!uu^t zy5hmYy%O)vhq>}QNh0_t89}V=&6KY`>5NLh64g{h4164Z+s zypl?{BIz5+B3Pdyf^b6i%%L+H;i*o3Oh^yHVqs2K8b)`R1S`CN}| z_w-^z%}E=Gvy2dE(`_XzS$(IL(0dBrr`yt)MUAKIW0zN|*_o^^$Eob4AD|7Az4gwQ59l->9m%5>eS3U3@kzLpi=n);WsHH{~Fu`zSokIJCe zFe=mJ{3>mmUsm5^W9vGnwsy1Xj^M!>Sx3K~pWqjwotRF?)#(&+Tu!GQgb})JEI;qX z`aSRlz3E=ZZ)z)?V7(?@v&HX9{3>bpM~Mv!-6FiTjYkiIm~q;Kyw#NBPkOU{(YG72 zV(+Eluq)0vMAw0CDbRpG4lX640ebQ>afMkS>#>Vo>&^N<-Zq*zulC7q{Bcja-tLDKvV9qMeHmv2ZQ zTBhrRw+=fEGw}khGZ6tvsB5kJ#vBSbWOd(q8nn)sdevE3b>|)QHJg-`Aej%CEi$G6t5W_wdf$t{>tR7o;g37@U1Hq7A$%91nLbg^Rh_SkCJ&aIpuF-mc zh+~Mb>DEvdKtCbSJhq+|q0iQH2!V6~0Yus+5`QtTmt+%7YboV-^kKo~xm}@%w&_m> zQ{ZWwO@Z&ee=QHTp2>>F&g{!1tC_4T{YCI5$%ZEDJ7EY?8#@QolE=BMDSpvK#NG=< zYqdjhU9BpvEtCZmtrn6eNpUT-qEK90{0qzyV$>iscL+k|P!f_nMG~4q1fY@$kbqE@ z=TKZ%tBPw&qKaeD603@9OOgu6&;SW$wY+2%lC42h#kD0x1>_)<bpi7N>={;=cn5-qeYCnEw!s3DACZ9{XpKCF})yYF+ zMoBNl@0suLjIlY~ych;~^KcR#a|@U894A!`;$L{$1+Oqognq9)1uNZku0j@9U%Q>F zkgsdW{INvj2X@c>DrJa0ZvFCHVFBrK)f*B2=mwa638LHqt6kD}%)v{#iM9MAU)Imu zpK7e64MYuXo}EuY^Wae$h(x%1c%>eZ#(AF^Q~z>PGW~;r5%k>12HfSMo2GNoV`u0I z@#YzWH*0-XawoDOI6z*9vqH+@wa~@-ksY%##`ZQorAq{CX%&Clj|Di# z?B%t7EY8`qmwOFB?lT>^C(GO?4**9uXD?44!0vRuXC2=;fDLvISjWFXyj!MPT5@OM z2%>Ka6bzYa?UV6re`ONwpXk=Kj^+~wvT)}$d->9VU`5vLy!QLqma)$$G?3rB*3$81|K;H^>TfiKQM?*V)Grf&czN(W)md%$nmvQ$nKXDupE|% z?fj0%t)As;`33_U-#hL58w94ENDJo;>HMMrP0Oj`UV~A3$zC2i81i=2UTzu8JO@3T zo!k9g1_SI zO8)Rr66>qDZ73TvCXsw(Q~+f9UFSovw`)@#QICw`cF~Zs?~gd-=X)9XAawU81XG#pA^)L`0hHr_d+gjb2g@<(2%!S3OxpQ(hO zCFo2Cl+GEP_T#++p#Pjx!tV$`w;HSYQvtx9U&7y^_yr|=Yq$%xhpPC`0T{fCN_dYz zW^i6w!ovcAkNEk4Y-qPMS<@Hq7v0a-24cC{J)ljRrpwR$F?QO7=;+x(S{BkNk$)1g zZ;+|q+U4q`qWyP5^^@%qG@3QB8X4bKst!X6DOE2nBB^?bP1k)FN!1U2E~M(mwetTf zvHF+7whAAMZWF9;DOU^5xELu=zMn1h>4qCd`qE17Is#psU&TX4upx%hdP_ETLXm_ zh{C}gym}<-Zzf(EW~bn#?}g)pxb#cP%Bgs;d76O^Efs!2fR~9tPjTR-mx{pmp9t=> zdK9Y6G~wK7buTOdAAF}Zq>#AjDOQ)l>$z#%$yO-Y={tZwWi%2HKRpHc5I;S|>QhMk zG@%hgJryFX%24-zkr?XtKHzTzv*57K7cIm|XY>)A^!bStgg*5c80u?TQ@~JL{RGFf zTJle8CI7T7tbkt)W_<+vC9FQ`ZpSu$?C*Ex9Sx?A(kW~9Cy^IUfm^Q@J3Ti&_O!8_O8 zj(4uRj&~l1W@yZF-R+p?I*oa5$2)&AnhjHVXAh~Lut|M|3HywacgA^(4EgP|<+sm~ z-@c%Ycm7l3or7$WckX^2?~E%YZs48CTK>!l$vb~Nb*|){X&$xXod?a5yt6mdayRqN zgFn6%@61cbFt6bnuN?d;cxAd}v$~C0HXu&&%9nJ%ZR6L*Ks8#oO>oNn1gBhhxY8e! zFkNGn>Dqe-tNd+m%;eC1y@^%U|NU!6u*#ku;?4Iw-oPrSbV~iXb3hGPW%!4{Et)^O zSa(ZSd6i_9xnz~!C04l%#LnptGkD}ejYlrjc;rHjM=sQOnEcV~rgpHSx7n<@}vbC;}g$;-0Nnc(kvWN15H`RPzL zvd4i8Y~bsH&yCh+&19`s&s`ZjKa}0uEfXsxu>j;60V}tDELPh!B|Lg8i0wMZ+MEF> zR_=cgbYltsa4c5tdLw=9o;?QB6hX*=euEk?Mpa6`j5ZV=+1m48-XY zeqWemEKGJ{J!gqgyEHoC}!ScmQ>a5L7| znX{x-s)kRh8p0<9Y8yWP$9V9w&A;%?<57zQ3;%RH8`tduN~b0#Le@;E<~=81bKpt| zkDb7V+~HEHF>Wvdb-E(B!!?E^Jlj{?O`Ti+$qk+B?nsfM=Z}OjpH3E;?~w`M;5|zD zO=A=?6-emP_tR46_tFh3nJw_Ng<00}aRatJ1G|FofIdCfXVSr;i^7 zP);@95QSb_SH=%Vv3?UaI-n_l=8E9}_pNxg4@Hh-#^48TCB}+#FYPx?^UJs)nhlw- z(~%w?dz|vr^aYf@<_e_`*|b2U-)U%UpT4Awry)J7a-?rTnu=<^Cz=iI8vakR>YiI$ zcDRh6i)Q2cg#eA3;0mPd8#>}xI*+~+<0YbuFSrwPA;yuV5!h((TX(Xd!6p%FpZ~Y) zCJR8DSsvg&As55 zTnWHNbKj0(L+`&LC(XRevbos+UDsR}M@4b~(!M*P*+(J`Zr2sx9s92J?c3pwrcXvY zJRHdzkc65(nf2^NO-G96_9=YI_=}Xn;7H+(mKRj>g2|wnfo1&oWHzGT8nwax$nB=@ z`!XLe1r1&Ysxt)*-sos>60p(W7pJhH!TGYmX@ANF=K^$HgLgVon&uq{|KkO3TVNJp-f0a?kE-TR z7}+T2L0|E=jO+z3=v9NJ~R4xbk5+v2|ld=iE)L8Zw1-OV2U-)ul0x%+>;0Xf6Og2w#*9QZij6U8UH z;!5~40q^7b3|r(^h{X3LV`}<4lZj|s&<2XtZF`PyGqK?#Xw00r9oF`ZEcc!t?t~=L2?AGmC!yBftc>mWw8w~>_ckJ@}p?zoc z*w3_uc0RRGoVkgj3R7ON^@^X4wI230OQ%cwnso^Ky!yk!V6rC1w-jv4F%TDNZzJt| z?bET#{>Zb!ZX_2tj*)*tQX%LPDB9lXR|XC-gb?!$dF>HTI}j<_{%sS;icSB~Gtzja zpgrn22P#F|w*%FtuX@HTN-t`UdBK56(RQoAd>46Qf>yLgyy8HlXnUe8y^C6UZF^Lg zaxsU|B8s+$O4Oeg9P|(-K=ti$-OIV>4CX)6!;z+e(lnl<8oSAQG`3IR<3OZn`)+}F zbb+X_y*;9#oUfh%dYUg`t`v)22yxUByE7`KKfJOltR?Q=3vZy{e zh!y63Q79aImIbC{Hs4RTX`2)UGhs)Vt7aRIgr~8z%miDTSI#@nLbe5tY!eCU$3tg9 zxm8roADV>*Ll?Sy7MS0Pa$Y!#jc}_~a|(8b-wy9yoygyVQw{Gj8)@px`KZ}Q1K&ln z=)IwwzeMk*8vfpF^h9GhKTPkyZ>D#9Iq!R)#GiN{-kZz$Q+N+D)yQoW3#s@9!3a%L zX8(N69sLWBL70-|WCC2)QqGI-V*x#vek7NH3YwPqqgnpveVCb;<%1HyPhysv64+>S zCmYRjSNxvw*+)J~0$q-`V39rZb~*VLiFn)QQX2BuhZAfbrC}7fmqt(!QW}LI2EZ7E zR^7>qhhcf}E&b}nb0|H-Cg*DeXW~R&9?0trk(c`$l$TYv`Qltk@B!fr2M#{h$pSKn zk9rL15S#7}1Xf)jeiysf=nNEzzq6gJIv;`r4WZwBu|01MT0Ebkj)>o9#Ba@f{^0#= ze6V_svO~8H$a>d|gbA0FtbM{}NuR#VyDPaKf~Vg5bt#GPf)}~0E3dkrrMme|d3QoA zG}KPd@EH%lPHg8q{?-GaX=xAhJrA&?evJ#fV9J?qs6drst;g|HizlPC8b%6EQ+U*a z?D0Ntb*X`uWt^-Zb7{=M^j2l%`#^yfbIDR(@gSS$M(QDy;p^0q2hCw&Mmy{saWzMi zE6DaVn|qT#!l~8>VFx)4hA=Gzx4K{SM!YSwZnLE0e#q3RxB+|zF$eJd(1j0}*sE8^ z6t7ArD8CiHE>8Xd< z5Fb|&Wxp~MzF`*_lEgt-I$xYr#wz*XxolWBZ;7!R80CJIe9m0W5xSz1-Jyor=^>C-6L4MW;Pw}JbBDFFnPNNPh_Iz8;1u3Q)O@$g7OL|cJ$FF+149r{JjDsw@BB3r6V z1z>w_QH4(|(7VXe%blVse1y3oS$*HO(r+P!5!Q;>$=3aQHu;$`>qej&H9GHee8@a( zy|9}-ltka>PRVB=7herPnv>} z7+U=TEXC&OUjBur%xC^lf&0YP!`7cD@a^S}tq1F?L{qHiV}vo!Yf|z27Ac;iVZRd{ zP;0X3o*6}mE3gI8IG=g8Z9)7u_B+>aL5O3Hx7vab2Oe*^1ySwTf>6zOZm|VXeY-7) z>f3EWRNrcqVA@055phx$Pxb%H1dU?1dX&%ppwT~f<8{} z@Q{-rkL@U88>JkF(j0o}(=U>sOT@XHDomvGcGQudO=5kF<4_t|D^4DRn;SjHLNuX@bOI6K4|T0F9}@R_Z1qa5nw@0@Y;`kvWjTO+@BE{x->dQ=d&l+9)-M z+7LXrZz6gII`(559s3{(bj)+uv))}{3H!@w?(r0k5oGTr0;cc&y&z{Vb`m?Oe*|C) zRnRe`b&uRfdsULN*W^ChTQq)Ess^(}Q`Vh+<@f;L{+Y{FGHZJrhv~11ZPjR{r!-28 z#(rNEl3~~KDp|bYOjb{enX<)`m&K@p$Ouk=s3ZZ2S0OZ)1cV9~kOVx4A_ZZiBE{A! zWt^ztSk%;lt`Q_jgJj^rOtle|jX=p7C|gY=NE<;?G)N8}M3I8FQITSIm2h%3oC-Cy zAZ-N6(;&4fM9?;Zm>7l& zSP3G?8$p^i2pK4P6G#v^0<~x$SSZFXU?D1X`wte1ulON}$-2s41Uy&-?}i~Trq;kh zQBb!=P`C9nBz41`Q?O9H6?L04n5dhl?gv5Lgf${jxA*%>>Xwc1bu)F#TYOvUrvE2V zH_u()OX?;vBaz1#!aL3kFK z2W0-;0(~$3cQW(t=3b#{6~Oqh1AM@9(1ffj5Xw+80wa|LWn1P^!KXgQh79%rzSO%$ z0ql1GsUdZfsBd5HL88lE6Alhi{%$0=(4vFGgN4tb^@a-m!*gu(&{u@tfk(G6r^&Wn zgrwCw#`;rnAo8bmrrw#KpWoTT99^6Nd^#*i*Mg!Oe2~tjzjikyTqwWWS209Z4b`D& z`-V>l@u);>Y>yb>K%{8~3Jv$ulxqb2Qr=A1VIeB2cOR65?Q_}l1+v@$G}CY>_O zmR!S&7qM8kJTOJ{;hUX=FW1-WA9dkF7BdeLh9ed;KTR0k%xFYCkGy#pQu<9>!UptfL>(}k5|PRX z)kcN*L~9IH2+JW6?_a|1)QA6ifFxp^5{fiD!h8IKjkr!Ewv5IC4krNUtk#tTQQfS*GZD1)7$PYC=8k)0 zL0iYgQ)~XCJUj;2kcab+3wd}Z@G%^uJUs88kcVvmKpxHzfp0njTVyJJg<$&M$iop* z9*(>RiSD#UNO?HYsJ;n#IPwnlO~}KMA?h3PkAsc2$WXjP4<_Vc!K2zY1UF;PMQ4~x z00o z#9{q5S(xSqNf??eyug#Zr|LXE!{~%hM@<&q)m3tfEw|(riypfzx9IyMaf^`$j!JIP zadxFT>ZY?Rd!qQ9mss%ivT)8zETosq#?ks#IC`ezD!Z_Q0Vfo?zzF^Wu+2gm{h#UL z(~k+dSWqwMVkrgx3%clw+$3EzJ0#)%NEe&tOS*`fW9J8*NQ%N_)PfcRLH=T_LG+H` z8Hxs>B{Fww%_`QrMUNAOi)`v}gm*VXHm*BD4bvSGfxboro>e07-G^X`HKLTnVTiD$ zLK4=0EMi?sNe&hS2;y(46oWlVr5Nl}N@B1|6e*=3Z2Es9CA|s4re8>JL-0zJ4g6O! z-ltmKuabalKQ$antNyVBl8r-$9N`V{95ep#CPC8TJ`p5sS2G2XBYTl~am?-Qi;JP$ z8*gDg|G#qY|5D{%mlZ5Pqh&YP7e{FJ#j1+$devT>wTL8Nsn>JZ7dM3qTDDW#7n9_B zBQ48UNb=RsIxHmLI*pcH(n(rI=R$6ld|MvAEiHR!9?>#=C*%jcfxF0%BwrnBg8f;S zx;Dx8uL-xHWeKV5o_k2_#o2HJ$-Ou$ZXm%o7TjkFh48r>yS&P&z{M#JR=M>iY-S`G z!6cA4jC&t%BM)CmWdVJNHOCqB2$F}9e+0?Hakk8elo}qL#`>sd(2>P0h2NAku-3iT z^Nnfj&Yp9(-XMprsoq=4+0UT zKR-^Ep4}exSOs7HGV}17t^#w~15Z@&{Fk9IJnhIYm-34h1;2n4XiHxE1m_%x6m9n- z#Gna;2uD8c5id9pDcb($E^3OW%(19F;uRqBdtYHjXUO>LU%`<(7wo*!PH+@`X@o|E zB)rqo6>{dO(+f7amMR)JfT$pUAQE*?kBg%N0=Fh@RPD!nd=?vE{@sOEt)A^pzeN4r z5xNbNA?fDTYVxexu2x$TXtnA{E0tj5X{DMSELW;_hhXkT6hO60I@e4FDR<&uWwF7^ zqbW28T?`aMhBo?@I6VtB=*X3^3@^E97fN^3BbtK;|D@w=k-fK}p!u5&rn-%9-Vq z1IAact#>>z|`!$5Kxj@C)TrW}wHqmS+$hIOCvaMmm zW;1FN(nQmpK*bd*sJKxiifE$!n%^g*m3D$mMk`%6qR1F(rr5BFQP57>u^}vLvx;S9 zz>1-U(v}T@R=232)!q{1(L^)eOt7_1h@#Bb`bn5ilU*(MJ%1JSo)HgJuAxcn+MrxR z6K&g22AhLbtj+NXyb-J#ZenKdy8_569J1|zK;ZA)HMY@v5e=D)qhOlES0 zgr<@!Boo{ai6AS5JR;GdlI7LJo0`J+FFmI1r)Uf%@uoDKQ5tqrKn0()OYcE7FHJLc z`~`WEmn7s#PLfQvEb@_LvZbdW0Kuf3!2uY};WbN-!`+bJc&P|MSRLCxv{8esQ!D+j z-H%-`C38fp<2o$q^64lkFsKvA1ymVz{2p>Hb#ree%z$#WKe0jcBH7UcxJtfh@))-Y z^au3Bx<4lINo&|NwLjjL{qef&4;%FdJ~jGdKlO(({MY}cKN{Ng$JZv?+p<4im;GU* z{xI4;bo7VVzLNa`9l?LoAF<)JO6ExPhtW32zyt$SMneYLf+Zf!t+DgQ**ppbaqegh zH57xm>IUN1=2A%hm#n(pcu8&PX7%*I1H3IQ0a5PluDM(CQQa+FDMCWuzlwO?8_d^y z5^qphoRGn{GWc2sUm{3tQIxcvG@qPCB#m)1= zmEMko*aOiLlK-XKB;1IE#?&hB2Oo-_6U~2o6WSPIZu%Vz%2AD7=wNAXS}4s;3x&C< zPa&C`+9C?2wdvEl(XF0O(yv}T`-& z?wP}a-1}8{R4`Iap3}#HI`xRVLaW zfjPKl-Fv(g*1gNCGLTI4)o@YjZ#dOmlS6bxsKX(S~ZW{w#?C`6?8dUWXY6OnggtAAHqBeiELv zP4cknUU)({NtmM+S5D_++gu3VT(zN{+X^hFBfGaj=2dIiP_}r1lz05lD^A65hw%4W zSarf3Lch0I|4``;!Crfo!8G&Pormc}5h@-b-5|8NKe(MxE)DXN8F8+m4&{*F&|lwTWBmFbIuIf}VbP`Jt%ARSN4J$^Dur{-7bAG|+w8vf zmc;*ln@w;|9>~9YoAq{{)sJ6(n@#EF1WV&MT<-jmDQicgGml%xUhMPF+5^IJxLUIu z-YD|a%?jt=uVat4w;YaJ&&CPM;iIHZX@sAA`&Nm>rOG)c?hkCNI; zjcu00DX<(~*1cCJ3krh;uzkHW9`5>%G#(!F4t648Jbd3f(s+2qJ8YuC*5Bbn#l--d zd-T^*11oN`C3ZzlKfVKz*0qwmzstOxStTFxE*q#>4wwFfF%Qe(+3&KuyS-a|AOu%4 zEpx*-ed?|lzjg5s#UHGAPYb$;?3l{&}1tm--RYyA^9#e*|u5`#HRjl8znigLdk(` zB@PS>1#w<&yn}4i{r_JYb+|LJWFy83mTW-mJQu?)*fBo%-)v&96O{)-#o6fMs3pY2}b@LZg5@?;Ttxv z0kQQ1_655wiUEHX99?6ff`m%0wNex>Yc$!KElVzPQQ`)&klH0 z&Z9&?vBU8DWa~w&-RCR7DLPL6(sW3qvn}qmPm=RejEnevP=CS7UL z&BM9zAb)Qo1W4~%UbYdlreX_k*a+Kszgo^VvA%d6T3|6My&v zHpnAM#M)E8V@_v|;LF=|xxxI+57^j9r)5G>w0wh56#Y_5f$zLuEt&_FfO-ue4E+EoQUMJJZ|8!rQN@vW=d|ClwW|>A z@*IZ^bF&IiFVAst*f6)K0LR@qx3FPW@6NGkcjr`VZdAnGIb_4EU7q8xVOB5Cv1pg) zIBb~Z9ni{X!(S9^*(IuqOv@!TzJz>pL< zE@Qq?=Om88i6y_xq7Gwlau~xu1J^mDmb-n(=67#CNGGx|fo0a&m^L3`LC@^P-}(^8 z!O!W& ze<`;jqUT!5P(U(Nh?qzOp-crNTZM?3M358>k|Q5_TDAPGl)q5AF4r<%P8p*OKGJOw zRZBCiU7M?ARDlPomZq3po2Nl)RfwjTU0a|*>Q#tlS-ZAKg_zei$furGtpF<(K zBfW7-YdsWaeV0;aJ>MBFIDwObmw+#zGJ>b&*sQz!qJ@7_L0$z9cfAv?L}0gHJp{Xl zwZLql+}kf7f+4)s@*%Vkw_HAM^1^lV>fvz0m8TY0ixA70*~Q_7t3ZX+OGuj+E-{!v zkZCB(_K9&ySIarPaEW0A94CA&;lfLdQ>;C9HCGx;0w9Jd0L=nm_OdVyVyDpnh!~{E zi^ixdPYgg&o-h&zju;-mq0u3-y>9scfQFv{h|z}>V(JiwO+~>B07>JpD4}Goy9QIM-3U zYCD*jqFR1-JM(eIGSOuR=ygRc57@!_uv(Q)JD8hUJ3z(jYx#>i*c|7%2Hvm(I*res z6T|dgE_j)e5(HL#U02+yc_iyTXyrW*;@M>NJ%j)^1_t~EAJDyiAWgYvIihgstQ?>HRv{T0M381cXrr5wWNQFHnE@b6a028Yl;sKa zxcG>I=mIBK#i`H|t1QlnJQYx@0n93ov!XzS)N2rx$5~ON0vZtBW{|FERB@bYwIQIk z&#nSoHGn!uS2U{tGt(gIAYIX-Lc9UE%^+Rj2N?B1l$KZ>q$~VYfKdaegLFl(3W(PL zEpkqDT@j{2z!P`a#m1;Sj)ypOfx zvHl}nF<3DY#EqJn;~_}V(r)W2?ojnrLr*o-*}C$*yVy9hAF_%4e|UQvu&RpffBcA$ zsNmV7phpEoMMV@P1x1kpJ?KHvsI0K8D9q5zsH;Y0f&$6za1;w0G)%f#m%3VJ+66$d|J^$6!3c+QGj=AQ4m?~c(UGZ zC*FQ7_}XYQ%LoYumP$HICH)0Zh%`vW3R+KUw7wR!CcCN6DcWZm=})_tFx2*-R*8~`SH#Fk;426o*dM+)USMJ8|9A)oiH(Z9>~T-s<^70dlyN5 z!^_&!mxeGer8_RvkPDn>7V#FfMYw>{?NoP5+bxk;LbpGGAYQblDf5s$sNpR>t4NA* zRiNR#M~T#dA1IPKxzv~Q^F>m3?*=3{&FaM01Wj4IYq2!Y_iPH*#!7&f*u($v12_xW!@u|ek>&+Y^2#5iejUSzCfc9khOh!R(?1}p7|mraLEJ>- z9zMB5iZO4Sv+rz&t!F6F}?fIS(se2dET@P=d`$AuLhKt|BBVAZWn`^KcG3&$2 zf+4R}$F8c=)l3wI3-4l_{Ou`V1a}%|(T&ko|43Sdbz0E#+shL+OPxBA$duAzAE-^A ze;v~YtA9LLS-e@Ybe&3dkuh^X=G$o!!F>3ydx&(H(}i!{EcFb#@G_Zs&lwJ3^bfBW zMnAR+6W9Ze`LOnGRV~Qcd!7&v?`rYzt!cr#mLjZ&#xLQ0=;v=2kyU^pT9k$-8Fu~t z{6%CRC?iYH(CqpmvKW++qi1M#eNl>*k%y!}-w9-!7`tU{t&AGa`5ruIqExMGabp zVb}jUcU`2}^+mc}*XOQ_gk8tnb&+n^^||XJ&9E=h4ZA*fU8LFdMX>9?Zoya0ROjP= zn7fM3#No6gFd8fKWbs%9yFQ@|mr1z8zL&wsl(*pTl}Xuc=H7)$B!<3n_ciXbRSF8L zp!F(qMggQ2A!P0lP@2oeI#y><4tC)n%lmAOZ^ForcKoM^d>zM@$RNI8s}$`rv<)xc zDvjy>Q00}zEvBc$_Qhi{H|WdnZcjTZTES4e^)z+nLEEIqyKL~bv`xP=b^fb3em>es zfoAUEE4JYfRKi}G^CFH7yeL=xiP)nxMITEhfMgZm)}gfEsQ%Y%iMJ>*1&I?KlY;&yPaSic zg+v__4!A-1A>5!;;RjJ36(#br^gN+3ltpEVtQ1=auVuLU9Ofot{;xX9?AztRg+D3P03x4dpSWm<#K4DARLVef2r!LPgC= z?X=Kc&l8>z5wF+LBt1*GMwHc{XIYS?mV2KEPf&iy6s;1e6VNA-3j_2lo5&iBOMQih zL}3qL0*~wzTnu4^`Hsz@+7<>HCaog?!s%z zv;3=_*phL7mhan%IGeKHpTgE~=vMKF*oFsgJlKwhyv;EVL1#fro+mduRLZ1B#9LoM zRAskoKc)qzpJ~iS0QVl&>kRS|9#|3G4V(hy=QUw7Vq}f;nkhAbKr|N+! z2;U%GN5;||%IK#>sUUPCMNMCxC(^YT6@;i1sOg`U;fbQ|k5EC(MxhGvWx2rA;!_Z? zu})3@wsJSEAn4I42-hf5Gm5JOf)<-%MYZZE!;07xGQxiwn*u0V(V%29$W5^+$XZ&j zWi2I>j4U-aV%H4C9R86yz1hBhS`6I3>7T>Xn)R&TRH?lfb-odw~!OF^d!*bE=$Igz^8|Jy$UPe`v;GKrc9t^Lsd47h>0{ z3x%XOydFbXO3N+6S&dwt*j5=5(FxWv+rKCfLbYWc+=ko}Ps4FSC%1KDkNu4vDWPBQf>c9FZDwlPn!l-%3Ghah^!T1x8R->b(U8NDy*Y zh95%iZVDSmF;O=~OcbDG@_Y$OF2@h_vByoaaYSG#jW(3Ev{KFTx=AMP8vk~$)G>fe z8bN(pr$K#6J{=X~e^Zz=3W2(r6~wRX#d59tjN zs@H|E8g-FMRu`#g3oU%xyfVnZ7rS`jKFKFAzQKYi&y^ax+q9rTo~U~7Cy|1u_jBsg zZ5E!gTftF&8O8KWuAb|@B@%y1cVI}}RE9srCHNCnR78Ifpu~eKr0$*B2pWaqz|&1b z_*YymvQx0aRRM1O4)FIYqzISFy?jrF)Mro?a%o>C!@qC^-CG8q6@zmDek(m+Z;C3I zD|;XUy1LhL% zKZzztkJ#XfV$B)U`9lt+N36vww)%esug-Ex%LX(E7p3)6&%$H znh*FNAQJe#z@_=G2={5_Ja9~(8=D7K0J$peIyp$V#*DgkPQBProlKJ@C2XnDCSHx!t=fV0XLi-_*7( zF(7+CA2dPf>%yxo&H>L+$?V;`Qu!nl#?~p@LEpN5FX-hmg0iKj&X15km4v&H1SLgRa zwcM(2Sg?t33lok(u9u3Q;xGItb#1p!BoHfq(wnY8g(aP1-tG1P(r%=pkv84HAAHabxpwd0 zpWhLp=KqFY3X0!Ef2gb0gCTtC*Ru_(fq~QWpLmzO^MGb6Ua_416+di~J;RX)V|PZNYW4;-;X{KEM4m zv7s-6(5dxrXE!dp}ZFAlVXqgTG6M#blnf|LGEL%dD|&BA$IzroHQs zIR9l^`dxQ(wv76k1Kdtt<534CuP%|+x$fqEu1DjvXM*-jw({2wO5J)=z6kx>H?JNd zyk>oRur2(Q+3_E$sl(%Bq?LbjPA~N>ot}i~>H#iofXn@%w*o#K(*`AP3Ec z#Z>&TVXcaujXP;#B435kR3YB5>~_1A)Z3lrK4|MVH+BgxZcN3?Z;44%Y7!AmBKle& zA1aD{kS@!&#a)Lg? zUwhDS@%v-^#nnD};^DhYJc2$DkI*G}2-|6uBNpNJePe?#q2DlfQ>xAGo4lb*I1GLr z*dv>=r4og1A|q~-eWw7IIH8071n_ruJqdIKxBvSP5CPCzZeK8Ai~oJQu`xA0;?+OV zC+3V#@RlBtHUKu*oUs%yf@D+N*WZkAq87hNTIo$uU;hoX44@Z=g7lC*7rw^!?vR=b z{Kq3wE0^~l`7mt4uFS3mp)W3$N5pqe!lo9EpO+lN&O+pVe&!fTwf@N6j!Q7I25)uXZRU@B_;IOkV4PNc z1!)~fy$!x_%`TXtrm06>Eu4nqQeaR#;Bizc7`2BE%$P>Uu{>b<@nvHqhdDP&0vI?h z^%eh63A9*WZm&wnfsDBPNw2!skm{3o3)=GtdfSb$PGLh6zJf7hw}MIVd!EibNLr{~##3tlQ7; zolyJVuUhKoT|^}q?JW8~&d#5!mMlHLe@g4*n?@&BId^i&ex6qiQajMe)l%;^zrZRDBL!*qclcDh+Tm%)Lx(S}k%Icv2`b8r z7n}EV5}MNxJq0~o1M#mnO3VR;$^*PpE#m(g_Vb5pvBGOQz^CI~az|IGN>Tu*ssnr# zK}iSr?piEtz0;5M(ucP^DS5SPfEg5yDM~~C^gfB!G*$4plTyzBT{+hwPl?E)9v@~M zOjn>Mp~dhhC(U%P;44l_10|_KFSH!+=$WdM&`e7OZ*fZPnck@<@=6ZyPfklAeQbh?^7wO-X&i2Y)z#DD%vD?k zZ#a#}jd-I(3n=L7iE|?OZvC^=s_$MC>Y1jtsvjPu3B-?P{AjX3i7*G-1^lBbe2NMm zK=4WT!BZmOKLk8qStof9O*WVaK*72)`w4|T=t2Z86LdiZ@BEW00uet!ISYx^=E|A; zK7Z#Y$+t)DBVsp$JYCHI*Mkqe9ZJ_!@Zz7Oz_uHxoI|KyOk%wQx7jG&LFo&_W26@1BAsjH{foq5PYcmC%rG^etHpE)aechdXO=3H!51@}CM z>eU%k3xNvd8;w*KrGme54kYR;_%ecOFrbP61?Ag^)KrtIxai3QkX8mvmQ0(-XS$I6 ztA6l9n-wkHiS-NL4%a)iCHG(|j5sarmVs6*{Ixs_XrV+*t}c9Y0UlYC--qNN6oSXDHCTO48zsE0>fY+=^Nc6pIb;a;Z<=|a+lQy% za%R48p|R2Y{xkS%_icR%-csl)zvffm+cl(cHn5B1KPK7t>_zxTHqE-kr6PT%7(j41 z8dZoYUM%s+R%smQ0ST>0$%^t6K#`Y#7u4qW z*4G>HEdqLLF?)dKHSTtshzjvpzepWNmVJuZ(bpI6Ym33#n;K!4{|&77Z0LTvt=0s^ z@hCE?xLoFCzeo@DN<$r#w?YMncOF+c40h%)VIS{tUb1xP3lh{I#5Vma4&KLO&r5-+ z@t{C;O`!@5pHJ#P{x_j{CYU3mreQ`+DOpMQgaG@ffRZy{qyb3D$}j*n>*-zFuHf`W zuINuV{87ST-V#qP@D%((vw2T$*&JoVR>;gRzt9=xh;H{)!?ceNRZtD9R*fX8#v|_% zcQ5=Zs!@*`8`W?(xT9oc?n(k2sRA}Q14;%UB`XM=2Vhqf(A~Md76F(_RibpoUqIfv zAj-BlL;4vcDOtIjPb3ejfPT(^0R|u?D~ABstO;L0d)OT6RKq6w_(Ko*a~Grso;F%> z(^NENaT5MS70pyzwbs+6IhF7-=(Tqc%D?d3&(yZ1KKHe)B6OIf#*aU&;8aoo8aG&L zXg_M`%6F&^KPjTJVMs9=x?aUat5C9X`(py!tO7l`roHT zj)qqHV%8R-Qd)F6sqKGV`g-08It>M5)hz;N?*7}d+k*h7x#@*#XQ(evb-AxE=k4Rm ze#0^U`UCuj-{@-keZ1y3TnL%1${O~t1Ee2mh@a%|r?glh76Ezti_$Q!+Z^jeWmqD@ z{a` zy{Z5`nqK{SN%HR%Oa(R{!L{ktq=7WO>fQMx{@`T<#-AO{$6v-w2{WhU%h(CR%xNj! z+eB&{NA{+G-+?wOnu3CMBbBDvRV=!;LEcyG#X(STv_tw-o z6(WP-Uyr?l!sGYznOCIHzyv`=$(X2?kWA+Wj1rQJ5>ha*@4JEl9W$hxSJ0Z64*AsM z*2**uL=7*)z&@^C8qhjRrTWbT{`xgEQOYv8tgKVL%c zaD~3R)@+WVpdhr~`D-Ys3KOO~QkQo6e53(+Xs;31QSZ9_eCl;+P-{i4*$3lz>RqXm z)6|3Zy3t763Ah0>@9PM^#?(W)fktYYdRPF3MtbN5privl=?0iO#z8%bVD}gAoq#z*U2Qpg#-Q~!kB>cyD9be)F&W9g!v0M(KwiWkl=c( z6GqhPAFN1f5q(gE9~`y&q*$AZeB1{jlIWeVnE!fH8sO4#B)8lGHOw+1@N7GPJUntg zw~rP4dV-ibAb)z5eRy_uh{3GayC0S!N27hW0f|HaSW{X5S53j zJ5s+UhyL(rl@mDhx)iUx)6AjQr1&7v$f1W68xB1QF`CH#F0$azKXMmM1>Zg8F6!Up zyKB<>#a*$&^~NN;z}uQl=$vexr0Af1}6lRjsV zPEy`#YZlU&oTKMnG;))bXSCduTs`-Ok(;7Kh+H)uB~J&qrvc>pr=e+;&KgXX=|Phz zUk7rn)E#^hQ{`qGVggHtcq!0fJSzF(Kc&v8tMvpg11XP?)@n!vc-f)D_!uyhtjHQn zAzsRL7=HtXl9iVLV-J01h*RSZP$9^#r;n-Dt>=M_Qt#)_=@dc?3Zxi{n^sC0z34C_ z4H%JprZtsve6Uj~R-=@1AXcpBUpGqKeLr>vi8VlIyRC9P|CRVi(94))lu<=RN23gC z#yY$t=`d3a7)n-p2tGPF7c$i-L;*y~`en0Nci)-^oj9FoK-2@`$ogepvEJdedI__Q z5=b+ZOnj%&9{P?m{yYQUM5Dz{-lhfX{d@|2y^lma!yrIP3(r!m2B~M74wG%bP_oiO z6mmP*iOF1}5K`XyoqRD0X`7=H$gkw3Em-I2d3wTXgJJ+te76;`{SRk~YYd8XkJJsV zzD;8Z`LUFvT>Z5jaECeLZm6Un$q4iAK~5}|RPt;W<~LBim<(1yxIkie4>lq`Uh}q@?i{Em?=4S^{wP z+AxB}W6=@Ep)YMJ57CchS>?;cb(Q?xmMpOI6aPZQj&@v&(iM=f!gb8yJzQC*(WI72 z-3v4>cLO9cNC8FH)vHZ}`3NN`Z5N7iV(+8ZP|3TvqMWiXnwMibsFqVG%JCKII9ik= z0}|z|)5~!`$d|gZ4yj3MdXb(kfr7eHKuJo>0%CHk3RI>8SqvabQv3x_9~FpHPt?TE z0HP$N5mBagPd62alurPq1{hG3rc?{4bDs-lt8}Pf1BjB8jRI)93RI^9g&9DUq~r*o zQWc2wP?Q&G08x_iN`!z~sY2E3P&NaKl9Vw3LT4`llyj%X9ptCoSih<9DmH1NC?np$ zrX=O(RI2ze6^Qgv03{eeLPg5}M91q_H!mUyP$V}JKiGLxTblsj6To%>WbHdj~5l2ym<+FegFII>`ICg5ce~ zFFi+NG)yoW9Y!S$evTOJy&Ir(iZ@a(DbFa0l9Xpq5~`m6eREYRILN!VW4-vLR;<;_ zCdx#m;&d8?1`SG58nBRpN}buLg2n4#>kKeTQVs$PMFrkhRFTGff?%E}ukdo~68)^8 zxLBi@q*E+|-ncWb;jd{K$$Cb)Q4}R9W7VQo|Fe1fRUYK0+}SXfs)M{!Yu3l5_8@<_ zHS6w@aF{2wW<7k*2`Wm)JaVYin;WQi$c9`z$Uh;J`h$E|YZlUKOu%cw#SI5}i#9CC zrK~j%Zo@iunNpu*QqD}H5c4a2oeZV>A)e3%$jOI!RvXr%pLFQ2Htm~75JnTaz!}YQ zNZ8lMn%h_LQCqUFRGRR-b&H!s7FY40@_h$?*VLiK15h4TGxGh*pip*Sm%iNEmi4rJ zw5q9jFc}B|6p4GeFkdmkZuESb)F%Oe$S~~2NI{PlvxM20@V4mK#m&iCHF8ygoWHOg z+pvHP5op;QGFF4E6OcUEgSAcF|A{ElFddVOB8BN#{}%DJMg=k~#}ordSPq8(va3K1 zx_Oyu08x^XB!Fh9Kqg(D`pz_LH>`7pnwxXIx z02B-2Ny41?lIc*se^NBa=;n~Mhj=$L>o<%c83-wQG3Sh8C`tJOYddJo^Itb96pFcc zh|f2pF0qsh>?|GohJj5M&j6z&#aAt4Zu3H1;S7;R=83wzl1Gpq zJRu0ytX0b`&)p04veJ3Ux3q1B{ZCT!5i+S64J^L!ZMuM`A-={15YT ziS>2~ILxm|%wmr2;bhc;5A%);MyJh04bfGvtp^5WTgLiept^2+&FMkSg%93|iKiW`}JQLM-x8I6+ zulDR&-`rw^V~sXTtN*N;j!%n@Hcwgo2mLZn>it47U)i3mNUOY3+{9-l!KReC*r+;QWF6g2UbZbA7 zFaAEwd~AS5X1-oj^39e2nja#l;vA^EExjSkoc%Jk%j|A%`|b#_cWUAGWVapa{XVoR!|GUv~>C1wjwW2bL5iPiay^v%*3$Fe%? z9>h3`Ot{<=?s=O(=)13y+nvJl5Q_fy_?hy@*?^>p@Lyx)jC%U@h@7!+9iDHLIA#a6 zaExgTFQ3~7A&rP&xmiV|$HQ`8+pl;cs_h zBZk$XebN30=St>)z2<;zj@j*7$f5Ir3@ESt^tIS#*|F_iWY6cn;J<;ZDbzWlNpz_8 zh+{1OygWn>?E?xINQTjQZX1zw;XGb2-Fpxjy3k&jfrw0!i(CL0y^QO%(Q%(~|E?^gJKgk*`9+K0PPL^kP1V7A(OuLjM`+{O%fT0PV-~)oEAvZDLlP|; zA{+qfQF0o-FFeO0{8nDt2ZAlI-=V?y|n$9j+-;VN#NhDq>`bS7MIgwOF|eWn!} z_5Nwt+Y`FnT$;vJbmOc?duzAj~l?E&&D=YjYzRZ_(l&aKpG-4zw zSzpb6@MV2_*NIeRG$wK zL=Z|S1SM=*o$8jLv`Qx#DJL0;@7`QSEX@it+!;z%257Llj08Kw)*t5GyR$wc8jP}N z$kjzbOa>~tH5Ub&Grs8vPbGYJqh*4DuZuzeek(J9?<@)l&iK+1e!4rlSMU2|=S+*y z4V0{SX@qo9pxf{b#qcv=DOpi`n~Oq@Gi-nXOUX)!ujC^*)McT-894X|U*d-vh8Z=C zL#-+`VYg8Yr=T!W7V*aD5|% z*iXj2mkd)+Cu(FQ=)E*fP*b)6lXN->Wbj{8bHp2^B_qAQdM?lH!En4(MSF$N?7klP zs;E{ul~9_59_ay5O+LcCd$L|G0q6LLo~(b{0!RqWtlhIt^W>h)zfGZ*Ahn`Z%089? zREc(eCB-zF$b0tqn8?Rs2~@XU>kjkdJ>l9E9p-=bWInwMH55N3ZJ7 z^M`u@rTnnAaebO+^kO}tD}}%+2`>V#*`PSkjAlsmO$Q|!F`oZU9Wly=?2%np3g5_M zWj@9VyXVvu{MTM=aOz(ifSGUrf;y@MyiWeb0hj^@!1wm@@{q=D;gxTE@0ilQg<~}N zNBwMxH7L{Tq&jxEil^`$Fm|}T01Vl83;wEO$D{Z~UdtF7&@qVY#k=kn=x!doS99hg z$d9&jZE)ddRGX28eiehuQL-6jc7Dd6MLm~>HyT&)eR_3JndG0O+_cc$R*UGfI>OWe z(`er;6#;T3W>R}qP~BD(ID_UK ztkXbGEi};A0Yx_zg@o<_^eFqPBVZKR<<8ja3_dAY`KgnDB(nj?Drd+d1Co-JA{CO1 z1|S<+csf?mK_hj~TPfPqYRXFVu4$WXE}RV70v0+4>rkd;UI&wbbc-Kg76s~wbr z(y4Z0x5f=lWm zlBFVN^k9QaN>=hzP~EhbIfI57pp>kr!`&Tl%wZBNQVy4Y>t7RTne`zm=Nyl4*>6+*j$Yw%!p{)+O%<+k#$R`g?*_h9WZ+9` zh5rabh4a4DDqMDq4;sj#x&;7?de{$y|I))beDOe3IQSSZ9*7Eu86*<`jS8P1hzds@ z zjGlncY64wKGSL0h>fRd!cOv;1?-9%fcB_JVP%VQ&?l0Y%!(Rtg2>gIQ;ncMkLOgISamcK8&+m974MFjS^u3$$nm>*11L$DbL(5chwarw(Bj&j3yN z5NCApG+&LZ=wOkgJp7pIQ5684+|AW^ZV6NKI(*&=F@f*mQu;agj!DdexOf<5DznkN z_}_rC2lZdg+lH_itxd-}Vg+oY-#R`&goRBfcK|D0H_2X(!&MWy-D@Xf?TwDe-V_k{ zLLWI!<79lNTs*!0po_HUwnI~#&B8=mj<5pbmfO$khU1uSMjdSFD7(kT0U%2s|I5F@ zyN9xYsS1j-+81RZdAuWJ3w_kaYF~0ur1ET}%3r(Rz*j)z_dLX7v4qEF*l-NjA9>+7 zK8cwB4N<|5ee`|AZ-^Ftlzc;s;>T^QgO=mD=9@f`b1eHZk(_3pQ8jI(`B?sCi+D>u z*bb|rxtFPcY3Ag!^tSr4pLl!mCRSNDTnu}#60`M;t38J**Z&wkk+U|*y+gk!aT$j-ym~gyID4&4>VdQ|_6>y@DBjxtR&@BW z*_PPw3#k@d8b;~oUgtj!WgT7eZ}Rg)Sy0>H0^wuZJqIn~-G^cK;4dEaJb2U*zrLp~ zyxjbYM?D)Jb?CnLcJ5eAhkbLS6+F|Pq)N&crWEXltr3{V+C;a_nqWE_t4(hp6z zNGsSLbl~?{{Pi&AKkPr5BzyDx!)ORB18C_aReKP)6{fu9M*Bwp0*pS@5g=2J^9mH} zH&xY~p#myNg^Du>%{|LZ?+un< zMM{1`%nZ&CQtQ#~N&z@A2xT1Sr-w7|(R4FCWs*B>WM*sZ=89y+%axpTkCSwJJ;9P2 zZouXq=fg&@cVqK`)8t!-b9eC{2tK|(W5#{r&^^-x`DdD~PPjdbKGHKe(}GWOi>1mU zXNm>KKMIGrEygZ#I%?2J*41C!O?EPb zHjdBVybrbt++m^Q13qgci*>0x&PztJKo{jWSLj)PoO?y!*>Idk($iGMXGTB-+^hJ~ z2sX+^s^Ui@Sb&SAiZ{~JuZs732+x2j{`f;IC^)!^JQF2zEUh6#l%|%4MB%Eu47wY^ z9=d%u*;m!?6nuwO@pTV@?;EH2F?vQ;@p})kuAVkQg-mh$z3trpVRUm`6(9dF>*5k$ z#b17yb?;EU>pogjs`&DUK|`wJTYwNaRpq1yj=B<|1UHmOT}6#nI@2g1X+Rxs7s>p) z%~s(LN2@lxOn~Fl<9KdCp>dI{bGu-4dsL}Je>d0X@i!w`zs~tr?`z=I5EKny zsI3b0wE+|_fbKK{!VpfBWDB4Wy0zoJw$88OBS*2o0fGszmrU%%^b)54e1fq zqrq2K@efA95nLl$nHqp*W5gc~lxc)N97`WKMfkHx)2&Kp{0&w78t_v~4E#J~6YGSZ zL-;4K4np{T8h({C{tgunu#~K<1uVwD&m-YbfK?g}4OS}*P)b%70@Uukt_KF}vLT$0 zW)ocEa``W#*(4X2%Y392%5kKMzhGsZTYvbW=@Cc1m*1;A-O7dq&bTxy*c_0a0DjB? z_GCP!nY|88!wtjcej*h=51RXZn7o{yv$71ABNzFMXg1iTwu*le%^qzx`649Cu{jw# ze#Pgi_#c#4)|NjwhIs|3rZ~_OixXb{SU11^+pJ)#yetkMj-iS34b&m3ct`Gs{OYz& z9eCOp)@8){H(_TT_>LS-nh2t$_q3WWqeSJ6+X(UVED%r$PEf8V_>nQJU#iCmq1pig z>}dg(>;&c|vTcrKl&H7>3hP*h=hC8-BgF~MM~9+FgjBpOiJ5+Fq&??=kk6w)~#2IU(th$3-QqqY-&ru$K?tqmUL=s zkFc*C?g>Al%7@Rev6NO;lqauL_|nEsUalAkKjbZ9m{+P8k7Y-_6KAnHXOyVix?vV4 zXUSnX`yEA+|xqs;9aRUnAWlZP7PLjf@j3CKHld9$I3fb zQM@MT7fv#Ta1AGTrwL@vtNHK=tfyzT z;P0H^&rjvgkNCTFpc#L`PWf2Rl;fS?%1`h!6PaI+N}c1L0JU$7yHA*0tEs@w5T=oju%JHMe^R@>?3mi^m3qgx zSBr%V#}k#xs>?j*QSd5N^RFMl)5{=n9wfS2G!o?+i7K6hrJ4_lBTuB7PmTkgzk&Bb z9PnN}qZVDM;nnGQ0oA-3cx{4-1#0^q{DnB??Gj?(M?4DrZFMSsm4>hA_+iyN^HG!= zS*0>W0v+0ZD?+0Vg$N==O!>3;aNX%aY#Dw_HcU6zm;fc!X&=~mhE%UAgR$Cz)xR5Doab~a-{ zEYNNGE9D_d-Fk1l#Jkxt5N)B!{*-Fo{&5yGc&ggeyEDr`sbTaC04yuQPsKl&Ot5DQ z5OA^wn`Tz?*B^&Jl~Tj`X0Ag*1>y~9i7m4TFBoY}%Kr0$N*Ue>*p`Uz_m#z% zC+@JxnLKHO-o zPG0=PB-S<{X*&E-^`q+n`9vN*e&ejjTx^H?nEEEkNfPpfn3tPS=r>$G%jjDn{)xR4B2k*Mhg4!YsW5 z5<0;|VY}6jCWgU!0Cbpe0N?9C4q!n7|N2?h$^YXc)}kSFVH;4(UwMIbi4u1i zHqF_DECg#vYT%HP0X&-C(S3(#YsI;uVYPhM3s}yLtmVJHzA+RS^7sA$bo=}g6B zG!G>!Mb*s;ta1i*ujL;kqIslR{(T|}wAS*7X{?L8Dg&8C{P}5YU{ALL=z6SMVX;v4 zi_|y@qj_w#JZ35)e&cHSf~lY~Nu|;vk8hsJM)VBbucK@BRb4_b(Bo@)=a+z{{xKPJI5$Y`E*vy|aS(x3ien)5V2qbHli} zn}~2TxpF6?BbBx7(2sstXr+aI_=z>Uo~_ADhk+C=kwntMI{ph zQEd=Zvp?n+rej`}R>RxQz&XC*`Mlo@7TnHs5@rZZK-!&D$6ubo0^7JF4MIP=tHjsM zV%~h+4Cd-0o#fxoU_DdQgnNo$G$1I)@0d+7j*n<1D%$Z>3mV-pbu(=tAhB{*oJXZM zUpD*TwX2OlqU9$QkXEOP3!DJ8rL*ZOAgwd0fLMllqB*3teijKx6(kN#0^VP%Bo9|T z7l}PDjIur}X$=alZmh%hXDl`Z!%%^k+b3cjIrD4ynpaqui{&K$ zhsLf@h0%}^zmvT0Os6%uLTZTTepd~cz9wfvD;S~^aFV|}6Kit8qFsrtGT`WIaz%tc zv9r3~O^reWuo31#q8E0OpCtT91D~vmz9v^r_?Jaci^oZ7E5etY%eS565wEhqv2kj@ zegatB-I!w!Ur^H6Ev1ULXUH9pT&GzDcJTYPCCiInZ+J*NvY#kX0dKA$tSt_ zH8$TRvojflYTu*zMS0-a+(SIxN z^E%9a+DShCb*wC+!nCqj7Rg_I9V?4zWwf%GX~L#~Ilv*|(X_HCQq`5kWzwts!0Rl} z{g?5Wc81n&;j`Xg{{1)Mt;r@8XQ73ZUFX}IDX``PYv*0_#LH}TW9af8eo0%^*h-~(tE2UzCs007U zKNy=*K2F^w63ze>Qo2ShKpgLXe=m8JMS#IBkr;sm$OZ!>UU?ZHa1+A-Ls4@HB;o?7 z*>RFTI~$urXjSOsoqw546S2igE{O>10Rsksij(}) z+3@Gc6%+A^&Y4Fv7SvBnD39$?36U#Cu=-Yx;H1`orDUZKV8`HF;^c}^d`+1%-E#&k zB`bHz%{+7tdt>}Xq&Ib(*jT!VCPf{7_@c=-yH38@(&G`Hmc*}V=+H&dD)hD$(H_yOKLZ(eL$vlCpW*zd^%desXcVi5;8j& zz(CGaY&*@P-o!f7jygUS&%SX8G66Sf2yq~w1X50M^Kxmt$f3lfj5@yNO?Vgyr+MX@ z*iTO~vQo0^_)TPuPgdz%MhpT)D5_J5T>pHK+mfQ@ZA)l{V=Jw!cDH*Mcfud{0i!rS zacIb%Xqw6I11J_Xjy%s_Okq>}XjeU|aaVNs)d_ORNqU=LFR68>C*IwU^HV9TTe}AM zG7}vkbra+@_|4nA#d@|^9aUHHo$67$DGZW7^cG?~tF3%Co*}|0&uQPd&FYvE)B4i*Q`xf)@r>-~6@od~?q|bGikKzXD=NsMPtdMr^ zQC9BrHtRZ&AYH6x>xnU-O)oL{KwNm`{5s=MsfT~8{9~-VEvm@%6o2V$bjh*NJnL;X zyiFxo86*3zwe95l-e&!znRc}UY@oY9Ba zzymJ2G4&k;YbB`tk)WZ_*e`qJBph6T&aQ+t%*ZHj0ma7l!<7B!A~!_T*oh ze@fPU`r|cUZT^V)P0jyVE4BFxKv!>m$*K8iYK-PzGQX+$<200Y$kLl%a%z4uI%xi% zP>SCCe?Fi#f3Vj4Ax_PIXtGoDhr0iwHUBN6`7gxt390|b&42p;y7}9t{U0^|-3b18 z8jE~xPXzSG3s*}wDTezg40Dg78F1IJSq}OaATZ!1pwiE7x4UKGwb5+_{%)e)L<~qR zd@{R-P&db0jYDpw|L$Ur{Zk%^6n-@g3%^4mc-IAN!eFuhbK2V)cgmNoj!7nXn_g>c z$pD0VImiGQd9fxJtL%Y1zG4CMO(n^h(*byDB9uSqgOP}EZZC(vPtP{H-R9#7Zpfyd zZ_xt5eSw~@A?Z5e+pnABJsY2(__qODV-rJdNRF}(0?(iat4$0<$#_4CHdsb9tn!z{ zO>E0+G3kH0q#^Et6THYJA_1EsvEe}Q= zyc6!T5spuOCL7#87CgsnJ?GlEdt#!`O0pAl_74+ZDgOo?(~1heLochZBe3rGOxAZG zRnmr&c_5#En;^^qhp(BRJ%YV1uS)^7=;PasyRkyQS4M-KcQuyTBa-UhLx z0}Nh&1OtqMci!j~o9MMU5^r?q5uXo*@j?2wxovUMZmgX94e^7oFxtZZn71plW{yWx z;~wk|dTvZ7fM^HN=RFpoH!%c^r6>CQ z)kTOLZ0hq!XaxHF<3+fd0DZo95k)9!gLa0n-r02@WkDm*<$+nOOFwOh{x+@^meQ`& z?!-B-evH8|gh!TB=Eg$dvD2!AyT?id&>%|i5&YOQxfho6a&voF(Ij6M4|k_CFF z=NkvdI;QyJa}lpJTEmad4IH1i2CcxE%y5UZ7`%O|W8^hMAR|L$7U-=G-dzCK_H7__+63k3dxb&bT&io0#a8sS3bPLI83v zQ1^x(7Q*l=`hVH`tdCjke?XX}TuR*gJ~RLx)GzO|;q>fbXZ?BzAWVkJ(607S_jl9| zsYB89kCgBgJ3?>JA>59^0Uc6iZ`L8nYKL65qs`GFmTcrUbx0a=p@d_yfr}n_Et_?D zQ0tMIvD719RqYI+PZ@~vR}eSR@S27kqOS2Gp1kLc=bN(G^a#P}bcII7i4K1j)AHBR z4%eYHS+$q!RJ&`JHT)WUs2!T>?oClayK>xcxol@8Yn6C5EIAF-D} zk>PF0B@#Moxr2oXg%%E$I-nA?OkKw>IM_2<|Exy?p=~|e#PCUrSzwcb?z~??bML7N z`m5-myXcEA7aI!tv*@5dR0Z7&1>FY)^|)yx1^uIOClqw6JYeILTK?x^=JU)5oA_#n z+gAgjsF!S+w^T*F6sIa`B`K=M+5}xu_iKuJkQ8^>`r*l0Qb3+RlDlBD%> z?(;@;Z~jaU>#2M5E;li``k%b{z@@BDU(F5Ni0}gfrf6f$_17g6@v;!hH&VdRR+2hCXQ%l)6vJ`8_nPU&@dTiT^q~jYJuGCBxDfI zWvcNw>l(g`(({qdkA4VCDs&7Hrex%E%aGbOmv!k&sWFXZvEkdy*-?;|?Kb(A&9Mb# zL4n%@ifB;pz|lN57ePT7uF~-AN7l-Q0jd!n!Ikp&QOPz;jHqNvjtZ|t`f8-}np}q4 zi$%IHR{48XU4R}uTQLDgu|`23PKp7m92J2+jG`l85OMLnmILPO5HJPR3IxV;TcJH} zZv~Wxh)Ay>5)p4IdF&@D7`Mvb=wVC5Sz}TYB;TxxQpdA{bK95$4$2{7 zvLvXN&o9SmWE#)*S!Lh#piASKFFv}4z*WbFKGX=9_sIc#=Q8%7)(snw2)dprQT&0A zSU~f($i)DCe_LQ&mhx%fe(Iy(%yX)3`_W4C#y3ctG|XfF~MbE_6%?1r=;V!8qY<_iXILAK^{0#Cwh7`68D1 zd+;R~(PD|4vPCR0@58_0tZRrEJGEsIiX(Sx0X#W05r0J-@wML0al|hJpsqLnlQV1T zSM8q~(dg#i;VA@e4|>4-o(;C3(M`fTfE>u&auMssr42Afo5)PSj_oeIre4i%lTYC_ zpuM=5ee3U+8@G7CZ%0z72kz%~4mq%XCV~YD5Me{f7?g(8AY8y^=3DcarDaatbiO#C zWhV<2gV2C-zzLhQJF^l$tLk~%@ir@%pE+1m z0pKYBFFDPJuYe5+3l&3LN22#OOphQG7!aVp(XrM zs^6x1e5<&4u-YFT3hyHB^N)|3H%`GRzTjgPV@?KsRFP?=2uw-%ldt--gLh;SYOJ+W z0)C>l$#`h(M6rINo#HF0Y7@<1tIiVw_Zz07GE`$@#7dzR# zJcK?`P8c!rqMV)F{S)?(=L0~1M>SE-eh^8If5IX>t$>8zFjh=1dBk95X?B*S>=X4Y z%ZX2zPv|#cs{Qz}8_G@Jk<=8dPfE5GsTW9&gz~3f41g;8ZfMH`SK)%3+_wC&Ral(r z6UMVvu^}!o-T3BJ>_L}Z!+8BFws2Inuj;|n%#X6!vxO5u&O{wL-QGIfW3BK!x`Cp{ zCG8wS`CKzhihQ|o*C=@d`Pv%_aN!xAmkQW`j$*(Lje6<7coC0%+T=wnJ@>zO5g&ib z`V3ON2z^0R8L1)tS8t;JQ`TdWww!e1EK0y~k_j#>Eho9+sV^s$55-00sBtCL^h?#x zXxP@|X9%2@`Tl9hhre+!l)v;(_9WGF^FNK5iMD)LOUv%?H>x#%qt+eoG&7kr6#mB3 z@He)TzmflMU`wAh`5SE;X7a(Gu^`RS6%m?X5o=E8#>pN(!_j^7Gxkx>da?pg9>nM{ z+GQqy+}=*nS#W+b9~Th7U*^50Z98wEx^!(kg3v!I{xZv=#c4**g@Z&nFa70 z=lH7Ctgnx|NVLk1FjAN>#8qG$DSF7I^oZ~W_)n|ZF!wLq3z?1cr&zIo9wa zV%Cs*1iAEn5ARXCGnSV8G}m2(MFNkr^w0o&a5ll{YGP8XJr7voT8Eg_>=VP~TYjPmCOgMq{ABT25~I zJ!P&tJ_hJDs?lRDk&c#sY1}JQIxqc#MUB7s5cZyEQLh%a;NUtV5Xdy$1^Gm`iJhQ~ zyBF5uI4rdWc|LH9*g^#f=8W;^$5Hl?nG{(Ir~UJh*ZH`Av7s}?=_C6G;;fW?1s!Fw z+BeZLDXT0uT%*U*yz5_%h>`bN<>mK8LUBG4qKe6GULR$CEqgD~z;US)^p>%g4oA_i zHG2cp^grlr^^6IBm2!HTQig32*n*!&fsd;;7XhlvDD4 zp$d1C-Zs4New~jjWPL}eT<2aRwxi|j?+8Cy&i#(4;-iwb@Z0mMCQQFaQ@SCy?A~9O zK#%s&}@-i~* znHG?;FROlY;^{`OZamL;J3hun&l?4;IV zA3y#T8OIOacQDo!RXnFN8Dl|&Y z9!B)_0;9uEnjIB_;2rS24Zj4-jVjAgRR4T~U8*u540fX$A@jK^!;1tFaK%@wVQo_N z3KK_v!_c1pfuVQ9qr;EBJM{k-AKP?3uwxsG&vf!$YZ3I3(2u9AWtrWmX-#&Y&B6lt zJ}Z$0ip8Qlu9?^jspZBuQx%);K<%;Fy|;hA#1^x|rrC2y;~GrNY&O zUn47pkDrcCFWDe!JEvnah+-Auw_3=xALP2xLv(TUkVZnfUhwH1F@8X2yb-D$c(GiSU5sevdv$cBxP zy~u{W^$m-eF06sPTo?iSDq+gxbc`Ic*p)ysbX18n8}GkQTOU6l@oYY7{aw2C<(6;R=fXh6;A^AD`L1u-n?7L>ZhL;0 zk$70;#UU^KdqlV}Q1T~XFY(Fi*`StX4Nr3WdS6gr2l=V}eee*uKA8;wqX-h%J26}bh+JftFihV&$6xpkEAGK(coN%7gbl27YML-g?}NDA2Z>~pMlQ=iV&it~ z7*Yc4PmFwmPOw67KgIx@M{-A-OVM&kYf^7Q$rFQgNo!JbdN0u4sb%QBP9 z$Ohp#G>^2K62i3SNIbT8jRvZ1{wRn^`V&9rv~ zwD(LA^Xo^X$H@5~5OZVg`IW>Sy%P~g&jft$w+bh&ENggRF=jKzyYc6X*$0|m@g3Cm zPBHsO2np|4d+vKAw2j--@4AeUvlo!|j*)X0gyH$dA^S?v&f#mxgOHb7U*ex`!eOJg z>iNMV#&$(ZDa)ime_;HN!B4`M! z!HdV>1ye5XqupuVCwXd5H+n*CMZ_(plXfo=;b0HldHKl^viIEg}F+ z3qKaT#21vXev#T}^g|GdcloGWu8w&y04?T7aIqb=(BN?IkAXbL4^3rWl89Ixn`2I) zka-MZ8A1Hl60BLMRODqe{3uTZ@y?rBuQ-*^gZ7ACAYgZ0CaCS6?Pm{Jd65W*EIWtC zyutR6W$OD%@!m5EJC5chl^Expi~4B*&)$sLY3)M3Wi#tIk;IS$bdZX5EB1hrEHKY{`MS_tZ4Z?5;UtljpROB z{zzzn{GRZ;?P(4ap?~Xszh`I# zx!>2q?+hcuEadlk)Q56!pGl?HBm{@l&~xrHrufdUOKW0Sj5S7C+PL4AcY zuD2$HZ=W}AT%rerg?~}T{Mt~AuQ?$5p~_>tvWx}z5TGs5RrL9gTZlulh3}r1g5AoM z!0_721`j3-Oe#8NNFu-&3*Olh#p+U8HZIrc7&;nX@V5A&4*wr_Zyr}=(Z&zMfn%s3 zoDl?36crT(6cyA&jANjnpq5LTS`=!ylvesgg@OX+-2o+2M+=L}%7qLQ6A0N{AXnVc zT+mz&f`+Jwrt*HTnfn~jr{D8F&*%O9_44^}?)#p7uDRyg=9(G2B)jYsjL7A*2JHP=@kLn%&Wlxw!8MAXA6Rc z0)Huq!FHEWYWGJ6)sRQ1&f>gx_F z1S>lY`}GP%@ELn`L!$T8m-rddiiM(;P~>7rEGA_uTv2FdkyHr&A}5ubdy`4k3Xq`b zgoSC{V&W{yS42LQr9?dK69-GdP)sdVFtpvL>!Wg(ujQOlIm_3C`oRX3vwSV5mdaVa zZo*kGX_6Z`3r#J_S@4$8$XRG=shq`GCyF++7F0{D#l^uqhe$5`8gr;_pU&M=%poOB zZCqNpQngp+* zN^%>a%pp}FQqec@FbHH{O2I1cODR|7eJSOtyf39(wJ##zUhEQJm#76;L#?4IAX63n zuG`vCC@qb4lN)yk#eM;w8IfZB6Ny3lb^T0Y(1wo0C+Z;v6@;gFBs7Xas?wqgISY~O zKZ`+=WeOn%kuq*pTI&8$4&p%vbYmtFW3+mDej-pHXY{N}jL>2`Yay_AGoPx~%0L z4`G{GeG5M1kna5^D4Kgn_kxSz);qq2Kn1_U3~2!pn^pz*IdI)!otI7FIg%9e&+*{H zx&`dV0Z$9sX_xqc!w_>EukyQx;g7lD5_dVG>us~+50Ff!?SJsmM|7QR9dU?(A&}v> zsuTb0u+EXE9>H-IyKeEHj_77+4tC;BWx5bIBKR+YM|37CPqe+KiMICyZU3N5*F|uV z(MrZ;_da|>neGkysGB8l3~`IW$pr@OzpA+7QQdedZ}w50UX(W!<#nO*hN3(#Di1Ho zUMTPPqiT6L8~IO^S9esmP;;`JFE|F@=~v46fnz#P_IAIg`zIVcc8v#=iT5BkL&u5R0Xr=+X%e_`{IKQJ7}+Kopq8FtaR{nya5vK zn3Mr}5O8{dDmXoSTL?}WCK>I%6aV0Z&Oby>p1qQ!_tiBa>BQZHob-SQyU_SmIf0*)0{4g%nH|DCeL_60Ze5G}T`ylR!Fi*f2le4APU(8K`(!ypEu4}{qSjqN z-Viv`l0+^4HP`uxQ@WKTX+@vb&C+Bn;U`Wv3RD9iP(3*fH30>Am+LyTuS*s(TOAcd zUTT;gryJUk&}My&&nVZeqLLn!>t1%FPK}826P*&?JCMQl#fC$S+kr}EpV4({NmEjf zDKrqZ@H4szorgbZBZR8~6Qyv4d+ABxaC4T=uFq+>{aM}Oe-N%_SqkCmI|~x7+VVYT zb+bvr>hp)L8*A<*rrA7De6-jiXYCa}^AAk3)T?~uA27D0U*QFR=<xvjYA_bjQ@$dw`4{wfddluGO~OcScU;%5Su|$L;H&)HIh{w`K{Pb+XNZiu3Sp8C_l|-~1}vPl{TfW7T;QzWuCS6m zQ39vqg~sqw6wUvF3clsMuG1)W{;#tY5?#Mz%F$ruA&i{Lfri~?8ek8u9!s;||Luwr zzXhFB-ftjxO;;>C4hFDHBw(B^cfCNzjUo}~1?7NkU_UGcCbIw$Go0Zz4b0Q_9#INN zE+*8M%9FhgR~iuiUA(stu!CGwr=9#9Q4yv6_j!Phec~t~`!%&HKuJpa-F`XwJi}R< z7hKT!sFEP32^?%#BV{d4(-2?M#=s@<5J$uXD_jtdT(gpO%FJX*5EfG+6N4`6KG5(|zWuVUXYlI}orOuF_XF{?Q=eLThO3A#r-5N% zre1jcKxHB>Xb5a5fzaU}_6P6ur_R644SX4#jQ9;p*bB?_?lE3Yr%L#oKd}P-md4Dn z_=-PuZo0g)KxV(yO?3EOf9m=QAuhxqgt!nX#HrRQcG1VFj4UEuD$xDz8O-!Jo#W{rgVsSE#SiB5YDzNPk zEa1)+_;ZGy;ci!T&h1>zl#oYX5&XQhkM28@pLdP2z!>1)?hGG&RoAI)eR&DoczQ+{ z$q14}^O#;zYM$rHRoK>e9GpC(0uyT`&7U7GY5uf2F`{ncOu zaOQ5l;kquuk<=w@01aJ;h9vzSY(LtkPYu@^bpzYjZ@z_{mRTN!TcQKPQg|sI1skvM zu|^!+ms`wJjXKx<6c?eCN5FXsI|omfd{Vk6I)LoeARhf94ttnUeTa{4x zvaaxyN?l+pD#;?mespod;9YyR@bXGs5C1$0vmd?Bs?2P{>kpOW4mhd%9XW4mZp(S2 zKD9NsdH)+aPvIU6&$S;5NiKhUoWFeo?#~$c{2RKNeuIyf_`x<<^)jtHUx%!*3hwme z@^6a>6Dm-NK!q}8yfMJZ{y3jpr3-Mjxa|sugf%we@s$G}VTLs>xA{+1Iybk{^+$a} zXsNUPz0jDWzTt}Fz4Dij`iA0rj1RwFrSowm$`N5mYeN()%#hfI=vNrNaRO?uYF&F% z5uu8;T10zM1QmrM-m1pBYH|<0rW#JmsXcgcHM|->J}NqMY7_=6z-PiyUSF+yQFC+` z54;Hvv4UL_h+R!J8noGXg{{xUOS>6wkeLpY0k46{UkT}U@m@l%hC;vrTOKAA2~)UN z{lLa`dI%DL$i!lT3d-C^d6x<+#_DmHm-dMxK+186!VYN}$BvylYi{YDZ)zDd8!W_9 zd9Y!bG=&R0bg&}qmaFyT=8O@Tx8%0gp6V8LO&zDmKr0UU88UC zt+#cF|3HOGD@Cc6m68fguhm^``(!6cl7;vsNirO3YW6?xHaK0(z ztusu)DMZIb+I-a{GEk&0O~^A)GRygwl_V@P#5It8PEZ}?Y)Rs$A`Unju3%qbHR@{+ zLBUE@-EPYT398Kkdy}vI4y8g7O++gAurwr<(ZUzmLLzPhZv7l?Rj9~j9EF*Vy{<8_gaBm=v_`Pl&Y*-?MHp5D3 zA^C#vUxE1oEKCuC5@@XCtHOvUOeSOwFyo_L1>II|dunAv{Lx;eqwKm&kgNTCW>!wuX3{tRa3TYlt7L7kBH; z){v}f_ddMS16`8l+iiT~16{r^ZV=++@LVgCXF{Sb>*#Ds4bH4@O16;0%^ zyG8t8k06g7MFO)tCKwQLqUDgsG9N)6n-?u8;nY7QC5-TwlrZq3q=Y{5+12eLfAHuZ z<*|1!2uis5yjdPQso9yqKYya@Km2{f(Q?U)=OxmpPc1#k^GLZAZdi~B5~df23qr~1 zS2rTcT1f1`V%rQ%2<@u{+@V3&-{bphz=aa)6f(7vhn~~|ap1MEv0{633TViz23>$) zp&^RoPKMuTs^W`yRIuSUq9pi|df#tyaV=M{P$8%b-?qQa=KC#FC)UlP5Yl~B9V>X#hol!SJz%hK*OKx zL9`fXQAl6dQ2jg~XUV$s_&tiS!T;A&5*vQ-mDsT6ti*<;^4axYXL-6MTlWtZnW8fS z8y=QRY?z~Aw>4Ei^4G1H*Wh0eN7CpIcoJ58R4%cCo}eEH(td!~aOiHKQOih7H@J=X zk!a$ET)xkWxxcV5S9IK~KM@NdfvLo#PJ8206WNPYF8jnV(v=4bKBGE6cC}^$Q~~QB zZ12I!63JQwIS*D=5|Ze}nk%F&p}1k(lmDlsS7+T48bh;H05wPfYl#^TNWfYG0jqZl z=IJW=OLC3idrIOjs)Y5++B^K+7R;H1@_AY|+;w$!<4Ava8gRvNjTN{fXP z*3IqmPC-6)gHuy_M13kzhkQ)=cgu<2u&om{C*qE&s@dc?N!gV8WN>coM$6d0gTPJym>p9j~E=sV01ZvxLvL zgfNC3{Gtu(^g`4Q0fxJ3UNp4*mQ2+64-;=z5BLgp50m@64)~lQpwr0{- z{GKiA-qB;?UO%jK%EL8a{G;_oL!jnB8#)fJ_ck72hs3Z6pK-=%@Uk*wEFXAbf~-mHCdI(5!|oZa06{B>{EmcMTgIw=dt=r2pSsvVs& z?kdcba8TRK$9&A3yHTcys8#*bs0xIq;|XU`E!L&}q?>;uqBiv3u$iYIZS&xOl97hA z4%tCG(Sg0vCJ{+TMkTr|6Im*>Bct+Mk~j0)4y>~VX`FQI4Y%41^CYHWdu9@Uu45nd z?6nRaKoA*EA0KEJ5w*vDc~7kT!_MCY;n+E6zuXny&<0wLDfAK$-;M0on{ukiyHKgEPPkJjFIl?yC%XvrZwv+ zNH^NHn(~2%pHh3W+IWY%JF<3~2fKNoBTH=_Sz^)#PC2qPw^OSDV7S9TWqboC=7HeZ z?JN%W#pAIwy7)aNqf59l`0*GY7++?V5=xWJ za8#Jp? zTTo;D=lzHt!0(apCNwJb%01rPnZ2mNbCfgl)%;q>-g$bclcLr*^jDfy8}dalq>syjwUW~Wj)3Q?;;v(_wIKz z(hI9$xWH{Sm#u@X5v}=ak7~6i7J8GdA z+p0qTVmsE^mU1hlA%^6$I0*jTcI^3XVziWywdP@R@+WWRez;UTm4*-wVKA}rvUZqA zR1v)NB?Jr`juAxY8`70!d*;+{FyI$#btWX>%p!(f_7>u}lGdBxU*$j{^fnwJa`^2Y zgz~WVEK7hPG=*G0o4K)9#M| z5)Nr99HfIQ+@wty5gJeydmQe2Fi8SFR{Mhkjx1s?DtRhABowL~5Ta87B0&WU@-Y5o z2bR$U9%DMP@7UYd&eJwAvqL%zBU*RXT{9mp5AF>2tX$&b+}T`0pS|uZMDyBuZrh1< zdz((0$4+NJ3kRR=r!RnO@8jFV!9q%LjEd8Gg4t9f+^AOq(As{5VJUg#bl`JXN~U;N z)frcb3=Vb~xdNyWAP{6J!WzJ-G%V~)6$rK>mw(-fb?~N2KqUUAC+LOVt7u)nVz1(a zdMo?opCFfEDHRL9-oLHy^2$zZOi$8BZHbgAywg(Sf4Zz5wU@RM|Eaz z3M7PXmno3TAom8)H<0jRXXYCxfF4k^hy^Rj*)-#@?J#?lz(5M2HwvK`;1!yIXTY&V z42y>%a44QKIfc+0g-~)s;7Psk2slOuR#l?SP_lo`YJ;1teu z|NQSRY;atkF9^;dMjSx(C61cGr1jffIh)aRy~x1R)#^l zvhF_&n#hSkixL?e>^|O!L36e59Z_b#G#>B|k8=7R`3;ifzqXT?c`%pWR8eTu8NDIv z3>Bc%c$G8`n;zNphQu>+;1VBL;0VU9?4`~$Mkf1{7{HRo@q%Lp*8BLUNasPbrOANZ zrYS53jHt)8;DF2TmGGL*%qb3=1jU@e#z6-qZ!N)G$yzH0qZPjC1>fq(iT2>^0~$Fw zoJ~hg4!L>c{ErS%M-J{gv|4Hi+`i2`a1qK$Y&9(BpLb)gHyyfeY;dbSTtKU;1t8az z^N{YW3(d1x-C1CZqBSLc!>|Pc-`l#gHkt)H`0w3WH_f>L{C0QdXiE_4R~M@I?S;7r zu1ns-1S|~FaS<-2nba3hj!fzryr1;}58f*_1fCzf*AJ)u3{%M8ACneGtP{qSNQ~S5 zGf(hjOPe&5^~z86CO=%@_er-c5|QE9#= zp`Y@Nj#}kQLO=H9`aUc4kB-{lD}{bHjmz77zfYsI@7hG#cQr}-NHe(?8?DJ-!83ZX zE{sM`fBym*;tzu;k;(5;FXrCrl@$Q7c4{m@tR20A+xKQ|diO(^$#T?kE9u?dg&>d) z2qrQs9)+P+rJ-K?6}vVo__*Gzcds+cX~d4;*URbT$8y9pP!r%VgD*pp1yrbY_n-75 z_sR7i^KHFZ|K^kY>DVRhu#LQ?H~W$XD8-lE(iCms3;VDjvsO0VLJmyeW;rlEn|WoQ z|8`)?H$62lcQ#5@Y<^!hz;Rp5vn^W@!wdQ{|K{O+Ma!Q5oa)dC>sYQAN{pgr*09rZ6w@ z+5zmt|LgPT@<4U|jEG9?XqrDGqOv+-{)~Pe+AF<4bHhpEsBdno6ugF_6?FeP*P4jA0q6 z_=MQ`()y={h7#@d>HL);%tvFG!$U6P@A~Cv9uzD z#a7dfe^d;Qy$yH-Jh4yNkGkM@3nCPX&lUWq7Z{_)6urQL2br>qGyCdeZ&G8rsEt`- zYRnQ-W0sg2v&7GmzYxS+sGLbbP~7n}1hL?8jpflHL9)C_U&zk==klm?h3H%%b*{ud zWpO$-x0fU$6YrvDfgS2&8wa8cEd*f&@}EMem;bp~zDUnHY7WHmul3B0ZP+7p4%%Xi z&I|v~!+Pi(@c+E8XU~)WXWyZ)3!eL!FCPla`ghU%$Dz#2e$B^VYJnLaiUrh7AM#5> zSu8D}zWyQ$`+r$L-TNXlxs!_p)Y=!>BA@aZ69!cdN^B4op1yeEM7v5?JPrOQi$oKB zP2N6mQC%M_o47BS^`$003}$cITO*yp?b`GSSilM_na*d2Fn@=Q^r?s&u7VBzOQ-Y9 z5H`qxT#UZamcI$2MLptV%Og)PJEK-DZ;R7 z5ZRu>6}uIlaj;rB*^G+w)r-Sxz&;!j$XYc+Z{H@t9WQjyg7rxTe9yi&TbXYAVACb6l_RMg~NYnRQxJD z^w?D#bxeA!ibFn1F=I%e>wS$kjASF+{$7Fd=zP8>B#Pl}O4?(&(%@FVoX-enUw0(G z`cZ}qXWH2~%8=zuJ|3f#Y0p)RGHh@zKgB&F*w|K;*&sP7yMiE@=Qv*GNf973Syy>Z z1SsaG6jzc&ae`t#y2OnUSoi_OY&i-BZ0pP1a}=A@>cAATSQo+t64$7e^4l*n7b~a2 zxqR~|=IRpLhNNhxnM#|MuFfEzifuph?iMEjmKpk_c9x+`Dh|P@iOc0+A|3zO$7%! z`>?%!Wb4lqfN>f&n|q99^9V3&#i`Ss&GU^B?qdDUV+al99SR= zB>i`SR5&swu+jbgTZJQ{qEXxE^$JVWd@)uI#E5uxAR_FZ8i*M)%meYSi5Q4C66HXw zPLKn!I)Oi!h=FK<@Wz3do7`j|-tQ>~V&oY)5V4am5F6?n2O=9~{fB|@FP8(6vP2HV z!X^L1K=fWB2SQzbY`ge>7znxg_+Jf#71HvbCa_We`$%9hvegv!iDu_0&ZjWHjtgwC zHmvOK2YU|fY{ic@a64jngc9j{iE9bz%$Rz+Go(}P^9bY ztedIG{*6Vx^g46WWKH7Hue0_VWM29@8~u#JPsiSe8Wsqjm%w9k*uRgJ!@fF}TSl^u z;iRvJNBv-$J^!rmzm7~1RtJfcC7&VI5N=gGI>qB05w&D%)eO-0V~Gq&k!y)?-hey~t-9e2_O52tX8zur%wOYunQwZNxqDP#^Aqmv zq?0O**EMuUUeb4hraGATkKbi}^G%4u6<2wiDCS8e1Vy35o3HXWqM)B=&TrEax{959 z4CxkgwVpA2O%xmHP!URJXc?z{>qM;QB};xgig`Qr?uA1;2TPXz9d0MW=CwJ2yzev? z(ZXZXn*lHodw6c*$~2fq&xP`>(^$xmb0~UA+&+ROZ&P4iDw^N+dA zYbgIjbACGUdF`LL{uKG?7(?SIH9z&zTz|BhKk_nYPUgp}FtV>+FIlmEkOz^Lu z{LicTpMoD9txolC6#3{NN(wRBA*~@xToZ>?K{4bs^fYu>BL#xJ84wZGfpCpV!+8(u zX-D4B5>M;(iFhG*ZMep*Co{z`oYApLJh81#JRR3>Si+CYWPU#D1~>%3S7^-`hky_| z%@*z;mynev-ST&xEk;B+p7$(%GXNVu&wCeh-&tVTz~0fb*zi!oZAi*Ahe87$(j1CQ z{EPy{i{o2Xagms-QAM~YCkgegoXxs85=p@hpQ8DH2B+xZ)MAZuBl+*M*~|T@EIMfc zhw_1|Ooc^fH?Fn8fg^OR-s195 z{_Y&sUeF5E@-S{Uq&y_tMTMt~Xc(&4;!G31We$s;AZB$!iFL5@BOUpNmZn&*M%_3n zAUTtc_X{x?#LUGSK0<6{2q6M}(s_PJK*$i5u-gF(y%g$_z-PYArjNGxq#cCZFKoo) zQwKc43@csefgNRGi;KyRgf{t|z%lzC%Yc6)6vw@!1dmc|_ma8=9cwSCUpO~(FPuKy z-eF!Z(W#{2hU6A>8fmy;RSUWmEZmURf_PIHkOIZRN*o^05PQ^AEEOY*bySPBRg1Nz zV%NO`+Z~!QQ}mz5GAZe^S9=*StLpvpUcKs zIo;dCd(ML_p?zD*5|idgSz^*0K6M@p5?kl+4fEI#PidpCp*t|J=$3;*$v*E_B-wS= zY{{-S%;qiLWnI)IqfE)Jul*mK#AeOr?|jtgBsPAQWXvnyWs1q(-AtCCI*GxU-psUK zL}D7&i<+tHMa>%JW$7e_^`d5`^&$vcE8k)0qUHmRNi`}QKa7@ey!4iYpVBI47t7FL0zx|0Nu)kd}Y`9*g*Y2PEX2A3p#f9sBV?G0fL>|Ldxv zaj1GvQ;qLh2v3ZG#s@Ig#jy8SO_YS*eEHPQkK!MF$Z*Sd6wm+g|A>XI*%Erk-jrBa z{O11vy?Jjw1-;2{Na$sJ^xt6N{{TIb{W3mg<9$hM!>Wt3Y1IWsj+SCM$(fdk!e;(0 z%pz9zL#-B;L+9>;Tzz8MhnlZ?@r+m&&|12`IlXM$1IM>x_M_bfPv#F}*?>0foM^wM z*fbRe>BF>NbNE6wK(pV6$1h|~187GT_7z0neBJ(GN~yji-n3y;uVl*&n?-n|4V!qJ zkPmFwJh+hce4a8}RTf>+;OLen`!%mBKEB;(yXJ^xB(Yk15dF=`xFU}?4)zFY^SLgu zO@}E$!o{cY?dz>9lsO(T@JYknPvbmd^0ARKY7u+ERc?_?^N@Qa^E}9TF9IAKyCm}# zv5u}p2H~A|h^Q;P_YM(t#s0=aAcOZ7vEE{f$*$396l|6t^Yw_ih1XaKHExc#J|q4In9g_dZydGM4t7S!YYt9x*Fon7Qa;kVm$ z%r6q#9Ht6vb5fsn4pVtu99wGM2axqZ-K872XbSo5`oA@q^LX~q|McJzKRw-4wL%ZJ zA#B1VuNs`z{Hc-~Xn?c|3e|55(t>)=o51%imj1i`OW?O#c#3ac!UnQM2|`OCy+ME- zM)SK%q?O7!fpsOL`H%z_r#bK{FG!#zm!te_0(;%*9LdZLzsLP-uyZN%uu<$*;+Nk} zU~YVNBJ-b6fp{$5V!!4jZN((g^rmXh;i>o~QIz7;4(Xd-cQF8mb2*@)QA|&MG--&g zT5$hDHu_}&hB2x}@egIZE|HCLik%|s-i~w`M?7Gf*LE%Alako%xEP(@;zPTXWQXS( z8sZeYnfT1sTUf;F?;G`2WknC$>Wk_->b>AMa|{RD#iJnX9j1p@k)r(=WhE8HwbX8= z%-T8lL>CIF3o#RA7v|%M3mFKu7KZ1N3S-+P1ipZ#;#hgWdJw~_K|2t?m2PNwiMCT2 zAHS3ZXs#ULE0?nVn)Xxq{-tb?fBUH)*c^SRFM5E+91Wg*Y=Hj$*$}HTSS^QoS+90S zEQBIT^r5ZRV*eKb;)_5333HF@f@XC@_5FU&rbo$h1R)@wV%Hoop$QKLF0e1rJ~-?l zlr6DqzoAgk)*v9EUc_Be;{jQ#aH4^&9c;1z_+f=Q6F9GUPg9k(3Sj&*Z4jI>+BGT0&w&lil|4n^lDyj zfYKa&jkit4B6n6b4@_ol9S^VE?S{G&J<3h_=UwBokfKYBIll{{uDDCp&;jqp=?_|= zr#)BmpHYNIyl5%z)N!ZrG+!pI&v}G~(q%g_?(V!gnRU=CyT)x(SUbP3DO_IGHXr3u z*W!^Epa@ujTbT`Zdwkz-LHF^}?ZKi`sn_`A6xP{)t+`Qaks)4fR4U$$t1?B_1!~q% zQ`Yor{1?i)(VUf3y=7|FbjlhivvyOnjxlA;yvCa^N7ig})@&qCRkM=m)_Cn_QR~It zvcVHgSqrc6NXlAj&PobmnwqtcvaXO>_0m?+;2XVUgXfvD)?DM$k#$nNIcq(V?@_Y~jr>z& zCDHdy zI?q9@X6+7sK9zaAnz6Tf}De2Dk=%pyh*IYsjf)An*WXcfg^@+OzW{12b%+{JJ zA9$U={29O<*NO(; z=_wmrYRbCyI-l}6EOF`A`Qp!6J3Ezdcp~1SC*Slrd=xic=SM$huDvqNr4K}Gx>~v~ z-i_Th<06@;&TTs6Tc9#fnUg>AwyUr@myJAx2M(58KhU~O4;MtQ-4QJak^{AC!cn@o zWlV~%9f;8~Q>zNE^F^yzXWvqDm7YkPeoGF!J7s+7HZuBu`EC=ru7L-w`+d2K*Ci$%JENY}=OTc_5*UtmVl60Th5Q&+QYai$W2sDyKS zTHqe8W@850CDhx_@0n2FYC-=x?U`AHo|d>!CeSw7*Un;rM?qAV#-f!KH4Rm|f~by- zLH|Nfo%Ti4W;y?5ga0SyAPb3L;$^OLLTo3cf|k&dqf-S4iVq=`AZ?bn;|c$A->z*$SXMO=-^>A@!fb}sl`ohzm~cAdz(Xv zS-ISlxUvlI#=SSGK>xn`NFdDes6dz?NPb5C##$i%U?X3;7DViBHQ&4zUh=_4UbdFG zI)s~xBu<2i9 zqtb}m8OsmQt@b`UbmXFv;Xw>V^lZLtBgiWS87U^YbW;OYnTw<#;~(D=zX)-j|T9HW>M5U$XAqvJj;o8w&Za z0;vfW#J+PgDL5xXQ;p%|S)gc|E`4Oc(N;qScACIGs{3%uKU=3`TXMEY#^8GQ13_Lk;CA>V`0 z?p=msA1?Y6EdYg=dDedsrek_QPiv^fl73~*T_bn-3V4lUfdju{&JNaH>lX#Oqp{GYB@BB6T|p-aEXwBN zmT(t#`miPMkPRF!*nsj(LLx8HeDMa35NNjF?$TCFBfNn;k5#ua8hxQ%MoZdK)|h z{>kkLKsv&dMo~LF1-NPaWse|CTjC{QnkeQ6s>u(=@ibk(VeX^D%@suZiE$YRRQu%$ zj1n0hk*4G%_0UKDIFZ`867f{I1Dc4TqylLybEZ`Cpy_r_pTD-OnNT2wmE9`5*hve;+EfnoXxM~-M_`)m2T%Fzh$n@@kpi5iIoMlc(EDL#_Lh% zd0dH08@}>e*4i%-afIoFv?kSvs4A$_qhib(pSneuHyIu=rY_|tSMoF8vMvs*%q`f6 z^pSsY+wWj1NUP-i=((Yizxo|og3c`Zj(PZG$Tmb>mJ_QGS-Rn0!XV3B6p=aT>o}a=Q)iwLr-uH=xf4EBU({SUZOk=0c1pWZ7RlZ3C@4 zR`Q|^vK?0`^_5EA@_VGNHm4@qht&PQXCA(UBe{1GMWiMV5oX}o1T}*YaxId`v^st{ z^_Be7??I(Za1&zAlLCTT{l@}o8_iI&xWP|<52)GPFilXBb2i-MEq*{N9d7X6Kgd>& z{Q<3XxxqjD0j+d5rw)~=H~hfDT|E(sA;Srw7G6S*%JN9XGskxZZ}B7R?BNR@lkbg0 zp-Lsj(chn%-tqM&9LDzsAM+z~*KGWYzxN|fFBmB5G0wf9(q=kPQc#*8wE>tWdv5Z) zAE5_?-ryI1gj41issONv>`nAGbR#AK&7DZ&%3YWQX&z;w?_`|AA|~GRC(258pO=-) zGglI6t|SJPL~mrB{Gw&rSV|k^rnSOxVJU;p6RjAZOFhFeC(+bRG8tr`@A@3i8+_MB z=G;DcYLkA>Q%jb!r#DQ9t!?CtDgTSN+{C(j$H&84baP2WoKRBx&2X99D0A%nLv(VK zB_Fey^@u1$CvhlTE{!sbEBE%`8$*lr_d?^&fe;t~&op$oKn!yxQpsU1=tIN2X>yb9 zXWiiYHbbtrso}RaGf(e4S>a!2WoOHpHgS)LBN&v+CJx;~lj{bL-h$4axWQAlptC4x z8^XQM$&!54l4_ckbj4g!y)4NxgL(9=mN_cUkQn1Mvtj(8h?!>z8oAVk@nSSFsx*)@ zEy7ZMv(WNaGJv9$llX@j%(K;91XJs1x|ZMMKch^|qZ|BW23lub#UEzSP~7AleqvqR zY^#J~yr-P{DNV_Q%|tyMtN3d_A?d&xzT_u3LbR{qTYiFPfJYTCRl}=MgvPsy>$bww z=~u2QlzP`YGUndM2@>gKEPO|4GnpKW8#&4{qo-{ix$q19}5VXK=0VS)_E!oy5I= zVeU3rs8pXFG=q=(g}vAI;TG6c{a1}W-x50ve{%S1%OJr!v#a>UU$8&G^A>Nl9S%x` zRlNIl66{0|h(g5-6zU`icH3}wD|I6th-bD|l&L8tWk|lsHBV2Mc-59VzmIdhqNW%w2wr>%lMXV6HEpo()OIV3$V^MSDm* z?0&(cU=DznANk1#Ho1i8IkY_mZT`GDj?V*Zeeo%{xNoi>3Wpe*E)9|u{K&7&c?R)f z%50M134+wQDLoSGjO&NmLOFjKwOA}@QKB7&7vPme!F+R-IK9DKrTxEGIapNbm(ELn zWt~Tv>LId2^$@9;M)kB6^_-fe*0UckAfQkR^6-}Mz|ubPCKPWFPkbsh>+U~Lv|r5P z19!4c9>D^p5WZYR=Y)!W0=bMeeBn+EaX3;+8}TwEb-;iIbHu4r!Uz?3v7I7*uc3u`5hkj;GnBUf%nG{kWhR{l1?L=~--3p{KXy3&zHi$kz@j!#<9=Mi?x!RD;UC~aD=8N&= zpjzPjihO~+qxqH`*1h=@WS~(<=kw|vKrzt$$lJU=2g2dBYThvy;>{d0aA;P<-{K>3 z5j(${zl&JESaWPCVwb5Vp+dYHr$ZSw*tLF3sC4cE3b7u(`H9uMFqgUdQiJltWp94% z2)1Z)WPE3% zYdE0+UQEy$d356J_C_O9lW^H}?Kg*8%Eb2kQT0zGVsfqZ2iJV89F z`7R{vy;e==U_7%C34wAdstNS1?C=cwa+_VOM{^f3@YWt}xIUwWqh{PKK4up-LRVko z(Yx5>wg(L-a3bwtz~y4~O#)BMiJLfQ|5aChZWrs+-o12hi~OK>Gl+X&u9&PU8d&tAcpYmvH5paW*m}{Eb5eu3&Z>+zN-E=MRE( z?Rb7ZYu&2wwnRq@K*txy0_3xvn$p|6WdUT2vfJFJ0Gtcw=e$(Fyfo#v`67fnRZt!? zbTBRTIqB(qdjWe%@v3)aGrQKUQ)#RXdG(!LzJ(Xe}{J{28y`U@~~po*(v(6wDHm$ zH3ol&dFi&lh{qSRQ5yGJURKQ7TBh?G#Q>FOEw|r|5|Z!me!BtX_)mD`ZeXQvEnm2s zwYQM~I6sl!E{0uVU@b2|YWvhXQX4Z;`G>y|kzIoR9ey=3`-F)k9XUPc$b0W$lQhA# z{L?+GgHt$Vz|0}^wLwW?DJz23@m+h^OV0^}8&}Jn_OfWrlv*674I!egD_^^pg|&?f zB5@il3;F0TClUogM*W6A+{=PB(X~8iAM4t!8lXz}+me>q)*|Jieg=Qz3(w@7SIZ6i z*srZSJ{z%0M7Z*IN|={_$&hEV)rbnizmdy-4qea))0h)62=;I}c$Xh8fd}hSTt%XU zd$^mTaqErXNN|pDH}?1!WDLl{IK7%20$xShP`EIrqO}Ge&ph6_l!a>Q0{NU$7SaDM zxwf0ylYY19#2|gr!I=IM5&KU^KGQp6Ex)&)4Q$=-*%IetP!2%;+;E4_H~@YU zTgy`pV8J6%f&(D)OuNH(P*ig5&T|Kty`|=^8^3vwz3kTM`DdC}X3pf$jrTbME*f)} z#~fmvoy$dxadtKk4&EgKl1}S><=YOi$*so?e5RbjTHf(68Wnn%haAQvD6Qo)4>Rx9 z2L?QoFuRs-q=fA;s)yOI*5S`4*jUTEA3=ipJ3QhDh*xGUpL+zZ+}pDFx+Co6)(iSS zQ{viM{)93dyu&@pkRiR64=V%7y4#+ARK~(b1GU2Ke=ZES`eY-$Dxaj|5msbuSsNy9 zzMiCA)!x}+Dvly^&@K^gU<72mfzYZSuOAntoD5Uy!jwmp;NAAz=_niF?2m*IN-3Sx z6K05~g2N1{>EG~w9c7~e@s%>}1G9s)#qZ|>+XR;|b zL{o}E{j{swam!;Y!txtF`WSlyk8Q`;a8E(zEIPyxnJYad$eh05W1nov=LM0B?(Nw#>U>o4c0@zfK{v3Yq7e923aJpbmF8b?Q7bb`6I{;ZDx zLb_?AY`SI^zjcBQ=}C>wt+Dg9&7qc~r7yhm5DR5Jo=KmH^b=09ZY?T2$bo5+Hhl_T zdXl-%-sgz+rtG4-lp62({v9jMc7vx72h*iclrgT=ZNdEW8iy&_UkuagQ=m=CcpT2U zD;gReTCjbKB}Cyje4ie+DfhVU6zgt5k55{+Ua6MVo}UfBN8}Ej0o*9j&$R;r&(t!i~;X+nmN1B z$N|(75l7>P*GRmU*YL>G%)j;NUZM*XO@Y4g^gjVTX*L0U?lb8L=nm!BY2AhKk>$+o ztt%}F*b9v~`4_X#_Ix&c*`P_60?ZLcKyKXg;*20`5rOZloJMe$_N~RCPY!lv$GbwF za0tQ98C^svPJ1i*piO}%b=qCxa$HM(q@1;93w)j)fcSg-ak)AG-OrFu$~`{(3nud{4`%7%rKLhe3DLgB*F z(3J7np(zo0`D%E;Z}(B%^RhZK!Q3FnwIpdE=BFc}xulPwe9U>~;2!-eiG(83IA$4` zcSN=lu}n>qpK*`JpJ(p&S*AkB?J)jV{_}a(J}gfLri@QD_J_tHZgytA7SP;iq}w!n5dED$%pYMHM~YxY&rE6^-aQ#)O_< zU6klqbdhx)OmsXf;aUxDivud9H)7~UfuVw~Wa3pz053_(#;Z!$3srpWTLFCS*iFEK z>Oew?uaOnc;A={xz}Iq#uXz(RUTcRu8Poh z@}+-5+hcDK+U9vYou1Hk_a)Y)Ra5?*TgvZVVpBVtnWr3pNATcziavZiiNAlD4RB~m zA3`=_Cg)ye{e3|kh<7EW+gVg$y)Pu2pr(v3&6UI=q)??4kw88{Dct`A0mIx4`jd6) zD2L)IhQbI)`y!1>EC}IIMf{(QTy;!?Bo>)}0wUjZ7Cod=&A`9#C+q(dv1mV40H5v_ zLxnz9SSP_hUb@0uJE*`nB2EQ<4PI5?*K^%E+{(EA3Tn#g^mI)G`vX^4;J@?lsMiSg z5znM2*!R84M(YLa3rBoNveOHD#1zZU0GBAN5J3lVDQ&pHZuOmRHt=>hb?R+jgMWtm z`Ig~I3Uwd{M~oI`FrM9-{UQ>v2N~n)w#Bs4lFVr`WoYC9$ONO z_)FKAW8bEv|Kstu=>pP%N67gU=l$hX0XY)O06Bh*c?ihmUuz_@bZ?vrIb!=Dvp27S z^N#2!!39hf14H2Qzs~gk4z7EX30xODJe{7vm42OdnQT!fY#*9kme{NHj@yMC`v5$( z>eK0%{I-+NH_Jnn^)&J2={a-l3_2Xfu6$3!i=eaE?d24WAZ*-ntN4U>FfwN^8joPb zzwDFlHu>tXOe=ltm}BBk#+BR5Of3N)&)3c?6DBq2#5#L#DDM+tgd1x zg#T~8Z5`icWCJ~0qhy1V8-7u(HfhWB<^>g)EsE2pcm)#dhW)|d*7Yy0t7N{f$4}YW z9O8W{Qi`dcFo53lQvFF#xG21?Xu3Re= zM=^|t+DSXzZrStnN}Rt;JFvo{vawmTuo6@{b_%~($%fB}MOmbHV@p|Bz#SavgR@!_ z*HNf^OE$lyncp(-M*FZL0xrfL!4{~6jxUxZ=9o&t?kL(8RjoH<(}pd*Arl)$lW(v< zs`J1N*1gx(BlfhpK`*YXi=bl#^pI1ivGZCvTl8zdT?&V2uN;=<4q;=Sdllr`Dh|zDG}NGt!6$aVIYo=ecj)VP#qKpc1Bw?ia`m1-Vfz)Qm+`D}Xed>* z!4!f#L3rZOn?O7ab{B1ZV6W`6!#*GvIMbj{D0vcWAN&w#aQ=HT%RToTC3DkmS67eov{S5Cm-QTHr?)BzSG-TUZz{7@LP(4~-v{$P%IQf< zA3H-x4dhfDJ@YumwgJ1!_bvLW@&{CHu=Aw0dDZGuf?H`qQs%ef({8fXnq4jVhc{Wf z7aQwagHrxOebuOMq^xhw)AjMwH`!2|xradlou(h=oo?Y|Q7RV4x*vg22_1!H6kMf50Stz2spne*?;=#r51 zD=4AjFC2C92-NlOzgYX8)>g!}ks~u6LL`dVXw;yHV8a4j=(eEDRD-S6EUvF%J>oiV zv&XL0w*i-*<`G<+a%_Ca^8V^+2#Jc@_QUVB2v!2qUMThpHw5m*SLkolH46)mAVg;& z0F=`aIUy`(7uf76^v$=$Pp%`{kz=$JGQ=o*4QO`YCrGT?Q!fe5crE*8Gx&5KxI2LV zRl{DhkC(wVKLVC+&7Am@+sxa}5$pm4xfFcooDE-n8%C%0bv*Aj>@d;4@(Z_N3i7Dq znp)Py-dk`MBsL;31Y*BhMEce7iHLLKQ$s<$_c$S}0d3 zs~zxa{BVRxBv<=t{8h*ci@&zfM7Nhm3IW6di6DU7zo!=8 zu@1$nqMIk;Q~|^nuMj|9s)GP>&Hm})NdQ?__fG=IXW=A(eEdv$5SmwvUi^cz@%U%}Ba4?KP%^=N4Y&%6&}_5YohzXo3JzhD!1`R~Na zv4?l8%E}`x;jWmA@e_ZcQQ5@P!=vJ9<1|isuwSu*4DaD7j=Ru-x9ZvW=|nn-yW?o| z@PO0c?s{-V!Kvk&V3Z;(4Jl=qWR)d2&+hNoBM|}Rg1ZZeK$f%{VX@V?){nTmAsfuS z(*xM)smcfN_FzRk^#ODC)LPIEd+WWDk^AkXJ@86#Xw>1*fFk=9S!fg*3KqqWJYeI^ z{9H7&j7}DeOI$*&7cVA$zC^T=qGTiK(7oNn&(8>c{sl^#^N{t4ySR?{?s@z+;pfNj zNxZq3BArU<3;aAAPZK}Sq%iRF3_K-2|B6D8=LpLZ)P`z;6f;%IKB56O?if|?*Z?Vd>sqJs1zvLrHkP0!AK1=`bGpk z#ozZXT3Wdefd;!-Yq{ei*2C)lBT-+^k&RUqKKjp9ZID&H+gVh#5~-i5itl*D0&Uh5 zfVJBt7x0IVn4gKYuY)M3tP%~wd3(42_6B>;^TrrF}0JZdmGYVO_Q>lyNPueDyE@WA8=|>LPL>0;82`xu(iSeOg`WC zn7R13-v11fuiYY;{K&qN$&W1pA2axe(nWWB#3u&#)ji?*DZG zFKB?n6Q<+Y1~!g$SP9rZrH`%-YW=i2njApvXVor(BCs%7gg zJ=3A*0aCv-7kc{Sce<0Fo|pSJE-UQ%88U?{5vjq-wVYLT{JdrB-nv*sDG})qr6R%8 z^V90Mo2Ip!WP*cOJF;EP_|HIz9fK^#^f5RLV71-$9C@M$YC<-PjBnl?mHo7q| zEi1JXhMD$sz|x{e6yl(4H(RO1F%L;kR#ui+TB3-cB3K@H8X40%vwXrDlEt|U0J_T?ao8|5Upx%f)UhK zXauzt8bMXL5~FIij=HkGEZaqNqFCz$k?4jiyT$29X7ftis<=vX_CgjU->Q_$P5B_8`_K{I=jdRd1*JM;0UG)pFRh5;4`J=yBOu#*XS z4kt@vamQ^>dv!|r?GkzQRIEfE1GZ7tWpFm6!FXT+*qiF^h`niuR?+nxZKx#0u8PVXDk^Vu1}dlC6c`8w zpP(5|rNoHg9=AfJODv)C!VO1wLgi~7?7<$7m>t*#dbd?wI?Qv2hRb=*ugT6Ql1*GO z`p}g$*_H8KK4(QtoEGnOBYOaPE^NVrn-bt)Q6!R zGEpBUcV+#Dq@;_f?hhw9)y)=DUCUN6)g8Njl5~>ksEKAf|0Z7C6|-F_Qq9SmFxyoi zrCi^{>$|dGmxw0r(v9_hA_~pGZZk{lPwh8-#11sy&ScNc5KPvbWEI7?n*rmHz0?DL zz!{q_2|jCvmB2O8X{u1rEWU{sbYsz(CIKk+#qoc4;IqHZfY0KFo5LXf=TJF_C!-LD z889?j5Qi9u27O}cejE;)bdzAFFU|;(tSO|W^E2^yPu8jZ>`0qZb$r(%sE+SC1l93f zkD$twHkBz~^#oJyxhgv7)Sf9TJlQRjsZZWc1fALeo(LN5h4I~8CfG4GwTaL4Vj*{> ziNzQE7bc))c@@d4fSs~JEH!fCVtq9MW@cvP+x0nebE8+e;wkt!CuS( z^&bwAL;R_Zs6UGVgK^OaG+~H8?yU~-W!`P$g0|2qHiHqwZm`T7<6>cxXlHzT47%xV zg~0()gh8JU@PxruxSt-G-gt5 z1dEr+sqq3?lW>=qJU9 zZc>b=U?SR_H)m`~iuJ8n6ilAxwPsNGq=nlU3k&cZ9!4rC=sxlA%rO(*rCC9`061RT zj@A!R*!}HVU((ikc)xFwtsi`@UF${jcWBMup*4Sp*8Ck>^LJ>?-=Q^sht~WZj?M3h zjW;zvt6lTK1KiJ-SzOjd@wa{1D3>%WC%$a3uL5m@$!sOj2#*HQI$56H#DjXW87{#y z_`;qn)*}m(hoQ9M6ff_|{B`+qKB~^6GqFRbc|%V&(WS77NB3e6yR2^FD|@klN&#j; zTT3#jKP~>G&)60G9zWWP^&L+287*r?LnEJU1o|VSM!chxouge{Z z1MG3J+sweJzaJZMyPwP#Ooi>HeB-T(9}JaaUb@OnSym$?q((|N(K<|4YBgV)&H5>uB^dy-R^iQ>(t6R-<&Hnbi{PCbk5@Z0sH z7kVVdikFLm4GZBIChF~!2@5d}kaREo_Ix{d27TS$E>2zuZYKMHr0|0nAlOpMrdT~i z15G)n_=~++d{^ooJT|TXK`MJQyPd8E{YmsTA-0BGKl;nwu73!<6-lDEh(~XAD~5sJ$*tkKWd3F!Y`lQy1AW+CqHB0T zgSytYFB|+AwE|tEzKTG>3XQ8*Xk5KQ?ON^%wQB_{G_GDDyH<&=eNKb&_@cf!dtJ~w zPUYe)ERK5K?aOX+K|4(RM*5O1OXSQ`^6w;@Hi>z_B+ihf>8Hat?n???YEDg4`LxM7n-*&?Y@k6#i*O0wseAdqiCr%>Y#+gO@Eif)Jr)# z*Cj7})Wb95&;JB>f1IchtNKXOTmocIC=r$HAN{b=F_h)x6PzdF1m}r3IT^|M{$0}0`?YaifyQ}=&#-BO zgT@BP;^Oxn|7-w@r1muoVDUX@T)|}+W-m=d<{M&|AHR1X>meJ9w*GfbnY1d2`EtBk zqd1W`k%5=S55l#sl^7gO5YFO;Ni)#O&kkfhnZz>Sp(1rO6se=3h=37Ij4wygxL7x< z9T+6e2pwlZ45dpdS*%nlMoBHsvnjqI9b-@fA_W0ROOiu!>Io7I5-e-{-{a4Ru}Ifq zoHf1^#zI~FpyoXi#`?PkBXEfVVF>gYgg_($@q<{f4>iJq8t@Sn@w7p#PbRh7vb&Jj z1uo%~FX|TF1TS^ew)0(FwV-gyShM&GOI{svQ#Zu`4xyF{UKa5t zOA&R?8JI#z4Q_t|R0W_t9<%oiLeDSg8wacU4_5nj_h7YeFAi4www?miw|x|#zBLSH zVLntd`bHo04WlO{oCQTwU(hTPixvzvqE-@!jEErtX^~e#I9xHy$n)J2T-6_ZK{y+% zlJ|7ecK}dHEKq~e)%i}KgHlmu8wv=!nR<;5}L}8jSH410krqUE%9wnVAmF6D$WoY^qFVWJ# zz>kKBzqNK&>CJZ!VZB_yxyOg7{pxa?+OJ`^sr`C@0@SZX6rg^+b=$3|`~7V!aA%6jGPJO>t_%T4=xG<&MF8^=55Ppa>IkS zV)rPvs|Pc1fyY{lWD6%T7_%eE4!kCk4WkxbkF-zO8?njd6NhQS0!_PeUq(~0+?a_t z`;;xWW+Ki$Wy{T(h?5Pa&E?e$OxZ`ol$}p4gs{-Ip*}*B;g^_wT#{1{8Aw`q1aqRU zfIM+pM9Xn9C#DvxrwOrZXc%A}6GI0(6ESokm5Z?>ATa<%Iw+LU%V1eX!@?N;tgl7|8F)0c)P&ZX=-ordFe9*?2jd{I&5|VnyR=n!#A_gCu9PO}w??oiF>QZ0f(;R~b=N4B=OZaVJb!-_8!ILtJnbuSik%-GWz!J1 zcswN#`&)L$U-{1`ZqSLQHp0KeVtCznXMfSHhAs`iKx(CU9uSRji}7-MG#e?Jo)*nQ z`=T?Xo(+z~MRaQzT4A=@AZnD;0#p*McIh|;s7o!;Y)B?mMjlErAxPv>hX{%E{L*JX z%Y{L9%Y*_)989!MY1QWMe`AC%gf_G#Pm0&RPqt8LEPk%6Pm^M&m3a*l6B6E?g1oqHC?ml1C-u zlwYiqxl#}kiI5gb?83rNc-k9p)xU;i@~crSTCioe(QRy*7fx(xqN1$DI3gBodDm!_ zE$2~y*piRN`2jV$8Lg0PV zaM@*}vu7UtZg}gI7zi>Ex8yOXq->xHNGq^}E{au`(2`hn39XAomuT$WqyR0U`Z%?d z6XRIeEFz3L>eNA}TmE7_I-=HYu@<9Sc;puwc#B&e^;8>q|GwmOJWiFIsGEe=e<2@} z+)M~orG(5NLIy>stx0gLG2+69U;$MY)>t6kE-D=*1IGl*>olN^=Jh^kK25Vca}4@T zg7Mp9RNNe<7{bk;6d>I6zC*>$*gI||Ha&X>>k}i19rj-)Hm|&cDe+=r?Mn`)1(78S zX+m(fA00?sVegYhVz9OESe3-4v1&Kc#;V;|MFHwY83l-sPmjHol+-8wKai51h-aJU zkPHO{+$QiI7ReZ(HOV@^6XxyQ4QG+mREMj?>Z|qci~X>z2IGzk&)uNMSBuZoTb7dI zahzr4hK=~*IuEoUSRnKKXVT)Y!@wRH)QoL2MtLN*>f&t2esE|g zHJ%oCE@P0i38Z~#91HF5)GQ7NmtOZG*Nh)hsnU2tQ$Kk$^U85dQH&y`26?pno+6Upzt*9ae%$Kv*4QDhN=iq97QBMpOO2uHQNZ}9O$wnFcP#>B%85)I-&6_2g zM<@H6#TlD`!t%JS&WrM-V+uks-`ok!R&Xp3BV2rwFJ&UGNlu>uhvq+ixnSTQ7?A(P)3U}Ln_Ff(_o;~Uk*37NrnZHZqO#Z=m zw&Fg)1>tVH#jeSs?56sR;mU5xmSDbaX!<@OuOh*GH9=?k!fNc{YIOA!unBozCg>7> zGP?S!pao@FAVGq8U0Lo-zG4C!7Dz+e81A{71_xkI7+n<^Hm@wpn8|;fz=B7JJb4w1 z^~tFf^ui4ZrB3sETHJOMVFa6DOOi)x`+QGBbuKF5!%S?zph!umX<*xqgvynKNyf4g^U)m-ufD5qOY zzl-@;)3?Uhg9}^}%)j6+(h)E2e;3*ro%HS*SK=)_<+f5Vn7P&C7!P`>XQEXdV=}D zh`r&s;M#2Q++CK!t&>?;4+0U)nUvZHJ-~LvS5IakeKLUP@#eCR{ZD_5Azqe!Nd5iP zY3?$G4e1&ohDh1&L#OztDNup6E60X%K14Z|DNNso;Bb8MK9z$Pv^t+eee@gU9Gt=o zU8$Y~vnWTohpqChJ@qyEToefm0wpo{l%_ZHJ@>HnQB=hUJVhEIxWEW*Fd?%s*0#Xg z7#p>F7Ls+j0XXb3#x_z^1fqE1y}$>)Tkd7OG9}2DFy#^F%EvR7VR*K0Ierq%-Km>J zg9TzBJSC@Y%cc$_&ONZd2acSZD!`#m^SyC0xGAd+1i-)Zp4u1c9G$LtklbXvF zxjxFO<7=m~?92@V9vy9t4tPG$a|cZ$=IDD;0zJ*qhw|v}5|QYJM04~VB7TR6e@?_V zK1cC`Mf^s@|4CN};_;%D2u#)jDOw;60a}Oh_8*<+DFSZ0Gu2S;X*KY*mZt1hV^(TO zXSF1~mb6hzx}+sVX-V6VBrhdY>IzbU9#iZWiw2Re8Yjr=)JlBzA00c-6JIyv!iO3m zHdkL{oV1R^ZS{cEyKr0mO9+hg#9AEue9hMVcF>esDqj6c-3ptt8TW%xDvt7(?q}nB z#GzPhU3OHUIrMef&5zyBd^3{>1$d;eAnPf@!8qOV+$?GWT?r`2B4`(2 zj6)7Wq)e^1rv}?|G}_}LDNdJG>k8n-1zB-9nIhlfm02cP0wNz$Lo2i9Ae0D0ykxr4 zJ&AY_=X}9X5*Jc$=u7RRFSSl6jgM#4TT1B(Go!^!cjc2(tCLfY8Dg*MR!jkcjphm} zYz4=q0r;-Yt8hNb&pp5^ z3SGe?zz%vQup=@7E22&G1+BYJhb9ZWV4Th-h|VH@m5RMpcI?$={J+uJ=>Mp*eC!P7 zC)gNGe?x8h8|d2H8Mye?3zPE<);EYOAK+Y6Gko|$7(R>#<;m~?{jZwA?$i4Js#?ga zx9oqmM(k{j*x4GfvneC#B(pVQXKTdH)`*?05j(qH1J;Ngovjf&+kx2G8nLrAVrOf_ z&YqLQk387c|21mU*P#E+53(Uay7duW)$YmD1I1}4E;;$$m!ONa`P@zxwtp<-#)nvh z%l?J@xrdk`kf!B3t^NZrDYxo!t8p#fH1`jhfi2t0G5H>Qh&|PZU?4x&R5rGn=si39 z!xi*Y_n+4C-yUXuF~P)r=H-6)U}HfK*-H~Yyb&o31n6BqG7y0Mz?!wNEl91U9mj>K z{N0CHq#u=Ti|knT1X=cs|3cX=?aL1HI`4Ovb^ZdH6DRy;0}p0008sxRLxlO`f*qQ&z@ z3d}8GylB%}vaOl7Jj!BS%9{D86c%C#o_^e;l*BQE@P}jj5-usPxKGF%iDwdK>U@Zq;l@?uZF-aKd~>)};_ z2GQ0FdsvtV-Z2x(|C(n0_)Lsem-nSU^o_1D#@z0X?>U|3H4K#BFu-1#xq z-^ZpU(r%bc1fw3qF0QeeKY*{F?JY+tHUk8|O^`*I<`nAejHzp%-G@u2jd zLUkYn-ahAZb@ro54r~agDS1aa`l%#qt^_qG;?0n?c*-%+Y4h z<$FvG)p^0t6r=bFv(@6Co!xdzl(fiV2Z&uef++sY*(iS12FK#*@^{1RTip^hoV<#W z8r}h(Zi!BPf`w;Bzt$68m%ToECBiF_Ty5fCWW1OGaI8bhZE3O;C(M&EBMI~5B(siMjJ!wpN^Dzde@?6AHX<=R&hfdb{pV zvc5v$HS|f=ixgg;B|ga_oL$bZ;V=G;4ZbY~XAyXGOj=Zz(EUEl2?t6rV&FhWsD19M zHCVjO$+hM2DDLRraNo`(&M$CDy<)$d@pjmTf9d69xZe0)!fPr!rs!kEkA z?uL)KC<{D|Deb4BNUL`DMG>9AH6yMF6XLq(<@#7lZiSI~s6(~VhO0srl3)-&n)Q?zTkiofcH>kkCQZpgj| zvhR58`1vT857q-;NOII5GLTt__E}F_9fK8le3?7N; z^BfzM^i(IToBH=L3s}4!w}|$TWsu6z=feW^<0;vEhpheq(pzSTdYdvi`rS^2e1dIEx5gH*bC>r7y=fVQm{VaY1 z{Vz=f2U#AjOR_v(pM;BJVZl0HKc9Idkn{o80_t`~3r@N>0CSF|h(we&BTy-5NVjz3 z9_t`$R36|%7O)O(>0+RsgRGIhfW?hcJx^77!SmF3%sM8dk?3F+P61W_Q+bm`4&k*R z3zC(PKrSwj5{SFTgVA*a|@!Dv}sf z2qYi9z{WW^F^K)et`=4e^;rn@MBPrJ{x~&{r5_{<4@Q{MC`z*!_h3L4c)N&@I6Wg#7z6*{IR$OK4eJi@z{w zx5bmZWPA82ciMpG5mQ*Aw=5A^?K00~G0%ElGToLc23R0;Z*xUL_OZ=M8s1apQ6f|`#TVC!Y=-9R>wg^J2DXOa+XTG$d}uQm(@l* za%?Jy_w>th5EZ`cIEd&RL!HX#%mYQ%zT7s5R$mu`XjL}G(D+=@c`DI9hDK#~7(-jj z#Tcqbzd*v(+3FbDlifCk$jU_}p^qftKeI80?#OX0p2mOc}f;tr#CZJ9z{38r+ zXPaM#XtlO_1l18|Lr^6bc|695Tet+|+M4NDACc?Gl7C6AN6Ls?rVg2iTqBpVRF^fA z`MRZ0ToftcR}hLuNMCW!6>hwG2n%-6rwyWo)E(R@ey-_zpW^ zFc*9rAIsC0p}Q5n{MBW!Gr8~Co?yQ*Hya-rZ9ag&OJf2(t&_ZRPU*aZp3YNmlhZ2_e$? ziYVQ!LMMXe%X7azsEoPJ-(o6-L`!S+(ONt9G1Z)wWw!?KsJ*9Vc1k2CUMD zZ?~`>gW}Nyqd9InRZL$xKcX+~;NWl26x*0l2h-D!e0igV#Rk)9kGA9KYqNN$d%BF`Zed8UZcbmTd0 z2O|6Bfk;y9=|%lU;M!*HTa=H!)GGQ?qY_~g6nh#6MqGGRT1v-8)cfHWzOl8sg2^~k zOG>SnWYq%^^E{JMKTi&?fnsTr)jyABheUqB$|eZpOfZ*;qUj6d1TAO%<;fbEE=lCv zsXMM>N5l#Ah>*aK2nhsVAW4J-mPE*oCKGI=V7asy^-`x3V}GDu zD+R(Rl$#?ECgKQVx`Oc-cge90i^5^2Gtuh*A@vQGdNO-!6_V%|HJHBC+>AP0KY=&N z@LKB(XM_2qq4Gjdf_0YSY&h1EkazZlM-u1O^7s|ZOL)LEhHrtxs{2>40bXUkCtyW* zXo7j&$gD7KUcturH@jd&<6px7pj}rGjK4{#dnMBO=@oGCK-~ah|3NLFFA#ipVS5D2 z6BzJw5AEccT*@2k1` zC-rcb7|bFhMl<1aml)0>jxv))T<$JAK6lv}c9$KWyX*|ROT%YE?k+n*ciB01mmQ&k zD|b;N1*h(!p)KR=oVp9=Uj?tRK7tqSG?$5)h`vD9j@Q_rOdcXnzLL#xa+Eu*ajc@u@-m{23(B-F=3%J-9S}~5lPy_?dGGAU(#3CN23T(_wVtjN| zDm-cxQ3aNSW^ulDBN&L8WRAE&XIhxcAyK*)H91ZYS4V(BM550cV^Mm+Abi z!Hnja<~C7Z#^sbPK~>XN!Ge#ff|$G_UsP6t9RFcu3))q7-eZzEN;Hq$eD2pR{|aK7 zaP{el-ri;K?bfgbF(%7;et8w^>2fTRdvVsMe-;qd_UMX`LN3WuxY#@>S5nq)6mA5ymy=N=LCvgE!_eZwi-4oxsAP(=>7XnF!UO>@5> z$nupU8mDkufs`LOrl)lhusUHAPT{!EYEW$BRUVFS$Yxpdskg=P+C_PCkW7bjLaNzz zl|R0k#q=#BFnXqF2>|y*zBN>RDB}WY0avqrowGcLb}5Z~i=SG}22R?NgyS|{9@&EL z$@<4oQV&mgP8_*?=X{cm+-$&>mNM}3%e0sEIdl~g*LIQOe~m;m+l`}FaWHp{-~T#$ z)`u!UT;a_t#9`K__&2Y!Azl?|7b$H}9uDJlYhZbUt^LR~@-S}t8aj--$``Gn!#EWQ zd2;{^CD|5AtiQ^?LZXjNOH4;19maK93!%I5Dj$SzOwYdwah5QGE;*Gp1KLm;b5`6P zr9HRmuaf|TXqk^VI-|>8OJ{V~_~&csjP4q5SeYZ!{dJOF&vyMy;8vWt zshxciTM+cw^~!~IyLt!roQY=ga`eaO4*QOI8yba|BR^E-;C*J(#>TF+i!R1a07Cwo z>zL-qUh|&5qit7FfuJhq*C43M`LzgY3MSRdOpoJp zhR39K0Go{B;Xqb0WF*S%&|dMl1NJ(k*=Lzi8QAD}1s?ms1HR_eUX@RiEu^O$_OGY( zP9lA^mY$-eueNOz>8EQcf3cQ6M@uiZ<%;yrMLM~1BCM6CY3ZAMY_kA>+sKcP^zCMe zUivscQH(?M!ngR%V(5_4uko-q+3=|EZl~0iSq=y>1 z;Vb{aCiS=nAbDTvmOl-F4hI=t!&mT2|6pO6S(p=N>!#Q0!u+e84i4?23)5F+{gb{C zRZdDIzEM?$fYF7;Rrw&@s0(weDg*!mo>e}8KtQP~1_%QFRlyQQUsWt&BC3KVOjK2g zgo&$)qkvf1>@hF`Mn^s5oSWC!S1Gk(cl zpz>NOk{XY29d#g5;m>Sjy{8an@nTUWu&o>>)FR-2m~e}L{xBhzM25pE+%f#n;HKk^ z+U^?c^i%jj@Vss#rs1&rdODepnQLTHQI~-9#+gEX$QUqxGD*{6Dqa@n>suvu| zf&S;@KwoT^NmNoz#4eKKgFRWJBD>%~_i~CN4kC9??MW(!R3)zwLq93iEw4E}Xjqp- z?8a7*GxVhJy|`=y?A;~&QN}3#>e~!%?nm)sZ!;EKN z!x(|~e1`?j^}B%8LaZY3NoYldZK-QVS90w<5dI8+E3mcO(_SIGpoo@_>OHG5034Q& z&1*0N&l+Z)YDnbxK-OhFJYTAg~QO<|ZWUbJGo`@2{#;vW?w zn{>(4Yq4I8eHS{SFsk)0zUD5jrA6b!BaWG9(b)7Z>pyiJGTBc0LExYeI@Q<$Os4vl zUiFSmH2-MWO%5x?V+Mc2I%aWy90i&4ilMWDI8uU-!LnX@ig}xSIV4zi!*xK=CP+#! zmK(i^jb!yG7Y|CxIo1yoQ2sfdy9wg4?HaG%1OcN_R174LKKG$__|;8p;E-n78uub` zm^K+Hf?3o_(FxPL5E!N*Vf7iF{2mJ(;vph!pR5#F(mG`E(Xyn=S~k1~7gB!LdChw) zm=%jQdYlu2Nf-do#_R8)jhn9VJKjedOSCqU#Dq4cy^q{GuJPC3hr^T#Es-Q7v}Qjg z)?DKkDX~^dB-sWz{XW2)U3ZO-{Qy8mBJl1wwktFQX*!b=ASNc7i!lGl2~e+2fDvK> z6ceII$DAXlHaBhh!yP+0{b7;;h@225j9#1mBE+03hI5RSyURl&TbfAmCqB zFJbgmX%Z%)s!_s3Wmct0pt!0=0Aa51AiYz;8K8{3w3$p6w{HkXCQH;egd>wB?i<2U z65qJ@=D2UD=}CyErmIfYc7VU{IcFc{r^PU@Y`Jh>doj_`Ng<8% z$iW@P`IhBUObiB3IgIn4UlZe;bp9CUsUI?Zdq;$;+Xi{D806xuqfH2^B4P=Gns-cf z$ba=AhWz4bV)T-4UHg!C{^(y0`S2nd^1dB1(U5=gBNjEN{T%0ljVF4z_RNJ=JoY;= z0*_-yzc9$Ro7uhQ4{u)m5xd<)Qz-7IT*5?v&E`4UY?ANhBa44%JrMgRJ=zehAjkO% zv$Y=Uy?Hyi1CnpMjvyg>gCFj_x&I!<6SjcU1%rio9u^E1rW_Uw7Uq9gFj$!WuwbyT zh{J-x!lDif1`CTjEEz1!?XYAplpq-lMMwri8Ir+Jh-5I7A{h+DNd5|o%REdB21Y&X z)M*EncF)6E;q=AAH-)}f^Io8@{;-cok2qW?(xVRhi1fI_g-9n(KO77I;`GDCfFMpk z91IZR^uxsxMt?X`!bBV{kuXt@9FCMgafeF)WXh=orytQc{SV@F&&z_7Epe9#N8s?w zf|C(1IN9uZnVJp`zf4UBhhL_qCm}xT1^#&nT!_RZ3dQ$*y>NZ!I26_eZtWD`t%Fp> z_h=*|@p&Y+Q+%IAGGaqy;tV9>*H?(7CH>!1U(bd*NkIRv>92v83=3T5EegK1{+b`$ z$^ynoW|kv)XY&O*d^idI1K%C`gEWWkMZbB+;_OaBB zP58LYE+U`QTd<4BheBz?$L;JQa`S~U40aI(`9c{68;D#1P4*7CfJf&=NGQWZNGQWZ z4j~eWIH3#^A)yNsA)yNsp*CF@>>_gW<8lHaQz5uAUns*wq)>*5I4HxWe8T*qNM(l0 z@PD;}_!3HOq0UCXNvmy26)Nu7rBEiW{{+s^px8(62XNabELiB&sO$fiq2SOq<}cdr zhys3X8yid=9I%~@*X%k3iqJ=4D1yZy5oV_Ku-aP8K1I%0fXFN2-I4NZ5HG!M@$9-5 zMVk)i1@VwA#G(M%LM#fHdBviTWWgdqb2Xkbk}^h|SiLawiq#77<9VUYFYit9(wgi>}~z&;}=^iK!=Z;?`5`P7Ayd${oc^cd&?A zwEftrhiFlng)5@!ef)7Bx8f0=&mx3^umlC{iN}@*)7c^f?eXReEci+jFYcLdn19!5Jkzv}Uw`pkC zL+?N*WG$MEpsnvgf=~Pow*X~5NGL=?>%sC!WX?q9kQgoV93-qhL+>ON(b>IiUkzox z{4KAf%Hjckf+{m<@aX_jD@!BzzX|v^zinR`De_R+WMm$?iv>nUp!aPAah~r5+jwK_ zsprl&gg^)t)B&9g*7-O#!r_H0>P!`);VeNTa%DH#DkquJhBoS!8CK zD9pyP#7>DWw&030QCw)8(zUAck)Y~X3C4CNQ`57mUA+feX0YJ-ul}W;Ro*WA&d))B z4g=RNA_;o`o-EYmAVC*q3kjMwn2?}L%T+6aQ|0Y0?IBImsUoQ9RMq=|L-s&m)=v=l za8h|$?2#Ybb1R+dQP@1e>Uq2)Jju!j_TVTD$^o|u7W{x>X_IiW8V~ zbOO`C+$l=Di_&HzHPP%TWM+$yj^SfTm_xw~YIh87+wY;FMy6B~&2g}g;+6^)Hz@BI zN#jrS^6fU_q6rVtoA45L!iXzIbLg&m@7N^se7z6CpIr6kjTP{h;`Gum(s96Pf<+Bv zy`cttw4_=ssZdJ_){^SAq+%^8QcG&ol1h+7Pxp~K2FPSbNG8);sbcsA(OaovipY9{ zmsGNTPjnsC)9Fv0Pr$X&J)Lx6L+*+p+lktoiWXQJL-+Jq{OhURX6t%>X2RD5e+47x zRp+JCWbD!ud=stD-7r`uyUf;2YlL3wGNn&OJRW^sItO3fpy<5aeAHeRFe+bWd!-$3?PvPAl{S61Vz8#Lzb-F(Gfmeez@$lS%OT#VT|tjh%JQ?71C zbHv5Ct^D`BETZetvYDhJbAPvt58THB-2Cr6*^Q?hW4-vJ`&d_(!t4BR`yf57yv_^v zvA*6yeIVcV@$dX3FWm=MtLv_F_x&s=6KNPJ_e>MMG0stK^qdSX%+f16@%WZ+l*7)t zQbgNMyd**6Bxrtn(9L#GineW*pl^$=6zB`vgKm?c{H^^gdTPGmWH->oy?G>5cy4<0 zRK1@$Nk7?W&d|pYTSe-Ix~-1~LX4KUAL3DQg83$_(SIjb@u6ygH}ZZE^R+ z)TW%c?Jem%qKZWt+|-ii%aTnfI+BWRD=()T44c^~L2O4z;*u>6=FqFsL%j$bDfgu$=Y0fJ_gT90DX9XJH{ZvGYw|;~&$!;HED1Az;aavRtexsTN_DHxx zpvrf9lwf7|txuY~0Gfs$rp{H~kJpTFgZG>N2IP`BXEHMW`Y9*XhKoq@PlmZU36%IrLI+|K#tQZ zoiC8WHg_u}h=8XY?zvn2wLk;{rkn#9?oFhyZHB@&mzr31kVSYrM5ryzYT%6rS+Hv{ zP|H4tG4ZUMeEjEF-JZJ1pZ*-jiSuvrqR-i=z-u)yy70ZVE<&=t^0D0;#d(2^ax$L;a`rMoRnM0_)z+S>?wfgmkQ2olA`~Z@D*4dMH$m%a0 zLiHPO^1#DL-E2>-lhr33W>fVSMD=d^K17>#J)iZfa^fq26n zPrS8!gpG2oL*ketEZOT4iZSddM&hoPo0Jy9cN}4U0^qA7>|VWs+{D;E9T|JST9F?R zGVv&EWc*dg;Lr|Ds#77ue7f*efOOHf@`|GvjB0$$S+wTNQ5G?pILBGHqVHvL!nM7O zCVzNxpsyjec7eCK)}Y%`fhT42u3jR4MV{L;uzbKHN5&gGv6f{83MLPU^{=9NWq}_? zOdd@-&w1yReGD%9rIu|BEtB&WSb=Ph=74dMthxFUgl#>c;HB|2IGmRpV}Y6b1*mN# zt`1W4^}(e5DANan4ED+OkbTCaXj?ZG_9$RA7!zjRFeDnRlUmEa7VwzC;xSEAtgY@7 zS^PRt{APWmR{VXKZp%;F%cpqTW)(QCL-{eHe0ba|KPxLJ&{GuZ3AUvpj|BnhL(E|Q zk1yFs|9wZi;Y({Lc`P=6O#Tav=J!e4Ze9ji;qsR(A(?1lfTL@xTVd+~c=Rcxh~(7o zljnXNQ$cu9EfcB_LVv zmx*(~6pf3mG~;cHm-w8oaYI*DYgTsKYyE?O%mwABbko;tVnhs0AL?>hs&Aob=mOSN zVvJhwJ=WJb6C{z!2J*mfSm3Y-03o_zj*PYr1i8UdjesWmit;FtPEpN>q6z2eZ&;r` zQwUD2h}a?pY-eaaS{~QJ-~0y3pnhsOKG=uRKD*p#FUJqROD49pld=<-ZJgSMp9eUW zoknHn9JpDYB+4+Fx9KU$)s6Ibax(SV;zxm0o-LM zHT^7+Q!kNImmskaF@S$`9LTvOSkN~82^oDOR8~Yi63A(hJdL{PO$|cMjpIO0CBd~r z&KwmvZY_M+2_WZHwH&&+hyPCHo_6Gf;de*m5SZ=b*|H7ycPKkuLylrc4n^6rRrmpF zUGXSHAjiKYTtkitaZz0yDM4_y@oMH@^}O)}yT=i8D^Zc5bfb#7$$$s{_!(vMp3?JY z>VUa*qBXYHAD7W%^b&JvfEJkBXzy`4q6l-l>wr0Df@_DlLV>x%<(pgh?{&c3m;Gfq zg~){ePUYL|<*dfLHY6D0TWpvQ-MefSmksvIQsm@tfZQIkQBCwk~Q#ANG@-$^x`N z&QtbIi(qJBPlCii8_T*`l+%QwN(TbuPPT8Od zDceaiJ(mCFVsX#?Sg!vCJIgFQ?Ii2ll@@!WW!+A!_C+UI%IzxnJID+_vv~w=DW$)$ zCl+{_Yjs=jRIx5^DU!!qJoAL8uoZd3e_$m&g{08i80&dahzdGf&CG^`@cR$!_0UVQ zrNC_r@HtDxZ6wjL*HYQ)@Ib!hM+kN;0@N1su8eL8;6MJzdilHEpt%AId>t~0HP~H+ zI2gdY{{$Clo;Ud5pIDz6D+36V8}&8-YM7*%oqm@qq8QsoTqUHndyatJtZ(c9OTWwg zC2YD18yCRe_=&{~J5cEzYc3QHiiO`NgKkod(OfK+`g~gbTk$?AuCONP?yfSLv#|Jg zu4nfq5o+b)|EgI0UzLlnw)h*&o3+LN)wac71luqEzneGHBK%H08)#pIt@cG&EdAzf zE3gPR)U$i;lXX^3v_`0xE}qK6?eGG%>VwI157MgLS_v^@5W$YO6sVO~5}1?#0CtSP zVvhoBFe)!R#lmhScnA>yPf0C7^~X=KdovwmfQNuhLurOOAJt-3z_1!NTGAn}PfwDk z{6ODYjL-IDqu=GTBq=_AP=enNa3LF@6gf|cbRioc3iA{d;V1U$MEXWV+FnpI_vync zPP1My^Fsj*9w3I&x?lLwGtAfJk{|#13=2$- z5E*SDYQa^0vb|KQz(th3U=Kv8xUi#wz-;HH%SM^~_>{9iMV!b&sIXC+x2wt1)uMxu zN1(!JFFFiSKt=Ic*4HJ#kME{ZlGIYl^vzUCqFTzuUb2Dl$b$b6itMGB@Ebk%Jcm-Y z_Tq!iu>{8z{t!S7rBODyh)o7KnC8Omvi0%3_?mOrQ+zGTvpo%c4UOsUYJF*d76>_M zuP+@@9T7rcc+*ej{idfQRedlI;6i%&Sy2Sd&lF>GQNiCK@KKo63Q>r_&;@&4s}a?4 zp(8Ncfd^z=Pxj<#KeL`Om(;R1>Vv6lt|rG)*(kaMdGOz#@u7{&#eOrC`pkIKlb)p>GkN3;yY+qSV7N7;XHrngSM^s025t!{CYTogb zx7{G{Q_;0q6hY{s7~AtIcrOAcbQPlzfv#=#x;7!IBf1F8Hd4*}y~1060lLc6vN!6( zsB8~4d9xzBQGq=8?^M3uUUUt916|2Ba9Nr{3w{W<*jRU8H`=L}UI4lX(Iym!RiAIQ zF(s3sI2sSlh}3#m?kUvjD-*Kr(YzRAvRel#u-B{$G#UgL86l9B4`xCKhAHk}9 zRJY|O6i|7Sk(^-JmMz!(wOF=4YryTh_#%F#ffa_*;e3+$3K+(}LYx$Af0s1d+#6bS z-&ZawxMp(ZQ~trPY+5gIt)$uz+p_pavK6SJdnKX%@EmcT_46n`iEO@qT;Rs@|Nj*d z&-PbH_=^`O#Jr&p5`%suL}N>f?Yko9yH9wlUnjg&q z&5veow#NO^I+L<%+^@OOls3Y`DwBMsN{h@`V5_|!O?fpvk*=FQf@6{-TsM_A!mmnp z$Q5ouVIvH;pnT7tEXd>jvkGpKtgPfed^t;8oY34ou^BVTG~@Y7sWjCT{@ zt*abAs@*Rkg~x zgaL=n6c8QB3U>%mAZL@s=wP^+H{xXS8Eyu=YhC#orx}+Te=120>cw^8N$Q zXbYRz_=g^>1|rSA4~dW0!{QTtTztl4;seiUDdOWb6CYh(5U34Y8}XysJ-bHY8vJ1{ ziU${0yZ4RJoTGWg@PK9(-dicgy_D!4-j2PW1FtGGn{i$TZ)oObyzHHZh>sBPN!Q%0 zYy$t0H?;a@_|D2gvh!6I>^IiSv7mf_8%p5~ZPZm8w&DSF3&s#_+ay12ex$}re}$M~pr=cWA2bvC4T(mLXSiCrCWQiHAyYGDHg z!b2KPQ}R5}ZQIPbIF7PT1v8ngPIP`OC!+FN0Kd@E-g#Ouz;Rva-4=|PdZZp~VfvWo zyExWi6L?=O@g9a8?Pe>Q*2F6#o52mCH&mZ$&)fjlz^58te}naX(C$7h9(h&wX=E>A zdk|Vw&3)RIX>%~}TNdaFj-ZK@ zg7)igeDzIS*}|Q-oi|zE{^HKtt54H4v_nPWS{VW3ep)&(g==MW0j~Kb3yHE{fU84W z)jMfqglC(WOPSN#XTC2>z5SNl$^xV8x8H04S8u=7Q_kib$~o8}XFhV$J-7{&(|!-G zxvkDd%K47Sd2(gD2H#hxUV{6*6<$>$))ncLF#3#Af?OuAESjMN-PyK@8}z;yh&G>=Bz}sF>Zn_sa&G6aGW*HMR9Z-xA_in2aVPgvet4@^s2R%R%IPh)GF%{gtb<^ zi=uQ%f5yo|b5p?C47_;j0eB**}sGc2h<>QinW#fjTd`DblC# z$8L(v^;)&ersidLTNmbI2M=KR;wr1&BuFy;A z%+2nKAN#^dpn__#(`uW$lI-B*Z)mKvxRJE#(@D__Cu)XHiru~3CZy3S4OS>Y5Uh~a z3H-RP^?UMIW-p#7Q`Si_{gpCL#1Untbbu$y+}2r%c(9E!rndxTPA$6tt%#?-&A(iI zN7@t;Z94du+j+DDZAL}$?VXi79BH!yvN>qe$wQ@$!J~~fi084L087 zp-BI7hddO!e>rkPrIJE*L*>#%xl0#xbf8l z^+@mmA-16P?cJ1ip5^GeGCa%u+)W8`@GM6i?&w)=BzY=rKi_>il*f2>98&p`YcA zb%HJ(oXWjf-GMH_5&Vd*BVGJJX&|w$k4l&OecI>}DbldI!D}^!f}qQ5K9Vk<`lzV- z!3U^PXSPB>sl$nG$b;EEyJMyqcv&Eb%CJ*nTK5i=unZNHsKjBmNP#UO7E6ln20t_RPG#7%h znL~;ym2TGiZkT<)e({mf*5vCsRV}(L4SOPS(Xl)Nf6V7A&j-1lKXwEA$|2hTV>_RK z-NBF#-@{B3aBpvTCbf();e-A3luh%x3XMgQ`R8i)rGOwE23==PA5l^5-Vacuaj14z z5X#3M#^$o+RUXt!3GfYmnf6wJztOO9(~E%~)yk*zQi6l$d^!jFDx*H$W|)LsRe?Si z$+&PZ2d)eB<#Db2m0pU!w-Ifnt&mYajkepndMSN}CdoMKRDGIl@H3duB(`Zx?0Qv< ziK&0b+?cb-$=TvQtb)7yDWN@Qpc81nIp9Vw;dS*7d`498kY0*!w5?MXnkg?%!=b*J zHb_bObhGb)`fx9Uxl^@!$UJqQ$O(?RBvTONc@3MRToONm>o-@ zj8}b#Zrlyb2E0(tD|#z^UFX%qq2bfGHkAAkX=;|PrN7RV^mi;`X#O%sFA%Z6RZ#2@ z#Exi{+MF?8U!U2G#S5n6D!C%)qF!>x!^Q`K%o)OMuH2jY&A_s20ymUzdKujwl$9O^B%h!c)y-GhJf zrIQXkBDP$fbgY80YMKS4qs2=K=-7=oN3nH?yRQPpgXJEy0b4S_PdOemL>AY zW4ZjS5=S0O=&x?YJoFo`O>`@2UHGt%nL?(Oz|jEi6`=J0{}7^@1C+oXe?=4z3si2S zK2Hm@^To>lU3`)G-^Lf8c1eWQQ*!KA+Iw@~E*dc6%W>wFt0f9n-jY}Nh9ITah+o%u z$L^%jFru|wh;H=W#_NcnCpKRCPL8b(M!5|^a&&nFE6IXsaBTR$3yc4aqbndp=_{B9 z-D!t0J~2cI>3i@5X-Ye)&q3vs-tMEWRW}33bT`;2(x4ep8?QROxoU)_mUu zb8;irKM$z}nU{8<70)(7RG$T0x8D_<+1Y|iTczY#KpZAU9UBES;*(?<;=D$j3gtDTMKF>P|2*RH z8V~Z?kC4jaBR}m@?fxN3APEeb+s~1kgtAqLA{CfzSgw$W9yuU&0AVPOe-x_t1W{Jd zpFc+uT2a01pQaQ@Y2`nJDg)^Nq|*SUpUa$9K6rrA-zQBK$MPD%TO^P@JU|I_NpIzg z1}Gshdw+5)B@58F8hxJb{p2-M4s-(>$6{0?^xIAdC>~Gp;{%lOF8QrIaG)~8rLdJJ z4^;d!S8H|o019U!$XO(`@bC9jWj@h;^r3f-=;Oyv64xI>JrS5u+PGfml<4Mj`bm06RN@J@zZw{a=D23YwD#IPsOn!pX`H(Pm6wC>08wHVVqky)nVidd`Ce=(w z!;}!|^TuoqCVI;KYh&ABXl@$}PROke2GZhUzdUFVltaxYg>tAF)d=-d&Y%wJr_^3T z{Y1?Nwy7G7fs2Dw^;7r3pc8bm!v-sg3(A}@7-fFmj{Xf~U>V7u-m?4n5_@lf#t|jmds_ikR%&caH2) z+{&%t%0Sl$aKLAPcG=X*zYAC3SW2UOBq)zl6we4~-gmU}ArWA*9vw=l5KI;(nT$?9 zdUs$lIvhzt6-y$(WHqh)NCcRyww2$A0F%{eRgpeSJwh=dXuIaqfyw4B5=`duI5Al* zn2atNwlNv>{5$7(U~0wV8S2#f%X=LdY_>NUcptEc>|;o6!&eVc!X25+B$@2^5S7Wg z-`2)tDQ!#^j2T%l*`(X#R6FlBN2M4P7TR0~jUmn6TW@PqicMYx&= zc0$mGN)_a-1$lKtJIt@&cNg<(isY?lhC0se>4;V**DM6p$(6KF>f}oLU3GF@txm2# z4#nj9{Bg&+X>#?9RPOjID~2#)#cmzoi52HZDxm|{)?gRrdoj&Bw%YyAX>!L#=cYM` zH~Tgq#$*myyqs4=DnS}+zVHOG=KOCQS+l;C-;4xn&IjLw4FiI0t^BTGV9iF2U(&!N zV9iCtz?#ji{0#v4z4^6cDNasw{5$zPKQRod)k2ijG)x)q>fr=uro)v8S04nP9j^4v z^aG%n5DVM5FrT>4+^qu_Hoqvi@Rdi23%>>z;;L2$F5E<1*!Dup3mZFd;bjlukk2Br zOD^m(q9YfkOD>!+Lgm8j5p7&p*v5q^8W-*vA-VAM2uG$!YvaOn!G+KqR_A3QsLpZu z2&zm`sJ^3fPn0r%T{RJU_wqY$SM)Qhu2w|-VbBdejI~~@>VN1Sfg8&1?q&HxOaIb#xk5LimAGoR1FPLE zam1W#)7H6i^3kZuWKOP7 z*WmInFre>9CCDpMWx#~2vp6v|j8p={V`P*S&$90ABrenB3$>42zRTma9EBJK%SJ-I zU_xP=Mk)b*YmYcKaI$D%&3XRANTrwS4jKj{al|Wrdd#ydbD3-tmHxw=B)p@PejzDdqn@GtVwy zeLvst@Amg4JGV1u&Yb7WnKS2^X9fz#vYd`euGhpkUSm@QjR9KGOC#6%@k3*vSrc*8 zhBcF_iZP(i{+{0sXMv5CF}?GE=Iqr+9R^eT)DHtXMn$!I4TuV5{B<8W3=Z^ZJPhd6 z5LGvlKU}qY$seu`gB6IX!(i3l((aAw3*E@}3tmzKj$tsl??b~N6;3FOsudWQ7zTU$ zs>9%V-v+|~WvUvc7hCjQF=3Y=y{pvYLwci(e_|`}k zq?-mAKwk>M51b8C8_5Ei%!Pckje?$qHTrlI>(C?(8RHSrXQ={{Sp{&&;bK5F$wlc# zlp2=WOKm8n<6$mEv5rkvpjh9JwekrsZ!D&(0B$O(spirBpsneAn52Ht)&+oH-H!#O z77BE>VRaZvyEC2eL|}5;&Dr7-dtBUMJj+W<5Osse6gN;zX)}}GII8{3+365Jrc!@GCE&^kmTsuWCjK--f0(snK8c9x0 zhUj<;6V1e1d43}6&Ya@;ZF}0cy+`1}nkJV+q?<)>BggP)u?lN zhi*EsW(Ag(@wiFhP3&_FK7W}%Ie>L-^HQ;bb8VV~SuON|dxzxU5J~*gkJNQ9=D7n{ zkRcEN)~1;J_JV`DBTF~#AHaUqX#6kmf`KeZ6LNu{9mqm7(HFSk353Hg@cvJ*x2b&B z6D+XP!6I@J^(fW9I|ZVPW^@;jL+D~6VvMf9>2W3S!bWChA2@4#E^J&K$7VI5i2q;~ zt?|rW2Vj%l&=SOfs4?sK^r7tW<}sA5l5{S6WARXSN|5x?Q>+J(wErpAn@DmV!FqcP zyD%|gaE>wjMSbEi{^?W9$j6Uh!->L;BUoU!E8p5FT#oTf6gK%zVvo1ZS{m^K?LZRH%`y{4#7=A&SN$;5#ymT|KNMfU2%0qu`+slAssEAU$Nqm^;D8y5g4={CBBuzWXZ~kg5jcMWkvWLDlt|j$&xh{CHbOc zO@(>;`o3nZ6Z{%D0KIbBcAheaZ04RjI(zu9yDQCbO-HjFW zD50t7P#{EbmPQPnl_k!iwJO#i{^vOMSSn$_u~OwP>bsw`3JD3$#{ zsptnviCRag>6prDN&!^~pU4e!Q-li8z>_xVJD(qUy(s1Nk(Dw4is z6(SkXMkI}8=R`8t14;B?JO1|RN8$+P-}z_BEGSA;9;ux8n0T15T|F@Q0v@Mw9(hnh z6|)&q+AA`JfvSJk2&=%V>o$|Sk7xd=%e}{vplaPx#-ncu+EzU&^wfI(o)Ti&o72B( z`njgvDloLg`>8+m7d3Pl3hiXvCJlOLeWdA+A_544kGlr#|BeWeKLT&2)74X|d(+Y-;xO+rfw}upkH7@HJ{N5# zxKv6?JrQ||51+vN+|CA(9*f#GgU^`&O@slqY61)Nh%AC0!(e4JJPPm z(aM{=$a-n&rt`;MWRcP1^69B-dm+HXDc8Td7Ung&j|W2!VG#1ce5CNcJP)E&w$tJJXNk0GfCDujbx`bD~#GZVTR1OZEay8fOj*GaHa;3k%OI|5uiU_GK5ec+M!V!tX zGoV9Cj}FQS-7`31-#o8)%a=g-%0xD_D;)#}6}+6zl>=kGpabEM{({bzLwc(`5H4}+ z#AW>ViO}t9@_C0ztaHL^`QvfdK-7g-nER7CE^z>LDGQW!GgVw3Wg#*nM@7cU!ez!{ zI-QQBelV~g)TyB|IG=wy307PZ&;n(cE6VkO2NCttis+6fbJ%PogDTa=zLgy?L{_Se zZIwMRuu6MlvI~ZQ^&g4Gg7?WVN(~hmj)wVxxk*17YLefc#k@La7+2!45_xM-!<1vb zr~f`%8GhRAdXf4DqSZN1%1yu4v&XJRJ=bt<*tgn<*E?eqGN7+>=YL0TLSU6 z^}PEpf_=K4ijpZ1>=X$0XV1V)n~@`^A{^ofyIFk9VxmG!mT zCd*aZXbt(^h9!Labk@U9*f^lpYpF2WJTrW9Vaedp zW_YdG%$v_(Nz%B2VU$-Q&0u}ee(?UC>$TtAa}Q~ki1c;BIF#E(=h_PNw2iJz-VY_qBnH$x-l&1B&k zr$zkWOct21D_3a5$C8Ccd$BeOM!YVAqH%fQ3|!8Y(Mt`H)%IlDFjr zsS(GcT-AuiZd4JR#)-HJISM~EgiL)WmXt2&S`jSAn+%e$`V*0?H5NR<8)Tcp)!vQgQ&~TAc6!IbugfHXI&t zB~1=SY@hL`MVDi6rFlv_-OBIJWux5M_7B!!tB_ZJ{@m-Vm3Q>IrtX*|!w}#`svD+Y zU3_xzH)FZ=brzAjzkO-Q5bKtoh$_gdPrPO8s)|iGR1TT#UCYbx5^bw(?PfQEA@Xp9 zuE}y7nFW9%7=whVQDMRXV@kj442VJ%!bd{f0fatd*CVtN*bV#I2lpVx@z^(5uvZBn zH#x1_>}D#={a_2u(T@IrXTHIDYJ5C+;Tx<&%QO#ps37f6wN?J%fvdFwW>|U68!V)` zKg#F;hYFOXdGPLUvTm9{5B}VnctM=+!BgMFQwZ_ktJL)VH*p0A{~(!3w{mf@i0|=85s(8&gXad-(tI@W2M!5v<=q#w3~Y?_Flc*}K2_Q%Qh>b6l{@pyYd(Zo5$ zAt-9{(!DyG{#}g!1;DQWFx577CZJd+eFp88vWA^|M^20e9Dt0Ok)csjQPNd;GUl*u z>O^RemSuv^O8$K+dh6@Xe@VsMYy`M0nz-gu{zw|@7&an97`++BrKnpRBmitzx5^2B zntWNBVl)(oI;zes@Ze)n)$mukT6G0gjdbUW(pVcqj0z~%ls=#HEopeo9q-OBrm@aR zB;JtLE+o+;=C~$}#N2GnFD0q27xFG7&$wj(cU4)IlZ+Dx2{k}e8Sg>@jZ%{DSj#RH z+*!+~&c{=|*px4w4_Ev13p3QuO zDB~pHRv!${@?yi}m_^!l;TJJr=f90x=UK439I!FQB#hQp87$B(P^~%fgK-O4lW7>V z<1(1BS%{iFw*@a9;OzBC8Xh;wR%NhG|HS}4pCJcu?@T$=CS=M1oSWHT0Q)o=z&kSK z0Cs)nzZ}5P@5lk1`HsZ8@g3GBY&?z55?ky>lGqGm5|9d?H4eQoBVKGD*b~*(BSFaF(0=a zBq4|chw>WGqRQNw2J^$WtFW}7`C-jZSx@)CxcJs~wFpze8ZkdWUQCt>WEKF5GIJ$N zjS5pgKYUxLLM)XKcL1T!5U1s-lS9N!J%7)_I%@ z*=S&uS`=Piga&%$U{6VbJAWgG`L@~s5J>9tPtuEALxwRLpzua~KbwD_!@8)#w&pH9 zOQ{(%=>ZS2X55vU(f)Yv(vz%%yJ@%Cl1WdOK%{2;R(-m6=~1GTo~+4o2U38pgullk zTV0+*^9N4K0wd^O(F#wRLHuv=ocAD$(^~LV@39U8mH-SZwFHouo?>(*f|Y)nDfp~o zJM1MT;tj>gTsYU=I5+X7Jj{OvzxK#M$qxDFsl9%d>lQNa)_2ZM#&-%@&z})&DtoXl zqJ4!f8XM94f9CxbGGEtKqWPd({6H=oV^m`@x~-N z1Bys5E_47T*^(qsoC<_51XQ3B2hc=YganFFfu=ct${j${Y%KxgjrJZ_q3~)&MOER+ zd*(9laTlD333xF-T6cJ^;$+%FOeF4{LJFbL2y0-xF$4hH^?|g=1H(MEIcFxrj!(oD zk#JtaPp}+m^XA+Ych&}fwg@wv-x_4ZTLQ|?{F5zx8gZocw}K;oo+iHjR~)&uMgUz^ zf&QH%6%y!@3iR(B`369#;K&6Psv$@0k7=&$9Y8tcWP06Xbl>1Ne~#dYhfyC$Vj%=) zl*l77@ziz!O7MgnE&Gtx^$|_@J%s?An2bQ5@c`Vl@+THDuX;&o_daXPlJajvZ!1te?Qq+DsCffF1$11e87`eWry7qXPXqNB&&x;q)jt5~@Nqh8a$BdQ?0$eoYn;Oxb0SRk$2K*S4hy5Uxa}`{2@COtGeXS z<=LV9>SER*NstJS(hs7gSbgGIdVw|57)@`qGKt*55#sIE7ot^UE*2CclA}@_V0aB= zz@c*<&ZfkjRDV3YiGYZzox!Iqf$!qX3_kxm=EFZ-!ooe)&j2`if*xee;=e6{JABLx z-il+>eEBRM&RO4H6K9oRAdcQI{^%vxgH@D+UcyT>dJ3H5vHFDTXkql9)QoQLbiR_a z;hh&w7k>1euX%y!S)IU5?MyXm1{Rg1_>7fv*K^;cY`Uh(lP_G#jG8qq_zz22klQ(- zc5TKhJa-vusj+!-%`y}pYrzAT!5FHM#p^E1;ya#v<}#4}wi|wsn0!}hUTmsk8+%d zv(o5~`OdEL#qn*$zAgBJ4_Tj1Mp@zNXC6+2aIN6n^~D%j*~YmIDijB`;A1{wqcrI9 zhL6~r6!y$#FH^WYpG~09WjXs^Gi^QpVL6LyMo0!*GL9ha`Y~&pO6eC(`j#1gq#*|W z8?yh%QuDI^$W`<5{#dH!<^8cj&CCB|m716TM*(8w()weXjARRJ$jK8Z5Xl$y$SG!y zxR#PmmsR-0S@YcQ5dm?Qyn7(1;A58IAqeWP??L_L(Vwu{jdQkt!Y)u}R;^Gw6KC15 z1L?n3V9%nxGuhSl&g50wJCj#!?@WHRy)*gM_Rg%Vws&S_wc45NYPBiV7!y}xNlIwJ zU;P}Clq4(6LxqNtM2i)Bh2n`VHXi((`8Yr3X~K@FSxq6TiC3!e{<2?hc+j!s1GgJt zy$Xq?WsOBy__q#s9O1ZfEM6^2ro#QcVCK{kxNyWbbZK9zk&?4&q{3d5YcHy>7oD>g zt*{rJvlrFaiwf*TH7F9Nphaw_OO6iDYljWBxK#;&7I%C%2RKTe<=4Jo-mRWZlE&vW z+SP`C^~PtrFR_0M#%J`G`2OLq=V5%}5O2IGg;6n$CJo)^e>kR8pJW)Hn;ICO%7Yh# zVkQfNl#cf4-ILMrYo{<_S`jrD*$vNzCfIN)vFH{c&}Vc28}WfAHEHHmtC>f=xpC)9 z*5Ai&lja%ALAUMv5;4E7*~Jg9VqQLWV>D0rzHEiE^wV8@`q#`W)oz968LOynjx1fW zi<-|io%RRiI!44tV`)U#?MAX{)*`3j)4smlF(Nwc z;33~IpXQg51^Ev^8~O}Ceqc58t`~aruPgrqU=Kgw7vC41dSd&(b~!?pMsELC$v4Q- zo}%IZEcw4J63ui{K_6m(v?*1|-vm&Yl6u3G5UWm$-`-bn3mSGOT;thmSU?A_U!-lR zhDygV#2SOHn+&zx#_*$S=$m|L$UP=(OS>J{g=|Z`;X7fs=@Y+G4a6_WQiFhesoI7g z3nNXR__5up{3ucIAMeW&mZ)`f@5(%wSqbl{^|Y_aJgkRY65fGHIp4d4_Q7bGHNy|C4zeZh)0AFU zX7RW`_xcuGp2zN|T<2{H*c37@Ed_W%4CC^f0@kUSYFvg^dhkQ5SxaY6exrbOCF8Q~ zTF_kM$%n25&9Evfidbu&wU+siY5Cb&0Fi0Ami25+ZNPNDl7etha?lqfA46c$?{Cki zZ(=@8&6q2ST*Q>+!-uV7O}pL__tmv~8KSFN0%mw2?~p@TRq)cLgb_M_9qZddH9}vu zlIgG|m5kH_>tLk9=DdhhWB-n3Bc5C$FknryKTn}tEqbIh!J+) zn;Y1G4pchJ*dMpHQH5^19AE}~C)~s14A7n1z@AMdxLE6&Qi6)LZYfQp(2`#|O{DWn zGZ5C5M(mBXtSOx-%C?k>I)I@%Gd9HJB)U19at72z)XeULD;DCL{7lUf0$hD@hAQFU zlQR=V$j(eb7?-n%%t3SV6<+iMixGBooMp>lSb9x=gsYSoBkbrscGEL^kKOdl-eWgC z^Y$!N;qvy_P0##2cGEL|k7{~m>`_h6Y=MpJXn}%kP*G34kDN@;8fiygCjwwcUk5=2 zKeACCg6ZASt>5Ne=bjrGtGAUoO#Bp?rcuzI*H?mjz zQH{Fo5fx8ZR+baHp0KVgr@E9Two#g%2c-#?()2ti4Y7zTWNq84Y(Ai}`GCsi1Cq^Y z=s;GXW2KT^g7m4vhQ@uBox^!mb`IxN**TnFW#@2ym7T*YtLz+JS*3C~yGrG7p1?+o z6)4EK7xi$|GFB=l8yNQ$lEWDlASkT}?;2p-Vqak3;D1L>McbMgVQipbfF3r!9E ztwC4lG4{tPFhWEumrWS`=xy==t4q!nSmK!_DcR!SuF-eL;^A2w}mZmt%2X(R8(?}kJ-xno8T%r zp0*XQf$w)>LV-;~E3#bfNyFU!GRI#g$-`a{dJ_a54FNU7=(ecNN znYpKOUKe5EbT>ndn4eF&o)Jbb^jq zhS=gDfPk7f-gX=Fo=o{jXV2d+BYE>r%SitG%Odh%Czd}M^CjGa{Ycnh9~?u%4*vj$ zZluaOaxJqfV%RtM9`FBt`BGH(n zUzj0SFcXgM0R23nIw(A8}W5;{~(gl$#4aWMs*XzM7q7 zXGqp*)JIW%RzrrUaFQV^tYnA^FBu~0K)d0X^YWBW;v;s=GxV>^Xp#nQ_?F_W2*NR? ztksjmg7`^isWYa!(iqYCX2cIL!Cf$6U1?u>xJ3v*40|Q-sMO0Po09D~4+ZOPKr0N{X@V}m_Xh$`= z{{>Wq>;zS29Ur?BWDRqay22_2S=l?;sJ7+EC9-^w_b^%cHN0*o$g0rsPGumg%7Gyq z&_vdlGQ68Vr{nLIp#httI040I{)aLa6jCE{Z838t(bG@_A+0C+j-%FG6oD+yUEo$O za*3=!$+|AlFzpmajf<<=%+S{=kY;bMPuk6N~NJDe( zS&q#EKFxV(Inw^kc|tjxkxFwZ%rKfl$vhn~X>tfgDfa$Wx`H1j%OT{l%5v<}#mcK9 zK6wu|*dCywoYyrm5x=JxXsey?0TrOC+J#n`Id3+JIHb>92tr_hDaWG~ry2BhjP4j> z$l3dvTl!xb{lZtY7$ivh{osIDAI+cJ!@9JkQSYRW-Mz|Lk2?^=dPO%AP{5*$kLI84 zVcokE?Xz%4E~_N+XJYrz_Q4UyQ!d0si{l#UMr)!4^s_>#UI*~7+mp=)z3F1P5S zT)3S4&A5g|_?L9uYIL|d_iG^p9#By{b1zk3^L_j9M53GX zYx`KAwrKz-A*+HwK73E^((8QSe%7^T7GU5$`(e72q8fnGho3a_3QdqJ$_dLI6p`?K zx*uCsmp12n_Ct(TIEsDbki5O0bqiS~kv370hM=g?m?&`63P%xy@2LYA6K3R6L$-e* z_vUr}@d2zphBfDV53shL2@VXdz(8c(Jpi(jn)CJtLDob^aiAn?=t1nZn%11BQ0ZJp zsaZDv^+7gHQ`nsA4ly718*;NyI9;O~a)`yYMn*iYvmO>_xk-2JxPm+~IEt#8^M!}7 zPN@L8!InE>IHRlz^-djPFL;z7zbG5EHjQbdI>LAAH@i(RVdxN5OeC5_yyjQ>Wh&oE|2p-)UhqPG8MfB;+2} z$RhjXyGTeqCf`L3Ctnp#PQEIvoP1SyIr)k@bbWkW#1Wc&kEdwkBJNguJ%TA1D7fb_ z7T`xsrr*`1;v<1Q=1JFS9*xgo#h7>B|2vJ3I3~${^O%EdSPge1**!yHH4xpZb@YGT zii*Q02B3RyiWZhbbyF|UZ2{fGE1~!i&aH%92qJkU>*8vIw3v!^-r(hx81}f9kXKh? z*w-}YZI9D3y*ZCMj%rSNKK?kyh^wCG9w$Yu=Npc*0d0rrRW$%b|L|O6yTO$csGFeY zktZ*@)=cV-e1p`RiXJn2L`%gikh#eLh~Vd{#O+m2zL|*%I3TL#JYw= zi(K20@lu6Fqeu)Zy9zTqYSE@0kd^)ui)&kgT$&GikX7Np zFc;87miZ(au96L}PnHemq73?^UU!~zR9lK7aO<;^&_XsnKXekEsd1DRq7-D^KM8JS zA(zOSh`fi%`uk5FcM4?X>iM)&AZw`uLl&TktglXitQ8{LHu42Y)(Vt0B5ReS+A0)* zEa%f8t3c1Yp9Wclj?!|Jf~>^TtV_2$$R)CTu#TZQyMC*YsVL#5v=;nPmH;9OPQzMo zGVqh9VI{a4`2Eu)j0WER3_>3Rk3GYl4DdG)LhO8M^ANVV0vkS1m2EcBOMwBl*#M<#)XYm~`f&6}!jnJ44 zJo0De(@bq35Pci_Gd{Z*rjjxY%e_V&yR1Sc;QI2f0U3~>LMDlmm>Q^UCoGDoHxubX z^V>huDFz1K`W)WG4)fyC=U8ivaWzjohgHEu17Cg)d`R@-rRT68ewu;*bq-s%1Gn?g zU+~4yTmygg7nG0p;vf8i`e}xZMZd5|otx1+qu7Y-bx;a-e`R}JDIM`KQv1%cO``OP zh?QHBUU-2mwnK0FJ8fh4-4!Ww9VpIj_a|3zw?<}lcbz1_3l+e`dW(*qf%(hT+7`TF5>M@aB{I>+fU3rvk z`*}H5>|xF|Jj}*=xcZk(ipYAmeHou(V?O3~NCjKN+N-S<(3qmu%z~<*+miY;WDi3& zPHOo6>1{Y8l^Bpku(M0xlMNUCRAZ{xfiSaEyW1{vp5q{j609&r&scUumKrGGmU9>ToczqEVk5ApZ=*%KM z66@$LO1y|C8mH;I_ze^BmBuun(RT?Lj-;uWp4YY{cOWem=rg?dub0?Rk0eLV%+@^W z57uE6HC#;DwCV8z9v)quZbOK(dw>K4or1hs*T_7}6;t|eu*4Uj$QoAG<{>U52`yFZUgOy44wqRE z=B}2kaFk?M^9h%+-?p$7|N1f};T4SUxy*c>Yx%{?82+mmce}!T{fS0#_8@5NHdLaY z23j2GW3RBzji4?Jkx(TLC^VIQg$>Xx@;T*h+JY}b>x$ACKYfL@LTj~GSo>kriY=)- zUi9GOfv>?2Ig~ za&&DKvPUXBy@vb(40pU?#9db)FMg^9Z|h%F_}?}7?8616#AF-FG8EqTI_qTc zaMZYi+*~g{?K(dGz=>kZuCq2eRS(kiwhFTZDh%*-R3O_wxh?7JJmLDZb&bb^U40AR z3nwd`E_%5`ThQk>M}U}CxThYU9D=L1jy=x1-e7UPqx&jh`Y!W_iNKO1Q`2NBO{Q{X zYK2S{^yOdPU_IQZV!UO(xi3F^g9WCx1zJM?aVzm6uL5!1hcZsz_xUZ6@f|VxAKxW4 ziZzFMR-`_#N*{O*hm7ELl}lOGUtVE|c$6iyXNb`5PtT939lQbj1@SMZ3ByT!;IHPF1Pb=QhQb%GK_`#h7HX<1iLq$MAvQRc_TMiXH7*Rs}Q>IdeO zSUF$db9C*wIdZcqm2^IhkTfV)VS>@*3`$K!F_=`<85Cuh4f@|{G#e~M zF8Y&phxKhV8+01bcs3}4Ws2FLZk9S5e1t)?K&e4e&IY&c;CvFy23_yU*|!n>FaK2}TUY0DC*=p%R8Gp$yrT_N)6pVQ^8WW&?|!RL3_VjY z07JGAw3C842QZ1Gp=fM1$;RtTF%_-9#{wG76?0Xn5cJ1E$envE#ygGVV|P=UET|F5 zPLMI;FBaUC8nWH?=SlaNqRC?XvyOHuIFDIT!_TP<^|LVu3@=pV$5VO`Zr1H-dNnIO}gk2+&imyoKi#w$u zZ+;@V(nvERM6NB8jg`OtJ{+5OPx7eytew%W;iW-t=nHD>93WA`^MKY*yN}o06^yUF z4}ELb?lHzdsP>=kV}*5&EqTyLvu6PfG<)|4EX?h06b;*LPyP{?|B9LSghI`qDD|codBcddnbE22nB?D1#@8`x9}BStjFTaAT{; zJSBtEG6+MN)hqp;W}f@*CNwBF(T`R8#c{sKS!tzNaGbAkRyubsQN+l6-*&amvK^ni z)x~Dk;`?_jbupW1zww~mQ967-dCyrHq^YUo12xL9wzTCT{VsMlC(%~CyUp|&&roEZ z4QE>ITE{nNl+LLW%6xIk|0nC{w^bGWJ|l7#AqNib5=&H5ksB*=Q<1CQi+uBhFYY|v z&q$A0*Kj{Wfe9Q)z_Ir8xTQXKw&koGJ7iT#Ru z{^iDF{(Te0Uvr=tKiov=Ip!GHfW9|F-!a1)k9oP{e6l+@pX_hE*K*OsG>NUT489X% ziTxY8?@Vj)F|%$$GvU84pB+HEJk7Y4qyKJ~AF^J{&l##3*KQA=| z-h{VaGN<2h)n~V+Ra&6-*``Xclcm(MH~rV9-S)yw+xrOap{aEGvJ^+^xKtX{&|eKh zzT{h5Ds4Tf9LF@#8}AyJy?0ai@TN*@jnkKWYE$LOp0aosHk_o?ppnc+FnYUWluE1_ zr4nmKsl=L53asc(+f-SN48W9{TJ4M9`g%CeeAAq>cqT+@8_7noQ{;9Mki;do>Lylv zG6*Z(F{_HH+9oQAmv=Rqo-&OnDhVCsG?AoZA_>Yw5*f%WVKNmu1&)GkL!ty+xnJJG zfz~@iwmGFXXrayGTXoe#9Bs&R<*18n8y%N1|q*WN-8wFM~e#MG7% zah6dyVCmz$DQ+rOA@nBa<~Uq3%GkLi)b`1zT<@kR8p9#p$xZ2$DhA~&AG6h?lE$go z;&B33>{OOxB*j@mE5x}@6)DH7M?z>~3-P`T-(y>~>keWqas;pT;ek)-W46va7jKQl z%^)S%L+~Iya&bM@6Tm1i$60|5*8&k{J63ZJ-{+=;Xy$#x@4G4fshua#bfyawfnOI9 zgmo1`R5t{e(t<>w3l@RWT?BqTL=X@ng5W+P2Nh+_P#$Kf4Xnn{1R#r*SEw+9DFO=Y(+yBzdgtK zryfd=)I30#=kKId@D7XL0;KR&P$uc@xJf4pkUPlgd!N|yq;3JJG5u)2sm_Yi5G(sFl`_D2Q2_dn@AaaO zo}$L09Lg7)CO`rr%Hm^B)0r)PUm!F$*^b@No551>IT0CP@B{=;=J;+im!8)WiP`4` zvG`ahTaZ1-s^&nW_aq!SEE)}^hT0EilFLP88SN)CtrVN(krQM;nrV<yM6zRMJBS zIbjQr3bF#!Fj$78YcUaCz#m=~>NWr*-l97o0_C^}{7xW%qEBl_A@Gs#ApN?Ulrp59 zag(kj)l=v<2O@<%5**@8Xb7S`!e8UEuHREuyFAA?rMnt~j%$g^z> zCa5dm1E9!JB%w|_peWC_2vAU9Zvc$E(In&&?t%&zl_xT7lK=<~D|;%?B}~WTh{5!I zS+p7n;D=?7-&HlDXpiu=p-L~!{0biIsdVT+O4Rk#ogn}^1PRsq$UNne%-ctNsg&{a zhzIn{;mMPlx#;PbEUL^e{i@sf22J?cy#il!+Q_@_nU+(o%EtGyYBsrE6;L z53p=?dq1P!m~#4kTjXp(j<9ldy+rObk^41rY5D79(X~Ui*-}deZl<{1>VNBUt6`$P z-N5}H-fl$(?osuF9BR7ZtyVnMOKGR+{uf{2rFa`u1NW|07`U(QRHJb!$z;+UMs=zX!H5;q} z-^YYC>$mf3VaQ()}xZ_THB=`A2MZE z<&h~H=G=xX!`rR@2c~RED4DVq0Nt%^nbpvgEo=>2wSg@gg;J~b8$e21b~$2=Oxf|T zNmDlFE)9H7-AJS@+GeQM$dtV@qu!Lg`>r%)O``puk!obhrjjkYOTv>ayF*0lZP|Ty zSYuQ6UMp$J%Ft80$Dr37p4e#Gau zQeI5`Ps>BE5E@e*Thf@Cl-_Vmxg)Q^kjh1&Rr^4(52+f&8V#w9ugD?w{Y^Qfz7lDJ zZeuDSE!t72Z!WH1e1(OhywO6i2W42oLJ;iMb^rADj6!Dxv_ zv!XT~i!{`4eGR{dYZw86W4`)Kq7RKcb-zso37K#bh5d=xTVu@WbyyHy!aR*Z6#XX# z(LGCr{an}HC3G(-t$Zj{*MnvLYB~fVmJ`_h-hF$@33J4Wc?McP5V%-BoDj>yJrglp z;nmN{7jv!4eXJATZ==N4FZk|=b>cfW{=2~?TZ@Y*NjVW8v3;IlkY$5dRpJyTq-oVS zd>IY3>GbN&HQw^OeZ~0X+qdfCa}tdn*neac%Q_6V)KWKED~h$_zb_b5jNuQBwDkAo zNNa%GFdxHgP-E<3tPyfCs^cxc{@Xe+@S%lbpo$yb!cmi3Mp%`1(;$wz#cBM$uM(4b z{!^@raMA?=EV7i(DA2VPfznO{et`%uLVJoJ>{n4CY99p_ZN^iy$kOVl%%Xcsq;z_! zXVK0@3OH92YKv|Lr7X&8BJi6@kQVK%R1qq(MWCC5z^WU!3BD#v7**(fBy*415=Hqc zLd*|6MbkWmw?m8-Nt29kjZ6uF>Tmb5ksa^o;@sA5YJ?s~Ndi>)8P!IbB zpdSBv0jT_Dxuf`IS(r$v^5#!m`AIi_66Io?9Zvaqx3(2J11m=LrA5yzA@@eY0+>3M zpNlCOZ}}tM@(VJL&b`$bs)ZF$Rwa3uSlf>mLD&QlM7@Xrvhk7#QgstWs7w-p-^(Hh zm@IgoS{M708xF5vl%P+9SPFy|T_;Le!k!U9R1yLR%s3I~UKW8eSpv4bb=(-eN6QgCnV9AyVI+2c}jEP%h6TirHxhl^hG|=UwJ|^wTQ3vSD5#6 zMVqh>#FmzEjcl=T8zHkex2lMr_E#R)R2<@d?UkoA9$WeJ_R8bF!q%MmQhKDc9%qfg zR^%Z$k z2{>$W*Lu0fTXFj|JQFdhn?-nRT%^ZFp6yH?8+oWRVlLvSOsoRRXz@PC8d)YiHn=@o zdTh3Adb)2hxk~Wn0gCJgB!y~#LR^bD6k>-h+_$q5Fs$PiS~_Ba8Jt`jpELejr?}D! zT61#7d&1k3yyiW-$HtaoCXWpc5yT0F_0F1RLW3!%H`|>xi#jX*zUz@R&)-E9;8Dj# zJb3GUa@M$7{FZO#2RbWl2fR)YxGe*`nceYv9nNC2=1mUiUDvyIFdVzHAk<##Hieoe zm8VSuj!pJQO6!-}B^Wb>{y*~Wfl6}f3F=R>uj=PHs``1(sD7T)!gT^S&mY3g0|(d@ z)t56G7c#(MW41<$9C&*~8V&ef5w4y7ICA}rh{4I@cab^8Mk($SoquZ-ul-_Vl}jFs=zs5A$;ep->fTkU@_G0h~M^2`3MHJk<^#k09A> zRdZnDye(?zCL@4y$q<1qM+C}ysZ^Nb5e>RSrEgE4h;Ii36$Ya`TveaAQoU@#5F~tk z*dMV*oIweHjd1#ztxP!m;EEMaKTsaFVWa3lfEotNu%SOlTe|USPjp7vE9`uD8_6PIFmY8N7<&!`69tYN!PN(ave$m7F%H))l+pIq+n@+8q_Bsya+$yBsL zb?soIrts^0SmO?lO$zG3or$xXQjGo%r;fNDy#;x6l4LnfZK86!JBKckX@+u`M1THB z@*(dbmp~U<4zRn1($Ku^S5N87>HmY^rtTzaP{*}JYQ)c=MwFM-i0-W)d0G&BIrld3 z4M9qW)PozSuBYy40#JQ9>tvqtg3J@XoFOtUd^t}cZo3jCd^r@q^d_`kyfw%eZ(S+8 ziI=vK8r1fpvJHsh%;8Q>rcvhkm&tJ!XE|WiShV}sYzhqsLpp7qYr4%jz5GF430)La zgnTH2_p*gnW@bT~YUBJl+@xh1n{HPw%1lV9#JfMfu}x zIg1uzYX7WSs)c;yZ{vnGOj=>$V=a2G+oAM0vQ1*XQYC>AmAD}!gm0Qgy1;|AQa@b zf(DLoe_7yggeNQ@M|dxu&`W9akg9xoy{ak$t`k=Bj+?1H(wWc8hJ~tJ)k_)V*NZaj zZt-9Vrn<%T1zZo;cIWV;Qcb#`l%)56(GRY=Y-G5KEdTK;c)R1k6nw?`jmw9#=HJ&B`^cD z9)G_~jud>lZySy(R&8(8z>8I?R91*D73<3Jif$$__DbZwk18EC(aX5$Q6-$hHy>3x zxVw5|ZnQiA#1nkgqaeq_n;(8u@eUN5*nzlQ&@XOnng5$ReeNb^M+5marWG@9Zg>pC z)E8*vo~nt)HuQoUd&_Ot9`T@0^u=r`;O7<_1)QxCC3xAFhB5lw7*nEYtm*k3+Zr<9 z*qW;tTAteYt8b#?xR#CjZSVC<_e8G+P2T_zu7sQw*zap?OI1Ge;u{`QqEZcbEs9%t zJSu$2u~@RTD(9k4sH zl5ip?NYq76kU*~Qg40E@OY-#(rs6!gz*8~$z(X;7TDa2knc0L^?}^>@`1MNw0G$_e zRNUW%@p*$@P?b}=c*cY~hAzs0F(_UJ(w#!`9iT}+oL*y%t-z0`((?m;CL9jO8}D-Ui@CS&bi~fQ?5qTFW4}zWeEAj$9c%N_X zqxgm0St?m#taKulh+`6yh^U}SS4mVNo_dVFOTe?hLL>x_dw-*@uhPL;Q+b^Cj8H;T zA6vj8(3!EgNGws?ff6SD*j;e8Y8M}8PIEfw12F|ADp^Pn=CF1E(T`M|kVb<&Zp>~& z<7pfvWA)cA046b@+QK3(9fXWf(LgG~JyCP~sD8lX5>djr82!kAO_iRaUXPozn~TzB zwJ-Bq5z1F)AE2Px(<;#}o^>VKynM{wrVd5g-?GrkMzlh71U~`5Ij!-0a3E2l(g!Kj z*mR6vh*W-PmVEPNEIE|Kn=kXvqm;1J3mGiJqN{y}eqC@*b|E=Ei2f@U?FGu!{wjXY ziQlvMrKf)xBzguHpl+dXxr*+Ov(TVrW#+w;BFs6VWuQlL!jkeigEtDEiCdS$W*>K0aq)0TR<$0d8y{tt6Rg+3<$mN|}Xpu0aYKV45cN1-GmpRnH7AINm1G~7p){52XyrzwH1Qa{+7;5O$yWOKEzL$lmTwP zUK3Jv>KgCZUkOQFokrsH^V{@$1;0|L^w*A9v91+^z2JIY*CloF-y zaeDSxAyCG$tcC(bShC;Q0=YmX+=T;yOOw)6fjl8hBt|7tes;>BTn76Q2x&5*O_HWQ z2uYe~d4PU}UBAk81C+s* zTYP*Btop*e+;g_lve`4W+EZYK^UN6DQ>$(5mW3IN&bjg9rZvr&W@a0HAx4Qa6r$-E zYt|YOTW6Cg8FQ z^5H@)?VsbL4?KoPUA&JJKJ0#gAb(=pb9_;o zsx1^iiARBHCNK#MdVSz|vn5v4+lC4^c>jS43mYg~@*5&ss+vvOEI6DHVBb}DR6RgM zk(A@a06|p8RBj!p1i8h^*85fSl7V=~HS#Uo6soi~yQHv)-bXA-IPUTgQfJZjMAV|~ zfnRm$;~#8&G~N<=i{#Xju~rBh4nzQ?C2uWBW%@3SB`j+maop3xX#265kTwrvt+p7M z&ND}eeDT!)X&3yXwZ563eL`vB9xa|dHh9Fh;eR~=A^H0a-odPNAYmDZ&@Jy09)v}! zOXt(giZW_8lEA0D_x4n`OHHLBaTy0`ZcljUe_8cC7! zEWg?`k}Bt!EFSOpNt6a#)~-0hPnne>IBh2J2hwHrag*lYeFj~=8bjFO`Kj)=fMLc5a&DSllk zquOPa^UM-)h`T|V@Vk)Jy#U8TCUN_mCMc-Y9)5!t3{rZzn^jH+CbZ#K1}Tv(n*g4? zzAm?9BUnA2!@G+RtybaZQk3QqNI3}}77asP5Ea*282Q?@7wt7A`KW25$BM5P(x^^C zYrgzRWu$wO+GO~YHvI1=@lcyzdUgtio*x(S z1izsO#h@DkkJ3Fj)lD(O8S!4EHf@!7=rxy40IK(%OWrr?>UL{!-BJY7PKES? z3VU{ImmrJe3VylQX3-Roi42SGUHo1OzD|{KrHlIq;6 zfyW(Fb3k{%?$(ANkA|$6CkV8&0PP}vDNe)Fq68oYEh44}7xQA9LZboXZyF-=f< z=~Ey^N4`$Q_h!4bQOJ6zC%urR_M{pZEV}l{u;@Bc<)3a+C0Df)da?%)YDey&4XQ`f zU8VMv8vI`R5>>$WsILIITYCUmvLC5}brxl(0No{iDK0y*9We;2m>*xP@5e_3ru!7X zmxcmw?<1Fn|5aC~4o!V#M{%*RK^uCWv;hVM4P{6LlotM=T^C8gG$_4N+Qa6rD~U_C zUHSs+z}mD8^q}<_O(hB=s&d^>rM00-ph!F?r-_%g^T&olcm(v!nra_XD0XovRk0vH zx;CvuNX`K|G8iAc-2gqtN}E6i`&<&1cv(L(_+F`)FDiwRGUoW~Z*gN+^(uS)FHkS& z0D;rQFne@d&QrKnRM|T(m=GS22nC+?rM!A5rtejIdAng)RhVb-KEsrr?$gxK=K6PQ zK5G~>)ZEs5#W0LEjJ_?yl#a^aUxeOB5mN4Hdr{N(k2jaoWM`(v2 zZbC&TFIkbZTbuEk6iY~0Eb8?x*{yvYStMQ-?W>4cwA1l>sY(d((++5@w}fu1ebNDL z65s;}{A&Ro_!@8a6gEXxfdaEN7RzWY5o1xB5Tv3NzYXHLYcojdZsGURNP*#%89eDJ z#m74VSus}S2MR28rY863djab-zVIm}G-f59za?`Eeq(TniAaz#v1F29;J7p75_@s3 zw-HCNrHDH}zXc|%wjHPemvvvw;7vy;BgwcQGXjfsGHUdJ*YttE$5_55^fA_N$imtE zHX*`z!rqy$sU_soiUKKW-n5nYh6FW_fZDI7(RE)xGV1(RA$^BtcSR*?000e8BjrHY zRK>{u8i5HJMxh{z%moKgq6ORJuutar#h1ZN!`nSJ36=J2&TmuahmtG15 zUuvqIPh>jJycTPnzhy5UF-qz9sLXMTv5Kcxt>8&XD#c?&inuDC8xF0Dxc$}vlXdON zy?o6mrPWwj*VXz1)u?V^5uxP=%C`KV);n#k+xz-4?5pp61a?U*ee0V5C0wfwmh=rk zB2G>~Cc8?xX0jHdmmQy0I!>a_JI{2rZWT|m1+LK}>{}_@BJv!x)x34sWL-tYadkMX z%O!`N2NrDff$>{GcHFKK1k?&p%PN6s6`K40X{BFx>PcOhspEz$LS(XT$)etvI&R4# zxCoocvO!qmCd-yAm}hOCQTz-`QPMGET|NR!#<~@Ix%nAoK!Bh#qfVgDs3Ynv8Fl+* zP$h#4dwIb#N(V!ROyQ?q(Mi!T!}_AnC##e#v>$cDh>+B_Tf`U!_3L^ z;fqEqBmHTZncOU!}~o8PUMTVQ-*OEP}+vN zODa3A`X`lHlFAdMg37FydHY1ArN_h*s!{e^+FoAqEY`1UeE3Bm)@<a--nM*1ma^pd|smRq;Hj=Ltd&!584ihBN4s%g+yhl?>QBM-XoAK(W#_0 zh?|Ohz~V8=EMJ=nL0{gJZBG#NX)P|w@Zk@}C~0nQ9Th9MIY&3XJ63tuIkoLN;dBu9 z)eNUgWdr_RORFw&w~amp!{MknR6S9dFoCr4SSJmj}va#!-A+!@D600V%99K;FHE- z2Y9y%esrAjo#xF$JTh7F<)6HOhY@jz?|4C(quG9tGG}R?JjmB4E6EX$JP)DIteX{p z)$hpzFNf@=MHZ$`jk>x(~wZ@^gEZOi-q`lW;Zmwb<{} z&YbIV_+uK?cq_d2LD^TFUoGWNxP2_sx}`rCfql^A_vbb9!=mG?U=ounzl5p{>EN; z6^Jw1jlTV}$KaL1tBFK~aj!XI@4W6ryD>o(HOZ@TuPZnxA+PU#-&kaGq6D zO^eX&Z)j$kci6jrnw0+~(SPc!z0=gDokl|T6OdWHW==DTk=Qx=|KslK9-!4&WX z1r^A_db434L3HzZ&}FlH#qeQ*B`KRBq-u)xAA@XveHNjL>P@ z0tEI^9uibPp$EmlsUi5_fuiDdNfb;)g>e~?&xb&x@(bQNnby2MHIv!(Bq-OL@QT%p zaVKgu3(pAVOTo8NP=Y{j379}7*UA1AY`BrEu(^smMr)7KUs1WrAzjps z%{{yzRlEnU1w0aWDFd2#45e#?M(NE}c$~oMUxRz=3L=m%pe}Y9(@ujIf8%5}WSXFD z&%G@$!>^spUYRCz>*$A?f~LB;>JasbrY#KgEiki%>OoNR~oXi}j!{?%!%mz#s zw60b{4CD6&Di;S}P~dYRflWuEXmhBz)Y%aMKo^fX$7Sq`>4KZfk5b0C+o*N?2{=`R z+=Q+Brwh)l>=&$I)idxZ+!7q3Tc-V3N96*EwVM(qHv{nwXLEiQ1&q+%4nYWW5$ zzygHaE!sB7@{SkfMht>@?H%Nz+*7|~pS&S_+3x3Ee4Z`e#h#uC>-hNRX>FA>j(+Fh zx5?#l+N2myv5K+$_c{I>i(l%cQ`9zXH{>lMs{zsG(wr%#3W^S>)I-Feha!I1mo_vulc~jVI@1D;_y#?=zDj%$Zp?p*JuK1!@VpP}XS=w9hu87h**`0^2 zZji+9DzmXB*M$`bOf1lYf@QgW=MBQMkya;$9lLN0)- zD=$1JIaW+xkYh#5=DjWSXg9W)^G{4M`|fQaAkFJp;+%0~=r<9+6916VOA$qpilO{B zg8vT2FPg@!N7qN&m`C!i&}^cs%;KyQAh8Z%$+eL7i%i_R!u=bFBRe)P37le~RV@Nq z#3GOk-WKj%A->rfEAh=ngv2+kBsD1b)g6q@fQ5ZSy8b`4K?+X6yY|atm;@B*g)(LQtq`!-GT~;((`YxtQba&yq z*lpOY5VSQZJ|FXXJHDTe4Ben4Cz7B*BB~>3ky=ZuB@jxHH;OD`%0&qJHyTWj^roxP zrfP$!*=2I%NiGwQI#O+8OnKxmh&0`j?4c~~J)!GAy(h8nN#2v%51!KFo(IYqZOVi9 zqVPSzuMgR0BezjS$t;pN5K16qmO6{#p~#k#VJD8{BIZ7IEbH+8|Kv?EzAuE>yeatV z`Uv~{eZenH_NL5Bx{mYg$_=JJ`#^r*~x+G^&xUz=(%cBg*uuXAa}j z)7i?|D%8aJ>_40?)mxtEbcu>415_p(nkw}Ei|6INR3Xf14l+RjcBn%&ccuyh0%iZo z4yzOI9v@q}WW~mMIs%lUWpX+QM(!2A5B}y}`S=5@=`uF6^&d#?m3= zc_D@rHOalw7IE;MXiE?$yH|8P%7wdE9HlI{*$Icekq&0_v+#ZL(Dpp|HhGpaTjRoJ zekinQUoWr3)vZ`$h=bV14OK00XPgqm|_HZl!eDK80Y>9|Ycif+%)>Tf^#RBx)XS?2%d$U$PQ z$el7C!_gr?3l+N6FiaHmyid^qv9$icS2GHGJew2__^llX*U3IbZIqM+L+j8`mo!_R z(}=d^`5Dh9#bNx`wvqif!up})|JZ;y(qyr-3#6C;5$X0OJbTOFj>rLf0hIx`J=K#5Ks#SiqU^8hEkB8v7Q|DZo*mKX1Q*@q2AfH(su%Bu_6 z$MYcA)^arUwY8u#gx`J=enIHsdx}j%+2MJA^X(`z{_5Kqn*ra>mT$S3$LX<6VgkOM z>dR7lR8A%oG8 zE4eeLf;)3632Uu|J9Dl_G%@Bzz>zcO0-}g3O^TCvGAT~uxAp*M<5C$KJULf_PE%}Y z0$mQ+daw@l4T*7<*d-`oRlP+X!X}CBTF0Ytmw|&DE;ysDZ7b3a!GwT6uW^Fh4_!l-S*L^G5uB+G0u5 z4K9)1K;Os-lpIv2r(~>VELHf5ii{zaYCHhNmO_e9iCaqP9S}Qe@Bkad?|6x;p_mQj zl*HcsSQsCXa+FjA*Y)WX(sCI;@rSV_TAaXB(EIR=(+pD z`6`9ml5ib3@;KBHm3SXVShH;@Av~q}IX2fMc!*ZC#$fq@+HElXVc^?)-#^NJz=vb@ zUfJw~311rm*6kCahkfzaEaDR(co9tY=CT9!YKDHi3cJ+1#IvNI5_?&Z>tvLyW?5k{{M7Q_`e zWXUZk*RsbJL8rX(qg47~POZKR1lQp-Vu*m)Pf7b?Y~6yrj|XMo`=EN9@*KT^{GT_- z8Q@?*hfqHxwPof7LVqt#c#M|=lz}LZe!y!Lel$zc+p@C@P~p6`tiwVWL#kHddqHVd|WMljAwx&?g9NQ*R3UtFq!<$2~od=4OxWUqFr-@p7xGEvbo5c*2H4G zy?<|^EV=&HLgIcm>w)H4*kc8T~<pmymER>w&|QiJ6XptC)A%%~(iCt%Z?t744USP3mpSCR&DZ z4eb(dL#Bh&hOy#Y-g0FC4=0x6qmV=)Z5Z2{D|k(^VHs`1LR^pZXHYbih0}~|C84^g zqYnpEgCAyPc<7Zr7t z932XGL9JQHQX$+)#-dr0Vr1z{h1fJ%=4hH~quG*W+{^ilCYQLK(cAI|E4ffX4PBR{ zQ=%%qmh&i?eNhwMhs2^A5_oDH300E9XHY$1*M~%jiMhHEP8xjj0RbRs6p<>W`eV7x zniYB@i)X^K&vPLGrap|JR0m8~sgYb%3{z=l2zsj4Nup^0Z=lMrQYQ4zl=2WSe7t_l zNrzfll8o#z6MDMDO10ZXBDfKRkKd7QWMO$Q-DVwS)A9s&duT@==RpZcHnR13_(;lV zWP9_3srEIaeW+icT2ltHdc`2?Gtt*%MSf9eyEED|feN)-b%LM6=;P!iv9veh53uxl1L6ouz(*E9@b1Pb6xpcTn#W z8}CS>2lVoNTeclEmqEqd!MhQXYeUL|azw z5YpDI!7Z1J?uRK0@)Ho<(%hXUARM*^w> zjB$^NF}H9b4?ZGkJ`t*%F|8H|Xi8Quw2jJz#9D|wkBVL(bszr+2_6DIPT3RH#7i7W z?YI>*n(&Rt6@VN?DHChJEyt-OpWoI34h`%=&ElUysdZ;d@G-M!SIiXW; zNhWj{h#S)7boxXqZix}mfH?yg&5A5a!W{9Kl4y#@r!jcCO+PES_&`0l&M}{3LEkoj zK(0HIBuc0)`~ev5Ba1?_Bl$r&3tk~4YGUO=$>pP7f;YBqh2S~RDCNVr9|7N=(pb9< z=g&!;%14Yal}3zOp-3S&FPwFlFfscae`o0zg4TueC-D#GMdBauV!tnhbbD~%4_^p9 zootLXA0yfNg%H%SY7Up;Yh<=slYW%-St(5MY*@Dsv+*vhzHk^5?&1LzG_!QcM6fM( z->`323j6G9*0Fc;@gbpg9m~%bUeIz0h~g!tLGhevI3XRsVJ@p+ZNS_$1fgp^&=UjF z7gF$Drx3HR0iLu~g0I%@8zh2e6^M<)glN#I!6J}N>fZflvE8c#AE$E4Pn}n_j@@1* zd}Cj|j;;I`4lT3}W@r8-xNB^pHpXPo>Z7-z{f=J>T4(Q^4qO69|AYy;-Ee<>y>Rr|zlzT*@MF>YmEaqpTFC?$H+fDxMxtMjfN_3o47{ z>0xD6NJqywl+^$L56)!?fWU)GSpq=t;89j1!RX5BC76J+WC z1ca8#-?^;xEecVQJPJ{f9Te)yVtIN%Srt!5MR+8XC)-{&<{o z+aHq=42CL=G7WLor#S$=zxBi7^`(C7zs0)NGGSCn*a>x3&xg_BvaBaprOwGb4< z_g~;B6o)cSA5hAVzP39pfM!i7Vong#AM5u9g3<#BdNQzW19PjXm_?kK=^mWK8v@G{_KF2$8 z=Pl?lnRoIpP`dH(Xp_VZ#1$L@EtX(a3vNNfKrs)8QfHtWBQnCbrZlmM@yTqG*32jA zfxI(!@ce4!eHXbiBbxc-65_1OZ3W!bzxu-;>HMsBcKrsvqZVKDUrE{ z5}&|5Dik;kH8#j4#y+9MFeJ5w8m~RDvtywQbkwm(>9?tkG zccv-8WZj zwk+_wz}z+n5tHgE>8~4!lN;zZ9BccT*eHt)!0O@s??vyh8Fk1)zX^rVX~d3Tqfr*; zI~XW)`G9~D6{So7vuEjuHQ^FtIuI~}ctoWJ5^^&4>fC6NeIHu9$MylUVr zw)5bF)Sm6wAarqZq&LEFZlNTtojus}Z-r2Y1^%6!SmXwrx1t6J$#(T1zRkexjFz4M zRv2gxo1Di+$n*TS{vpr5f8`1CeBwsP^XC98%k!~M9;nUZ)V(Jkr^YZz=;n5i$7xdY zI88^KbpQu|Ac(~{o#@A^H#W=jpeK*WZ~Qsx-$NzTSC#)neFAaTy)r<1TiLK$NCUC~ z$rzBUcJTrE2?hR&=g;#28Gl|$BeK|69+A1yi2Qt$(DA==L|(ylOc~)rlA8p2HIxTw zU>cz&+)@ovD@ROyvOsq$JMbR`I;;Cma827ivxzO@|0lM{_T_z7F;MC|g|pmu3C(PA z(+PdImIHt-j9`nOeI)T61%4p$tw-1_zHPHVr9MG^yGtb+@@vz2tNH6zQ;b;3~uQU+_Dg%)4~3uQut8Exnpty0H^7veS0gH0y-0|d5=%*c)dke|xh}AEiZpL~OS*vUsA#DRxPi%R zx&W7@n9nnn)!fJib%2tK$9gd3Hu%BfJy_puxcCLNe`cHD*@cfL4o~07a23Ft;1fL9 zhXkMG!SZGJtpra*CVe4ODOqlT-;dyDc(5A;Kg)w@eu5z|#e)U^1RY_IhpZ#mr+P5U zPuN`O=E>IoB)mYTa)<4(Yk&VTq=3PqJB#w|py8`CeQayK?Qt%4&zd(&@1)W3C)EP_ zv;_Lds=hRQP=h4AXN1QAkv!z ziqWGOjQmON(#W3*KB9SoE;DYPCuB9B5}z>e*L(1Ct$n0{f6XrWMVcr6UhXkFl)Fa; zap(kSp(=#P>V5XKy3AM6k!n&*egrln$K(^9dr zX{lJ*v{bCbQt{>v!Mk~>SeZ@|m==_kSStD!3)-fo;tAVQ@r1loTxeS=o*>jXH5)yY zeL`L;GTTz|gl(yKLRu>Bb2H$??WU#Tsi$dv=$lCM19`^j#s!cG4=^!Me}xQu0plLb zlIz2ley}+5#i6gGSjlyXXH62@5c_Zlun)nq2gO2{*S??9#3~K{6RW)6g?CwDfYfDo z9?z6|jK17!=9IIDw&rpeFeH772Tmz;V;`0@E1Gn1@)LN%K`J0pE@1eR3+O`yXl0nm zHW(_%#ta5TVoJFCJ!gh<6!fkY|P&>%kKXNJX@Dx(u_?215mz6F6v;&rq)7NC&Nw|NaPn8& zIK;(B!y$HjmoVVJl1o16M;|5pQ+`ZT)Q=(V=;rAHD$vB@8zQj=wpm3)Tf&ZxHf`>U zp6|B@=RS2F%A)tc$D8TF-rOU2wYtw1?Gd6~Y$j)Tqp)datWIB??ZK|^fuaD*vR@gF z<<9kBBg%vbdN-9pQ&{f7zAY0xm8C*uyQ0-xQ%JebPL>HXybAC}$LwY5?9e@=8yHNh zbu|cMELo)jo4Ob3!)951@RLDeEFU3h%U&U(=k|Z94+WC?@cK9>e5W`=7XtM`zXMhA z+9!Cqaxn?_a+CrZB?Q)5@L6%G2OGB!CWCUS3@!M-o%6T63y@b!qX=UIc@ubEx{bZ~ zAa8&*kxkeqbm@H5hGEFxF+2hWPa?L2K{7fN5{7CC!=2eIexK0Usm6w)YPMu`xah&& zEf-#GZ$$#x8UhEg$YNI)`>~!&D}-25C!VXoCxerX|5lxtZupPtL~J*CDCVF#8R3pV zBoFvI|I-|CSj7i?hivv6qi{yM64? zeqqRe=ZN>GdEwV_wt0cB8RUvXIeZdaaj1=cvf_~S>Y@LvIE*@oSzrn<%S`d`e`1PW zO3oBXUeYYE`lj4vS%2XP3!<%290ubsMdFDhCwAyyGf!N5GEZ!F<^|mJlnS_8|HJ~$ zA=+9g!)R=kpn`0Q!$Uwoaagm4bHf4({1q?kkramngl5IA_Wz$K4p({*gA958-!jO^ z=n*tEyx*>+;*byK_&-n_9vuS9YpYHj9zd zh_-&pVZbuUNP`&p(UGP9+{`i$5&Hx&VlNdiL@r?1lM4u-0^DVoNj4ZN$gBu)Y!)L? zNP-xt`xj@N;}k%QtlY`P$l9I%y^6yiovb+gO@2&Nbc_J=I93kMi$lFXBQ6(Mpv6}TGAh+T|UJP9_;xe@N2_?opS^#gPoRb zIYP#U9QG%@J8GHNFM?->2$Wi+CeLP(%b$zcs9%KXUT)mYMmj`&3mu{g&(I+vUS-FA zfezsfAOdld-4W0skksR-5RvFdNq;p#=>Oyjx@W!I1504nm*AA>SNqpPJtQBJ@iS~mC?Za;~jR*^EY zE9oDVU>_j6C-p!}ZK8^@M{#0UXC<+!qcA!cw5<9V%o4F$_VAc6E$z)w5CEzLm!Mz) zb+*8#WcV*K!bc#*Znh70t?B;bP<3g|hw2!fRjsuA&WEZ&r*0mn?;_3`Xv6J@LHa@~ zG9Ap)u}jAVbsG7QaczeKcMbm4PhDWovDdmtf}rm5Ke3-goV8pAaJCiiXcOvxA{j#c z%g;MFnT2C^oyev95ndqLpWUW(!gc8iEDOhNWAC2$KlUe|_z(W%YC#{uO#<*WXO@zc z0A6FPs4`0_HuN!`TllQAOKpaT>{9(Qwxn9{>1Oj(XO^ZTx0ILSZ2shbd9X9pLSV!J zJ@3oTn2$5Uzr~B)?5XI~vmJ?cFP7fMC83*2mV45sgP6kNJUnd6#ZOTVerRI=Gn~X= z{rV#7a7O5C$i6s}?)-UI&W+oAzArfG#E~HX_7T`|8D$!`y(pg}oLw3@9-Hfnt2Xp? z-d1Jl>zqUz4Ps0U{Y#T_JlVmMf=8Puj*+R);QT3HJX`N+q?#ELwJfMqYe*FQ3Yh09 z>^dziWG|i)o{q|y;u(wyU;@5*6({JiCoyjM<$NhFF#&OjDHON*@+K*6eGd2-(d*Yz zh?IiMrBm2ZU?Pmprv|qtR*M=&?o0*&{k*k6Uy`8Mr1MAFF6G_W^$N zX<=lWfD1$hUg<$9JX@bpw^?g>K6mCKS+F0YU?@PR@8J#0>w^|T6=EO6jpKu zpDChkr_Ts+_Bm77(`SWGRIX8+0ibSy0sb7uem*ORX`8*U3uF_1wiV!~Xf1x4c7go# zz@CPE19H$b`|wvjx?(oj#?DnlAibKWgL^P?)oV|}UhsNN3nbr`1 zR4fhcXj6T(=`hfw-?b-=%knS7NvEh@!aPDpTwz1;ZZnD%7l8srQ6OX5&;ru{U;=&N zoS58=wYn$-^e;sF^!w&pA2v1y?MZ^jnqPxQ6gp=vzsDxLx6))umyp^arMT3SC0rD` z_b*3AvLMWFKy3Z4Bs>;GF>fM?*~3R{BZN)9Rv_if^#6&tl01xgm;yn_H19K zu?p{b2ikS+L_&9zC2UuWC1@aIWv4F!ojzCM!S#!f@oc?S@OFw=B}eG5vEvjGJ7Bp4 zVVuWW)gmIHQjSP+V*$0o%l3hGY;i3VwLm9USSt+DmR_uCH%#T9xvR?dP-A?}B$Ox1wgqUl#7^y~xnJ3jGBe0TP zCA1)j>MGnyFL!7Ct_mak`L*$hd$9|#ELrH}ADQvE{V3D+R#d@RL+~cd8i?bn%1T|> zs;fe0=fK&YDWA0jJ%((sV|#H>qy4R3%=wxy^#4Nr7GA@)Ak=TmHGJ)JxGOt<4cmiI zO#3=qAi$fCtKpYd@h42l*d~ce4psTBJ`zE+LE`Ev{)9x`F`_II3y#Ffi3L^s35hri zR!ZD_BtcHxT*aS|7<8;2iP&v@0RKnvUy1+Ythi3_6{%=gH{)`v`4gaB&Z3_l)Cto( z0&C*b#5>U$4Y--r*cp?u>2b8_RCE!VF!#F9XG;3Q>7hfhowN&nqPnOf@ttIj6cyFQ z8*iWI+uj24_T?tLMQ7}CG=!c=T@xKz|A7yok{Hxr@u+{+?9zFp$)gJnHbrWTX>s~O zrnw<>?@;bX2dSOH$N0!l!-n1v-lu7!Z<6RC7=qiVMtZh*`EcY|F2f;5 zEjy4rpwYU^YHugUmK?++(2T3-Xk80Q{u$s%l0%~G7D$wLVMX;qr`};CrS{EFLLm<& zF_M5s)cwRP5I9_7V+Z{o@ZgBN@0CV1b`o2A_pgbq}m!L+52 z^ zx+`@X`fSoI;prC%BWZ0J>8GZLK5Gf9Cng$1dZ#(vX9iC)rzg(7P+ZNZ>P-`I07ad2%asUAh?8`+%O z!pj|OJa@I1z7VWjdz-WnJNDHbAx2-?_b@aboShcEBQS!wasDq3^sW}@31`*oN{G+& z#WuFnn{GuGmgXOB7h|dgdGZI}hx(v+yE6;DD~#(>x~vNOv7O7Rc<54A!$Xg<`ep2! zyMm8M>GC${i^J!a;(HV@ubExCD~#!BE3~;H{ZC^q;9$R^0_(@S^V*s2u*3HRe`dNT zc=SZ(zt#DP*^5D;pYI7H#3#dV4fsQt)XKT328AF6YY<-br25kSe221fdctQyylHrF zD63w!)#JY4k8HM@?Ev;Me+miIA3yvl+@WyY1EIft`YCqqfiR82kq-sW5F4e3_rDku zdRJw+4$A`-gH!&R3M3MuoFH4tkl@Q${zGAFb9viQUg9I%qKb01J`!$Gxc)H|Wt4O1 zv5?|U?54`@j1vXSJM!@xWnPkpAe!1Yxlu@MYM+a(6l|H7%GIGN>_MZj@Gr$JSt<^( zPmfx1LM%kU7PS%s?18JKl^Ej9tBy~DT<&rdcyhkWsfJZ;*j-fK)m|LajI9KfpJgvb z6P^-#@mmUKwidmBZ);9#abT-}Cc18G>X;H6<)U$vo+>+%=!RQSf&8w6h^fZRJQd;~ zk&iblvONZ2PBH4jAdEMHHg70I*SK{F7A1JG z32jARt(!O3wMaF$_L&H+smZiNH)O4BE4ta=ZNrM%io=I|vKa>v;cG$!_;jrl-}ESY z-Sk(&RH0io56AsrVF$ZYDGo%2q~RZltKyZN0f8l}QnQSOJBm*Qm)fP%yThZ>wbhsq z`B@I8aw*dJQMnX}FNxz9RY{R9kE+(P)sAA6{lTv6ilgY2W_%MUXq&^aCLGw2o_#1m zj(0vrXd*LqwFZ7VlsrE7P!gr#;CRZxx4hHEst-~#4dxGOGQv~c_}tW;FHI-m#cuW( zNRkD=*`p&g!%JaOC@--sRnwldR`sspYt@%{4z+UfW@-6VYFppLA_5r0Z5S~D%}%AY zYRo~{DyG6#aj=M1hz85cRgq&$sG2R-s${KzjEA)XtJYz5WAK@sGz9?V)$>$IwNhm( zvUx%I@CPg?5AbwqM51=O1Gi&5e4mYMFY-m~{PrS_wv^{;>JI#u64Nc=w8aFmq#AX> zy~lr$#R6wmAZs8D)598XdPl;V5IJFLD4!oT#L5X9lH`OLpc{yVt{v%($c*kA~# z`EfS1xks&0<|4T^53?Hx>}}5$IE(l$c>>$-EPDKJP!?0~Ez&Yw!k$1`#K(s{iLywT z8uld0B4I{YGiCjqM1j4h6g>t|%dz@6Wx#o&(K|1-jt)Kj!B8qPvz(Hmw>J?Ra>ls_ zCw3)>U5U)poU2N4plkB*2qg@ZZ&Ki|7?d$si92FXT@`a z06^w9MHvA4H(EyG&@p_(E1j%Wgg6}A`2AeAT_yUBrrJy3>P1w2;9W+QM)tXK8Xfy0 zr{Q~Fm4>I&-QF2H@kP$#)IxpeuC(d;#9)VV_LN%e_H+xJoFu@>34y%nEpWX%3hsU5>-zyGNa#;?;^DB4;5z^MP zwi>awZ+`zAbkXwTYZ2;AOYKg4svK#~J-!LA2GbXgctx!G1e>4{z3q$kvJW((*LYeC zMrJg$pEUr#!mNG<^GAfoU|v9I4CbX$cAT(${8ONDK32~|mtzeG^^oGSyw-=_PyM=t zfb_*V^Vlto7;K+1m-%-T`+4{IhL6kc2n?pXk(QXo(8H>Q`=p6fvAhj?ucO$zWB#|F z+C`hQuThBRE5~7C>nMhJ9XL@(pWN;})zSOtry%p@G771n4;3t@lNjFj#_>AL zjf#Xl9dQW2Uj5D*1-F-nP{Gj|`%v(n=!}Q0Q%kAfE(-P#nMQy94HrLSb&mSP-h@c?S1G$2it*_G5HAX?sr+WIm8FF?d-Rwc~U!h~-81-X3OITnrJ zB%{x5Q_c%DULnwRNd!uDX;URd;|L#(&*gV{tYJ)rk@CivmzPrJ7;`~s)?8L7io?26*%|lS&kD%6FU<1Qo3jW|Z{9?3dUGMbJY2<~ z(Y(P;#IX^yHV_)Mbbs^exBMUEZ!JnoTB;p$CPd#E?6`NObAf$huvj}qa+ofM zIS3({9U;6@PtZwgYWn7spyfqYz3sT|`XN!Fmmp(0E?`sL#2137$&mk*u^T?MgT7IQ zF=aP8ad~?|*{8GDxRwLN+8Mv_ga z%x3Iaz1Q}nE2EZ^O{mOf>?zo5dkQEca@h17gt%$ISjpOV5q*2vj6K|zs}|11L$v8^E^m?xOb#WHcg4u=>}0 z`KjPnG8O@7`LD7?NlHVEloSn7X$U>1%ASEE;9^3Le_)_BO7>1F9y`T(su~V!4odCw%%e_`zUYL(_7Tp&*;ixy+y6Y07@ZC-pl0sKUajB zeCREPIonF4T5R3xEq-j@6r~64w#IcAueG9jkMI#EQuwux*vCF+13Td(hEa&qUVud+ zkTG*#&M@{;4{@|BkHG+u2i9(^r73ait{&oN9N$Dgak$8RmUM0g4s)2;fTJ6X+y4O0 zB0uqY!rj4N?83bT8O^C(mYz(|oZW$Ty#aT03i!@ln=_OnNZ zOTER&G^!~iNPMswzf*&~@jD}!56|PAb#NXGPU5JRPvodUSc`Wn4cFzz$qCB`?(t3X zQ^E04j?iC91WT>vX?T#5Bm?kaRrYiYT!V$J=_3ZIs3eGJnn!b=MwP97#H%WYTLH8I z!y9eP2><;i`>n6&=bC`tE{?~~HY0wD#^Q(j`nm>+u4zXtTw4J*gZ)52Xw({I2_nHC z1wZjMStd`D+?z#HkvoY{jM4vO_wpx0kjt)w>cTCd-292Ni<@kpFK=#I_)g^5)XI4+ zOYCY$^tpAmL>n_7hmc8yCn8<7pch6aoQiLZW7UD;VA1Y@RFuBhZjqT6ifPH01@sep z4xrKvmQ~Lj5_6Z_3_M>;86p>PvN-4P~HcercelV86>-}{a9BxAR_xaM}7(v_S%i)$8y2i-RI@Q9BoTO?+ z@3&|wR`#F(N~k5QF2#kl?k`41rSN=wO;j(P5|Y_KaTp*CS#p>shieIzlums8f5H&D z>jU*jQ+6pZ<@6V0jrY<|I~U~dP~a4{QiXVPr!@`&QG{TlG++BNPPZOSc8cVU_! z(aW7?R1Un*$u1`GF?tNua-c4Acv^&^+=cadTGS?d z_u#ca=+MfOzjd-RYuln&Y~?Bh*P6~566ugJ9B@R$1`Ng&{ZGWIagz2sz_+X*##_8} z1z-d+7uD0~EK0}jv-MAd_6e2jz|*3;eSFU5=*vOXY1bMxq1de}a}N={!bWG3Vj6|t z{-+Izbx|fO6i8L}8qgOjqcbZzVkxgy9;_iUUyL#RG14-&H?e@jG0=KWh}f?mbu#48 zM2A+CBMmtWi3PeE6auj2x^n43+2_9072~ljB1C-ZnZJ|0$Q!jL+G|jjSv#PTj0i+^ zgC$c(JNZoKB8v*8O?gjWXm2;t?0gr5j~xiY`*mfn4+P-@yRu~iMgP}AfC^Pgbl_)$ z0|zLlb^y5C4|k~_Mkl&#AoaMZ7o>DsFK`&j*VI?1n$5Vjo?*QPiM?JRjTkZTbJ~H_ zqs?+3QJ>V&Y8A701n-hFsJ_9pTvv_epnliS^JZ`u>Yz=CZt5U?SGHl0*xmljFYE{k zvETR$YaAqwu)n^X=|jZ;KE@#Xj!6R-$G7u=>~L(83tJE>b`IxbkZz%_OuYe><48L7 zb2)w^EiW{NUQsP9!N5ZgDGaZL*29`2t9i-RE&wGE|N6oR4(|i(UV^a z0m1htrBNsgz(4ckcS!*5gaF*1`m+K0s|!|$tw)UUV>cT$C7rD|ML`Q1_Zh4ISZV5EKc|Cvro;<)_2_YmnDQZ`#!*JEu@+j4~eZy7b5&AoGjFePO zNf(Bz#sSs%3rM0?unmJntt^n8c};Ozwk(k8on0I(>eR-4B#@(Y-Zp9MHU!2dNaI06 z#4gHaY3vr;l}#Q3kym+=%^iY~hfe)sh$L{!hltuiuD7I7KItS4;@9_bftwGk|6bt2 zWH(6|aFTgNh+Wz>OV*(gQ05>}XGe%VNTRNYz+iwx-4!9e*yH6ed>k8ptAWO$3*;V+ zF&bF339zVH{0)s112mHOGw4$EmQHx%i-?8x7k3Qcq6e#i#5y zbYx?OiypBnkp>m?1c338B7UHdYJ5zHmG)MsvZJ7VBd+%n#G!f<5#Zczc+g@(vCl$5 z@2Z6!Bxn@)Srcp5Ic7( zRA<2`r&z}W?XNLAhH#iPh|kFT+~`B z3MI=WqBG-Q{#AAbe$?rX-W2R973_ro>My4bCfcCNQkSKAvCE(%W!wD_NtRCS;3FiP zFHLiVv%dBS znpKkM)07x(x@-+WI-I>(*kO|B!*=T7V@`w=?X0(M z@l3QaFR=$}8x0wzyU7Bg#fWkF$F4QP;;lFBF$Y_>b4RifCPoq2VobjmCHp|{-8Vl0 zQT0$Ba0$nVSu@+?jHU;qLxc~-3xZ)%5G4h}XR)2p;`lC=Z_jLxj}@R|{JM&-4(HPb zKC@uj!}^UBgVH`mIudi`#1xc){Wz;oiG9OK)xrfc*!be;EGY=<&OjM9t?&j{A(Io# z?Q3yS@C7HjY#|GITFv^v{8E)!`7WMK)yw|4KmW+=>E__2Kk+uz068$)6=kA9e3zyj zyEqd5|AuZ%F-q(nn9bv@X9r>DWN^mr5^ZGT-ud@b3%*CDdx}S2h1tOcMSR8!x%Cw@ zjuQKJKDq6$*mHp;vMA`mVwOJ&&b5ZVtay~z`|0Hp@7BN~hP#qSG7Tq1W1E?g>n|(K06zx&d ziqV+lky<-i3<(&P9;PIZ7KQlcDGGsE`P}Q|E(srj00XM}45kQ^aQ+O7c~%?{$-Pc+ zJ94j+*`tiSP6m^S1Oisz1{0qS45no?@W~&E#XA1UMfXc;iCOz*7At#J?8ZGRQKnsy zWV8>*uP|#sOk(M1vvV00Kz>cht;NNQ?APQHCh-XTn%vg~7bz9OU4Z1;ghG5M^-FWG z=Z}BopVgdy3>aKA=rCG*?d;eqv7*L40Ot=jb+Kai zDBdpfHY(a+p2y2GeP8IZ2*vKyo3@qW$zYmSP7xWq(8vR+`|l~X?cm&)jqzqy{hq8T_|sccI#isCq4X@|)5HY6Z5N_q$JOAwT-_2mxP+qjtH zma3;>7_bw!?#?H!RV&xi6pQ_|Z*Mv2WDY*OnN$v(K#aAq3GKo&c}|r9OZdwe9K@_y z(SVi~Z3bOH5!)!1TOL_76(jAJF=CHk$8NYF`osP0$#^oLl9PzG71~I&oex0Tkc~)d zn``au%!1=btj}{|k8$$+up9L<$uq(tX)4gnCF-GeH9|`7bSYY$cd`? z-(jTb&Dw~AXhCyxw;d$5C`^^uA_RT@6v3HR=<&#fSp*)c(P?sfVft#!%6tUeQbq~VwTE0t}0ZRiZWF5OQD;XgX_Ql3s1K$s`g>WpT~ZwL@(C(yy)IL z9`ln`sdc25iUPkwtmn+9l(#nJxJ}wtJj7*3<3Zz^;uf zDgbQocxc_;J=iPb#bMrCH*!5)G3?cu?W0VWl_xP}haaft^y0P>)Q5%`gSx(Vf-4BzlT9a=C$WxiMJeVzg=}qF`$e zHfAEMlzB9HqTsxi1z+pI7EDCJcY3fL6Gh*oSbU0x*+S9qHHC4C*m6FrDU+TWOd1Lg zk*=k8q|+Ke>ry#TX;w^oiSIO-gaFfsw(;OVCD;Q8i_3eBu;<7qoJ1^L6MK*uCW(GC zokIC;E{*Ijsw21-k$JG4(xah1( zwJ?`>J~4QF3agnUdQFkmPuSM;BHz|C7HwJZf43HFa)Q`Hz3D5i{E{UcY;|Cb#8y8f zfUO$jzD;oHF7c-kY;^&aR4996-(kNch%xqX>hzl|dIh1Uk=WxW$Ol;SW6`_lJgiPO z>q78c?C48lJ5y(DW;gVD=4AA`+LskhZqe)cEqmR?m))HVA?@MIx=#_Gwm&_aO_(D3 zhY=-;-5%#Dt#5p(fzp(}`lJ($!co9~Pq74VQ0NEa#XQ`OFGE!GiR17c>%YFF7WVG% z&1)2;OV-Ja!(pk`ZT5TyTYUFp9O0d@g0NYMurIBjrMxN2QybhTVod4dz4SQ~3q) zlhVj`S&DZUNr3RZscCdg4=xEI)O0#p)`XXFy@9JkWey$?<6KE99AccYSYL!;1>5m^ zO9YGAj*P4&zQD1&LGBPE-*`XSz6DygTeCZ#Hyjs}W)1_kY+y29p7j7Mo_p!LQi$SH<3G-;I|&&}8gv-WB{A zqOBDfvIp9Vw338xr{NkyYw0>}E!e=*)XG)1`~;&f$(eVSZ=fi43u9mjiLpNb404LI zp(GQQVmECb9bB)N-Kc29HsP<>$|SLCnhu`~qIKa14@3}`%b_uRb-N)zrB=-1&81DH zYGG|bB*E~Im|QVn^&rmwil^}ah~D?EbO76mJR}I8*9i?QYd)#KkB7MPD!*XI9Uvi6 zm2Hbd@Px}6=*}dy_WqZ^f(IcM1rczS$`2QOxLm|`f`|D3!yMe@c|P%|o@ej8CU#Y( zqC5a4BYd_q>ae%;;seqz4x(H6=Gk6!P ze+@cC?;Wi3G|@ASk1QAn*9CHV;41HC^;glNolMB+Dd1gO65m z^3s<*#I&5eK<8ZMEm75I;&g5%FB0c+%F>rV-cpu&wEig7BB0Dq&bE$|8rf=5ce+$C zjclECMVoOEi6Vkt#Yv9`moh(&NVAC38M&a9fJWE_T_w@>8lKnL$WFyc#8w%POm*;_v_c;QI?|OiHdp=DI=3ztnJZST^ zxCVlZ5sJmjNI;=Xb?@qx7?>D`6yjaTyUfQW52=+(m4c5;zMDLFTM_yUe0r0T6a~nm z^7A?CFa&{iX(1n>#&WZ8Vl<7t;DZRLZp!J!T`{J>TWGr`ctJXb6kXw?GKLRAafl1Y z{@{zRi{Ab8NW=K0FH31)wksyXi}5g&!$S@oob-uD^r7{tg`J^4Q4M-)idgU)Vozxt za!rt|73zHA3VvmT)UoND3smYt-u1|sFZZuHk9VR4Q-Qu?W(cGgq(A4Ns8 zc(1E8S@KZ2fJ*1{9;MEFQKi`=bzaxc%Z9uOi9btDBR4}&_GWb} ze(`M;U$jYQ9$I_dY5(sQfK`Y20&v;wdsqPae3dH|TK%TzqDz$#yO9>p_1R3F8qcWs zmfKLt6O}z)Vd}TUSXCwD9tqA3nS@By?S95*Yt+&Jg zzA__q)eVc?CUrH>mj%Bq_P5XXWz*gkBlJ_c+-WTFhQ@-@FGK6Tr+9xcS-(8Y%9(hu zY2>q=Z9sEx+@h83w2pTuXq`(gi14VX?AF_&e>YO{i%A!=o>-(I1>O-jhQlk6`4#Vk zho7?WS#Uo)ek##p&MeVo;A*)obVj74-)HK)C3?8t1U<~k`U{eZpM}__mK6B1-LueN zn|;~!S@?7YDt^b=Vp_ZHoM?HE7PHT0W9__0#-7zgsfEz%ug?ad4*Ifgb3iED+S$7$ zp{jh@%X2`eYG3x*9C2h?dKWBJp@H{K!vlQ&XJOaPd@z{w>QWMfS<-VQ?*6(7&n3+D z{OZqeBfxRJFTjo(5)~7NW5dopEXl^;A=>n(!BmB1r7GJW-_8Py_Ro!-aBG%u^;-n~ z(*7BPX{Wx|&n)pBNMU*HkbRui4v=&EN$bGQCw1m=8p#mEnaAZBOTGCxU+ZGjZ$D1r z3JSM&>P`!GQpD{d0d<>x9uR_3a;?3FBoeWg(SQS}?ae6F*x*^H2hAEkBN9+)ehr8X zpM+SnukRmo++gG`N7^3TJ|2x&Sb9jaAr7Xle+}d0<9b1){HR07bN8l@}4>X78PIJft+9Zzli_Y+#F1 z#C|;s-N=Ms>|K4I=uS@A@GXc!&2V44TKOHKK_&{>^%PhMAql&Jp zIb~OHn!+<9t6PZ6t>9gNxZDb<4~#oOygenLD=2vdlz^`aD5(2eNXJ> zy`Y#{tLgm}LTddR2tkpL>DAmjDVr6)CwBH4x28nfzmzs`!&|1xPRBqtD;=i?kD4;&q|m+7tsf@5aDBmF6%ql&2r%S8S1f&TXO)pOs-knGU=XOcdqopcJ6*=2*p65Vmqfhcp>4lu;G?}H(zsc`4V=T`IT z&?gdV`r4M)XSOY06?y!ZSMv%1czHFi5rCJg`6sw4UZrPj;MD}4UCrx6-|1HKN&!4u zoy5P&<+XAJIEzjdeYzgU6OrhRPq^WX96#kGPZ#_Q3f@zE^9fs+ib-v&iLFn?M!GY; z>_n>Qoo2+eVuclwgs1X}KIzo(Ja)c>`OhJf)KgO>38jt5j zAVehqolWjiRsyqrM|sT3?@eUz&(`H3&IW@6<8paK@f}|__XAN>KWxI61h}N`?CTH2 zL4FQ?ymXyhdNPvWowh4>=J8Z|3ZiCpXYD@3CQ}zb*7rlvcj)m|C0Y!&zCK&BPCC8Q z0mDdn08y%sX!(md=SM^j552C+uI)(PmD3-S@fflc?WVsX4?mXoA&B)bknQ;pyM%Op z?D~hIr#j$&vG*?EF&^#zc&uzCk+r*IpC`x?Y}lL#k_t&QQFKF`wcTi(no_h>TUAvg zB*EH*AUY_Ts;agqEp6fuaR@= zhe?Pqon9owVG+igQdW!gRJ#%-u~^u(`%#2{*oxEntIOIKKjV}W^%cs3YNGn!w=*m) z5@uhkxg9D1=Uo?>u^n8(8;uZtu`QW~BOMHLDPpfuefz@jmov0>ZIf}}yTM~>FMr9o zYTT-`Uqi+_2*Z9K8==b~4CC+)^y#CMA@T20!Q6-so8z7>@Bu`#{xmDaI!bHLd@lOZ zM-H%q7)U=fZ546%FiFD{v5K#^RN`YU^3q4XQi6DG!xAp&>f_I2Z0PXFkq(qO3*xL0 zpf{-tyL}^0=f@$$t`br!Ki>m>9;G%3!IcZRV6dl8 zmn=c^Uf@D$)JLBxBQqBt|0IweoesL<%ZuI)!INZ0`XK5+{UrTC0#)SE!)k93`7vK* z;N?Jwr$Ct^A6g35P+2Gg6`9nqk*_d%d#Dge>0^V)0wI;8O)VrnboGrHl5cZGZv0r$ zfn(1^M}ZwKV&EpBkO7+yHKq#f{peMkv~<(!iM^CUG;S85(B2PzEduw3Q#x`%ZW03Q z5oYhtJL0bJ6UzG*$O-xE+8Wj_MQUDMc|Tip&+z00U*%L1A&NJaDLP6j1l$7@=6&@4fQz}doNOjMzTcd8waQlA=29i?5 zDTV`6((h_#%uz*^(H>7hRxzC(z+Os~!c+zi2PClj&M-j1yBzE{+*&6 zrpG@CL-hD3O*Oj4K)s<0m|VKYqn}U?>t~X!Jo%@(cXwgc9)FP^Leyp>0_*hV5sHFz zHV6j4H`aly6n*(3t>Gq_WTgYh$YrHGd{mQ_luSp?a9Jt0;ugtD{ik!)>QG**K{ud- zvaxig>YchNs3L7YNRDqTPa{>Ix*q$dn{ zb~(Cfl&lDq3fR-naXw)33r=n)DIw}t?Ie*_7C%e!*L78j(_zv8Hh*z_Io#fs>_{-| zA*#e3b^L>=bNyB>>AriGB;ByoX+pAlFbk4yoKhEQk_u9yU1~DA;3nDvLQLr#uA5z;Ai7IFF~nw4Su*$0=I@1&xSsj^8*gQ44ymX61f`xF_SO4dGAnmTuA0mi?5;yf&`c5V zL>7Y+h{<((%pt11KtNPj4WbPMQL0kY5d|Ve8ecO9Af;Q`nK_CyPIgk-Br8G6w6dm7 zfV9}k20EqIi7&s;IRfpL4{3!Jy6{6?#Ny;9LUAR1ayD$FI_MQ}{k-`Y8t5{$f+n@;CCLn~jCk|2PM2g;8iep|-0fQrh2%U^5 ztbhjXy-A=IJe;nrhc=KB_Lw5{L+((jso4smjCWgeBgX5k$FRn8afbE!auz*Tl05b< z$B}Tozb6^lHPNL&JU~={*ej z%dxEQPGDCZ@)+7!BT=_n*MHa!8~m-*$kVAi_bzqNXg-(8hRu^28Mfm$*2Uex9CT2{ z6UEB2dLCAuW$KIs5ekENDnF)=b>-I+n8k@N1Pjlr7>5S7^jnMG0y4WhDGOmZdi0KvGT~z$*>sb+(?GS zIA?AM*%awy!cJeC;~TNJZKbU(&XGc!a-73SyLOyoVSW;i{g6b{ILDW?QO7yHq1`#o zv6c2@`1$aTjo2ehlNcxM-U&a|Ep@w%{pwih>RV9pL9qXMH5csvL{R$7uONMF!=|(N zg&_&MgOD<97nx2{rXD3Ytdvrr(WkO^7Dx>n9DDl-LCoHYeY`-j_~*C5PUHBQ4%N7o z{B{h(lM!m6yMwMfh6(x9)Lr93F1W5Qz)7to@3UqLrN#{`N`1pHNc1mvkCpXVC|R2D z`cPTll4p2jo0J<`11+O=d~ap*7fN0lRQB0ISmSR7DCYVq6TC3po$n$gF&rlu%0{M6*BVv$wOP zD9wg9+1PauR*zWOxh$NZN^SeWBFQVL7z9e^7*j2@ov%{lbHW6P-WL#8a-tlFgiqT! zEP9dD*m{ANDEWe7QLG$UX!Fb~sjP{V;A>m3ltu8_RBmNE7D-Jk_bXG~Fw1gyJ6Zg} zpAs)ozv)d@>jRv+cJXJ@2U5$9ZvHA{q~Fe0N*M56viSyw=%hm^BxuE>osgi;Gx)Q2 zP&~=IvbYt=-z&vQ9bEE)DBfzkP&i~(FYYUfDQb<9KxEyaIGX%u$5UuVrGYHVCX@pi zaLvQ5m?ut*dA(Nc1lrSIyMr}Pf0wmpIO4nF4ZhwQw3pveY|+2qgT`{zHerjV5O-yC zr#tIX9)O*E9xNKoCa>jOp64Nq(r4%Lp*KC6Dx`W5+VAVFuzkmCPtFqyyzay)A>8YJ zSq9gkG7_@}h zsXeujjwQ$6uQ+H+Jk2+e@(JhyWLntr?2nN22mL6)kEqpKxn(W*%JXDxGe%9L+MxWZhB$_s671uCo7xNvE zBLa!riWP~n%BWq;B_NXdi>u75uuG>G^ZgPK2%~nfVwY15tw_i!=GHkfYS%AT?Q_DY zT@2B>&Qi%&)^!#}?dLOyHWZ_FNCxY?R2b`CSc>~jil*R8A#zpPus`AG{AU}sldB|& ze9&=>Fa|4yWAb0yu)pNe8j7hlZ1!NO{dVu(gKa`W|8MGf&y6@Ec!P8Ge1^*RIq9Yy>0g$h!I`T9eScI01Jg<$;p68Qb* zL&>k@rjx`x!|n_<#cU_X@j2L8rp|#<%(T##Ybj>?vd14vEr(nCa~tw5K7DA~{5p^8 zyGpRXtvxB`*u(KO_3dZTGqW4=qi&dV?S7lS6QW+1!QjU4Oae+Xuu;$P)i1>>%z?C-V8JyPj z#u?RY&MO6{Cv47N3ygD{^A~(5^u{l0*qmpm*ry{J#svy(3!C#CJ^+ZGqoSPKoL2(n zvzNo>Jg<{tbIxd8r|GwAg0qd}$%H~Yx>z?c>*_{8M z>YQS8-o<`cAxUu;-%u4f_8YYz#CIAcY|f4Nt%f%E1qM}zA60^Tg%-cUqFxouP$lzX z^=D6iBw3mX12f)rDY5VMAUXKs@>0?soGRd!(^!LKbD5Lha(rx5reI5lMP#FG%p0>wZhi+%9w=%^(on4Yi<7QyKE^? zCR!mewV1OK%H|yB&;G~;TRYI7wfpvF%09)M$%}=olq{xEO4HV=reTI1y%J52_h-vjqG^%`sp$*Vo1Wm$&aXt%Q~a6NC(=Mo zvOn88$ID04wFR5~i8S0Wn>SgmG`Xg#$){L@RXEm}skGa-s$CFJ_f=?ju|J!<3hmOA zAPYuG^>&x}vu&%;?ka!w`zonR(oZF~Dt3_Y2s8(eyk2ovdviDjm+a(M@SzSb?Bq(O z``d34qo*x-Pe_%?lZ7;S1iw+o{tH|u^zzG`t9%3eEi&s8y;Wm_va6bNuSSuY%O;s~ z@CDl`9Q;^|VI(x=eBW7z@0%zWyba%a>m%5iF&m&iGME-1H(b1YB=P4fvJhZS=v=+o zgHI(tk6zQcq0z5fGV@<8g>)y>qZMh{and`&wi|y2f9$(y-N`ym`wgXL9anY|!=~e0 zNr2RC$7xxjRWfM-`)D;}ozw*o39yqM0vLS$465y_3oLzSw|O=KNDlj=S5 zoT!34_|VN!>rwnakJ6^rNT6C;Dg};DY@#F=qtb*QDUU}IOZ`lW_PcO^uzHyWrU0L0 zHl~mo*R3s$LYjF|DJ%U9J_OAnbe-i=Y~VRt#cn)oJu$ZSNw{6;-`(k%CJu-H0d?L> zFi6pLIDKkAd|{~8a?3m_w5JPeJ&c2@B|lwQ@?rTn$%hBGm4(?KxzQbe)5c6P=G)Ie zt&=np-+(h}8bUJr!cabyb~!^>I*+*m+wd{Oz*zSEL^wMHjU+tA5ab~aP7QFCpUz!I zJy%)$Q>FOxmBknSP4Oh9`0FT-5!(-Jq3JOcJJ2stEkO2tBwaw8MM&<+3!%NCdk6)G zlzq*fen@DZ4C#kB#lh}=NZABe9a2$n2zKY`pGz$prp@D$XYg`_z`#fZgp_IXSpMfy z*yzoafEJe8TaIXIt0Dq1#6h-0o~%H-^>vLbW^V6FyV;s?YMt>U<16 zh?$~TlCifNz7p1#gs%il@n0z>=ZpG*!QN`dtH?M{bR%IN@0dP*o?>slhQ8l;U#amR zx?j}A8AJyZH-4eogJ2R~wNn9ORaJ)}< z_tAfY`L&nWmtRV+)gOs+7)#Sc9vZaW-)GjfQm{wj%ey&M(j ztsZ{eksBJv&pg@rucS7Cy*mpNwazrjyvC$@uIL%i*q0-lC9dPvsD{4 z@#cobM9TQ!W=kl(JH~UD7+H`{6(hAc8iE=&s7C4ys*!q)D$Zs>T4jwkN{ynS+lS$MDVrR0+}6({MKM`VEPTi+A=aLK8%Ki{euJ}sd}wgNKA!H^li8Yk z7gu`qUjZwYAFhQ>Wmjy(4^y0XwHpS})%UdLutMGp1^Lu}jh1&q7RM+26PY&lhg9P< zeSrX|MeZq<|7b(@OD4l4+YmfEg z>bxP^Qu+X+^b-$ZJ^>#z0wpMy>Gni2qx7i5%_z^*VU1ud(d?WktSC#62%bYXeKPHz^IBQ?^W>CM#w*Fme!;QPHupl`%db8z{%1bZ^^6>hLYXXi+74=ASy zm9?n6DNXYJu$bKOoDV7KmMFM^Bd8C?C~hdv!FS$$UK?b2{2*PwVwN763*i@5{-wFq z%vht4M{Ow2HGrMXh4AYc!0K<2COta{uLfcQidCp|&L0&Op!%uP1joGyVd-yWG?$HG zlkfwfUnWB2iC6NxIgJ%E_&&+5X8|w?_(i{F3T)z*fuH1gZp#QOW(nr%Lm(}0O8IB z^7JgYb2+$8iXBQ&#*V&9?o)eagktZ#Qadi<)YMowpfRMj;eFVu0@@=Z@)nwmiy{~D z@#(Dz9NY8)DoeKwu~zPkt=Ns?Q%-RIH7L&B-Yx}%>@x~)Fce~qE<}Krp-wy=P2Tel zAb<@2(zuRzTLr#POvA^qqU};pcWS(sV<|0daLw|F{I`lka5E z@x!C8cdv-TDfwW#$sQbauOWLTPio#(6@({5a-T3y<2nxRZi=T4oLY)Q{Vh zR6q9{qdKDb2pjpQdD1h3j`X2T0{6(%5y}jL;N1|&|tbQLfr?* zfq2Nh@jA_LDovhKU^IW77WjdW2n(jzN26Ki9a57fM-WRdgyGtywFJi~${$AKn(qTu=w&Z+At1jJ?$a(>i&AQ)%%bmj293S3;~E?AA`wAa|@ z`I3+OEF?m{?)w@$lrKr@0TMuEcL*NtFK>IOkQ4WZ-rMIZEReWrR*9LvV1*wb!5w?$ z0Lc}JW9F|1NF0I%<;V2?J20pRNXn@V(;&g8v2Ki-~)UgOe)UoRvpL94kVj|L1#cZ#GT=z z+4fz~$DHc>?^r|Lxu#sVX0!AE4F1!dWtj&n+_=4``28! z(c8b~vW>8+e8D9f2;vxMXiMUMMhW|>1DrJQ)L7~P&KIM9Gq_wsACPN|)YC0ouEFxX z>Hrw}<-4VZ0i040v*`e5laZUQz(H*94rh7?I1Ak!%l!eY2N!mOBMb3jPwl~LSPD<9 zPd$hAoh#bNS2NnkR>T#{BSl=XJmTVt=&H{nMK3^PDximvQR!{yBSlupR%DgXM~bWx z^oYwU;1iQY@0#JP(IfQ^sOuv|UWt69-0!68as>dhrI-jt;ZCorbNEW;eZvUBw;uB- zkV1lb-y0p`4C{)&c$s2<`(s@r_UhA1uv_B|?sN5_&eAUS0tmq3_8$ z#WhKEap4qKY2`z=={}roE|8iwRaHn?r??7bDotC2#gwH2Whpo0gB=CqC;u>99~^z%b~&JV6)m+tKFeyKYyZxomTE zVr{+k0Bv$vKXQ0@X$}uBOFAvy)U~1irZfQcp?5>kIER!MCnXmzzJ4e(?33DfECLXq z1?}=@SnNK@XJo~Gu3MLaAL9e+uLfZ7vM7?zG6d z6WNQMVHa;>Sa8Sv1i~0cKJJ#LzmB0nJ*GxzOmB^}qC}L@g1FYisGarLJE(?8aoK+LE*F-H z^j!)C8`5`iWOu;;>{1BckhO~s3gWVM5%~d|beHS7H>%y`YJ?vEAgn$@z4Z_}Q<%Vs zDADKK3N{c6RU~? zWl)~u(wMF{MGiDQr{-7m>bSU@a1hCDco{U;Vd&35=CTKTDu9~OA8<54x%WVjoRt1R zD2@$T4>%5hf(KBnmmRiaynBdkC=84!YLF}Fbo+6%rnmUw!t0YAwZXYjA$w+nuk z?!@(QX9G}6PW5gwL3X>3FdS|w{ zMNT16pzhjgZg=6g$fG)-qohRRM;WvZ6vB?U1v#)kws;9?mg?@<+%*G{P+cOe5?$S!Q@XVh*_c-_i?p@ z9r_;TG{uPTsAk0fSg@CLjKa84>?PcW|G8qr|Gb6`e>&enff8=RpU*cK82IR>y4|7L z@EtX5_~J+}aYGSoWRYajoff2^}bbM=Lw;{Z73#?9q$7i>8e3 zLfK8>4id9PLNJjxu8pQ^UFWcH+v(|)OW-Jfq&WPh9b{pX z%2Q<4PjpqjOnP=s5Y9}#r#Z!)8|+7&c3mJqrYQ1zVKj|Ear)3bTqzET32!G&5}4r# zI0Hc~_Ak@}VZ=~E-%0Nx7*-unPA{34a`eTY1!fjho(rR6RbU^}4=7W~K8N$3oTF6E zFhva)c>kJnph#JJKwbF0;Jl^!U(5wT>%)5qo<65w&I2H}GaUb2=4k$7WrXmg(q2Q(id=hfrwdx=fnALQ_$^vK9 zBjYl`#VXS>{%9t#w&tt@d_p2U>wr2VuTl23#Oh`p#R1Udr=DPSM_>=+tZstfJk}`a z4pLZMIwxi@mp{+xl(5UaTOjmOxn2lpaIylF%vQ1Nsqnmps2nPXq-6EPn8Ne6*BjQT zXbw_&UW0j#l092Rx0lNNt^xBq>zLF=SYSNsEwH%#YBsMM4%D|km`rSa#WVN z3a6mA+3M2vglm(`8fU}AwJ>DQB$Rp**-~$f@M6tkj!T`BdR-mofj!jIQgsHn6QW8+ zdp~go_?6E5v_?N3Z_ss9%l*R{U_Yees7Idr6K8xKZ>G+%yEi0a=V5xnAt7yl}%i=E@& zi|QP)db_I7P?Q+|PE_w+n{N}t6su9yjk+45`u`pVHtvKJ(Y9Vkm;vD5zI|Id5UdSF zpr6|hlK{>>e8~HBT37sl|NAQ(OKY#NQzxWmO^!s+Mr1m0I0CF={xt44d^1*Q96CRN zmslE}lm@ipZx0Bc!`Gqy0YB@XT27JtV}tsUuYMd^&sLm-EJQE4oRqBm#X^V-*!TOn zKB71HuspavBKQ6nr_`IiKd?GKO6H_L+i*qjZGgF>x=Zs7uaHY~T=E83HQkwCF3Ca~ zd|_t8F(x@Wk4wDYE3KlJzW2j8$M!Xd+)>@R`36LITgVEHB02a$+fhkBQANI%>S)Q< z{D_+&#pSH%M=9D;(Ve`Tm%zLEj$!6XKk6lgiM-WvsD6i;S=(aCtA-PGmj~6_al;SZ zPMRoWz;84&p;$Dtq*&@$e`zose@hF2D>OjllItD7ekqosld5B3+sgtAmysbQYN{uf+Na8uqZ?JjV0JI=8=6OlD=vltPTxXH#3z^r#E zc}~=^hU#d^zWxb7`&ihIKS|NA-swuXx&I_?@`m$P*Pxq(G7yz5Ek9P@dymbLwgHhf zTFpU3Wvlu4!7=r|sG<E*>^(hxI5HzSUvpO$>A4Z16M?(ad52&Y{G_Th*zpyqgm1h6BgrB<){g1B)1 zE}Wc1=Q?1AaAw@US5eX1nfg0573bhSx#6;wMjD=U$wPNuIN-;+_|xY#@)ID)KT5c` zRr1rXyyyTn5|}g}d81K8k^lhiBk^a##QhIE!qf z0$7i;l3laD4qJ6r3Jl)fijeVxFEGxLadUP+Do2J-4+R-F*SO8($f)0gJv<9!eDbV< zjGyapWawx?32SGqiHz|9EczVITX6G`Kf!|hE|9FM@JGM$W(Tkv=YWsQ0A@Ik^Bs#t`5#w%xWs0#ip#9?d8zY@*@%sE zETV?u9LsHJC??0pC5teBBHbM)G(YvOQ8oR_TO+F4^NG6)UJj^{PQUUt1hC`hrJxaA zTVdterM6jlpz*Oc3u$W7DjR_9Nf%CAi-+cHQ zOKxfgkN zM$zy#WjB7o?fYT)&U*#O!)i(27^uRJJ%~!1b-q@yBe`CPC%(0lZ{l`w@Q|n=UFjx} zT1r%ZeDeKZek~5wMWFSr)`bI}N{?MT!+vO16U0b$h5VjF&uOaY_BGDh(w zSCa|o7F9rd2%y7}2$}@YjWt~ZndfDx#nW+WjW#5XMIzn<{I;y`72F>7(=(4r1;eJrf5{bGnG z6)$8g#3W0Uu(XQam-frLPn1I zLPibSDqT)7EKy&`SVGoSyqhag?C0tW8K2{Y4CghelV>hBsX}d<3!CcEYf^xxLwzH| z0i)_0C2)2ODDp}qpQhY=np@3$N*YBk{_tB?XMjGxOlrV9OC?V#(Wq4F*v5E;q+v-* zAr9ZwN8r@@9w#m`%7g$)u+?2U!sPig9=%!Hh0Q3Hf&!?S-gx7TETp}ikwxO^jK#$4 z#?sRnT-hJz$bryNT#Bjo%yo9Jw9+UF*U>PF;zf8EMfbz8Z4p%m<0!8#&XG*jr0j%I zl#4vQ5|7b~Yf2NSaqw?a!%p2h1Yz?sYV$&Om`k&`dw}3}h{K~W6Ws4=$Nkw}SL>ag z&ahpLYZ6CJ0Ne1J)T(p7vQlAzF8OIPKMj}`f<*&<$+xs1i>a|&ah&5qtYaVdKt$Ay z7Zr{md+c@)lIbemTB#!lDIZM`AywM%_eEAw(gl^0wnU1zRw62{3G+QsQpQ!Ot^4D! zARU_hwK>~&Rq8_n<9+S_ilCON1hup}L4BbT)E9!FA}XI;*&^sEu=2^3If9&8vDRf$ z%P1O^*wH^JhI%*|y;8du&X(H9hLJ7|_5VO=u+tNzHKo3MT_!~bzjaX%8HEsH-bMg( zeDWcpGTl1}KxI#Lrq@}t`p&HBb*T*}F?t_Gyv`EJ$G?|@pESe4yGC$eiWi{W1et zZn+ez$qHnyzrzt$wAhWJiKf#h@NH!gUOC$_Ubtq8f0`R>bQe3(#mEHR=V?x;`L(<7 zliq8YgP$OK9sR~|e2G9U*%T#HqQXOzP3Ya*92?(aP5>Kwy)eV*v<@q*D>q=@{w_7p zEfbxx1ClHYJNdiRt{wpau4fongWOetOu8v`wBANhp!EWnR3PleN2L`hQE|80n-Y*bPv_^heilSEUIR z(x=j0O}qs6{AG6WCN5Ct0Hm&tMFzCLWfQ)04FODlOKRr6O~6`ypS^KZHa$g;Fob{0 z2HpZMv?q{Fz9qGc{2p1rC}k{4rd?;q0uNxLPHP1l-EVSikdu78Csn`Za`QtVJ97(r z5o*-lMvZ3zS>SD{sq~9lV+n1bo95HYPfLDh!)}9;ZwIoZ+u&0Me#<_-Ew%LC{PnGh zQhMuc$M?=3Lh@XGNdA^Rbr;eJ9RdL^5#?9N%h&ZA0Q;SKXkqLUep769(m3vsWx$2=&ddj`kVU zt6STKy?jsggM|Hk)$iOe3?^XN@1)m+!aDvU{z%JyCtW4|{D};v-0AhE-?^QO45q)6 zZW4AUb7TzcP|$+kr9Y1;D}P9?8%0AAc#{6y0N9t%`fXhP39H)%myeR5Oh?3@h=}^L zb#l3|&tv3O$Ar90gwL>(@;0(slv%C`js!AlKlBX*x zasAs$t_M}sfg``LF9L#}5Q%1wAyAt|3Qo}_5PVxH)q3`32mR1 za9G8|VHFRDVKvQuAhiw|;>S58-3y($-BUXbArR7m2Y!8dtie=Xms^u`22b|*fz+xU zYspzQ(|3qh_SJ*G@m0u(3E~WEjQDD@YOYTZd+|@Hm34#IRu|Lq(rygad#7#yWyNNK z{YBjrEkSJcpHd53kh(@~K>qRA5wUEqp`rnEJY25U!G?9zuaZsFQTy+_=nNa~QM2vP zAZC0BPwLCWmO6^I)JdZuD=8NqJzu?v)$}Y%(TvvKX-)`9&}MMf(V*KbSV-*&#P3V< zhB^YvH*yMhSKlFa0$%#sP6=3k`b@ox%De#>KK>CA_&1MtO_oF$&O3@?`R$L8XaY3x_BfN_=P%WB`#9u_w z#Z)v~6wPhMi(aT!G$Dwsp`x#-MK>Vvz9_neirPfccu};ZTG7{oK6os(cwvHCG9PJf zxYdhM%Au0w&j@%$$?|F?r-&kWq>b_wBHvwYksECuskS<_)rXa^{JL^umh~8HSt@da znDR05zWmZ~S`I#e?1v*gkT+`VPi+5VX}CTe`C@XA+^GI2 zeqp&ASP`yc%qt9HU(}KV>K;)u_K9TkwX$hZ8@Z$AhalEQ0|1gOte-}` ziVU=!DEAHv?`nJ0VYBMU2?P9Rf=_dq%3a2;TUHBGxl2WHbw17h689z;GmUd*rz#$U zmAiVDFN_{sG^kJc^{J19NEZCKm@WTZYRLMy$qmA+f{d)-vxIxVG+%sT9>omypzUMJ zSn>{-;R4=UC7+OB#@yr1G$8_htP&Wy#x);`w3= z>Pt1r2~c=NIS#RP?(*|)!;m*7_hBvO;UPzuMv0R7*i%$N5|d|;2d3@#VCL|U157k$ z(C_;+kje@&A($0<$Za%Jg4rJ)^3xh^5o=plZuwf3bNde;7>Ki8e1S9A%V%KV^2NZ_ zT0jH$?VmLU?)w+{z-^w)2d?)+8n~gS_`pSAtZ>VT^6OJui-G(2fiiHXAv}g9i$07( zUzLFyhEKG}&c(pldv#s~eTVy|RNW*;DRW zZ!yPLsD>}WAxm{W=j;**)p8KTyq^Tw+6$atHPWMq;wT?YlB&)4w%~% z%y#Oq(6k67Cde-mLFwmLxg^0gN*HOaTp#=atnhyIkcx<#L zxnE3&`psK;XwV&RSS1mG*D#6Sc$Y-v+u6%;0nx$wO=eihwI1ua=B{ELn^jM4+GHjo z$wn6ehf5b=+@&{v{DvbEkPb4lJ@sVYr-g}{?gtu_i+TorQ4erdyVz$`(&&O8UF2hp z^s-s=sE~Ek%T0TT+YV6db>WIV5{lHa|7pD|^>Regmuu+^&yCYKo4*X7kZsER303Cq z9AoB>L{K6kW5lneBDf?XBgL;pA~+`^JBVMIA{fm_!8`*&xAqm-5pi4;cI>WtmSB)g zNp+D6&sV=9f+*y|uNM&565;;uWYSplQaiFSan!nsMs%fiANTqk+Mje~nCW@p;1H1M z11mzvCm)1}->Yf$#P8K~zyl+K*O=_5@bDh8uIDFVaI_rB_A|;Qmq+v(-v(-}^x;S>sk@H_8fAYAA)X9;p(njt9>9dF>yG zDRm4`?PKHZ9rHd@0L5;Y>i^cy1RLwB(uGuM|} z^i=vZm-h*x>LHY0tpKxH0p!z-tXo3gFjyw zhu%REcXE$W9IwpaWemZ~DWt9}!$+(mKQ0h=I1OPhDA9gnTeA5LWS*TMD%W!=Oy<}Dz{L#4LTPpgB~cq{f;1>ypGd@ zP>2s+Bl4LGC0aw`&&4_p0C$F!+2&X40!7#GpANgl)3GbVvh&fqkJ9N;L)aS1kBke} zAR}d}ET)H+0{TOvY} z^4o8;_#GUJP;ZT@Z(_?NxotSX1eJxfBu4;u3*zygn2`MK4#RYUgp#M{(+5cCes{e! zeIGEQmF0FG8z>egl0q|!k>x*=@|*F4%_h<$-~<#@NP7{3(Dbbc{XP(3@I-`tGF)Th zA`a;@W+^j4H;Jdhf0hV?l~8BH?`jzKvx{`Cy#dE?d5;WF@=g_E*r?!`lrHfniA=H% z6Mk`_j8>U4!n3(OFGZbWSH0!%=F|(yf198_NKk*~3#g&CDMEguZlf>j)=&=7Fkkj= zLpiwR`1*V{CLE!WIZ1zxQAwy-4BCN|1{#XtZNJ01tof1 z?Oi=HBBSFnJn2Y~OE+iwe5gm-G?E*?Ou*y7JZOegUb?Nu1}?o|tI6=XLpiB8cxcfJ z3(j*;ljpp~7u(ZaqZ_>Mn*fDJ0YY}-N&;H_GG71;Hdfadr)pb(FGys zO4l4AEEf?XTKlJ&M{DciHx6R^h-i~5LgY4iA;h&q6Q%lV$00NBhrY`LQ!)?CjwFO} z4$~GMn71OJdly(i@_8x0{USIfg3}0K9a)QPwtcRaOhCNDr~Mf0Igf1)Q!idg)LvxT z;*Ho`1OCM1xWxS89+P{a%P-`_JNEcJ_(|5i1+?m|+Q#}iv~QU#diI5n+$bp=Md2#g z+!rDB+s3oeTQiUAaZQr8rM`j7#QI3nTJQx9WhZdm)#8m9`~_~(2t=o8CJ%J85a_MJ zzJLt+(~by`U27E8Yn$=k23<4!CiEt?rR@H?c0Qu{2O>0ih;&mUUe0I=Ks>&fGZF@axn;OJ)8B%BmY2A*hz z$)1f5BD4*e%7^C(Z=MS$Ln#x@(4Elk5v@L^jG0t|ie^#?Y_E4|fx1meK_^0}C`!=F z5!Z1!z<|cV8{n-2qt1)IF=6u?5OVa&uao$J90X3HCvsg6X;2QN@LfzaC z(s`ul(|u{L048F1wFaHL2(=Uf|G!Ztt6y#Y%S;{TC=h+mS9+CAB*MF1LfxaTmn$Dh zo+paczEiHh_MM9GzEhDW`d&Pf&jFuD^>Pbdj815nyjNl}+}i z5%c#*2trCF611&(H+6FLZdy*z^ahF+`*HxK#a8MEj`W6fVdt94zP{&B2L|UL=x;YQ zo${del(kON+slZR){a`nn)u2ANyOjm=!>ehY``B(s80rRr)Oz`e@@U+SyY=Lj*}+n zwu;}2gm38t(>MILQOR#q@)>!$Ev3LawxXa{#PumzPSc4GdCLcQfLHwBV0S;CP1>K>e?ZQbKHw_ zJO-gWFB1-o+QBlbF0 zwSz3(oT&_K)SG@-yg6y<69~0lWkLo@K+oYbp-d5ZhJsLxx=j*q(WHcC3iYYEU`9!t z)~9|&Y$@*BmWg?4@GMit!?TPA1dErh9~JS}%@H+e7a-ixr;>tIw4LbMA3XQ75kY)DJ63VaQEKo54%8uS3*eTokhb5)^o zRiV#KrPU%YKzQuMLDN3Pi`r8JYK_{vUTjn=xn)uw z(t*%>2uepmW0si-9_Kw*=u@1g$p}z&6ooira5#$$zPddKfUu}g)CgV@z5zuXO)}#ZYLmVnb?Mp zUWxa6X1FHVc3$AOiI$N=y33mtF`s%ufp3Sw$0`nazbsRi(Nh-(~Pbwzn&P?cF@!iTdr?o);ItX ziEkO}93V?>E{Cl;+jieTmJlGf*AyLM^8;jW{WpiKI(=$i)E1ZM=dpt21jrUSyR$Bg zCL5-=p|=fx;}6E}@XchmQ1ZFfC_xF+`ceYGvvY@IM*yd(k&^a;9i8Ppwwvow9FRc$ zH=14W3u9S&osA8I^<-}mTN5a^s<*rdnYb8a*M3~Y&IZbjrNU)XLV9QTaF>H?8{Qv{ zLt)#pJF|L0vZar2W<3?c_;-f^20YzsjIyAXn3M+|6Nbkn2ES3Q_@>I@l^u)U#2>an z(Xg`b+4LaU)VO-3Uy&m`B=Wsr`}b^PkZkU};(LP982kZ3_#5$<@DkcN`(YKBf7i|g z%P4AOjA*0=6mkEjN@_{C%Ft)=&x~&nvCv&iYdaKuc*a z!EgXt{QN50*jo0{e0P8yZY|q1?;Kza+sNITwnnB2&jW9RmsH^`wQ_ve)HZS}s&RE2 z*;ms1eV#MOlT>}Q<`s)RAs#ek~TdAUgbPK&C1a#>-qF7w*8@)3^ zImM;6<%j^;S5ew5C2t{`Nz%FVc0dJ0ZQ=j6oeN4k#|r;_J4#+^N4FCBIZ+S8P!%!i zju(asvQ)ZJ9jmJTICGR{Ch%r{?VSrj0CJP{o#N3qy}p$ia4WZ3$>bhbT4=xrEiCEn+(511y`=PZ27GmQx~?m3#@=Wr zkI-QGI@u1Y8Sh%mt-ZW3NhvI=h3y5X!M=CG@E(bg>ug7khrnYlmMB9ON0n`RwGPB* z1+1YCApb(DrdLMjAH1(m4m>KT z`VCC)Sob}8Cx)U4b{#o_Il8E>j)ZQ&6`x-J0(D4*k}^z)rXsc@taF(BoCZZ_g~?Hb zy}elnFlc*S{h@ByP zQ5xAR@#}D24vwi%ZWZrh?2gLO!;q|MGoEB}G`|W9c`~N%JxpDmeVG`+Dq2VOWvzL3 zV%sr-q6oS%ftr#8j8C@3T8GP0L&C`4*3AZogH5C4{{ZGml`#Kfy~=XKVdmK@VWuJk z^FRfyJu+PD5pgf8CFVBJ>e^AP$3Y$CW+p-*@;s5{<&JWTs;v&qywW-uG()_+k&k3{ zM;Q1qJHPKJ`?)%ISas~PjUgION8m2%x4aN>2rDJo9tqQ?B%u?sj=Gt>!S!ctP#&kY>eKB92`bs zI<_xhfE)5|tK0s-Ccm)&eo| z)so0CO~tq2i90k{g&qYhXkj3DWQfWL73)XnmLYUcOj2Y zRh}kFo|#-`L!Mp81J^;?``6eD&&cm;lCH7C&&Uz|a!6MIUec?~ySMmqEt~Wr=?{#d zWyQnzM^IV#82X4`Yq6u=eXi>sPWi3Vn)}p#c#8hqUBk2vfqZ> zn?IeEM$7FzFO&m~kc0YOt?$C-_r;0ph!{C2DS!Ge?mcjYfnp`#nY;+nMTxa9<9e)%FkoVx?l-Al815-P>#_blt$78CF(BBZI7vN2-V$H z-*2DZKeEWT5EWP>i;nOb{auTakMfrBlDl;$Ah-u_*+u14g+9Ill_s3RpME$F>kkS; zS$tTEGN}yG9+St2em4*oSrknbqxoz~0|o4(a)n58E$S=Ec@}Lr%0@gZKl=>FD0U#= z!{B;{`i{{iWx4B}d^C+8`yn0P1;$Dd8FYt$#>FGzwnOhEA7#HjE02gIqQJ3eA`w($ zDVxNH1$u*Gj0s}Apg`aso@MvH#Hb|RfdgA=u*|-h&F(5kCMh-iK+6XflAt@H3ecS! zj$Tld=N(a5d^&$5gQC3dh-%}rEVw|(bNSq%1_1RkOSyo?uh*|cJa&L@{|(eitEsjh zj~j{y1P1RZiR-F&R|*51xNdR~y+Jm)8-%`xQ`quu@(vX|8SDuf?&o=DF^H=gpa8}q z;KP@X0E+|2p#cXFbZ0R}nV5jJmT&?Lah5yYgiL(-+?j--qA#yI_RS#U#B~0WHzqe| zVfRgTy1N`fz<580eX02l7X6&Os+EGX7<$W!niXirSERr@s&fV6SzVhvvH=GKlC$aN zB|?WmtNDec+T=tHx?F0L+XUzE(T2z19D%n@mVEIM)s9M#Sl?DD8gq$tiH-mNYM1WcPc>{X!!y z)^~YJ4>HRKRpA{{w0pG;>I(Ki0zwgmR<4rK38d&Z{R*4fQ;rHMJ_WRaN90eM0|`dg zNB8d{Dn=i0mVWv?T3m*u#9?_9{tLU(Q?~l@5=ikx3^G>cQ46!yadH@;dPJP;Z;nPD zEM{CSxsnDS+M!07P>v+(76!8~s!%_-DPYb)|DaQTpwXujzwL}l%4L`0u)Jyj5O+a=Xy)Ou*;6#*e)f1wE=23jN+6SmRxEg2l zIh*5t84<*s2auw`>#W`7vinuH%0h60T6~JF=_QZz;&{*pxfW3+C=ishxQqq#mVJGw zOib-ZxaDxZvQoek?*$rVBYVrsI#4rk8eItQ&{4L;!mG7$_3frUD71(YQ0@?2o3rp= zr1rzv?be<}b{E#M4-RGBIm6!UBbz3}-9qlW7h1BM^pXVQRr^f{8rMIe6n4I*6p04lGem|5^^ecGuX=dstx2tPLERP5} z&HDGlIfhN`*@k{{BUx0p_5iNjSDqoIR|+rolV8x}@Jur6+h4A$6FD0rC#8?-FL%{! z`i8CVFZ;P|BeP^~zJ{IdFHh3wzG2S~klVTK;jzgYHg5p(ZY*Xe2FT%Ny-?ihem#p$ zV_)2ZKk)?|^w44!Fi`H}kwQthQF8jn?@|WJE$V1|8?ZGm$&)FxBtW!BI4(h+N#WfD z`E`Wfy)sx{P)p(o{sRlABM?aAft&TzbucVu>57p zXkzUXsRa}JDp4LpVcl2cKm9WzU`>T{EP8FhptB#SPiBPufEHI-uzq0GC~O$!G#_5g z+KiCfYQo*v%Ofx=ya%yfuj0&d-!ZbADX7SM(CSX$EsEd>mP!Q`ApPhF*+I2ZIjFex}c+X^GN6Jz9uM07BG5R4;q8rTX3R&(*G&G}-T^lJ6RT}bZ zptSJ4(gNxm#L`}s+caIXj}LspFW`oTT!OKU+|0m;k4Eu6dpSG)svP3O8z***!=sNs zisbY55~yt-KnKoBlXkvvFs7Dj|tF;rgv9w zdh8gvReb@!5$B95`?Y$E+_MRF2jg`En;98&x#<>%VgxiZnf^8G6^-_=xYr<>-rCLH ze@z})v(+XlVhf&V)0?$_T{hJf_@XyC%GrR|WCN9 zkCi>tde2lJu%NL}4XY$UgaG2u^IqwV77H+Cp(@9XrE#Ch4v&>1HSs%{ZXBR*x06MV zlbLI2+Ufm{Y^bC( zw7^EcBlj`z4#Ok~@lc?x@E!RXs>^+1jk>DCtWej#0(aU(0q(hpn2-Z=Sp9baZrdCJ zcc#WAoW)FnXj1&H9N2j>uKR&;3I%~R3ZpqC7DJ*GvEnK745U`NghR{J-sS%M^#YX9Gci7bT=9NJv{zTCO-6GhY(Iqg&^8H1k_6%Q7pQCO3$lumPJ8+6%g)Oe90sGVHMN+7@pE5H4TPT*ij^8||E2i3-QdVwH*N zyn#jI3I;7`(~_Xy-2WBZo+QtuwtFSZ&G}RY-|$4!EgSRY0j+x7;a{L+rPV2KvR%n? zmnhLd!cPD#-ba8YygB0p+>qe@>jAWQAZIU*WEcj=Bq)b6(EsgoSj==Na{q1rznLyK zXt91BRRyCTg;j729WRD~(85Zm%Z(e=!~%E+u2>~huqHEPOC<_wuOk$UO~Qay(f2cv zbvWB828qy$PALKX;LvW4*cA$+^7{9gAx&;uhtQNeDwNsM z;y$VND{)Q=F!hk2$! z;5=cb8-3t3#D{bHcIxW5o;!&{Rg!fcl7Lf}hFF^;&N8YJ>f}nON0scTfbS)zP8#)L zAlwj<237o{dS-{RF*D^3Ntq%)An6+iNT|EEk{3`AwV%%rwNI+7eQ{;&lSL)7y zh*xgVK8xa|fBeZlo-50B;z8%ReM8x_bh)9XpaENwF8kD>#V~JRDBG5fm4rC8yo6A8 zJ6&$q{S}%@bPF|ViW^)Ek2B9k#U-BVMsakI3YsT$jm6KC`)Uw=IS<#Y5FVI^13n0UohLW* zz5RawPNYk`=7Ywh66Foij^Xj7g2o9lB8!x$r=M@H ztA|xRm8<#mX(Ra)tp;6F6uGOt-G>ix8q{-6^Xq5}l47BL){8LvKllFmh5w!2yDk&Gzy0A8y+8dS^}b0L0Htd+B!W&zWoHEHdgym}ju6uVW{%J5 z`y)Mzo0(whF-?=O06`}yQo#3kUXCtg1F|3m`bwAG6-|JMcVjcNAcmrkb+hG4tx;ih z^!}bD_lu%}q$j$7mOQa4^Oc{*n!Zp~C?9p~U*wWx$SvA%%4wO7id_oxRsM3QtT94t zk=)hv#}afB>E~%zp(h^4Va< zu>k;$UuMgVgpyR5SL|rE+_WLDoMzOD`J9-Psk3-KbN?7tfmi3VH&*=XDdhW++@Tq- z=6^YbYGtybkN(XRdN!NXg8%bg9h_UeR|RuLud?P+uljjA!w$Xzc?Pn>|Do+&;G!$n zIbsy&3sL;t%}Sq+eIFYa<9v|VY|k>xDF4{V9h;Tzx-$TyOa8frN~D?;%>&+0dZ;cK zdEy&N|FBCU*0JkVtmptC1wJ~TmswnCNLr1s+0nf44W(cBb(!P=B&k_Q4~Ak~lq^Vf zuA2`!C-3_Ru?4l7!~aGanmOTbHV`$eo|gpa`VrwX-&Derny;Qe-gEVIu9<=%2Eo-f zUp#AVa`;x;S`6RgHX6SEyz3T-h)~|xEe-X+3M%Shpn6!gDE%Aif%_cOz!igtgTi{d z>LVA_f7GMddiwEdIiJf`i+VK9=Qmf=eD2}CJ$+mZ_k2^zB>oL4?{EFTf|RXRiIjY6 z3#4RO1yaV7Is>+z)){mS->Ke!;wE|yPC(I_Fs(#)0s`e6S~oCD?(VninZ6pEF?D*=372Q&!P^x75FI8!iapmb1NFsD zAu`hw{O-;)G5=;0LdE=BZ{&|`!~82~m_&4{i}{zo4fAh{k(X@)QQU6izim?jbh{g% zf&qo8=KnUPO_`C8dRrOL=h-;26S#(+YR2X6d5?^X5$C36+J_uRpiRGjS`{7)oA?NS z_id$%;SO>W!Ws>wXpqXlB%?DTBr}@-`nD1@)O`R^2Z#T9Pd8}JfRp@hObQ0Z$GZAAo6&S7gw5COF zwH;ejGW)yXVlZIC?X09z7)Rq>~KtL4K}AIkEf5uQD!cyApWcFx2{9*pn?G z+&19gP_0aN3h8=LIw7I>7lavqm%y4G|DT0mb~YB$7W#Ws@u@qMfGIs^{Rl?r_8?+^%~xQ7#X(}o8hM2;)rOOYxccz<@dd(6e?cJ zL1-ak($P=ScjC-K^Y=1d^PcjGYR)olc^_xkXxPQ~m8ht1vxzF}=zd$jj~DqxUNu|8 zo_w9mGxUaRi>xO8c6k^8O#2BC{S#J}%|{j~_w@<}6a^SxM~uxY*?QTYfW7|doH~f( zXqiWY{@29jYgPbe;orkf)hmD!ci+0JC_1j%amqsDK zSXl#UWu^0l;O#P%II#`8l_jcRbh_B6*Yf-KD89t{P5VG$#5676qjb}55rEU^dSx65 zyWaW$)~=A{_a8JZ>MBu`K{hykZWhTJ%5t$7*oV_WIUcI4X$?YR3mY^M3wRkYLlyZS+uRE9sJU$Oug}U_Io*G4 zbN0&u@PDWW7HIYL>k$074m%Dv8?Tc+rG&0zx)}Vxn`?H;0p7jkDM5%#-~=4(#HA3W zqSI?`wuHasAq%+wUIp+OA42tb$%JuY%#4Pj2&4(q)b0gis0-A-)A<`8D$(svJ(wD! zUGW$Og)QjWvE1>Y651{hs|~GMA-U1K%U&#!h5>x|UZvYWYCw6YT#F!npX7MyQ%JZW z`R$HeXlRZm*5ibB1+9uGjg%49%7gs%y-Ih_D3PnX!HXws_wrS(i{{@W&(U!rJBJE6 zCEM~0R0N6k841-3F@wsJWO-QonB&4HV2{&+j{av{OiJt@+O=LNCpqm)bKkFVvEgcb zAWtn;VzMtjjUGmA@{UB~WCJ7S1bjZy2RR_FHfL4icJHf;pnU3jA_x}>VAC+WNwU_yeDf9rb(Y;=^K4-=D9Tu#Qq?Xc?P@|9@aAT@s$FwM@__1{i}?N$ zrB|Y}KDh=rKsZK{wk;JU8E+~|e2ugQ{z#BGQE_!s$zpU9Z2Jx1^Y#o6b{oR$*oC(=YCWkgG_QiDh@_~&!bLCh-kGB1@qHo%A5|A%TRk@2OL9S z9_4-=Qp;2J;}kQZmOr^)86@IU(!NM(eGZcAe(q<3Yx(~D&|GpIEMR z=~FBbzV4ymM8+G>gGX{#mjsh+x5#LLY_hStd`+qj00IsS}hK%v_7kGn$_x{(zAzC z9y!_lDs8yL^tsBMCs9~z5au}a2`@aa_2n}T!io@0B(ND!&+d0{k=pTL(!J+g%`Ce8 z08}1*g1>!G8PUJ^$C(kpF6|oBeOl2(u#kzm9Mi6c`bwLw*SZS}cy!yq7L<_0yB<

_a58|GOmEfN1Wzowh{mM6} z;I7}4hQPRPlQbcN_}IfrKw{Gus}?Q3BHrzK1r3L#?&)Z$)*{T5)8j4WG`dhn>kp36 z07NrqfbmW1!M7jAEGkIgpC4A@vu{tiTyM5kwR~ji@Y5niAy`uJ$dr9QeZr1iTZ#QO$JIMuvExlT zAo@Ii;Ru*IUkyKX1T=E-dH&N8#iDX^@Oej-$D>J*o<7`~_r%4{_(-&_f8ruShG8e$ zDk0~H3Q=VN6)U0EOE8xRX1H}rK!uI#jzPQRNIH){rgRGVR_5Y8p&Agw?7p!H_N>_Y zx(YN7u&dpzj++k&KSf`9#;a5i(SKJ9WM54 zlfz~eJ{|4L>6kuj$dIabN!A^eO*($rAnt!c=^nYtm9!A>MKb9|O8Vh`jF=!p^u7@5 zrm7|h*A3zsCzMVdzNM#<4&%J=EIv@zg%kJ?b4RAyIEe2#fx}elFc|YMyoUZozrv~Q zVE1z9I<$d2!S0J$bbtcNi%@~m?f5C#hM$IKj*Sb|Ha3eG(_U+v54_Cne$zo>UBzisFSN zAh?W(x8(#X2V>%xZ2JqLn^aybVi8e^h&vJ|1mmdP=SdaNT0jeMMrw@{Wm6hac11il zol<(mIGbL9-6LfJ2OIzuRE5uJwX@wE|NU2YK@=p&_f_DodpfFnJ_o0cIDdL=5wI@6#lpNsI)+4w1m zAAb^{_7Q4f17$h zyA7WxhN%=UYyXl#d{XV-3=yAK609f0)5bn)ieVVRoO9T_9{hmMYZYWBLF@D02@!e> zPXyo2+LdD(HC_-qQGfk^#`Ek?Q2*z=_`Xk+L0vLX-{jVR0*7DCR=XwV9Cc5wKa!kt zr**c6P2Js#cl=Z__HN#&jg5^eXwfLX;8R6WZTIA>KZOmV#zwr**oe)}Mp)08=?ajK zZ52$_m~#$#*W;wr=hbz>aE;Hq8%5}0w4pZZ1M7ZYC{o=}_l)jOZ)K43OOEO7akN?u zIY9KTe0=X5O=$*=3qrh{aFbzIAMMHkua8NW1#n1<6LA**C?&C~V+QosTz(q!Lt2>4 zhw<0?^6l-d8YCJyi$>+{f&De&;M#ItyJqri+<&uBMOyJ|16h~*Ejo>WRTHd;sIQ1U zy!6u=KR}|yYxfI!m+5O$hxJqoVbyXB%NG+mGr*=^fpjQ0QwMta zA(AHK{Mf3-6OUKGf5=Gdx<40{@BVAanL&K^X;`(5lUWo}ZTcVs7}!{A52~l$V`Wx@ZW43Dz%#Ho+e5IIZ;1?VUw;3+;7SOl)zMJ3sTF&y}&N3xoI* zpDTv!P{=TotdABs*#onELQ(l8K^+|re}ISa9whVTgdM~bmtT=FBM_5hU0Bf=RZ)Ij zMuj3OLA%#3Rz~Y+G7(Ox%kPM2WOBmc36SLFN8O+!mB4+zP$qRz(&-lYkF5mN3fC`wFEMza)kj5XbECXz0nH92y$4G#cx=Z1+}C``Q2EC2u4iE0AxZW{6_NVK=n2Z> z76-mXot%vgL{&wtY7s%T0)s{{j@3JHLiAQUXQ{8@15cmkZh(tNhWd)qm%w8)?&;sW zbb~8L#*d#-x{RM8E5Rt!kJpJxgtVwcs4GcCVm6oNj7U`2>c>k}JPFmb#0k-u@3Y`}G8NnxijdP1jXB9(xVyN&0 zP&F395_1gq`%>x5eu4QHn=vb*d23Uhd6ghG$rjYXYhQ@XnB>eW|4YT!XFM7=%s#3W zkgr3%E`{^bFO`0AMkK&nS{Fz5)g&CiAaUEL!YIQAUc2TZt&#gFY*^$aoAJ$l&5N8S ziwv#SQ-z*v5j(?$%Suoy;xtq2wo8NgW9O7^UN0g=lJ)@kH=M&=^PdLu56>x~J!i`_ z_I!pkj-~x6--__&rDixw{o9vf*S3hwbd_4&Sn5yX_~`aV)nsqjBjg;E{k_{&vF z=)FL|`+Pr!N&g{-~pzQR^N6<)nD3r1r)}B@(3^F$wWQ8$&`_~v`;{j+h z{?xa5Hrr%2`tFE@0!>J0e+_A85e-@s&2{IM&N^RE)6uZ`1!IJyo^_iKMyR*yDE3Ep z1>9qN_Icc<08xGWJjAc%^8Y~M$&Eg2V-j*)ke9R_|p@V`vbfoOcf(x<$7cVUPp z8f5A{Osp`IFg?Hoes}>_d)#CBj~5g}>mCow6=vj(67N`%ml+v=Z*D?W6H46N*Hba6 zh*~9!At)?iy-)zudV^c<3qkxcIsYF2Mj7qli`)P(pI3Z??OmYEo8EiC0Bn|bu*|y- zQR`&h1VwzpV0w*}9$aZyT=L0RZjf1j{g5DbZU~~e>{?zzMJ#ILfe3bZ4sD5}2*~jc z5)9KGSZ}yAm`29_*;LNSVV2KFr?{#df(U1o3C8gz;6;sp z8kb5nUe~n74um;tOfTY;kB{Z0-zhPzV)&omDP0D>lh7Adtit;Ca4``1} znf8AE?T?Dz@GRE|uLh8FgjeCy(RGp-;apD19qVY;$SJwD$&92|h{|(gdCX6kk);#G zj8s&4v3%W6Fb*MWQj6@IbB~^HKuyYw#GCHXVVP^BAml4wFDo}{qG&R`M%{Ee7Pu~JjG+z7)l9z| z>Wc0VPS9JDA2Gq^SukBlT)Mfq6tnSC=1A<-wywge<=Rrwi0-R?7SVrb`&2kK?`%)- z0t9Z*f?{6(vl5U!wXQUzRb~)ULHpC)sr`x9ZlH4pW$0s_tJ+=mK-N9V@O4A|V`s7K zd!XU6oItsC3knPBPogBM;LCsCM~_`zLIrKcnxN*((sqF*yr*4-Q3U+*mmP{9w4#gP z`0sY1&;v$W3qcVun zh`(h{omq77A))M}51q|CKYU2wI50v$j92e;&xp{Fr$+htzEv(G(d z4(yBlGSty?zL@8QF2UDwe8E{E9SVmp7fz;U_Z#Zx{-TQBZ!>-t(7d9TL`CD({M}zr z(b}#pD!Ps&zJ>igi3|kcTo#SD&;W$@SsZY}$@zs|Z%INUAS1Ym@sMMBe*nn{AX@wN zwi7*xElRy2O3hRY-XRzr3hi_Z1U}-j(mwl0OKcHFhn~DfIJg6$ z9YpB@Gr*9#@-%uS@&;lqiWnb@1N@Ioo!6~A2)YJYo@4}bO=}2bbL!kP)j4&o7PbX& z*S>d=TcqPXXQBvkJ}}kj#O5ov2%Af6#$P)%??aC`{`?heI;(*=l9N)Osh^Qje#(QS z{14tIrTp}4j%%3d;=~Tro=<^}?k{7QUDAkQ#^q- zE)PnmKSn#RJ-{lYv+l8O7)B(ov1tkwAguf?z?K*yh9vZf=}PlvgotKr zm(8dH2i>co8NARSqQ>>Y#Myt>4} zvwwr7(C5Zrvej3BO-ivIPqF3QB5STG*1TKAzWn{)l@iDOF5QM3Qj%G6*UTO~a<4bCl zPQzD?7ELnSGkYSH&MIuzO7luDkcC==z3epJYc2MD0e*VR$mfL$`Hk~qpO;Vg@~>+Z zf9>I*@*sC@ZW*>*H`Jd7@z&RH66Wp4`&?7Hrf**LCkEqwLWj**rKj;-_wvoVbaMX( zy6lEp(Tb(}CwNk9nnPjenZ`4P(s=6U;v=%3i$UFKxME{LX4J%Rt-#0i4t(b|rCWAy zWRGs0jVbKvR-BwzQxn4r$qXP%u|7ZPW?*kQxgfC+SHSz&xE6@2hZDnsdtWo>5r&i_$!edk4 zw`XRAO&^6{tA!pt!*#5o3DzUnVif+ULx+|AexJssFQQ+~w*h?H?@(6%c{e})yE0TY zK8}0;p#(-wlMOp=F@xA&kt=;X(fyBJq~peg;dIXFhH2}<#LPH8^$(@HcCIVoBtX|C za{C`(jx*!<&Oelnz8ROO{MFZ}{AKj3dC#9${h`chJ0rX}qI_mJH(ytVwOvJ?yl}q$ zx?*lyM4sK@{D*m?(F ziB|Wm?r5!c&10DP$#c$}z?dm3SHCmK3XJ0y^j{lP|Rzc;b?^nH5@%5LviB^rfw=_ zXz0-@8TzNz?`vrBte_ILez414wjv{(UqE3KWnoj?o%v4*bY?llSLCAgI|0QYXF`!j z2Gv@;V|YAkzd82SlFm5huK*6+|8}*k6irRJr9}FNt)`0{Q#9nF$tz|XfleqD%r)|t zZ(%e?*r=r_JYIeT9!-@WzDH-^!&x|`ayDQwZXMiGg4x@0gvz0xy zJ~qD&tJ_ol^w=BjL>5vy>-TsEN^Q2TKUg$^U%ahEhQ`BqBC4=#S=HVMIvtu~HOr7y zxd?&(g;eQZx2lRK{H2WVOzlY6w<@|g!nVH_B_!Fs_hX3AYd{C;2Jo?WASHV`kr=%4 zx&c74{litzCi_cC?M(fau&);L$|go<)083oC}j`N5X748MYW*xca-kYSzdH3ct%j6 zFCbjPF61~oIVM#PEV5e5%O12Px$W6}ea(vFRd%4P<67|E=7wS|7)+{0&`{ zf;g_Zs|5QxchNc|b>z&Vwbyi!C)|Yy78~e??;^#PIKKO?GDEdJj{DW4V(Sw5kb1?? zYquoZZ#*ydljS6#uljB650mWtklC@0zfiAq%KwouNC@)l*-+J`IG)ssnN_c=`I=U& zi|R@of4>zA)`$Eso0!ZQM4P`)6F3>J=9gNr-m2?yT&rSXsylIfu!;q%JcjZaDmILi zC*D#qJP~i=AE{WB%G<TeDQpK$GZ)b=Ud)(~7>$ zMidv9$j`QBJyeer@H?$pAIdhwosDV@fhu3*j`E?DvDuyd$K8OmQr^6-x`R8%skAXP zl=5rXf=N3I9Vg%j(Kw-1^Pv)D;tSM3V_FyfikbxW$iap)S@G|zPck7oAhc(u zxr#T*#1k;6C)U~9V!Y|#kaVwPu89wB%M#fg$PsKB)veMH!Sz62abbFnsfRz`*p_wa zL`oOsc_`AUlu=3w1PR5}9e8D1W{6sa#FyLH_K!!dINN-1qs%4YW*xHe%rU*yiJ0VI zp$KwUu-EyWc!&qa&GVgjiU%7NMEV`DGB@|PE2_;r3l9Hlz}^&AV+SX-8-9h?3e9ggzh0EP8q&(L8RP#x z*Gw5?yF}%2OZ82@+=~UMF|~C=`BYETZ?}nOd$R7@G8ar8B7=wWot`W_@Q4hw=Z8=q zbjboS(7$j4cvQE$!2j}OodRdWm-ADrh3#uT0kZL>%{_t-;OM>$Ji?3lhc8fon&TG2 z9R1PO`oHICCovb4?yu$*{n*48dtvyZa+|%FA>fQC-x2>D?PYfU2|!sWS>NpHA%gTUFq`q+L{U0? zCX~3h71GcbVYU>+I09jQK$@%J4x|zsd^93bkPZzd7)J*wxHw!y5*d3if?P>zWfFTn z1v-9-5c$UQks78o*157XBW|Y5E}pjrB;(+C zKDa&WZVYjyJ%I$pGHnH=-PKPt=P8*sx=GTAc)p=M$bED?-`}43djtDGdleEL>BfI( z&yszjZk}r=X9fDft;BaQk>NqvvuT7TJV4uq*bcO9sKj)1At_LfOM5;AIv!snN*|Am z&^J|0;0!J}3Umw=aOzON-B3@s8KG$f;aX2!c~ItjEC*Zvj3CqheU2u@h8b^W!-FyA zgV-fK6YLXOS@jkGfWoxjgyCXS&xa4m>$D^<2%7_6LeAfLnZ7P z32TBc#hyYV~pSi15EG@vvTKP46IpKsLJdi*2g3!SYS z>Pct$CLQw+ardE#huJXnte6e&1D7`AOMf<>d{Kk>cRG-j%%R-FhlQ)+2J>hi7LlIh z!c!{YX#?)`-B7pwjpnqMoR^W4#7xY9c?+P$LYf+C-y1A3F_#L-!Xg~(QTAy=;#JG%23)vu?IH++%5onfSOUL<5q7cByP7M%aA6J zy9e`BUp7Ql@H>COmnp4_uL*+Mi68N09W`^259V(w#8JgV`6XZ0T~(*yo_aQ1l{uK- zuV;bd&^QubB1=pbRa?4#5csETgdF>?WHrHZ(abfkuVE(%w zR`^O;G6XsVJ(L?1W(cYhfsXx8G>(w|L+C)>boW;$29_$Uqp=!rz`!yjt(9di#wVKE z0i}YBd~||1q8)A+gRXKNgZVLqMT{WMr<{%_0sYwLm%*P*`nB17!In;YAaK~la2$pn zw`{|R#UOGksdd%22q8ymxrwoufI3;*;> z?jwUbhJN!H!h<@oK&{TTMiqiFxigfTJF&j)>9{V*y1$P2E;fWO@5K6rQ}t2vJ(bYp zP7}Hfv<0{<;?9-U3PARNdL1jJqp7mtAb zS&Yf#7?^YoIojPIjWf^rie^?h5k#|nA0EG1e3m_#;i1J6zIYDV)-WX4Sxe6*m z0m*ic67oBGeZyD!v!L$e(S{#KF1vxbiOrFlc+U7bFOf9B5u+RVUW zghr1JM5E0^_>+NXbgFFh<8zuedRdc3Pa4Aa2eQD>vp9YcR=}wB#D2Gynhf2>_#f{w4R&*;DI`_5?G6$dUtzSAE?4tIKDf^=LfMN0@>+fc3r8EcyqdpTz6C(Djg?M|w>MlH( zPemj^NWo0Q^|bIupbJ)DCS8T3*z*hVQnoc$_%3UP#a1o!NVm#Jai#6ktj{SqNex`d zMQTzvXiQDMCaIyKFuV)v-kmxpQM=cBG=0>&?KV(Heztp4Wv9@S;vZ zFJjd3kGrt(4+=V0jbmx_VEn1e^(kq1EIVq?9cV|Gt$T<$J>aAz-4JU%EPO8QVXa6| zhXngc!xagFnN+V)aWdp^A@yU&LzlsxAkQ724;RqNXG&0U$Vo}f}} z>x#*-t|K39<4$#DeVwS(tpO_QyD~p9@EwV9L{0J~eLU5KJ_=$0BriDIgg%~bAckVL z(DWi=NKY=(TyR{E6}d^$bFbXG%iIWHu(_f3JvMztr>v7(2j~0De!IyPs zJ$q4JW=RxN=2TM4$o?CJCfVHc%fNj>(YdUWf6|>PaH`sx2`4!kFh_UV%tMI#&m0-h%wtX(XhpY+uaxA zhQDuMik=1#-AAGj+?2QOj-#ma1~$~+Iq6=Y;e$SQt+20RkVW@k5uSC`=h}fC+5bJ> zCxtw4#t?4n!Gc4^e=h`og~a)&aYqqM?`zKz$FjAe3CA*f2>+r7n81oY+_xtS?v;V1 z031m*6kkY`+RXihd^>6Q)Q@AqVW zstN}`-V>siRYUmYo?zzI4dLFsAOijNJD$=D%S6RBzPJ~P-zbZZKp_wkHx~b~tN3Wd zgeLI=y;%Q_g|c{~pC_G?^zq(W;mKQvppy<4wUxGnx zye~t0FQPGW??q}G4fkb=_Mrx1@0I*5wvd^_@3of``+|F9N7AkmqH7J=Jxqu)Aa^=A#;lJ{;`ZLy=~T#g=mF49XXrHAOBpCL zt>r-XMrDU*qps1KtR8oQrnA(gX@jCjv*M=<=bCF)%*&FR738`!EAFXSaVd+(^kG3E zGjCEeunm24pC~!@Fp1&*IN!V}Cx-CsK47y_lK8ehEZkJ-s(J=hJ!EPlOIy%a?N+nn zP(9s0%-ssN=9g*`JerQ*=tJ6%sprq}DPJqWJhCq{_>dwMB)UZy+$7PBz?F)=EU7^< zjo^~#LATS3l;c(6fTzYVnPm)nzBC5&th+5ZfV8$Sx8phu3@Mx7lO2cKb zs(8F|$$A-l6U9y@75X`jEa>dnj8C+Htd1AAU3&Zl0r3kmr4y3_;Vi&ch`~oE(us-Ym*4w9oBG5#{%bfh>gpid!BG#!R|ZroaU>Gi zkA->!?SzceI99-P<*WKJU!5DS%SgbD0K`eib^xmCuJNz?u^v75d?{MhPyr7>3xQfC z)*wAy?J%Nyg26!FgOkffoKUh|N;P{t(-wLNdq}aq7)*>?vh|ov!?yCyk<8dGUZ8Qb z{RP~+n;waQZcgAYMKXhG$rfG`$@(R^uBs6plC1d~F#<)i@m+xL2H8|e@RJqT00A}f z2Hxx*4UZ}+owK~LM;`z&3_)Asf~TP57Uvkp8#6UFqsWqQ*2!((WOb8@^t zzfs)@y-9r^j?=WP~hqfNi9kgplY`l_BQ z`+KIR4&Q3wF>2dzOVe`T)UdBsEm2}LAP418}!VE#a`2{ z3E9Y(4Ppxabr91Aj3ACL!T#y~wlBP}JiMw=JMSq$r`t1iU;IIYJIA4h0xLHduK z;+$DAtb=*CykHRx-8kpvy$F1w4So8OI7c(^=RS0KF9O+$#(~D11aUd(Vu6GrVtH8% zKN!QtdLD6J*Qgceok?b7<-E@qk59)^2P!sJh{K4y5W_dbu^v4x$!jMglf{~o zXOMUD>@!FJ;nXF&62q(G*xRjM;Hyn+YP8>*)U`DgTZGf=zl81{hkiicZ+@E)0Nr__ ztu-SNBUL7WRJWSO@R92=A3#}*3SoszH38r%PAIyUCK9-+dEf4 zK~JOHV<0JK9EV|+zp~Daj{S7Wm_%FI8iG!=maT!3!Jffvxe6)+(L-2rJSh}lcU>%0 z2kMU}$KJ|vz+_17DvE%>L6^76EdojLM(-*Q@zFbX6#*xrtX0wd_`xB}*NcFW`#R+M z-4OO_wl{QOtlkz<{DJlylz~-QHRAEHKeY1=mOuo!0_R2@s%IG7M=X?wlMLL zZE$`(QkAZKO&`lV(%qCnI5 zu%WC+>P%UVuO(BK<88?j<#=0`iE`X6GstyzLZfmKIss%=Yu{WX%Ast~v>D-hONTPw zRsoq{^NEwf^rKlb((e0B1_*URiFX@blf)kE`Qt&_I>dv(#8u!NsS%X;)-0sPHC}Ta z_Zic%V}3PL^W0(Bxa6An)?sXb5Ppbr^V!wV0Cbv3aGjv%&>7WRbVdaOSf;eU66O zjK3TZtBR}TCF@Om)o?JNILO{P9GkEL6F)ng8M3#ajo=G$oGf;tL&S0NYhOVqo^Qsc zCRVSiIM;4T;I>u^nM>KgsmPdIQW{coqyl(%Dj_(-`y+fG5ME#N79!F5>V}gwYL?i} zHMDJVigm6*Cy-d;YL{r%-1RPA=8Zd{USO!d?xV@M3!^$|SX9hupPK7WnsaV-)aH$a zAKkYKP`H`6L^$dv?}YNxBUn=ZBfwRhq@#7x^VEXk|D8GLs3(|dk zi;$=O435os6roE;vJuU2mwzwZcL}lQusGiqoZyM9Uy`gQziGT+ z6bo>_HFahLzxJ)-+y2**E3z4>sJn(Iywb6w8eh>v`Jbbhq5E}N)hmN=eS3E~NX5yT z6bvfxBDYE-u;n!MEah=2tWR5#7?fAE=krpa1oe6;Uz@`Gvz-#es58WjG!u2cy{SQZ z*g|-?7BMyBkOCseJ#;(-5#+Ec9AErX1UVC+$d@FdkVLwqk7Gn=K2Gd;$Y^L|kgy2i zPm&kbq#<9_{b&i!r*9r5#t8bpClPVu99<61+{l-Y#->vY7jbTQ51~aU(MCShn84}1ljB(`|3`0x*3JIh14~}u=DIUc6w>)7+`^_;dLKPjy&yE4U4c++7 zF)S#-U8=`@Z^iI!&GQQ|#RA{AUT+{>xh zu@qHO!8a22JJNaI``Kqo6j3}gw)CY*_$i6U4;l90KI2%hR|h=I~#~F(u$#q{01cu*x?g&+jK* zEkQ7mCE((M|HHzvX;mI>3*1jDdmTO*ZCKj8)5YCQ7`jqeDnN7XyE2nv7UK8@w`rM`GZ(0uTs>Oios z9i`uJ96~JYehe+;L&vlJG0{-}1-H9lBlOW>cYXuusl#;M2GUOl3zuJ^w`0TXonPoj zu5W&&@CW7>`SJJ0vjBzC+jg&|47DPy?zsF^ ze7X!XE;q-XSkf~&+dlpiTp1QB+AF9oP+E4kQyKwS4>s#W)_1P16evGH7;Hp()s@v6 z*-_PWzCQ3;b_mvd0GhBb{iwYnYZdDQ?rQ#&nTLW-~pyoS%Mx>9bj~xUk?KDBSh_ z!Q@(3RChiA<&=X-*43Brm1KR<03yv?znW*u+V$RxXOc0*;&AXmFFHHWqS`~c;F zjh^t6TZM2k|4pt$!?LwOFoPtxh_$(-!fie!IEeXbs$%LXzG5OvQGqa3PK2DWHl5!k zw=SKBPJ(+qollv>VstY-I?{1r(6{aw`I^Do_?AhmmwWB_5Di~2nRRUU?qB(>HDlVekl-opn?hGMd#4WB=m1*%TkxP3DA%GI6t>B%fWHQUC2 zoy>w%!(8E0`2AB5erdG~zx4sPPhrDDMZXHOPPfmy!&b%K*qlUY~uZBdi4; zlx~=a`JhCX`)y|qwrwTk-Q9j!xObpH)f z9QD|dbS-EYezBTbzvx?q)eVH17PuI|*}`@`%X1%OueYN?ILhuFT8c|8;nSge4)>|) zY^v(R_j%=XHYT3PP(uCjq}W>{th)=zH^R1iD^Vr#3m5)43Wr~~@E17a3x@OQ53$bv zQ6hdzk#KEW)ayn3mZJ5;`3A&~AQ~b|8Dri3Gx^5acK=AUjr^`lI&+7#8fJgAepu|s ziyt(Tsh87hbB0hTO zt)~yyO7O+yFj)Wn2YAT8fb(NBS$i*$r8PGXmHKuj8<9*np=&eNs2%h<+?KnS1THx1 z-9he?pV;T(_RNI(*srzEyVFr*+Ew$xA63I`yZ6woD3}o-r>5o(KbX#Xo2d`#kH*$m z!I9&0ge|X#1T9Hc?>*!``LR7Std)ICee74-=ZE3&5vC{12Y*r}+VVcUgYLDiq2HRm z&fKWSow?B`<#qus-ZrGO z&K}$0x31Y9&dbtSco2U zA&}MOuAnHA=Pi#GAGpy)AIXsDjK=eE7$1okA1%Q{?hUTb`eJnKCrjcXS+t6Ltz}fT zm9yErM`=J?pQm5M_Phf(+3G0vz=*Y$lg}l<)DZ|O79re_JRJ!xAykZL5Mbt11e;_3 zrlO8fQMoHbrq*0!&L_`dOS2!{L+3hEG{Zg=uHNYn(rlum)+s&guSU>~F!d6**clulO=>V33QhRTb3bk>4U!_jIPDlhIiWN7HoS{dqO@honw zaAG4^Jd3Us_kNspN~6MvmCL6%r!?bYLqn*-U^x~wX;G#jiuerzPlD=m6#Ybt$4W~@ z%V?#PTt-#~wd%dOeAVO3G>Z;7M61a9VMD9vcto_SP=?A@(P4>bRgnypttytGp+_rZ z=)bormJ0hnY*iduWiDB^mM@#j{2rj@iT22*Oq@>)lWvb-n6hw!OZ%-PvpvO-Q7(*r z#+hm3M(jp|(a-v7Vv+Q zb1JOLG7w4&8~*2&L*j;`J1y~#Ppy&%7;)^m^gm<5vE)2k|;;sx_Sxer)zt4bl0EbMi7hroF z(T|5O0EdS|rcn#nce-tuBEZ@4T!R@Hh0)xYCt0A9{ytO*tYwDT2qQL#w2aD&;`zKM zSx-}OLy}2|mbPEliw990)3I3|W~tX&q7Y*<-h7E<3-X~UYG6r4Jl>UubO2}Xcb;UO zJBzb-&0V^!wzefKEWJiQ#RkTF2un&d2BK&TOp;^Z9)@2Nzjh}1QQ|`t19ITxpj=2|z;JY@>nKXIKedZl`%)R?v z;f6W=rmPp~l$+!LHht0#z9y61r^-y@hca0l%)@S2$U3P4(s;l^7BEs&oGJ*yk=#&a z*a|Qhh;R^nAev#IpAaG(A+jaGF#IZKn+~(hrvLB}zHA{gJV@cvOe}A-u=lYf|?T1vMC$pp(&=ghxzSAtf{fs7aN=M zMuWv$PzkaYYbEMM+do*?hoJkSgXc{PNSR=X9a^X$L4WPSG?UvW2smeX)|W5X)*UQ zG0L%DaZTq&*&y=!>wEshV$hr4XYrMbS;%Bkw@2{b`jk=*(wN_dvXJi`J4q-d{6lF`m2 zpu!o?u#k2HAXE78H=luO*X_5c_ynn&LRD~wA`GfvwtfMr-)QUKji^nr(q+iXGVGRf z$R6>rJ(H`HnAZYlRSl$$qydN!>INrp+#WjgvC>+abPY0>Eyw(@Jwv7Ws*u5M9Fmrhfz zp#l}Bf|Kn95aIIpEY_plu8g)c0CFiHBMY-1cOl-+V!a3Ggj$JXOAehF>sCJeyt zBP}SK=HetiHk(EJH&KClJa%;JuTvoAjz$MMe&(CAS*Lbp>LPjo`^YGsy&8+p{gZfg zHuINQadNFqDfVsy%+d=%8F-`4%UHl*i5jrxa?OdIN%j7IqOP6Y*9|O@cqg0g&Df*j z&8=^kbMAU-^AyYmT3EaN!u#7;z=%!n4#fRu7{U2}t0whcp_q#TwfYYWdEj!W&(5;6 zKrQs7fLee5w&K#-_C6Sh;!q<2REu!?#shr)au(f8YwFx`9A!Xjs?`eCE#2nb~tMw=6_@r{EFi+XU=l`vSW?6eXZoQil22yQEuoE;Ombp?3G-+$)Y zR{(>i+IH<+82taMQx)@XI#oHQrLPGLhT+88W_&EC1?Hep1tJJ5{DO?`pF8B*Y$D`Wd8rcUJK5T=1{pXGi6-kbs&1Cx>sjx^%AD znrTSINJ>eIB2(XMa@pBFlM0$p*QDjLKJgf#^atuH+216?VmIDuCDUVPnQK^vD6puF z^mfK&L2v(lmFVsHW&FJ5e}mqBpDyTa%oL)xbC(HvtAf$*8P8+?0K%I6JUHQAuS$yg zLw1XrHB!`IRJI94z5P6Hse+L9St$u=+Dg{jLtpq(2eC3Oavo>|gJNfS0oN?M!MqANg2b$N}H)Yz>#B@G+HJy&D4H=(3F zWi@6ylt~w@CIyet{FT+r{{gX%+>>Hf03VH$d%c1DbhK~;E*&k9+j5paKbgpA=x9 zd6HD_%mb->c+@{hB@LhdJEU^rL_*@%| zfM>(_)0cjE!%zQnY;_y@nJ7-=f)SBfO6{VTlbFL$fDf)ByaX{t1;qo0!}_^l5mb= zwxt-Np`fN7ff%?x+K^M)@tUriWx~MC{PO}FV)JqQ-@L>&cM^*iB1rrm@u=w0Cp zx)V&0>a7A&eM9*4uM3~PO87J{3!f%N=J}HFh3yt`M*Dl&RD|(M5ouZ}B25lNrohlC zd?{AV3gPH;gcFntM>ZG5X2WB)>X!*eL-43I!JDl{f-f%ya?DoKT6_!K&46-#>24R= zfZ{#bxWQl3&xPCQ<40wpMxX}V+QeOdbr#he+FZiXEEP^zws4HggkxF`2gqC@98HdJ z^tr+bvcN&jU+Y4-sI!B!$*bQey!vNEq~=B8(>x`7VP(P>Hc$AB<-%v2C48o0;WIr9 z9}rdwAIhC7e45?Dr)+zfAQr4fysZ&2a z&RRjn$(Erv>R~+&QXBL~KJ3R|hICW8T+d~v^bg!d1ZH=H6ho%zd~ zS&}L{n}4^N1$X-Uxmsv@XN&B9tH`Nqv!ow^wGZO#4c7hP?~kLQKGe{7LcIrY_S%Bl zdZ`|v(|17Lkyo*%&PDN+a5J9nL&;UHG6TtXC(v2F_T=+(#x07=G1Ua|f;U)Cub3~d z(iJfM8&K(bgN2XnKa-SE>Cr*Wz^wg2$XrwZdbnm$2+D7R3wKZk2TC0uJ#>YV1xR}?(N1e}j@|(=j{sg)n=`;URTTi!Jhit()xh_CfP5b-? z>;rO4T~Jl@o)sRx`3;=%&xJ8lF!>pdgOS|6g>}vjT_R|vKeigkY{UqnnH~rO&73Oc zb&A2`fbVb+O&xwpz424xhM$IsT^R++YSZ`jr`Z$K2M%UtU*YJd2}kp&aE$4~G0lgA zd6prZY|R34t@_8d3HtcB@CD5jz90>Jm}t}CL#AzoPd`cc^xt=)IL$cW)0`JRV~X$@ z_Y0qCxbT^}h&$BYc|deIimHCA|7iL}XAnCA6yh2}d6Rr_>#7MscAc z$QULZ6TxA26CAQ6xC6lx4pMXCCk7%Pkf(IoyD0NKEwX(;GkWP3r90oIQn_Q33>t!8nTvAa(i1Om^X1BXXCw{Mt#V>Av%Kpdb zSlIwpv8e&E5@HUrK$)y z+n8!@^Het@1ZjvGO1$kZ^oU%5Qsn|vDHb3}Kz))7OfEkRv?O~jXsuX&$k%l|d}8?_ z-Jxp(_qWTh(nX`=pUEIIzRz!#ps7UuCq-5$4zJ@fWCmjIf|i2tsz259 z;XUSu}@2hJ!#%}lY`ao3Yo9!%B)wVD1w}Tnxxve;a*}8n&$d#~{`g|}u zOuqPi!smfFJPU|`A{iYbqs=l}CzBS-xCj}Sia4lb1>^la@mgR3ZnAc2ya@&7Fi(W!u8=u*}T%CA=VR)R#=y9>Zf|^+KDS`q;QSn zi99+q!a!}UiCMp4CnOz<2Z#U;rPHEK*xhMTwTOMv!^0I^dV&=`;cq_qYs7S?89|^az!8hhBFp)dq`uF zhCVFvcwY7)y3h^r)P;59MHf0#qYFPndUT-!;pjq9cSe<$eb|_VkLH0F`H3PH6fm~e zy?aXYznFUysHl#hZFuIw2nxukAa?-;Ma31|Q9%JkMnOf5i5fMy#(jxm)QG4+;y5C> zwsFPXL``rV+-7jaBu0&zXc8BasJSjlNYsQRF5gpqXNED!d(M0Q^MB`jo+Hy$T~%FO zUEN*1+kUF2^LeLq_+_>Q z7p*cxlex(=qQFm zC)Bq&5<*PDk;%Xb?V8&JzQE zLvwycpC|V`9Zi@n{)0Tf-4imH z$>VB@!w-UQIE?=_HGiuc4qN~FTUS{32DdJZdNvt#akN{&Y4T%O@iOe?1YmLv>oBx;DIkPhFZ%Z{bLnPis%VfVyc`4(oeJ9OiKA;n{qPpHB3U z>GbMB!B5=X+u@l;r&kYhw2i-;duvWj?#){ZX7<(CobLU^-Myb&8?QNX6Ne*f?(G1K zF;CpxTLZ|}PA_)2w-Yo5JbBr@Iq07~5HmbZqE?2mg2STRL37|i%O5#fz~~w{FrEy` ze&ifQJpP1R8EN>S!Di5+M{wmQhsBQdzaH*#<;7Xt=F7w9a+@1Nay3M~j4U?bh&V=g zE0~=+f|m$#J3^f1r6v!&N+n=Aq&iJK;A;R*!ww)9Hac9mVyGZzMhnpZ6!65|kvV{P zhT1Otb9ZNU0LB4Lp13=+12D#X?(WPQKn8yDvNJnDW5DMxJ2OCLJBmjm8Y#^8nAk^) zlISKTHQXqCG{rx{)y;zWFoe5{!KY~s@s9{U&87LHrU2Kur^cAm zG(UhR<}}SHUHl_FPZuByqVd$}5InX%q!j;E{4c|QcH@}nGmJcJlz)(>1Rf@Gboy#e z1%ez3G;}I}0aa5V$e}<(rvlZS3IsV7z^j7_*0Sz4u~t3Jlj*}S=;fN#hg_`icp6_Z zedXG)3x6(*?X`*RtC}RE&%F@ZIEu*u?k^io6pa$AZx>s%AI&c_^*3Z^(kf!n9S$Rg z&gLoH{J#_Z(Mh}yaM2IZwNKHT1uxfpMmHo*)niNTcpUkwL<$2xp)e5dOu=>Kh7{d( z&)wgUqCOLDVvv&_jzDzP4RP;#e9-qR09VSGbbk zZat$HO?E2<(>CuSe_lhl&RQ4ai1EE-Rub zQ^LjnjV<%(%Hwdq55W7svSpkfr=M9-7KK1$OV33xCTny8XFBC|*uWE_oG60IrON*w z25sIsJK=w6O9da7M#WwM{XcD)d{S)ZoAwUIKklQ^AF=$CVx*8Bz>c59z18jk?BPl5 zr#rp+>a1$mX4@aB!PT;P!erjxn3_XvUY$2Wov;>;FCoVtgL~>sImL5k^SAVv%qLTZ z`?Zg!mB|<6QrwT7PMwUGZIUk~=k9MrzC`~0GnOx=;o*T^;4zK)^nY-0Q|_LkMQq0@ z+-zJJ%Fdn=>qKpegX?O3+fDP^p2OEVTz2_QDkj0Q{HErzi{P?s&lxcwobWgRUIeWz z%g<9TpnR$WuH$A?$7|A+-8&;HY{F^LtFDMbpw<82&CqH=BgRf+;&`Vz?LYYR? z47iKit7UO_^R6~zN;M0wS56t;bXskv<+$N`;C96%7;HtS|27y#hd|O8qeGz6VBK)2 zhfB)Cz*1qaz-pd+M8I>r+K8YTtj8HqO1@v~pJn>dx!FkS7aSZ+UC!#;iIliBY@+(!V z8hv{Z@1OYo9e&~%gR<*VK zA#C+tABPoo!2DEr@mm#z@8anpun7D5Q_-IdI49OI^5vUc?C101o5GKi*lQQ?^jqO1Hs^xaGOF>-aaBqT4e=M}{Vz%k zb&*2ZI&2|Z63a>`i7_Ry++;E0^x)YI_T2?s)lzTZ-F#vL`7Cu7OQMQ50zNgF&zsC8 zMZ}<9m}u>EQCz1NvRkp6Ux=c7&e5ylw9in)pjT0!F>Og=#!HyTuXJV2==W$6OQGNE zUD;UrU1eem@LT1!gMV^EdYC_A#!);mqMf0YEv4?~8O{~WjlsqE-w!?H)VTD;K7J=4 zXys+mQ@EDIDqY6y(8pa_gUh(UqncPU!B4xg@dQ6iV)>WFP@`v(c6%&4oN8k1#)^r` zH;H{mROLy`a0PHc603g&aCA4;o#5akHjdyC-B=#M;Yq9za5EiOGdsMDjB+FY-wu?h- z6f=H>j}g!<*Cr;Wl8lrjR{1N)j5D#OUjcq4iS-2BsH_`VG+TSFFs1cV|`-G9rmxBcZ9?*;9hYC$W&Lfai8+@mKN2;Asxte2sVRRkX$!s-| zu1#V`h;)X@dh42aL-4y2-a%(hJo=!rC9vKl>nGoe(H@~|(tdnlGA9CbxFOOON*qd~!pA4G$h+bJzg?0`+_N**U+;>M`taGW@j8^5vNFna>CM6Y z+iBt+Lfr2Vx6Ye&QSrvw2#vBFl;yhgBv7vBltbMqSE=H4pR+`1$tY9pud&Q~Vt#mT zKVC>FSWC4+JWf#|ob^2_WN9*Mc^^;mw$~_CP^wxXg(rz}Bo*SIw7z>^9HIB=L!@M_ zZvCuJ9^m<`OsgFhp}{7r*wbV-6jp%V06zfu$;o~u^Bq&xLt{zeQ~KbG#Zaeu2l_N^ zdSzgM&^^G{TdQ)MH^*UVuX|A4V)&~X(RrtPfi8$R{ZwEq{hr$9u9%u&$MH_4PA#Cz zV}2KraaaV6E$)3}Q90_nlw&xEoLpbsVVdjlkQXvZlsblIzK=|^;kEbW1YAQxnzU+d z@taT5;F^%O3=B90(W%j3I24N1;nM9>6Kpi(+J#KYdA~o@or>rDxd3kxkU#RGZY&s@)p~eK5%4SDB=1wsJ{7(l3Q1{Nn$gim&J5 zFCo)xIJefRI5$v*RUbjYLpX@g9iF?2{aS{Xx9FCje5$!t{&6A*A%bGY>i#Nj7fK(p zbH9o))vu($&2w{7=|m=XM!dQ_g~`8(m%Y|gw+Z!TkAD+m^xmxbBe8)C_r@c!sS8;4 zNbCrLOKt=!ABzz{HGC{~z|Y9XoM6jiv6^X#HI@^FN9ViI(RywSDrt*W#I&q#aWpSU4KOE_UkSt>7{zYr@L63UTUj+IrCqHClZNp#xAy0FLe+)?6O|a zOB?iFV<72pv^PulkjNMJdPogixKaDVc*1MikjuWs}H%PB4Pna$>-|cSq7SUS%|Wx)oksSSZVHRmvA?{fC4uj6agfkd z>=IK@8DetQTJ=?=mioZ5O%9qL-D$e{Nb!NIomrJ(K^OZ5A8EYTJET%7>f_6++RGPJ zb>cF7rKT=mk1wxqnL9x(KZ*KXlAlEVF3XQoobZE5oFe}4lUBHZWmToIUh}EILEg;M zpBEVKj{=>zN&YC%32gS~;=Xq$FdBJ*?Toy@bR(zOZ$yDku6sslf(sa2O1v z>Zcc8&tolvq&-5xV(Z-?N!3?>bvaS~?MBH;C8=$7KaQv9)+vECl%-zPcP%6Oc%olR zlIMD}g)-Oa6Ilul?1XGf`qO_<{3T14zzs_sS$*A^S1ZzRuk9o$AC?Z*EFFxBJ8_eO zVQD9@Iasr_JApAovvi1N=@8A*AyPBN!G(cq)%P!6(2$yn`k*^6S-_r!NG)Ar+t!kr z3$1F?klK(ft0k?eTEUv~9d7?c{*I;IE48I2)we9B`r}d$Za2%8 z-iuzM5JII0;kQU@lTgW1sQ%dEGNFw`6ne9SI$XrOIuP+5vbhLuWGBVmI#N>K#?CBU zG}Im9S?3@@oqB_+cj{gZIt{TH22I77Xf9D7{UKmqBheB3HAKblFQlToySNLzttoY- z2A|&B@LsO7c>!yuxJes->(`-4K!vm}V6vpE|KNMlKuQW! za~xS|?jn0fNW(fmfPB0&fbjGOMWKw^NZGL==_sRKn6r$|PC;Xl>C)}@2q`6eU`-y| zUc)$hO_xs9yO>S2NOz|k)leGjKNNV^^z%wKyVOu>*t{Vj6Q7zB(h$pDQ(FZ6_<{!P zY2gs$M|o5;itJpaHfba+44jxnS&tna{)CKm+nasXNQ$W*f-J`@=EO^ldBgT^jD{_o z@8IO~oRh+gEP>5u+Z#(`0-FRNSz3*Zgh_Z&VXzN;TvsLHD33 zV%2$UPZQ~KU{MXuhBk4rZLPuHZz?4TgKDtvn@U5y^gW>HV{g{InbZy=V0JU9K@$fK z{o}$HSxuVkV#O8pRjokzr<%P+eu#K2iz~ z%qHpqQ~^wd_N4?R2ePS=Qe9zV54I{&iVNHbWHK+-7ceBLJC(U&J?zO!{Jz{-Wu4sRyh& zp(^QVs9$?LHc?{m7XyP%eI2fi{$s4odN!9D3U_L;na!ov;`wQELc0^QcUTOkkTM&# z)grEfTI@`7j2k} zgLi1%WVnr=lDMdWAfyKp0NE+6_K4>CoaWiVq@o>Ljyg5ik88h9<6cQWIn|2O(JcNT zay84=7ErwmJ)_bX%v2i{5=b^`r^b@x$g#wEn-jbtz6}s1aU$wXW{BVrmX{ooIW*#J z4f37E3ff8mtXV6`Yt%0@i7iF5m*G1O(c3y8B=#~O)q;mmoF9p0bdk!b-Ah^axj<{$WVMOhn&v1cseJ2S_y}6L)MkO0PN1{V*13H$Q9!Q1ip)n_peYehSl}i)@^AJX2u4L32I|zmeLw)ebtmxlLB{u#fmf8c zXVe#w@d;(%H7xEKFG7$}NEs7f&RE}y4QVGW7EblRcOInnl>(;Gx{vj4FUic*UTP_v z@4+(KOL4+CJ(#_{RCma?u-)N5@P~&~Ci5{9x&$`-bLiLZ*At6E?$N`9A79uS0kp>w z4yEeUp1@%gF7-dC%PyW)17Sd^y|*hj3b|}!I|=6z9Z>Mdo-DV6wAg=%*N>RiA6haV zs?!Y)3bBOb>F!ZSvQLBrbtahaNqSPeHk%nE`3Q@8vUg*o{=(`S_$CA7t?0=DV*&fr zV3T5{4!)PFkEdmCSBv4?Xm&7GYE`|3{zpu`vo-vg5<|zy>}jmjLO9ruMRkenYx zXw(MotIbXuda{8Xr2|6qNvv8YDbcG^Ob4Ah)SC_NB+0_@iPo8&qzwJ5wI=YvWtu-+ zzB;8bbGOiuAq>g><7wQPCY2aWNILpOYr=xa4@BzM>D+WQ_Qi1IuT$x(ds+rHimh}bYnlMp3*8L@%;P%MNCe(U z9VT{L=!fhLPOuIHgi&uIXy%8Ahe@CFwROJ$b87U-?vN%#2TOe?@v|V7s#Sxu)1)7!^ zwhVQ$Ek#OWYq;EzJVf%f&BIXaoAOX!T?f8HBEkaiXT+1j##sMzstQVmuuS^7~dIEce!bBPIGL`DW)svd_Q zb;uKDOp)paI&&=tOoXoT=iL_%qFF(T6etuNWN)NMExn7sI#mUc!+hV9TluhU%iJ4k4Cx|D`#dGNwjYo^ZkC#@aFx;S#CYC+`dvO{su9GGipXVUm zd+?%1yTn)^=0UKr$O2$^V(%>?w5% z?5E`h**~1+%xdv7i|K`Zn*cVk7uJCRY{fy`&axh7aM=XeckU7rS_3euAEc zuI`z4U759iV!cwOq|Cd6IWIm+i7Yh&YFZ3^IE#Ny3*H;!0Fh(aL*D_#?~h;|bE4y{ z$=g(tSXK{si(#E6NK>K#P}*!W{UPW{FFxpqzu$dfgDjmrk%Ybfs18Xp%sSSdVv(uz zlU0rv&22(~_FhEt-Xqqww^T>?;W2x?xAaPf&j)dRYnpNol*0b@L9UWEKY*;8j>k(j^ z2nF1DahWVua-Cwy5CE!8@i|L0dxT4tqV6oZIH5ldNVJ@Jt&di<*!&nU7noiR# zE3T1)ozNrBTEO{pF9KmXa2!QkZby9oD0-B(*7aS>)+G_<4lM zl0$qN@t*@-uAdhkiN%Ms@sn&h{q`|%cGcfaNGRzrI zX~)Dcb^;3@CN&nKZ?WFPBuR+6#l{blYSj$Ab*f5M;#2*+jHk~rmCRX<3Fo&ftYDZV z_6fauil!``BmV`GbMVGZ!`boUst_NNrx8FANm1wn8vG1PkOlPmxejzRk(mukuOKou z%(%j;443NEC%H51`RrdDe(k`^#QG~X(u(=H2)Gq`<-m=a4AZN zxW)Dkm%0j|_-nY-w$`I=6m_SO7HGgbfcQMI6YwG3Y}RXp)Lit%6bdPEe6*tPy;6ae z#K(C3EjDX*kC6Oo8D~3t(vEAS2L{Z45&kp+n+E$naB8#_Df*{+;|v4Otd8Y{_~Y;Z zDL#GlLIQhT7j@~rC5h*V_TGH-b$Dn~%7IE_kPf3Xb#;rOCM7L#M%c`UCxI=AqnQ{? ziDed@nazAt^6zMPJcbum6J7qIrQdCPoJ;+~S4n*wZk)U4N8?JON`uOSnsjvpRpO@o za-s;Xz+afRHn&d?6()-3k-B}f%TPPMWM?45 z_v$uhgMPA&{raZnTJ1)|we}~v*K8Xge&}U{LCHvqM@x-JgTtexSDOU9L|ci|B3x;# z(IQ+~g@Vt8Iq}MaE^zkarBxay zKFm#pt5zDx=8ly@eRUa5r{8p)?HVi9%KUA!(-^mrqJL@<-cn*QY()?Swcy@j+kc_E%L+w;3+o)Niy(c;i(XQ8cS7<)8cilJ6(K0(4~L$9)=3DR3t zRTrBXU5{l7}#UhRn#d;gs@QtO?mQh&YBt2yhGF7*+7&a!3cl2v$h4ojJiV>rl~ zHC<{WjCJT1aoJgB*S3x_t&TE(o-VByX3SxW-1`ajXC1lrx20sEcnZtDoVez*rA97b*lg*c5Ru7J zGo&5Ds`>1%3~7s3G<^(ZMy7S^9O-}_xz<5*rJ8!-Q@eHAJn4i!eAH1MTsf{u(RtAI zM$`f6(`bhxsOUqP)`3}4L%r8$1f>PBh1pz@l58mA#68KDBB5Np9Bz~`IZ|s^*47*x zLpbQHS92ua3Q70hl~M!m*LO4x4R(jVra~qMv0m>;9@?j& zlQYSk!nivq;Rbd}e{F%Z4^n*0kn%^kqw$hS%3UgdE?%&0mYQ@r z=*;TnmW6hGKAqZi*S#T#*RnE-bu-CsW)R00@pD?Iklkjft~3I8lR5DsMx6na#3*G% zx<@#>XOmig)L`YIE{EspH_I z-8WaAx>%&v_3Q5E4Gwjn64r5~Is-Z819#dD7HMJqsr!hwbEwIJ{|?$8!-#gS8?Ck1 zBFRe+bH0`jW5F9QDVr;1t<>dsBuAm-g)Wxo%cY)PO-X~o zAl7#UFEoDz3U%UaE2JIOZ9(J}or$qM(G~}>87rj}IK}CexQgJ!{kc+l-37ePq+!CX z?aa<3QA3*MS#L7*Z?FC&<6;ntdml^xAU5lLl;_0lcwZXeHG&AH&12rH5pv)+VKpe6 z$jPgv9zy0eW?wCJj$6Hj`@3U+!+4OfBfh97De53c=yMUEI$$LAp0)S306@%I0LpUJ z_d}MjMrta2{vn&PMrz;SU6iX{-^BfD2V6DIl$&0tp2JqO#c;<*PT*15bsu(Vjg;WE zl$0zDV#-=>wf<|NqZ9Y;TI@)@Q_ay=I+8xlEZbVCldxeEd$AUFDIgiU=2>g4!@QdL zRaJ-oW1Hux{Vejd5u?(2ho@^3OiRlB#g(hBBX@sl_nz;h{|Xp%HWf99QvbqtkRPNH zL4b?kfP1RS^^&8=;X@JUdQflz;&-aF3ga1%vc}=)PK{3>F6ldk~*50 z=esiMt-H(SjS3F14k?hn)VG^wpaW8hf%50_)}W+e9I#lA<1e@JD@ZtkadU=>-I?;} zkJoE461FF>r|r*uw3cp?*6Y0(6gpfM z#B#Rq20O6@4d%p^ZIRl$faY6q`aZXSP27qm;uKrvv)rxH_rm9^*_3TkPvOQYc6^&N z6Cz8uN$I zZP+Ev0;jwi_c(smIVc9ZQzY+}{`5LUQ3CjkEFgX!1ysPn4Kr; zXpznPm{Z$62DKCS@M9^=1q2jg_fTYg3*89j6-upuJ6MPiPGt8AF*G@OL-t5BT)^5r z(rmAfzYjOCM0R5z zbZ`o)wqLUNIsmxB&E%CfDS}B4tw13cxjR_2-A&r2g$rUGFe%7&@tsAC|Jb9+N`j;VwtG zLJN+7)rmWJ1XgzfH;-`HAxGT^l8?F(Og+j8_PP_49_3Z4e2jZY%rO|wDR1&IDcc47 zeN0OC(q%!F*&=(}##PyGlNv-iaIoTrc_{LT{SK=BVSC((FgjXax%3WS8P4%iwzygs~J$r0l5akN4&emqoRso}s4Uj;Gij2w&M9e5rI5mJrG##Lkcyr8 z!&uDz?@_fx(xzS(JNBuRDU@ef!SGY1ka|~Kv_F4KwD(=KWm(L03ADx8)=w@;>%Btm zedeOwdzolQpb$-NQ8vr|2DJG(R>QZ_MDLIrCtS4KJ|)^4F50;{EdK}4roC&ec3X<7 z?A@zIdtJK|>5bTT{&IlaQiG~m3=NUeE?PYB#ntFymikO;6|%L+C3#{oN$x@CZoGD> z@3ON$L2~qa)^0yb9SkAo_PS_S946XbF4~Cq*v3bo^v_uieY~t??Z_xB?3ol8zD5J0Ejj%7Y!$A3QBYfk zpw^Jd^QJkhH(aBH;scPXP<;D3QoKpMRyZVk(743#(`M!_gxhzFtb%g=(Av< zRjMY#icj-gw5J)-cE_%kR@oT~*_4{{8X?VMtr{f1TRG%tj*B)YmuT;~Xj3h0PpG_5 z2wlW(wv{hfee1}Ft8`yD)5Xwt9x)8Tn#<9g7DRw#H1++<&$-rvD~RiJ;t_7d%-9r~ zlr3V=^bWh$MeY=y^Vc1UFe<|8 z*uO^;3q2HaXeMbfe=+NjC{OdsCt&Sjb}&(HsR26{v$8}vS_AejW|3W;z_G<_q6_$B zG27i$Zl{rbxtRUbRc@sL-!EnnNpg$^lr3iClAJjZuq{cB)pA}eX7`hv0{rq=Ba@R% z$zvl;P674v*bbAEtW_RUO-^-^@~jQI$)g3m#PXBnSp1w%24QL*YNSf6YKr_geD!bK z5ROo*iOF)zn@)&}a%d#tlxcaaeh)cAc>j@gYY#a@2><4n|7OwCu;E~_-t8rys}z1; z<)p=!KZUB8^KOxatW!mL~D)KGb9*vK3I!S{(fU;kn98-kE?ogEq>Plk?- zM#?SlGkBy^)n}4*$w;|eub0`vH&G3l9eLB4S6ODiyeWSl_Ssjwm{OPyPw_dbae>AO zUXAoT_S3LS)iE^P{D80aJ zliaX3Cdp6qmB(JV^8(xP<`t}XgeLJvz zve^rq>gDBG*DR1PR1z-jVdL}UC_z`q*5|q99?O%h2I1^ZHhqO0E&TieD_r50dtrs# zy^>IOt5sPmAMgk{w}I>7yigurWX4{zrt|td>rWfx@ASg?b?o9sIY6`7`aJevqud~5 z;X2OfaBWm}yE|j#COKFL_;oF4EE&bBswJ+ex7M;Zw#aotlr{e-_8T|u?d!M59>V(f zS;2NWG~_ztq)tb`;R0^^Xd2d$*^TYcWtB$a=)}+^&yBClrH{<@|STBQ&S%FUl+>#>yG0jIZ+sPOj*b1hx^XAZiLoX z_Q;~%#8WzKccSKw#pH|soD7a%iSS%mcYqe@G zvpFZ^9>N#1+2<#mgB6g!oRGTj^hgs%Mmg={Xk9<^82!?4^crU zGU}oHYIx1Q+&*q|C{--Jh;CaSa!^`7d?@$xs1e(n6zYeat3-NsT~M3!X4T8&cK#bT zi_X0sTzTKj2A0XKg~6NIk}`R&VCiYC@vEGn7kqnIm;NSq)e9qfux}p8kzHE$AbE!C z8$`P0fqlPnbw@qDwymaZ9cj1snsma42K@gXsI%Yw16NyLnX1=m+4d%#SdYi@g6h2v zjY0f_muKq^ouL|E%Cr9XSQhj`-&FSCiQHJIpUTAF<(b0HUToR#aw{Rd7yIOQS;@Q| zH?~UiX03*uF?@%Vt|%2nX|hWU_Vt#BFZVO^A9CBu&%lkXwjv)k!5CbZJ^VwiC3xpE-*UN& zP$Qr9DVGO#TX8^4umnzm1Y2l6^cV;UnjR)xcCr}yAqRs)HM~F^lt|Gt>WG%;rsWOf zQHe;_*~7}q<-S!mehhIq(T&b${r;4r8nZ&cbA3w%9ku}-yL%SHJdPE=6CBNgHl0|= z3jdUw2|F*c(myeCKl_-4{UvuAa+CzlB~o0(%iQe{Va~uFV|p3*)>3|c%&&Cc2ezQY z8Z$>lYp61_QRC@rn)mP;lvNKWlI8Y;&{)W9f60xyE=N7GanFhFM;+FoA#AtF6#W5e zrtmJ%L%hKkf=zhzrzEiuS-J=Bpvbe9RBua<{kG@%tl8gkR1IQR520ak0#nb@uh3>( z!lwL8EmN)wZUJ($6kok3d`ZO{k7r z)$O4%4wLZdVp8+Bqzb~aCD!O?ay7khc_&MLF1HaPma?qpa-Gb#ccRj{s{+u3>XCSu z?u^O&jlKU;=kD~A)q0(Ml?%KJ5T=UfT!qACFLh;pLbS$ZPS(Q&rnz_)sCfW+6>JwO@RHGn*E41<^ z@S01hKi}8uv}<*Jmb1@a$Pvx2q7X}*r_0w%G&rk#9e?G+I<(+}FzjQ$_yAR~7N^jVUJ2q-&;G#YPLRI0TOQV8sEYW37gq zfri}b*pQDyCs3!AV{7XS%JTbwRnsd$!s8vRkzQ$I+y%?!6wma>NhEG8j#y-xW za(0ky3;Xl_6ZkekxN}1#$9dvLlvc7O#pnriWkRQVb~BgR7A$8M^-4g9sxdUjkJfL& zgR%PfkDltfSf&@0dcw9HEZ##2WU+#x2-6(EBth{NHg0Dbf>KMEx1FuSZ>yo(slt!{ zQEXs$jxMV}cQJalJ3g%sG{O-T$*zng7d83u?d%N?rDm-^w~?TiIn43i4|;(nm$L*5 zlQi0v+y6=HX*9=sW+j&4#-m{&Wje;AGXK2k2n}pUma`omN@SD3R%3A+BzEH4?R2(M zmoL82`Hu4*(!7TlNT62i>u)m;Po=J~Z5tcvr3A1@FQrQ53-h_kI16*)43#>fNL&>M zBB=7kDLg-J8=L2)gbEY4vO-TK!mrC#Xq0b6PqQ^y$?iaG=i9LuOGJunGx_ z-%|QZuT5FWMpRM~#g^@;b}J@RD^a;x?V850{G>S`hZA-N;UXf0 z+dj5;V=ShM5}bL8;#7o8Ghx6Yq@8_)H&GfCwGVeSO)g&X_@FBv-0|Q63B}tZ+i0*> zh;81>9It~z%a7soHX8EOi)|6$Pk5?FhhkT&DAk0;jNOMaLd^}#+ee8OuCHeaKFX`| z4w4q(Dt~MqnXF%_t&Fj~K1!?*ikHdwC_zmgV+g>(h$Z8SdXFdf(^30Po;+&l@fces z@kJu!*!r(xt$dXTp!R5_U!`?e*9r+891`5wN>{O~#1{HK)B7o5V;XU9 zNxX*3yBHS|FVd)ccP&{@ivlnD=Y5Y0#K6v72Q0jI&YQOW@4r-=ow=B$X{=HgVFZBs z>RZ3@j+DVc3jR8;V-`O}RvM6yXf-X0`@ICHM28-WFzbC*?5A|e6j3&{VjVaJh8lX* z+G6k^WG)XS5RR9tHK6nZf10X>mvO|ZSe?%QpkWP~9dTDY;cU%Fp?hsm5PDoQH*v`O z7!^=Mpv24Qv;!ds)G7h*jAFAMisp>l=JZl%F=q`csEW2tTf=Nsl{bVJt66P-Oe^24 zW?lT1#=_t=Y>L0~YV3+uWQ1~hxz@~T>K}j*0`8#_C*! z9jt+3-4Y z9KjVWsW5sHDGXXyT>vq^`8T3h8XHc{W8WB+5XFF8^A`<|o6pj4+LB98yau+XZCLec zN?Z9z8?bjsy!N(d)`TnYwZOfEuoyPCVZ*B_?+eB@?0GdMUKlx-#aBmYk~o(Qt*)eo z96`;nc#A@X+!k+%k#}C$+N@^ht1CfarF*9)XiqaXv{PE`+K30-%3*?R-Bzx zmve8!o<-o*HxY!4BIV|OjFMNiAomX3^D=fmuxjm}W})kw4K*2!wFZCsfjJ$mIU04T zp@cSVwvrs4F9Sdn3MeJFfG-M0G$BLK75XmWc<#nC+_IV}0SHi*tYonPO8Af^q(#NL za^t%^81DxWh$zvqLZ z0^EDSIBI$YL5*xgwglKc92|yo5!G7=&glWj#d&Z$w2u5l*r(8hY5YPq=Ip!q8l023xV-T{SN5q?tbg=Cb#0eS4SjC!@iM!&3tRy|I_6OYnc0wA7A3OZNu8rDHkq)g*l7_+=T zHQ5z38Jd8K9Z1Dm`g^PG8l&bOVAhO#R9#R9E%gH|i*d3Ac-RLzf|b!u)#J_wxo)wKK* zl#h>sWz2QUds2_{ZbKeDI7Io!CR0bPV82w4#RMyjg!r{=bgCR5ofPCy(C`44a-p>Hh0DmkZgI_Vvr}*Daw@uX8 zOf)(^PS7bt=_;&W%iar7A|pnD&J}SO`g3T8sAi(e&?>ecHsDWq+jgvFH$#-*p>=qE z5g(wtf&@EFAUH#-4KF8aGmba>VIjdYL|Yt{y_QGWhVMBtRkU5BcB|;H_Sw8EowTsm zYbovg7I3o37{LQGENo*fMX8Yw<3GR*gxBow@&bEl8I_0eRtap(L7Dm0R{R73-Ly7F zrymw_X1@lF;Zpq3uD~M;+3?y*Z{b=PJ6Kz3BSbA^Ki5Y5YFNi2LX}R!NL({`6HDie zP>eabVQgclA`21g*s)Nhxp33WGyUQ`5%$=zhptn9s>iB@DIJCG>saqFB{XyA0Gosb|@L9AA;rpBXTp2UeOLf@nI!bWh zeojEQ3_Kz1RW7U*>sVJ2+37k;pfTY+@|0A3RN$=nS6eaekk-K(vpTTO*c>||muLvR zzmA30#ZJ@dx~yGY#P&ztWy9+#t?OI~<~_!H{Y!(vkXm*Hz?z$qV^j zvy5TkVkt5#hK}ztwXRZMh+fZ(;gC43HftY_MMm0sHaJ|7q~8@tE>Sa41zN2bY-m5g zlj^X%a3!YRyC_ke*9$>u&P8n{&?|%}ui?#FKD60z&AwwjD+`A&-CWNC>M2ReO;S?D zA8qZNIt`tYn$j+AVAJaY_*4d&rutW8f212mHzOSd$9orUU zmYnNasWKVBaAiJBmZNGYFOK>k8>rYL$WYhEV>XDG!aLeGS=?zY?u*-ospc|8Y+vUs=ded)K@}`6Kf;>%(;Lp za?`jhcC)@BR(%zXVhKF;F4Xd?9>zQyC=G@F8(3rmrCG|0zU?R!z4$Yldg%sO)&^pX z0ayQt-$wY{6;VXUZO5n8R1}YCm9UsrKWLzY$48LQ8rvF2t$>vAVSw z!b8VmU7YS;k`oL{l6bEee=d)=Mh^^k!z`6Kw#a2`2v7Hf^552*JNhFlEb%gZB z5c4~yP7Ot}196}u@z*Zhbl~xlDRYA7gGtE$jH5JWkMyp<9CBbvXd{D~V#iBdKroKz zPvRHN6EhPmGd#Ij)ed*4KE4lvkTcaOILcl`ZKHOFJ3X%n!<woNAN zrm7bl0m!_$sFx!&8H9)Xv;j1kI*h~950JyN6AhJ^`pwAXydPE9`jJY7#!{g}odW;L zWZ{jJ&Y1@?As7cJS;rRr`r?Itj?r>}686vnuzZedT_uKvAvjgTKMN;Old<<_G8@9V zM*WI#f&ZvI6xr%pe=<>^4>B;PngvUbBE)vK{*Ywv3IeUuwwny?akQ>espHi!=S+s) z6yB7mRjAwLEMn`MDGCc{tTb$4r(VG+v>%h4#{SVF+!19`6r~j?lY*$l79NvF+g?qJJfKj#PMpUa}UD0#` z4tnZfPtTHZN>z+^@Zun9K|T7fqXBWh{t>xd4mFM?!_M{o6e{QF?iSW`X-$3oeD_TsuQJejjfP=@hlVJqJv{2+sRTw)A z#-s7&xQOv3lGLtfjjgbPsw09Ew)T`&;f8tR&>o0z`v6U&xBtORyyM6}8)w%Dal_`2 zJ&vWJF1Eg)&|LR5h=aaqv!J^p$UT<&GD$0qZ-5`e;fIzS z3T*%n;BXnPv}$lS4nMZ!w9?>qM)m}9gtCpSVGHFgA#M{}*FyP5n6Zh?Y>CC~W`8!m zl~Tvg<5o26`s`-3&Ngoo`>Q27=ekWyZUqv-L2|GLdR1T{{@S)}!i(oXa%vMh(n=ZR zcjE?eU&UYB`AsamHTvzHO)ROk@`hg@o|QsbzieXrD67(D_7$?CYE&nIe)wzi-Hg`9 zrBa8DPoRh5?Nc;f(d!BL#f(~;*~m5!7=4|}YJtDD`kUDoZ6L7aX7-q}{xp)nNBC=N zznP`AMGxBJ%QD(3arOJ60QE0lI0&qXdn)C+X;n?M-S(9a8TdM0>TmG>mi^FHiHMB% zCKTV~p6RRZ1Cw^Za)Ae{LH1!J>nSxcVxY;JS^H?EcH|>3cczj5Vp>E@A5}0dh(^GZ zQHAY^R_fJ!wF+mZ_b>E#h{ZgOTm_ri!)PT&YDEg3fFX+Ms6Mfnwr`g%FKau{i?P-*R4$+qMbLwGUWoMCVU3@p(`3zgYX8_+Pc$b*cxOHh| zM|FyLb&4F-Y3JfTj{-C-RksLxrg%rzp7yBX80cT2=eH2#s^W>aR(8NK=~WNbpo0>U zxr#TcQ?pqv%9m=?i8T8mn%AgEt5MoZMoe(=y;P$#;@e4lnjOK5FQ$~MKfoOR*2M4q zt)AuTYn50@2aFPPx3H(sD0(>8$mvsC;bEHY-*TVwr!$ZpB-aSJ4{%|m9L}$^9p1uv z#~@;`dr-|E(kqJ4^vzw|7c2(TSJAZV5AU7A_Qfc5YFN21mC*+zXkk@mzr2O1F-q+k zIseSKvxUjAN=VCc6wF@)sSTr_4JMNqE{w+@Nbj<#tPSvXz?OVEP_PAWWn*J8j4d6{ z7R4&H#ptaNP_eFijSC2To#%=HGq8kCSJn0~4+7CQFv~`cXTQWM;Z+BMPi@zRiVJ); zj)iqpBIR@AP>kc;0!M-2*l55~UA4_XnH_OGPMOtZ@1P#}*IiD&f|L${037&iU0PowfCb~Hhm>QmWCr8BVh zot5zVw!cX$m7ZksH=hKdItW(LG&_E8FA#VQhbPPK3~#|eW9_We@A5HP??Er^t!efW z=&W_2GyM=HT}I<$^tw$oNr^(6B^K-HCAp0~$5v^VSUjgP4e5puPZrii=}=F%18q}r zxVi0BYUB$ZM0%S@Z<`IrJ=nZ1*f#z}U>m?9$miMhE|^8)b}&Pt(z;U%*uhZ&j1t*! zWKH>VT9sB)l_ol{KGTBKVXm}~`s$0@Y-Xa;qI1|tihU+y?8Opt^k|GmoiG^q0Bhmb z8PJ8>t52UpHXa4PZXXS`wK{)=Pg9_u+gI&iKPO_lVaE<8c2!=j`H|ky8e5UD^f*Ur zj?x`0yQ|Wq+ELy=O6dkWG_LymHap%`snN0={z0mfk`G;JAGMUHp~0{$ymJU^Tc>D6 z&%Bb9j+yTbcPW2OJwFP{zXj!|0P9kIoH~r8K>2fS%Ew^eiQb;M3e{21Gy!90Jp1!m zw60xZDOtbNY)A%#gdRtIiKPv-!FUet0*})<>NiZ6K<-8d$q#k@IcKn|gTPg*F-_<@ zUT)zqvX`L+xZ5Q@r84jit#BQyWI~Hx_>@JOlyPm|ej3`vW@j=y|NJot1BJD#jG6K?#$eoa=o34NZhm=q<%zhyb8hC64tf&XLq zZ8kbZX%@QjcWQqg$E4$RP33e?w9bc4GZ&Su?1_!W^C?P|&{zJ0vXhH)x<+a20m|zC zK{?1p*@{y(@`kmvSN>jn@^2NUyU2h0g;Z$7-~CAui@Nwf$n#y~g&H|6Ts7$_|3SXX zMLv

x5SM52CX!q9$A>8`u+dJNx+G%HDQS-v60p_f*CT%^tC`o;a=0?PS5d(1sB^ zS>Ikt_-pmRjhODD-Lw;n0ITYF{)ge}RiYq>fap02cCcc{f`W=-Y$)~;F*fWP#n`V66|X49GIr6}5(^j$ zMZKUgqKPqRELg!v^dJ^2Nl2pc{mkwyp#Hx9*XNPDJ3BiwJ3G5)%j_iOfUdcXU(lk%)kvUv^nxl5x94;N{f$pie!DUhK6MO0J z5&k0QUETq99xHwTLNu#}-fydHFTXzlwYfQR#mq-Wv<&=O%j`{`_EbEo)RjiHpxYZe zm=50%I`@f**W_e};PfXg=!wr}nL%{4r}F716Q4n5_-MVRlD#lFef6AL^-|{WL7n7Y z%1Zw29EQcyO^<0>n9{U;7=(`&i?e8pqxxC~h@j9yr0}w^@ziJ7aGXqf;#5uo1S2TqX!R3HfJ zm-RvaV0QS7k%Gk}rD4@f+m=rWy_EsF&;wMuk5bdCG&qG=QFygGh1V)g!nxsDK85xH zGG6f6-v6{vO zfX4MzCVFO|77LBWeLINZ{M|5m(HHwhrZ95phl%lyFsj!NjxTcrygZHRr?}|C!szro zxS7WGQ%dTZ3Je98V(8t&xIg5r9lo7UZ0)Ezz~AK1a?*DO#=v03$XZO8WT@*2PuuquJGc`5_~?54m|aXil@_qFx?#FMGpok-F2nCsPSO*-|ORP z=wPLB*teA}=zh>%DEvRQy-=t*Z2FGb?O}k}CdC;DAiW0CLV*;;;gIMkPp%nw0OO}m zJpDcx8>vU*$$toblj6z9zbE7A9{>IjPYplA?@#fxn13(F(+&R3jHlW|@p~WT;NOSw zlrdBZsFla1Mt$08!LU;y22anY!4p_Y1D+aIzng?BRXm>pSz5)x`&@{1p?^Z#|HjjV zaOhywZ?r00aj(3gqNU9IXts0IPh2y=%?ECo1UeJ07#b)ED0t~|sAgQuaLk{}hmr$B zJ1r<5<1kKHaf){D0ZksJ_?K^pOz1kfibLR@qLNPp>DEUb4D?jTmNkiMr~0cP(rl6YCL5RSKOQm?m+yakOKPq7Tz5#EFfKF_x@a@QrzV<0qyJ6IRZz4$J&0#DgD9H!uricftn zBw&pY-b3e2NnA?Xc1d(olrmkP``Z|?Wt6Ue^c!s+sWhqTbPMYS`dSD5g(oMZ&c_;p zlv+5wzr8~*Mk)>J-(l%vj&W+C5+A=KN*Zd-BMK0Ahq{ka8dNvPJe*pncmt3}yLuC4 zNY{pB(~eO}*Pv8Zgji_7UEH&Y1`5@DK9691{JbQU7Ycza2h8EzZnyv)nw3 zcEl+9$q%UhXgD4YY0th4m37)-T0I(#W?VZuG8!F5+jf*S8s9+gcJ$w9#n;p2J1EDt z=Wx3bno2`c;CIwELa9+_22wQ*Z#;zH^{jT54MdyO({Z`X5;vR7q|Ao@E3W22XWRCkOr*fXQ3P_wWG0<8K$ z6gNhx-pl_;5s}+)s${9165I;0cNF$lh`spiUC+#Umpcesz#+yy8K#IM-|mq7R5g2gmWfOrMJ2E@SW()Kq|oBp6RQqLf+#5!(m#r|}yl>00GG zlsy&$g6t#o*H|o3_#CAs;}k#L{G&8#oKn;O{Lv!fPj4U!SG%oQyHQNltYG^y#^5{H z`bFVOwdKOQl@w!+=WQr!9KL-w+Az?AoW?7q+Wm2v2dW#ir}(w#V+u^VNOh#4sl`?%c`bK|I3jM38dI>ZFf@4hu$LPicRDIxavY)6_8CVfI1YWUeRg95G zQ)e8ve2(Vhrr~sbxP@F6yB7p+fM_>=WkM&7!D|t@C?fd&v$dp(NSZM?4w3JEr8yJf z6S)r*3$?oOSZ#rVy<5|viAp`s0!|%h?d0$v8B*Qrw4zrNG3n8@B6Si*%1R>nPr}ZR zegjo`frlrQ9h|9Dsx70d?2(j+t3c% z<1B~%>y}h!GCGU%iPUYfQoh>LqNKLf#v%f&!cH^5V9a`3IvFnGE=hEBvQo{-9oB&F zLq4X2*bvT{tW>Z38s+ES5+G@DqFcBG1>>&Tn^bd((!&s%1d(l9xiP-%2C$NQhl`M^ zMGH&Ev$#;l!%kJ_jdNFMpI&2G&`cL=ZAEaGaqcp$i3p-u>i_XaNri8cw}71l?4cFr z2pFHrzzy3HX6&}i7`a%i_oPL?G|f+$c&7;jhh4+yPb!DXSI)g>cmLtG{B9a5b-c2kLb`&rO zpm(&w8VT4NnW=_|nt^5L%RkZE8SpRrrXkgs3HXwROt2b_o2i6*I-DqC)y+W)#mn=f z2Q!s!x+e{&(JW9t1~!*K z4+~IWR+T_|3s7KUOCdYeA0laG{^m~5vX&CgpnnU{kXx+c{tOxY;B^sk{?wA23=E69>F-Ka=#d)YJb*bxICJp)qVAJZG=2g6F537~>;g;|lv8wL z0rrg>o}&L2C>5IgewIt9Nqf%}5nRE`#{ZLupy$cd(nK&vF3%k~OI;RXalHd5FH|bm zZ07@sd3AjoazW%q95)8?^}^1JmrEG@*%KCG1XIF?hpFW$YoSs?_o$Zezby;@G<;a? zw3{iYR-^um1nXxM*K$*+#vzF1z*evVxTgN6Xnqt1f-_GMMJdB{SE~zQsLT@hFdr5XA&3(NE>Zld z3>J)eq5Ivmd+a_CIW#@^B+6r#2leR#M25<`O4aG`64*zVYV_+8bU8lN=+7k>JMXSW zzDoh$aEiJtRhrlS7Rp=VEC!Uk=Oav5twOqJI9>mC zj9N#7^t2N+Fk0!^?(}h}7Kfiqki$0R2rY|I1xK}c?n0uM-r}PG?lG--v(ipWItD|) z=3g2;jYc=UJB>bBt|*?%(s(VaxX%25=c3?DoW#;E_omj%F$3!4O@`%)r>>qiZCnoX zbo8c_<(TnYNR_#`OgiVqC%^!?e)6J!k*bUHqQNnkN(UNYofEyNzYz_!hZlWmL?bJc zN^wSzbo8PWqtd5_(-F26%idjllBG8|FLv`pj~im5CM%S>?p;kbuJ(~$d|IWyi6U1h z-W?lM7UjihGDAWx4x`zbrWe)FpfYd;YJ&vGsbgfG{GHZHgc#TUzzbyn=T)NHE0hX> z8I_RiVp>v^KuHh)(h*Md*V53qK7s4nglzlt;7nFiLzVFf^v+20Q!FLp_i*?oL@t@Q-rFUW z4g+16oq`+3anL0sm0qs`!-!N;VwJXyHl$ic2z}uj!k^B#gY0!6K2o`G+!L@BfXNTy z&cn1KR`IWM1NjQsejCiKa^FJvyjGa5eF{zR*B1+Z1*!BrR;lJ((F_vbIj6%^Wi{;4 zJBZ7RCrEsem?9$LQNvwtcX9BHQjaJh?jrOfps<~}QvxxpX&#WMN zg9DVcTB&OI-c#rU^?9+7KH%9CnIY#>15qCHUfeWS;o%gft^ZD1eMDp@OTJ?Ko(+bd zN#^Eh$GtfK!#_@6TeF|ll-~qjxZE_TPEa1H1u$g?F8HV_2Bc? zGG+3#5c@a|r!lPg{JtP&olp8Pup59WHa}Hf>zTP9vs}GjwdN(yGdeZ&_6I zE9cou;1UFv9?EK2G=P?hC*vj^)o{TRhBHRBA;TaUJve})p*D}%~* zcjwa=GjaMtzxl|RQvTmlHBy2)^+veY_haqSqgG&vkpJbjz%_Q-#e4L{7A4Rz#7ZM{H<`95ZQ6vxk5)SYvo)@p!w2udj0Z(FQqOmxrelsV zlxMI}=p=Ah)0eX?{+3#9RjO32BCOu>eI33R#CRk(?TYc#3?6;0j-$C-6~!fIhs7MY zb=2HT`?e}o2km4F6P_asea&SPxp5&zz;rm{YL|h)zEy@bvK0k^Oi^PR&qAd~X;_su zS7bJVJxkwXOA^>?y9 zc@CT}eOkLgJe%iT4=Y*eR;OwKlB|a$>$RV@Z&!vICPK?LKfg54kiy&)_W)E#9e|qF zJ^_-6+j8nqj(S~%nqngV!j8AxSM)7HWVV$ESi@P+Oyn$TL=u_CL~i|Rhx7e3H%{>l zyuOWvusE-J7S4|wU#g`>bB1Bo{3|*7CGyMt&;Hx!VVu&+kc}s4P5RydbKfj`u)ns5 zje+{wN)#JP9gRANJ=ons^T60N4wNL~GiWD0_zo8`Z!SJU&x+&Y$eVQ-Y{{VJoT%3j zgg9>)&be5U8I+`IU%P>c_ ziyF)Y&nw^Cr>qFywuh-ZFBU$u?hb;(ej5jeb02DH(gx-*%$yN>toVpE{S;BjB^Cmp zB3b~Tz1~Vcexvx8eY_hRtAQ?j4|gbfliRzg+_&(6nZKJReye;w&>zXNh$^bG=}&5CAOzwU)e{i5xvg#sgSY{dcD% zU*@2)NRmJO5%Qm}W%9juTFD0?D9JAcXUOjdfR+bETFKu%s7%tu?O^hOlJc(~p*(U8 zQ|`CJO1T4qlJase1?9#7XwRS}E9F~taZ3E&Oh?+XwR%K|iznb_i01SRv&AsY%^8UXDa zR72~b?m-|o*&-8P)(ih(;>s#h* zfzWvMQiq;B(;RLGhdRCsr&78ATorT3Vi@C(UcuDc^HlD@7HW3{D=FKD(zqi^efKb+ zVsXI}oHFr@B-(>};1)V`1dA^|Tj<;oWw7qyXH@;D;@8CIW%+jUHnWwR$COI9L#05u zl@=g^8Jv|_6{D5esLizOC|2j&Y^H>xijRvM=L_UEij3|hHj{P~$5W=fpi0MZZAteR z<+0A8kKaUXk13z)Lei)BmOy@9qQVxJ@FS^0LF8@#N(kQ?NmB3X8vgFqxG*#Q-8F3y3E6$gY+92(P%bBXeR zfVn&B2%Di?@%o-J z6Bnxm>~YgIw27g{|!N@J0D5>G633& z7;2QF)HQr75z&cx3i35=DF2vQ20>m6N>leD`d)kqkg9d@%X|e zk9FMICTPWv2>Rs`7Iciv#A@h^pj5*almUVU1EAThAkzsf!TI&0TPKvM6&r&Ti-s%5 zg7Zy*h$VrKowhfMMxRuw8|JRD)O21hOW}z`Jo5*<K9AC>kU&C=Z)hYCl6_bnQi%GXw^Yac09F~Q-F)y%

T5WafNOxy0lht<<+QBF+|uV*7((MmjA690sW zpIt`(n3Q^k`>~eV?;*(JPCyHJ=Z}y-zK})VCo{3=>kyPWx{Xpn^u+*ZZAFWXveJI_ zkVS7}qg~ldyGT19D2R8p5pQ87Zji)%nD~RGbURh)ZMeD0B6=r5p0{<(n(_OH=!X`t z=y5U=iyniZ6#Z8)hUjwu(3*?xV6K&R-tR1WCmZdckBQz(5bt0k-q1??OG(_DiQit5 z_#jQ0Zm)YVFLBTr<$%4e>0J8cEY|+Nx}I3`tm0;GxU^i93QeZ_7lCcOe@e`JONG+1Vu~ z|DY_g*A2NrZPJz6{o|HdbX+Ej^)N^1fkj9@%xr%y$~JNqvmGrnG27kKUeFHII7rmI56L|5=wx>iyV^6)y)Rz{f z&NJKhR8mur_msIRb0pxl(YdD$(cV=^iN6^msys~vw(xli77uRwe207J{%-n zinY)0QvOBE#hN}$?EkZ(;(6#PH2sod(m8xV&3?f_pf{6f=P$~@{*Lo4RyFH7>u@Lt z1|_-t1ZLj&V`_^(g^c-J70G_sb7m#-+p?R5?ltSv3gy^K!h*IO7t{d88<=F#^8a3Xi))-#k*L z)rkGYGWYp?0F0troUzX?tPiG{YzY?!P&-WtbKGD>J@^^z(3B?5-Wi1sQ;z+Nax}%y z(U$R9fAY>%YB??gn(RgY9YSHbN^QpsE98eE6rHOm?srb|>};-Ps&?6eylx03=fVLY z{0iO3Ra!XvA;s#g-(U!NJyx2k8?5Nh2BSa0l^AeG6)T~K`qJdbN;~&UC(M*jSy7h` zru4^3Gsjz2Nbq3#Bu`mq$Oc5~JP4G!hZwtQDV42O=Wqon4LGHVNKvdQwK&C{Q^etX zcwdNuvXWiPFH}|0}m6!3Bp(%vPVqT$T)k>+>Ig zi9~khluhCsCywF7OiLo)fFu)t;>50;D7__6ELM?L@8x>zzMFL zu)vaFBbm>Mf52e!MvE-Al((F6n^Q3P0jE!_oJyfo+G$RKvldcpdE7WjXS0BmSHO3!zaAsgvVxN`Ev;LOM6!8phUb(+g%rm9c zCu>*mc7nd%FuMEBj$OSo8AP&czvcq;&XVmufXqc&@Wi{g9gTR7Epm%&+l)ds zob(W~_3UkxZPW3O%T}h>d$MiqA!NHZ^aI%z$&|B5fjp=9$1Ga~$@W`-q-@c1-yncj zgOt*~Ujr(Ro3AgNEFdea5TkznP+FO*{~CP;cVe*HSSd zi=KF+R$*iMgP%bhSe4Pe)-lnA6eQPWYqs?xx4EL=tVlmUR^X@fLUE~S>ryr%kspwN zZ$zu$*K;ypxdqamdi0=QUcmY5KsS2%LfLKzbRL412lGu23_SVoAWJyJ-xdx9W5D%+ zLu@KqyG&XQgWw*@osQ;+vo1z`O@QQD!`dS}92kPk7<-_^$vg1J6puf);ebK=w>ysq zo({H-2W}xK#{=GMJ;s0w0BDQ3?k$|A;!~nEs>AG(WJZkOY6^Dz!8fx@{g9m&Be)r? z+$uC3Z)Nu#8wB3UV0Iq8Y2PcQ?!W`ziN-u-DT>RD`8H_Ey8H~SAWt>AFP9iSfMLq9 zrUuGp+X7H+mkbARcmu-hy7A^J)qkz1{Y`@`+NujWLCLFa;=lcf_-necvZ7=r9z1=4 zpj1{8N(SkN0ida2wDYwxb!4rsSWt_>Dy&hj4YV-odzcyVjT~k@mAm#qwP>f16DyiB z6EoU^pk(wLWB?;009r>hH?lvel%qB$6=y>&P=eK(S10@sldBW`L9NI}AR9TZl*c8d zRGielL)e18giM zkL(PwqxIL33|szX0CoCPsXu5tN7)e&e4!>xo(c`f7g`bn`D^!n>IiEpJC?tn+HnA7 z{D}dC&j5PHzoiC{<6roF(w}PMxBR94X2yXk=N64sUnQP~{G|-hZM#M%|59cf?jI`O z4!#+7dCjz(l6*Loclzxk9n<6|u z9Hd{41YFdoe|WGc!dOO-o{>79YZ>)Fyob@*is8U&G3s}{hu~vHaAeIG^^4v^C}~A- zkrY0E58+{7Aw)~~XSzueLGNMwATfa8$(syDy=p~3T{q}Rlm1o|!^;Ea!WD}?0~?-= zOvZpHUHXSt8Vw|!nGHgA0o#^q_6^V&4$<7v_QjsW0tUCx&~ zAAf;UhjARBmU@vm&Nd9&FTYexP%q8u?v6-^gz5Qwl$Qi(EEb!oy zUj(m`70(TLyaicW;K2pI2;LvPErs#~o)7RI^fqwm;n80N@n8r?eQH5-NqE z4@nb}EW)hWD}(|4XoMh4`JcWPEUQ?yQgwKo zBVgbzx~NlYG;0NU()Bg)S8}d~5N_bdUvN%)pY!-V%(>()s#Q!4t5dbL;EZoxrsSM2 zIA^8?UdN;(c`|os=uV>b(OqeGG1Xr;DTuO)sWlwu>=Y7JFa~&hO)kaNs?GiZBwe3_ zzfz3f5Q^4k<1fTWdS8q>yIG8LJ1L^LI!bq`C1rqy`()-iaFXB}7XnZEXuVe#DydhO zf409BBu&@HemaKdY!C}RP6U{FW@FUPLW-q|CnBuPC+ObU*i%$>}qtsJ)1MVPj z=@8wux0k-9VwL3W%t5~r8H1u<1VtB^@_}ZZyo?GY zt%TaB+9-h*&@+}=sBax6&8kFV2Ltmh1 z_uDWTr%ySF2N^Qyb51%Zk{|>7+E_Vo`&603IIAdcfhZUhXDYZN+Dv5(oN_<7fK@?aeGJg zh;Dv+I_{{Z>B?-R8BS_D*RgTDz;+Z`PBwr;%CZ}D(MeTw#~M(sliHK>wJxI$XZkzJ zsMUu|3WC|;u~%>uXq1cknNDj&=UmkKUgueXzt%%d=3>Z-y2%%9B{%_|f%|fA zP`R?|XHKme2`^3K+&7xHAI&SPR`Ytv`D${$)icAtIQ(R1Qcn-HrLIp4it$jrbq_Ns-a~Cs z@-cJEszuK|Aa${u_CfNg&rjkV}%1hQv7P0P`yf!_gtT zMOuYukpI??M;+4!0;x2RXo8no!H@=J6`BNpS(PWZV{O;mCUSgfn%~e7@4Z#kF>N5u6tv^6eiZB7A^Ny zTlH;VBbE>)h*kPOhy@fS)?E^NULVB1L)fVA3Sq1e+*W#Jb?ov)LwuF2deZ#!$h(r;UFb zEC0pZx{Lw!n7@Y31BF-(zV9nz?qYgTRrRbo6=^~NV;M=GUsn_f3K)nu^0r?r6yX1! z0*+SZlI@Y$tbjELN(J16Y!F}}02&UuE~uvZ7=}tjl<((-Tt0sP)Lgz@AF1mmf_hCG z^>S9~10;1FQ$HolU;nI*)#4|CI(Xj!1Oqpwx0@s0f_W0niqTE<#1wMNO7tB`i7{F~~gFUGzlkOrW|X zA=HAKU@uo31^aAEEf@+}`E0>{f{pz^EBm-gf_-OZUtF;NRHA)mbWfVkWjkW4V!PWP zHfJwEyR6LQ3j_MkR}{^F=}rep{3#&XJ~StM|1iM9<>sq-s?-;X9mxs;4Og!)- z#CrXVqBs!{T^6SlXEq3j_!)vFZ_t@pMtp>2Rz;Tc zvX9j|ObANrC@xtZ1VD=r9p@p5hPqb;?Nc_|v4v@OZzsP7iv;mV8}ZMr#P^}7P*zVS z?g-+RIaNR+C~LDSh}5q+Jh1|0)WXPT);x0XK{t?DOUOFi=-zQ&`F6<(%=l;gDP(iW z$Zpi{mx$OjY`OtKS*UvuNpAQBECNt-In6G%0be4V+!Dn}xyJOe@kbWnkZdCT7}u4% z4T|?s%Xg`dIDa5JplNExKu4qdzPX}}yCVTzMi6TznQ8GyGM8!oX{Fg&(tKPKG!Is$ zvc78jfpLfwC0J1sT#AGb2$sE5gy0Ej>w}q~m+1C3A}BtTu{G(CFXkZG9`splwXW`; z*|egzT1$rqOpeu7n;9zAuxQgb8`|_{!tZJGKM&SseszJ!+PsUP)MhnLXe1^w4jMcU zpw`DEw0RQYgdk;@|TFLuNnwSeT{=EdD9O1a$%}lPYQh%M>zT5NA%T7SZhE| zRp4&tFjcLmHZ-h5T=dc_5I~oVzr1{!3JUO3g>(MCJ_j^RUn))vH7 znTvbwPprgyNa6+FAbtex#nN*>TgD%vDk2Mih#mkzeu#PsmOtBAI@~S7kvDK~2Zk$` z=UbVJ%R>mt^4x_qD9;jR83dMiS;0#DkLg^VOE%(uMTraAg9YvGHrlPMv=@}i>Bq!t zGx6WcbI)y?zxknN#12-`8QOjyG|GIfra5|4b_&ewFNx9 zFx;_`THp2YY^F1&FuZFc_4ATrS-7~0bgYru+P)&aY^2t*!n_-+)2)%Mjn!e+h`xy$ zX^kvsqIPlZKa=V6+%7qiNhVaJdrj0gc{SjC zcnvqI222n*LWLHt7n-SYt|z8*-Y%SX6-$#*k!CkngTVDvb8zi~WMgE~dl=7}tKHml zBh5)Y3ug}XS6jJmL=w(k6^3v1S4TFQH3T0FEFYBp4c%*W)C_!HLKdCkAG^r95K<@d zeO@SEVv`oAs%mxhXgIv7DDy;zPBupI$H}@NQCd%HTB#|Z zlEho+R+9At)qd{VCzx~bmoqt$x#d@+O@V3+*O5rVHfUk^~W}I@U~x&wW}6jcTv_ozNp9w@7YleZ2UV)_P!1DD`qK4 zBytIr6wa7jooLXj?b*Cl=VnZfX^4a;$L$EsE1C%VVKYM_LB~m+7uo@Fu z2!TQct4pD3eMc7%N)9wrbLgqox8@A!sn)heCiYb8S|dApsx_>UpL?o{tdV}b&^L}^ zYIPO*wU?01B@C=Bur^^(gC#O5OvtvoFv724Lbg)9)fUi3hu#p#f$X+O0+erEPV)mxGd_EW#sMdnhk{#b~P%-wsUziRI~ zrZ-!Car7O@GnnkMd-U@FwXZJe9@QAAjw-hLj|f^hP_3ei3`;ybP~Bf#r`@B^hJr%B z{KWL3YI5;L11D>++K^o>`W;*_Pj^@<^Om{dI&| zp^;tT%;%B$uRKfUy9j-d*-vC{*=Q}JS(tJ@qcz`@kL5dipO%kQKdrXRon7Uy|6#;` zT;0RD()Is6j*xqW>H2>k(_bT1@7k9En%^H6&)}sb1d)eV*OTlbJ%E4GmU!$j1&vZ0 z>oUgC^igV1wcT!l!9Fn92L_cnZ@ON=kJ-{ZAJeT-s((Od^-R|+UV6+;o`|1WcMyQp%%iVlnnSQgUB0u03GdEvzyn(*Z=zcATI!CCrobO!c zC{BT)I`rFv$Pl4U)cI%edVU98>nv(I2ET2yXg2?L%%ao$`)L-H9IJ-vdSuanu`q_P zEIQ1;gR|(9arhmRMZ@@aN){#a@0VFrc07I!Su}=!mw*ocew9TPCg68d7ER&bomq5& zfA?ikm5KO0kVP~3_ed69=HJs<f^rdQ1^ ztbxr!uAtQLZ2D)aTD|t6X7~MkU~*7;}XhMyeHh@Kd9yr>z2)JOAL_qEkn)No6;)RB9$mmv&j?%GD!R zhbs@>X&2Z22QvDQrYvspMFm@@c^TJn7L(n5+8C);)pfp2ry|uVhVc8+&s<;QHd~^8 zI(~A^9!(V`XgGs-kN}F#5){fHI0-PQxC8|-h(GE8{e4T&s?DJJ67)=h$^(=)87L2B z+)$DM;Q-u|fWPpQ#~w}BW&9Dx0};O@<2N|&hxj=ePv^L|@fa5_)enV{BbTIe&`7#| zH&Vf$W!lF0sMWC{G3&&QMM8xRqUyC$7b$1D>NiSb^_y=r66vC9w9`LX>a!%24`Mzb z1|I+=Rv{xr3c;qXOKy!UL*$eG|-kLOp!t(~c9O!yYNoAXW}CJOUB zl0d^Qq(yMSG%z zb^+5WGA%SyHY{^9MNk%7qvvx}FWs|ra+#~TjX3{4^9jgJ2(8^I@tvG8dF#}|YZ~yVXIhwAB63>SP$P!m#j)6?e7{yR? zi8*L#gcs(iUg2(12!_jIi;T~{w+X=jDMY~kLkJ%TAy+-o{fkb2`C1Awz<(l}yVRR_ z?ghq@7Gm@_HDK|ko(328v=C>O+(P(V)1q_ssdfW!sn;H8KCi6A$CFeHS_4qJ{%t*r zzr(7!GIUPw&pykf+2`W1D{2egBC#2GU-2C>$B4QmUOPZ={^?^7Q? z_=jOJ6T(0AFGqZ+!F+hn6nj7m=c|nj4#><60Fq%`oaPXMn`_tlr4as49v#3 zMH_1a`YZG@5&YxTySoFh*W}m)mn6Rff}L<{GQ4zRLkp@o~Bw0)#|#9 zHK`lN2m8>dh1d=&^MJN3R7W_)B|rmB!RnmesTMgeQtRp59#ES_>R6|2Rt^{YoPMtl zC%My)i`2@xiVx`VB6XZYzf{)KNM9PVSZ$=M@_<$^1~kFM(9dd7@hCv+JRtulKtB=C zBQN+GbEW zr%&{j!kCsqm`)Gq9;e%JIdVbooIc8&K6x3^dpw}nWol^k{3_B;a%UQ1K2efRtI=Q4B8&ca7^lmxC zGd!TiMwFyX5|^ZFCE8=eH!=DF-7u;H%Eo%jI!}cKI3L&FRViqNTE3jnc~&yZdbkoS zJ1H)K#;s86^yY+gyZVzy+nH8Bz=n%Jv_B%6rF_*Z(kop*SS{af@)wha!&wiZ(O*o8 z9F?x`$w0fjPlT6g8_-VS%%(M{s}<@B12@>z1E47fq>th;giJ?N3pk8WHisH>$RP(I zUk>?k$csb$IpofvWgIHap#%=ub10KTeT?1k*V93j^~x z^aF=@IIMMHG8iMi)2blEu7(oM0-35mgdTkHM+)8mf}4UE_L&u?Fl;)*=2&4RxqxdC z)rK&iuKPI{#=-A7)R{xKIn>rF1`AYpGr~J{A4~TPV9_}7wY7^>Qwtta+Df&HZgm1V ztx|(^pC-_xSeT2?BbvSnQ<M7lR5%uBLx!Ib?>5=zhv-AWD>}ph8C!`A3jOz$>aMfX z$bF6KRZ{an?%@3Ogia)M4IC2_JZRz?IH^|E$hZbgCQzf3Yrt-@Mt9agebqeZ@OmJ- ztc90if<~>^sugtU?h-hDty)&M-JRmrs-B-pSJq?a zyjnT>cRdPPtQ;w%4yzvLD!u_5`A5hR@j_>p8u%D|Q||B=T0hSx%YYKh; zg7p4C!a?5QK$GQa^$jN4%??oJ2CR{Ny@&qYp!#^t+{3nq6&G}O(R|}NzqA$8Ittpr zi%NT_-9~kUTSd3>aPj44XBj3qxKYYRwU_Sf4qPy-&hivf{>fHW*LJcP`gzV2w@Izj zI+7Fk>!T48@|;s=TQQd~Cf;)6383+m4>$4l`#5vJ;Oyp@ZXTeLo7F+OieJ-+&FXC3 z{jzj_v+6GS&9_n+y+iPGDMMwpVDMt&=Zl0Q{5%=c%FmnmU1Jp(1D@Rjzs~y$&58Y@ z=iWgn);Wo9Z$9q0E6YBdczb~F`O<#=8Xfw%5Iky}8f%pV`v&hQX$wB5X^-jN7HB&E zG1+fb8!6@UEER$07Qhgfr6&|elSplpN8Puo<<)Lhgf0RBj}$IA=f)Tjd9(l+x5)6Ow z%&0Z(wDvq{Vd&)IsV+|}I;dxbdZyNVLYud#^$eLveU}X{-u%`GWE_XrR4~Gpo5E)$ggLK+VjPZWMm-Q(C=U^>T3$IdYs= zErUW+r#_{$?do9N{HIhoPMxBQ16G{sUC9}h*&_I=^QxV|N)OJ#UmO&~A8>z1X@^ud z2h%Z?JSS6Pfl?3{orWqj^9kpw8}LD^MpmgIb2o0 zI8curYMq)@x1rEHm=rycv#EHv-Y(VU8M>2GaE?jgsLB5s?h;lTmJ~yT@Mn~{Lv7UJ z3%2-l?I)SvJPUAw+VJ_|rRw~=Pqgk^1o3>+Vi1(WlW|+A{Z92OUHR>FW2d^oaS2kS z`X6kg*f z%NBamY9RzM=SxYXn3l!S{?BRZE;XpKAhY2YVVaPh2h0{~+MGDLvJ1z8=07LL-Kv+{ zl+7p-56qXNaRV_;%Zj76yVZWWCB-lt}|!F z=o<$@WG6c+I(7r<7I#lNCl+X%Hb7F*`2xO4)H#SONatY%=(uPXwo%j`b-XU=IlbDW zcGblcqoBR&IGsa29onmYs=KPAfA*>a3^R4y%mXp}=Zh`%W3ACZZ@k$U$q!rfwZL%n z73A5x+S@?h49Z8eN(I|XdFDKHZJz$ zjR(E(R?1a^)3XB~R(q4`^8)79^&GdMH{6CCfl}^}dZ3sS0%s1XzPj)i^z;xu@bh0% z*?6@>y;$TZ^s?N+JhmDAuzy*u$WBHI+=xG7v(_RxuD+@+#w! zyi~iF^nJWqrI_}Q2R({c7wP<7()a{?R6<|Ussx-d%X~?HCBW%!Of1zntUfGOw!nkR ze5d-fa(D%~JYPOVvYpvV?0vWdmjP;^i39R!ruS{KR`FrM>@o_(iQF6IKV&POoD+4ze5 zj;MZRPp&BRq4hQ$eMM7_s4=?puZ|y8mlf9)yrShvYMqixmtf#t{xzLUQg@Xd{{j{u zhGM9ioYcVAG$mOLXcYdsi1vElXRoKA=N|3&Wea_45y&y>n~Q*k$Dy86cCu=yJR!dj zxjU)LKt{Wl%r#SBN_b6kQ`9=`dO!0JQ}V``5~l0hA;!a)bbV`tFm}M=Ml);3&l;+2 z4OM?ek5g11U8(2fb^=>(*Pc=56WAfkd`&A)sBLuqPwCPL7?0;udWqjMPo8+R(}o57 z$B!1-{XtbvV&gpJ3H3gSI^X<+zC5XV8^!j-H>teMg|(=yIfDv=qTu;odx=Gnt}e64wi^Q3W} z>&H2d(UxbvB~O=1(>c>0tt`@w=1c{gX{X3E$d)P8lBrTh&U4zDr#a{G`IE9wVXy7_ zV|r#%m6EZ%K+rgkTvF9KW!&-DJ3m<$*$3~_O9b881WGVr=#PC-&Y)YCK&4X=KbNFfK)Q@|N3bWYr+0+4lCVr8o z-m}*os7u4ns^#d;_v|)dj(mFt=HezIUGVkqc?PqMPrp~^+6QwOugyzPm9s!QSeprS z(S?iOa*WqGvD6Rh9(&z*AM!kpuC|U3y*h^v%H3KNl&*Htt*u4*=hcBU@0{Am9uuo` zd?KtS4LGlMwO>ks=~ypnQ!^1LSXbM>ID&c{QatI|Pik43@DujlD-;6F>A!7}*kFX; zq~k3Q_yQ_uGeJpdf5KnmXZ$fez#rj9DEqsqk-UsGQf6X5!(IqVKf?{vS#beD0BCP! z(V2^C)Ba^8B0MJzH<@}h8})*ZP=7d$sb?+}BC+G-4+u)?r6u*F0BDnDQIDThrT;dG z$g&ISwQSUV{`!dQg8E3ANyu)cz5&Hz*_ryAnRMo7wddeJ7FaYhJd}$d7R7EjV>r1G=mQRqWT)@Td8lk&V}H`=b)rm5Ki9A)W5?Yky{#2F+u$ggAj?S|AwHX?jxyR z20&Z!B@1yypgAw*8*^~Irl8MD$7cHHLE7*>gRiG>&UKOj#ym|IS{a-NZ-_sI8Po-X zyZ{U|1Y#r{rx!}adKulXUgJ+~KxZTwyX`XNgN+n^DU48hsy2DVo8a#2uhY(Zs;i-( zmSLY!?egGr7B#dq$dE-rVGn=_d@C4 zKXO&t45NMb)N;D~Yc%gN=8+GbNZc9IPz6CI51$F2&X zI24{{3WvCbDy_PZ)WareF{`AVp>F8vC7f%ugJ?zR!Q_4g3us-gQu8ZnRr`24l%;wY zTqODE-uTiv;+Xnre#j#hTyRi5p(wK{lUOaoK@e&g0=0x9DAlqaa=;}e4Gdc`!_W#m zXbNb6u(*;kh0V^C2YRx;MsbS|PQziC$WQpvL9JtDk>MR&c(oL6GXUa3LOdF$^{m55 z`a4L%ppy?f4*6A>!H-}`u=g2wHYKLDC_&}1Yulz0MLdBPVTN(Xu%VFPb)vblse{` zTCzemnDBA{PpQOFVcdO$GyfMZQ_m-=>ef~g=KG)X#h~7O<7Fn{-7*xy6f$x}t=vB`o4v(*P!17UrwcA| zo$_@Q<~m*Wkvi4JaGkRExLKF!2ufW#jT6+51E6tJmsO`?&c2eT(zvTy=K6vYFg={i zOP(X-L>4CsP8*xrK>}!rZzJa;fg#YeY?G%u<37ZfrhP^0b%>CqhfS8&R#_H~6|&S} zSsqR#rADRNx|R{tsYaz>>U>iz?^OL~u2QU63<|_rVVe?_{AlYm%y;V>GMd5gg6U>bQ!aR7XWf2W5W`fHqHdj~{uym8~Oc!IP; zw=>T?^R#*9nc3Od*&}DzyT66j?*A6ZYGPeG&Bf{kNNHk~ z@beVW`qfFS8$6BGlDw1*NLJ%dR`45+H@W+vqn(F=v?;R)Wt!VRDW#o{kD_+oFDlW9 z{xy=KoeM^D`z`@MjR!OA_v2`e$<51CM85)VSvC5lPHskV2K@yCn%s18GO!8;&56Ms zI2BE9nsG#$+;l-p!S^t^Sq?^UIA0Xe?ITIy3{i>fl8&UX%Xw%7agqU0Tl2nP$OlO=Kk?^ZUFF9q ze0PeyyoHBGyH1Ho&PE_{FZqJ7b>V_GCOHd`$IR>#ClSY&TQSM`IFC~cT7#6Zla!&s* z^BtM<)eF8f-+A%AgKuZpO`GrB0#JS7$EPn>kj`ucS1CE)sX+bPeZKP`h*#J`mB*ma zZNBqT=A*=16luMu9ArjQd<=+~@8C|=ty7nn?`#~y8_rCm{WxjNcbXct`OeXN%y-JV zXwNypX+NSDSomx7#c7wA@6-~sPXb%D^0e+C(wdy@1+7g^THT7tS#rK}Npy^>Of3*-9F&Ml&MxA(ss}sM8-c@qG zGin6+S$|Q9IztyEg`ZgyxJ{cA^*f{3xodc$Jn^`5!V_Ax9T)bsxlYaFVy^SqL@tTj zT<762KG$heVy-iIILQzv&`E~2ND3J&5D#8Z7XbD8NOt9VXoTg)1gG&wALZuy2@o-r zm{QF6B_h8UIWRuk18j9HrQIhvCqXJtw-f*Flbo%@ptMQOzsD)+FF8t+oZ8)*yF}V^ zgo_mRKSU}K_1{2ugGdkFfk^w_rrAv$*7Rm*_ew{HxG!_&j6veR6|+dlPGcCkvdgroR-h|89o1)AzXQge4T*9?CN6$-!*kU!kFv zW#b(VBju9A(7T7G1C!t@nN!JO7UPdMhL~fIhhTW7B`Q&4nUNHYwO}Y$rZoWS12_-f zH0EfIQDtoo(eLe|zX_x*B^i|C4F1C#OmJfwRa7JegT{iv1pw3~U_hhFuN;v^6%|Gl zqe?q4f>n2*h(?vOU?YUT19uXm$|@X}guwhF=YNhWGdN+oak!mLau0FIUFE;m?b2s3 zDI6mzkzJZ2Da1~KMi8eu0P1htp$%z}@U>CpgB&rc^v@BaN*s{*sM3Y7b*J$f7WBiY z(gJx1AL=CHHmZa`SWwnRl_*H+)_C&G>95H93rJ(3(K_*iLktSq;MmSLeG^9j}P*& zY^nRAm{3Fekx)GaItkStNg>os$ctvH4}f};JAMO!hTig7CiRx>uHF&{vL!pY2dDku zZKuM2zCF%y>QgO2{09`O*1;^|PUtt5uMSk=&$`oUN_1CNO;iN8xhODYo^){=R|>bg zeMpn5Z#lXBfTYkQ7=l2P!vLsB+&Ss>E`F~UZl+HL(lg86Z1_K+hT)gyxAw?h1p2}^ z&%n*Kay-R~JVd1#&eE;Cl#cI@{0b#%Q>myZ^8kv`cCZJ=De=);M4jM*~@p zyP->AZEX2>1QBq=>9_WiP5*M)l;U*B7CiL^aX!c^@-V#- zNx}IJgo4^D08rn6`%;)*&Jih0Zxqdd$^Wx`ym!7g!a{NSXSX=L6)qs+^q@Q*rw>6S zjPTn1CQgf{KkIluv}y-K@iHM(RXQcs4<$SzNra-C3xbG8V{H)+xWm#;V1?OsItk6}(n z`F7a2RvPj0g7`rcs*^eKJ=I%#(C5f=J*~QpNZWM#U@@NEI)c6P0PpI*@ZiVzUo_{X ztOV<}Wrnd04?;(ecsZ1_fLs&ykX-Z$OHHnrQsnxnC&_hOR3e>sAt`kJ7a~LF3;@(2 z+?fsjd!36Bp;a$~KKftDb%QmBHSM0G|eR>g$PC= z!YKgMR31c3yP4?`-Z8EHiv9H{w0`+XyXbN8DO=1=Ys`0eTEB}`e~d}hw}Uw~jFN4E zkU4}jFEL7PjU%>S2t*nsZAc2X=OB?bN`4HW>h*|^lJ6j$*%9_EIZA#d8n8Rz>d1)) z^HFkVc0;s`y2^*&Th&6THj-`F$va*}Kp;4xOcb9s|BqoYT2Q|^2-G*d!74q$u=q7H z8nJLeY%w6E5i2n)9)*viQAZN7+HbIxPjGCK85xQ`bbOA@uzLr&O>dXmlooxe_8{Z= z@rtxXGvet$hw(bXuHbMB0Cg?66`Jr49x~Xwf#~xTI-@VvC_j2OIh{wC11^L1;!Si8e`8BFTm!DJ1(EQbV#h090>ChUsny#fe9pTU(^@ zS#mT9&vqQdEWCAxmgR^OO`lTkSH*AQqU@nsoKd!CE-T#a_IF9`nIjffZY;}T(`ZN#rg z3gV%H_z3{ilz7(hIXd|dAc|K^@K3jlZs%Di>@F&TljUI_f}jQMo^2uR*1IIwS&DWE zT!1%4CDN{wQ-Cxm4(%F~00rE|ExKyRP%qSu`Wk98ECUx{d7=Q_dJ}PT&USwxhOXIe zgy<)~_65PuD6M^*7LKLzbJ(54Gwp5sUPGPcti*IK?}BJI%&(wy^jA z!)XL5usxq@e1Zf%kjk5aJ{!@i3iegu1+PNtgQ~4JK8hCw5OBp2>n%jas;iF#rt_5{ zU~wfEf5Vl0)u$9CuWL$Lv`JcX(VNZ#S6#t_#&1Z%W@wV`pkvD1>}rzxr8LQ|81jj) zMI~yIMMw&t__Zr<5)098$N9vlj&C-zt!3rLEcZodpk*-FY z+KNuOW^N|A4!Ptits|(>B-iCPxiTbI9+EWNaH%h8nbrV;0HVR%-_4-RXGt1R2 z4vKy@1h}fgW8o+yg~R-`ks5}E1#L!Cn!~j1LJiYERHBBdf~07e;b=3M+?!}0<$TCt z*oLxlGZs-48a8@QZwIUDoYgrOEB{xoPwM7&CRS5LC1N!eNx^CxqyVe_0I20TE5lKc zq%OyTu7_4;=ZZorR$ZilN<7M$vXQ+k!lRtKy|_3ug;K?%oY;-a8_8j9O3a{knn;ed z0-NMmh@_C?7l;TsW&ohJ?Y2Bkjy9^kw8L-$1r1mJ1e6y%UlCMBgNd6m? z0@pME)F?=SL0+IW#2~+pJJfgpvPXlixFP%op#sn6NY-9dt1)D?>6#+R{kjekaL*nM z>FSEwb!~xz>|Y?pg><2)kOc+lSx!zxO0tYsxK#R*mr1T83djo^&p z1osG^>`MeI^H?_wN#XC`eoZ!f?6Tn^&4%u`pv~)cBIAUMT4clWND3PcfO%mJ45@MiSdGq7t#~gQQ^l86*PR&H$({ zJF_EY;k>1MnXZ)w;fYokQnR|ucJ2O&!ObcLPdK-t|{ zoKCJWu)lluhx7^}JI zNhH|)r=eBo33ET`{+DcdS-DrGf$d&jkY3kDEJ$~H;9Okx!5rQL@q!kogMPll7o=Hi zJ=tG+>mjW~H?Fp;tn>SCz573RR!ry<$hLXQEiHA-j)q$P1vOMF5HuYKE5dqo1N>!o z!5>#cbh;O9NzH${In}&^q)@YZd#>gg0Ms=2O6uWdIXFv1pY{((=IJhjJV~3UJB5^E zY_@3MB$>F524_0emenQ=rALA?)6c1bPfF%1cXz zK=dof#p{aLG|_FGMeBR3){ycYUA(53!poEMdf3&ed_Iyw`Pzclj{vCicpu7#Vd+fn zFOTvG`Z;80{ghZWb14WP+(FdQ(LF5mLaB%PU<RT-cqSq1CpZcI*LwttBVc-u5Hgk{pGKv*e>jd zzg&6b`#>x7LvyA;=8uuV4uQ|eMDIIIWVWSKVfM`8LOyRvM!MbE*%K}yDU4)<{4mlf z0MyiWtbKqyBA$dgxEds~cNV8Jh|}reqSMAnXGR34Q-|nWgF9zlK-Gh{JtnYQ0rEgW z@>wY)_qHI>Hkh2EEk#m@b_v`d+NS`hk;O>P4|I_9^?Y4QJtyhuBKc30gJerV@^=8# zm2eN2l+E;VrzSy+yY4R&TEpe$!>YWSWru-@XrDu(N%sOlHMU`2>gE1IwLYcD@v0fA zcCV9Dwcn8xs?~&uQ0+Sa)H$H(lB08wL$y(*kQ~HG_HdDG<0Ltwxo7|)c@2%~B6-V6 zGO-krdzUooen|#;rAVJX!1OQmmB_!Tu>PH}cB& zoWPU{vLZ~CR*DezCM3k>NT(1hkQ74v2KgXF8USh(oXn+{wSxSKC1oiWqTe_Ok$K$N z5wcf;i)gd@+-dJY2|m%hg#->x@4#6hc6R~+$2q}#96e3)l^91iG$#30ib^zHNJEn3 z3+k+X3)vxHA|Ps$mTX}~InL6^Nq+SbB41YsCQb0jtdGC!ZusNs2@xeu{!x3U`d5(@ z>ems}&jO&@xMQE=X!=>pKoc*0bNcmN^ap~pr6hy3jY$4wg24#LKhwz|54<7%Bx2A2 z3<{%cy7xIEtq9H(O;Q8LW-sQ3HlxUvzK()S3OEV*Q{j7JJ+SH56yUrJOO~+Tf)UNj zb4s+HU_pK7d<8W#&*HD7oPRYWIWM+z8sY?!!VrE!&bkp?~$1q=`C{sw?5v1Y7JCD|;MU&KDBBsY*Iwq-MAS&@z}WM9d0r7?BA z_z2Sm7dPrP8jwwbK>@=e?lvPloz%O5u{N}UNkJPhdG#|NVSYzCvpc-vU>KSCBajqL z72K4yt1O3jZzSZmo3f#m<=V<@WI+4_vu*hSvSvCT7R~AAhS>DKfMrycgJXyeHiL_O zn<>Rb>`Ji5TL5PeJkEGzZ6vDWS5&^i{{X92HJ)|@Hm12~H z#_XRea!CJ&t$@6pJDToKq{Y0(kdV$@|9e1$dthF}H#}qtqvU2jhLG7(8HbVo6RrL^ zksHyNji`$I&^Q;p@8@%R?fy4C8Km6kjT7|B5xwttSFV60S`7Uv1;k95LtO!&Db+0z zU~bi^L-x89!D~?qokUXD%O9ph3+)3yoz#f+tR{E2OcID7|A&pp^KI$}NZZUeOCcY_ zske4f4|h_3r!J>nk*NQ``$;fIbHuM1oc<)2_@&0Lo3%;&3oW_$BOv~9B!&3Cg25gD z)c3%E;@57DNb&2quoL1}B`|`THWa-UzfQtQMg02ca}mFOv5ENAms27;ADu^r;9Dew zAxev1^|+iNq7s?e2T37kPuK|j|7`&|ck@nR7y^-s#~U8MqFrDSziQh={Hlrq9>0PJ zL$?B?-~)(X9?0XNMFB)CPEW+In^p(B^!W8gEwXMGL5`$uilmVGV<-fvjU=^nxxR>B zeXSI~>ahW`TvxI-7yb3>-2YP$>l98bnlZJx>uL$k60$K(c>k~BiF@aQYoQtd>FA9;$mTTvRF`^vuI5$9*~pcs~c%< zJCc-_)O3aqxLsb`ku*3>>_|G>$l(Z%mtyL3B4KS7K^(4(7}*yaNs?57PRdR~QYgD0 zLPFUQ0I1b!v2``%H>C=V*wY%aGVq;LbPe%}#t%^S|JE<^6ylQE&}q^QND3~uApp26 z2SDu|&fcpjuO0lffuqI7d`c~*x~zGi6l;Dj6ZITXiFDYCq@ex)j6r=h0BV2q1NQ9& zdOFUxa=E>dGFsFv_DTvC=<#-ncq8TTOsBf^BEN^DL1c9(*9jOAM zeqBSnl7es7;FXkp(%cmGs+Qbn#P9VS`u9j7{mb&@Vm^@mQHuV%LrDL1q7v!97)hc3 zg;1`43IJ+*?pcQ0DPqIN7q#Wkss}-zmKIAqt@t`cZ1|`uXwuUP?ZuOicwy3Pj9L{f-Q1nHpgCIHlta4CB6q#m1HNA4+|s?2_^Be#%>>M*~$SdQ&8LoCPA z+XgNhyLM`om_Y8VN=(<*aWY+qq+t3B=M%!|t5FS`!@xY(jg20$w(TFs#`)VczI zx&ls?7n56#{E_cX$;uA*VD0P6zTI}9Tw4Zg3XZ6;1`u0J7KSf=)0auiX}e}?;vbDa z!TJZ`F7Ffl<}$t=CAaU^$VF`XG)^q!e-Il{oLHP7b|)Ofc80RR26DB5`N)V^+!M4+ znRFhA=>RvyB&uD)6#FWXDK?5qk*s2kK8zZ*^9bKM%}lbNXe940qofR`L+`u!*jg3!u50xga;%Tp`p`Vm+idG_B4sdJ z-dv8VbRldU*5vV-`F0*N!VXai&)CE4h0W#Pyc!b$HNzW+@OY)_u7r;BEyHP?chW#{ zbzf1O70MpBlEW%f9a{ynt(E$2Ih4eB*t|S-vqM?K*7ABe3gV(=61%k4y!#!!W5V9s z+x|~$xwhvJBEVh0uo>pa=G3G38g=mGT6n!w>D=1mpaK!azS0yBQ@;st5V)tiUKH21 z-Q+Xol_>|phMe&IM4uLkqo>Wb#PBL{)*`j2412$=+{Dt+4<{i#ERPcF9|Ru`1tAox zLhe|kPs;#@XzMNWkz11b6NtiNr}45}F2(yMND=6iqNz8e_|M-Vg$Wg+t@#hk`ue#K z^n-NIP6l{9&^LT)9$CZ*&eT~r#cFO8l~O2vIZo})DP6PN3PIcI65`5gtt6QN|(8M_JR3vMl|0l=Y(P&ZF$Tj`EjMr;1G9NsjjlD!Rr- zbduY8C3sJ;ukIwD^=x`B2!`8*V@+(!!e^n8;EXr|EAk+LR|)W30me%pkzWU~)h0Pi zni*t2Y?9}BN{<6sY!^Aicrg(82ksW3nG(a>6jtI#8}n{}T89EzY8SbkWC>(BUF1$) zL%k<3&uF<)HHd*T4v+k8L|8lbG}?O2Jo2>7KOJD!ELx7}_GwA{dQzUW#}!Ca~%`rAVgg47L(dddDa_BqO|x*%RAe76h;mh5kfJRL6f zD@2X4-gpqJuYa8S+k!*I`&*^w7EW=8zpFRwiFlo2Cnv=;uDsUYQc$gUe|ry*;{7cg zRUz{*Hx6&Oad7sx-{DcBU(_ri^p%5LXt)0631aGZ5NG|1nstdga+*7G(!cCU zSNR*`cTcVr+2)6bqWh$q@aV1FL7MmIBUuenfdXAe0fo)3;;cOV5HS<{LV zD<4>dvFi{vF5uG&kyX9N`A)94$Fs0L0&w3ZXtyvFh@mT=hOT`0y6}hE4_TEsSst5D zhk{=t|H~`$JJCl4aWd$TW2wh57Uue-{uey?FbLjmT#TR(jY3IIg4qwQ6%`xoLAP@e ziHdJac(c#rPE@oK5B&rtYI&BlFSLVi<)^Kv^tceWvi(AyiRLAj6pM@BVG<_ zUG5XLFc#8V_OozjI(O$9pJ^onM|ypxRRO(_CSt=-?DKkYO=w2N9Z~H0dU5b$QEV)& zHhoF*ktp{ltsHmiw?*+)sZ{2bVG3e?6vd@5KcH#$wZsD7kPl03kFt(^aBKGAKd5#D zr_-3z4S`2nd00=)ky4*|T6*A&-EcMhE;PsOE2{Od8!_W?)*3Z#sG*dK4o#sF_3!)fTYdNAKUa&zfaE?2Xe zC#kQgxhB`8=1}4Xifutr-3lZ@@xdJy)lUu%3MNMS@;(Ck!yUG>k8J3Ew`eRud;1hN zQA1#MhiJOt+h`OiuloTAAex6uY+hF%k_qAl_4mosd4W0gN^`pIK+#yH?<>cfw&Za2 z=WsBro{N?i9LqwY*VK<}L;Rt>ULak)&DQjlje%w&BS_zQHI|*}E7z8SbAm+G7i7`QQHGNl8s&r8rw`N{s(--F_mk@f?SP39rr@Eljdbf8 zE1FoN0c+D=o+CB7%Z~Jy>*;@joy^t~X6v6Va@Cl>*|Yw5Hu&E^tjhp-xio7tyF5T1 zD(%0;+69Ia#K)N1XNP5Yuqeh+}H-ZFX>=94`G+$npouZKawj z3mGIQOA$O@ne7}TzadT7$h-#2k<$FX38}Ju&|uk5l7`;1j~Oa|zzjey0(92^t>dh>T0Cj-lUik8s@%DV7V2n_!g*1#R{Su+Aic0w^^vp{}IMgWHT<3(m1D-W)mL0F6MXF5Ri zVy&k^a>ix0Xsq0-@-jyuVC@_=%U@)_kChwxd0pfxG{%|YEO?w8Eww3UA3RR(D@n>_ zw(}i1LyGcaZ%&X$NV(peMzDyO|CkCeiE?WjDpc)g(&P_Krg-tlv#0(>-M8Ly~?h z-$FgR@`+r|Q!@O(>Ltm|0~3ax@XcOXmAA_J(`C|VwO*O*0SPYlBSzV#>| z@1JI0Cdnxw@%C08apLQ)aaLV7WUH++@{^D4C+sxo`k^MDYo*`|@v5%P{zvn4sRAs+fGOQ;Xc02XaH$=9#k568tj+NI%>Z zH*DF9goT>r;x6d$6CB^1bZwl6`qNSBo`-k-gQxUqx!Je>f}!*=_YS6jsTy(IS(x3J z0KtR}(OR9P*u9TxaWbO6bVaE{(02&aV%2$RlIqjiVcv(y^QvuJJN>K(Zyi@Hy;3Px!Pt|1j8Lsm^Ms zLAd2Wc9A)qo%~d`h3|#p>V9mr#3Dps&RNQB;h4~71`S6t!VVuiw>J`xb5Wx^=Pvv+- zL5L^n8<%ke^AVe2^trLVX+KTI8OsBZqQGV|^b@eQdAe>I%o?AO<53N!d7gvYsrU>s zK{Y%~n)U>3f~++!J6sy?p%xxOaoPBcJe}`3c6*i_UcLh`vV| z>jMCO%wnC=_Z#&ZCl8TCJl zuLpgLFY>a0K}q!%V1S$g1X7*;k=2?ln}ZIl=e>Rc)7{6;??(xZSp0!q|( zihco_#afTYr`^c5dvdjpknqR7Mp zuxy0RSShDB1n{6wp*B6M7_eB~> zI`=?8Z*r*5KmZ>_D5wmHqivf+WHGERNuxYhDDp~paT@Sg)vi(ahb^$mbGlwwFM2LT z<2`lcA&GyO0x+=YAr z{W*Q^1d6PNoD)u;6H$P0;4wvVai2SwN1l6W2Sh{^m=7eAZKa3;5z|@_DS0v{^(4_h zRtqTNdl8ZQKq}TPpkM_@UA)7-ZIL{}Q%cyu0++~tN|-9#T_Vr2q#ebmif4v-x=)Y- zPwe%dEMSfBH3JPMhE#c%XefM$&+yePDC!tYgSYWekejD#jtbnj_}F!Or>Ni>yDsmh zu`4eg(bRw?p}5A@R~WqdK}}jDl~F1NFE8w1fmk$nwE-uf00>HWQs61~wud5&`v-D+hW$%^WAQ&&*%(g6(-zLTN%jIR3ez^|CyCEeMj}b5^ z-dckR#qVr)DgMDtH^py&GGZVUmr%i_c$QYdrT8~6#p{aKBEv!PJ1~Gy{QOp@;y&Oc z6o0jq^yM#RrSJT=Byzp!m2=P<-S@c4dY9Q+$tXhr*Fa35DAW z7!+=#!Gyxsx4IM_d)-ap%b=L2dvTaOXYzRI(l$1FrQD<3C=7oso@8c(u*{Y6fY6}~ zHEiiVn5~N0~x07x7QoZcFadLC!!JL1$b4|)MnKkH{^`bMsZkCYgEvS2)% zoDJ4|ng@p(y^R%oCWl)1Y-l&y65${h_X4kvSq3tQR>)(;qs;(7$j0Ihf@--ozR1N{ z)0W*Ss)1}k8JyV4K$xoNDldI+G;U_kb%WgL4+~RJ$_A(H^W(6R)Zk+Zv&ouIEzWM>OVjMB3et9&F@|Vk@t; z#jFds`vRV#;i+HU6TZ}brMLl_PtV&@bA!x9N6j;z(_8k*H_&!}FLCj>jI@UbwtF~Q zet}?8$I0YB!Q>!Egietf6MjR~V~uRA_~8MxG-kqZr-Nx2UezO;*z`4WE$Q}OY~30> zeZlqg8u?oATQCPk-BXzJ^P&EMYT3wgzJN2AU+fae6KQv~_i>-l_0&7m?j~;0t}YUI z>L@TS*@d6{lq(ULc8M3@f5GrsJ_SJyZm{ z7wK9fRJKsCtLz@^uAgdW47RI0wVRA zO5vJHq3)FO=96uX>~; z5wy)j8iNXQwty}qdHPvO>)KN~CIu)F9HrkZESELs$@ux0>owuY$;%)~L!O-eIfjsW zNXA)X)?xUl&6Dw4<7|eSJn7wUFGh~A`Rt2zvJ!R=ro$|Yj~sE$Cye`7khy-+*UCxGvrxtCg2R>p0u=Q}H8j@~;rHS^VDRKeQ?(MgRSXySFC5fA*jSF^OHs7oUT{W;_7bre|*zkj2R7;&}( zd>w~y5JF_4^jdiRcNvcn1ztePNwUzxgVDqpZA#JWwF$r}p)VB*l{TUw+*G0hHj!3fi)RUfe8~89DG5$P#zaE4iytxL>eI1_15l2$#jA1FfcKEZ2j%WX zTMZM4A1x~45lCWf5BeZ5dS(oV#^hr(=uFkD@oF^QR;Fs!e)wu`TcoTp87OWciZN~& zn(|~nj2m(zo*cFpr0OGSw#H=D0&*RJd>qK(0{J^2R~N`Q9p9MBzT77FwM_XGb+88- z_f;PwX^X#S4VX+Y5>ykhAJ20U-$YUqu@{m;#8{++h+RZ6i5SI`T*OG8g?Q-wo=`)=o&O?%mXeAhlXioo_TM`?=H;(n)?18~A zvAWGr3mHrXD(5uBtqp%5g^0cGJPq1qIUc}-hn4f{JLQV3_YS#i>pwOS1)R!8CBGxX zDKv1S(3ex#&+)KTfbcRmun&kr1}Nwk@DkkUB!=M7h7D}r4mqNAcMjA9Gdak*3zqv6 z308ySX@bccNU*A{pRae5=@~Mw$ux;q{)yvhGX1iiWU4y+8xGXO*(EBM&#CLCP#7x1 z>!+YBPd5VpC_|^9CkBR{d`)IBfE15yx>?xjMf>m`BA2K8P{-7rI2z|gf7WT2Je978 zcF7GYe*D6KPyUjZllS2Cpce-AY?s{FKLQ2Q2V<@j4oKo28K1}7E$^0Qe$L+5E4O7` z_sBK;A3X=o5a3*Y&T+0t@vEsgrsBzG#mVk}W?-B5%CgrAN8)273iisi{o{c;y&+~| z9RLa0C$E;Ce8vv!las5|eQM~ePp- zf?953cN}F;rYoT$_Yra!UBWW^4@cx9Wu$FMZ1G9iP-DTu zvAzMGlBb7Nmx^SGIA_znw~(DWDc6&_EX0=+7=4|^u0 zWVSUAPwYnxV7oGu2KE7`WZAPxP=c9efrWSe5XkpW> zr%cx}sYTKZypp+^=X_F&yk=Ck=@#>xe`-;g8RcxcIrG`E({k*f;3R$vA7{Oart9+& zW(jykQ732O0RH5%GXN%AE0e8%8I!H2PrR*bP)@=iUQ~zU-k2N^XB+(#4~w)11#?Bk zav#}8pON1TESE2^w~u1E7v-jPTTXYZR@O#}IvEZNR~gOdFp4;#?WH22(Ac!kZU<1smt6dBz85~Dp?Kp&l@_&StGBVXNi~P z>i!F-2_xm{W=>;YT}Jn-K8}5V8QtK;So?#^axmZ0+xxdZ!?iihU2ov-kjDftF66E)mP{{eUSO*w!Kz9A3v0#EkA zU0Kms-2f}l0E-az@-ZZ6e6y6gBVMm&!0}+fELOMeU!~Lyuz&oQT*p(&pTfSpB}Yk< zk~UtwC1ba8NkI(ESb+K|LYn1aih&Iyz+6p{*#!vR2~(N$H+GFpN@AwJWm8E0RK&Kc zX4_#8xI$(I`if@IhFN=O8Xs9rV*lKijcj{XO&`|dA7M(~9N+vS zEb-MbG@XhJSNF&Rxf)BzsTs^_-IZgtwlfnMj`#Q7*YN7xli%l6@7{MbS3&Z7!u*y2o9`$i8?f`>+uYK;^cL`&QtX<}?-@jv^Dr@%l~d zcOS^*J*B5fY~sJrkk_gA2z5NB2%WRCp|jxuJZA1Au!WmKaU%;O@L@s(A@Zu_!xeA# z$$xSn3wjJZjXaBg3pIk>CEk3E;WYAxO&Ft-s$qX+Jpf^D-vH&F4KNB@J8QPWuK z7rghdDKF$n)YS`L$Y!s&qzTLKD+-gZp;3pvgwo+tnB^t3Za9UVrt7jK_JgNlWW2%J zy#fZjf7mN1B*H}pXPd}HFiFcP0-ZPH!Xlt|m_q1U(_ShZq*-P2zXzReq^|=O35$rV$Mp0;~qf?@!s2S{>k5Z8( z>y#uZH<>-rDZfbvH?p70Dlrls?(L$&#@>ow+1%*}Lf6;_-ipya+*^6WQ~G{A6)RHI zbfRID=C5ZDe3U0abuC)BnnWB&O<_;VDQ5qqDbBF9Lc|;1SmS+_>eL?dePPU5ns@Vd zc}XSnr?4kkA8~d8SGFsX+g~bLy zo4r%mBDzAL3juCOra+~WKU~ZaRDDH|+#IOX=T>dx57*;k){8);Ciz8mJ*sC-5i#f! zy|PBy)Ra{UQkqM-Gwj`iltLX1gRLtmyQPFAdgK1TuG?2fjqHLCy4;R4URh73SnP*y2;j^mBX0LX`0$9cwgxSn$%3GdC zS#DLO5^ENsR1ZMUa_Ca?TNWmR$FvaTed)mvLC!N&X-{N2hAO|{YB$J=zh}TQmj#k| ztzglcx+_W(!k(rmtE9YvfmS%+#FhK%P8HZu&O>8mS= zo`XbsRdpa;?I&tfsG;;A1|w=H+vpltQ&~vYFKa44O7Ha%NNM51E}O!YwbZD}2IjT9Tz z{i6~54zy}DR@PD9{kAaz2(GbBl=1E^5&4U7i7QQ%E>cvo=$b8>!n1Ry@l25J5+?kq z&x4XXjnTia>(EW;aIz^Bde~KD^v#g@M#~JO%v)OKE6P;SGJiKy5~Ue2!n~84D~VnY zqlHJUXaVV`MT?T87RoBGGhH-atJhL_hZI`gQc3kX-dRBHO(K+?o;2gT+Dgfhq^sfb>O)&0}rTJ-VltxruqGM{I-R<|FxDX50L; z88hv(OiFi8s(QAwB1;)F+1<`cXS_~Ji#{jmwxD~AKD#6Bm@}!9CA@H{gm}$;b(M|n zqIB_%N~LbBZh3XpzPF2V(Nl^}WeZ}IT9VI)_I)u*S5IkW5_{QI=_G{}u#VjzTajuX z(M{RwDJ8$l46#abC65nJ_+kTOY--VX{rpuVo^7_zhiqf4f^F6)!}Fk6+Z;d!bcfr2 zHh~@KuKX@#q_T`2${FG_F;402i3H1zS0+IKd()muP0wn&vD)ptHRfW$NWQZ!W>Ksa zwC(TqLI|O%{%*pxoX3DO~|uTq;xj_a%ZN{CVYl^PBlImi1?U0hkZ9tIYyKg4^k#e39~6*k2M>NJ`D-K zA55kJwqdC9f#i`UN_P$Ar7V7!vd2>MzcIei`u*~MFURWGkAOqI zOuw-fy6#5Z?7rk;*uAX2xONqHansMz4m{n3GDJZ)4tnAd#?>NH`a>OHKrr!}yiD59 zhFf}*KKe&)q0EHwVB&WKe9XWE{SW>4Wr%~#K^>}q(~@gfYjRcx2mm(Sy*allsHWD| zxZU(-86%V`mZ*sisz-nYszU_TP$yOG#gYb^{0ArxqQ}Z{qJ4cpH0Q?EBDC!YUx3nb z50L1PI}i88_h4ebvvUp6D9Q|bpG+H24jgbf+LM-W#4?~`MK$$yP-*J=%fu(y=Hb@CsL@ug-jENj(WL^>yhkee4n-uY+@ zEC06An4Nx0sb1%8U=?B^uD@0@XA#xNBC|P#>L_aT^1Js?Am4a6hD~@|IVFWzS;R;fiV8m` zuF`Md+Ww+`R(Tknpz8>F`-*_3(f6wIbg{kI{V___W;L7?^s|oBf*SC*68>flf7Ut; zx|g6!udw!Gm5-#{Oty2Z(!BEEo@4QniPg{_*PH}UZt=uwi0{dsja3@^ln0R7lm(4L zz)fum}Yxj2?R(AAh@4*~7S)@gG z=!Q`M54TVP^*mZ!LCaX>Gst3Zyj4DogjLRoW2?t2Ri(396C{EFZAtlA%Qw{W7e#)= z4UnR8IV7?YdwX zc}vj|bNe&N`S{KXklEEaM%-nLR?0TULlFQ9o8{>YxYFX-A^Ix}%hQzsfI`(F`YY7q z1npQhVxkfsLk#ruCEob{+j7Cg8nGxAp}gn>y3GQl_@3>~Rulr2UpdkQC(`&>c7LK0 z93)85mSlm&4DTupE9rsf|pj-=%s-FeV^N`U3$FWfKb^^7Qb zXNz~|H-4seeX5@|MXSQQb9)V%_7wKY)2-|#y4oSwsVF`@Ioy-#w?mowfs?3ymhjjj z(Zipf*9en(gzn9|IMxMkzo$&4E7c?s78{L772yhW=yNCeq;-TE+vor+p7W1CkrDKjyl{(P`Y@?mFTq8)j8}BrS-tWmYAq|J;LD@dg&YKpB%u&q)Sh zFS7`s`b_d!RX^)F-ijnB51`KuXwb77ln2o38Z@m5B+JtcY{;HlaZgb3APbqNRPDrr z=2GB*5hvLPm90pFDi58XxRo_>mGJ;NK0B)SG zMAG%I`ATcL8q=`~qt0XF(-jk4x2EIPHeoSqy8y(>*x2|5Ackwk0;L&U&uiDR3zb1q zkd3{y5Oi=|wGg+qRc!3fg`gLPdPEP`iHmUmT-V0-EdsoyjTJ2dKCW#RgC4FE7ULFr z>t42dvC^g5*u7Va3M*lsv)5$VWXqv9rum!9z4kKw5~YDuV=wElM5!x9gYR;sGW&A2 zqGO*f!EIk}8#}f{=^zcYG0&xtWR#6{U8=OCYw}XuV=Y?D)-A;y{UjT^y;O;(Yx`wb zhr@NYc0IQYmPxX)pyf(0DTQ;GD&3nWI6YdfG*1wt$m2)Ylpef>k4KS}!w{m5S!KEw zcs&*+7>t|6eYL4%KQ>l_5x>=hW5z5u4PjSEZd2I+=xk@ocOfE)6KP!j#f5-T?QKR&w1{K5GMs|CZl0w7Vd#llN zKd&Z+x0Sd$hqr~us1Hl~gHl9-sZQ z2cM&Z^cI=GEvStrjAXl9;jlN}Z%-b{tU3`iMFpPPY_+`Q$GeopBu2 zfZ4uKe5C}QX=p$8g@R{9`_{2?8OjDtmbOw$jbhcWK;9wKUvB(0nb<-?a0qjTGMX@f z!EV@^G%RW@5HvCT6F+tcCS+-_apzja)36sF4?peq1)K-_bYTGXSq3}tHEz5#OZnA@ z`S2;zojDFMbJr>59Af%?#u=m3A!F0^DAi>2tLP|o7%hWJH39uDb914t!&ZSC6mN&E zSeFgTsTeVHn1im&XP7i4(N)(wrX(Rq6)bTS@+k=>tsLn^pabbiAnU!6CaZiB(%6B0 zkR@)!BxG$MTe%U7L7r>a;f=~MOV|=V^T_5i4|@5|l+9-z-|-}$c^u(MKJ(bmlYHi} zg(vyUBZDXT%!59NYRXQa=?3k!FlFab631pCNi&baPe7lp@0+soaUD=N)|Abs9V2k1 z>BayQ(6yH-n@>BsnX>t`qZ7!|w4*f$(KMq82+_3;@abwSKJDngS;@m2XUuNgq8#(G zv zshOpznWd?jrKy>vshL%png@0$XQcthu&#t@)pQE9{Qk7&5(Kc3V>y}=x6OiRc+ni8}fhL#A`RL%zqCiSB^W@YFeRVvNd&&63}P;BH|Wv zmg4YWs>*Lwn=AyBRuO*D>hPIXRR`3;hR?LTG-w)*8o^8}Nn)4xD6%=iMOZ&;phkvI zyACbTh_}(8e8RQf0i}V51mRPyg$r1xy^4jVTDf}>u;2Eisn$UJYg4U$Nb;#x?)R_o zE>J`y@-bLSrpZ=YDszPF*Ctyrj*yL7e!whjnWrnlG9gX0Oxb+8RU=P#2M`*HP5jW7 zvV}o7zrcfivQJ4EFNW>_#38Ia92PgHqk(S1ndsKYkw_I(brkZOQwLI%6R9I1;d9G0 zC0JZ=l;45?i_Z!0g+Yxli`uU=ta1a3pe{)Y#`1gBAB)DYS^Jf(jtIy`*b%hcqMvt} z{2VPzvmdEUi+z3rMYzk)am46O2T-cTKEI!#G6HFSjw3*01S#hT(B*LK29;^i*=fTs%h?n6a z+MzsWkvKd-NrgM&>*oZ@5FkGeiVOxweINC)aqr$iq#q_tvo>i`PqB{`cV@+hI7;OJ`LjH_th$+7fcBU(Lc;q)?rkevl~2WgX!^#}&G6|;HC zmsIsR(m7O;efIQl54Al6Qa6BHfeIMs5jleJ-cCUseRgi@)3=g+QlCz>c%|m%cV2Ns zIq1C;#MSSovm-|^UEY(%3XkAn6Z@34KB~-KwHbC0`!;i|hXr&GI)#sFUzUAk|D zSnC2hfC+D_U`y7Hk!;5`rMvIa^J9Dyv06OmJoCDadzt3vS=@D{T37|ZiMCA_gp_z2 zTGC*7x<@~;+1HhD@2E{^hejjVuIsq}^dG@~x{l}Z?S5i$HcapY9yQD(1D5gfb49uBb&HWq{tGws=Y&*w zx@qT__f5rUc?XdHpXAYVeufu4ggjpE@<5#1fSbLzI0Z;TA3S!jufM6J^XHH)Zz*1$ zQtl>uTUB9_G=GTwr@xgq1EfuH_S#Rib(zfPm?TMOH`)Jqq4dK8h|O%<2Vo=G%2$d( zirQ@7@e22)QvRlW4~+K%rKg+hH}%G;@Y2opx5^vKm6Z~{u}`dQoQoMqL-wSKv1M@n zH<*C@WgeNHi;!&A=d_IXXuvvFHFlL2HKl7M_IXufvNWwJt5(ezfvf$EYQ{RA6%W*( z=%KfF_&y6)9II32`|SG67Gm5ch1amR3pGA2r(v{w>A-lIUEkihwsDF#J#szV$ao9; z&bHV$G%=3%lsK>}5A&mXAlX ziF9&!bNdmq@hwj|cN@gNiYaqGp6wydv|Hulp|5)p2do+LMzQ;Sj4kaSbvJ%0c~iYi zRC^I;Jm)E>Q1+LeMm)BS+Rm!?Hdd9+3>Q@<^){B3Tu3wCH1-Fp?Ha457@fds?jk2E z!w@@I)%C+k0``y*##NGZ>qoo&En`nlZ;$2^aSU}c=0DPimB-!oxg(9Ubq=WAFy2@V zk68AzX73oQNk^jX@$VRid69v(zH3avirjvC?e~qdC28l+_U#`SJ9$d)pSR!r(AcPg zv@^%9q#6J7l=d8ENUXH)nPc>;DQ*9p<$hxvDaC%yYHcxIl}@g-`)oCC;QqC9oAHXL zv~|BdeTUIslIq&+t9Kd8OY}&8`yOL^+K_;26MMD2Mg+yJ`&rk0#{ZAI_YR9FTQL>gww1>h9T@?tP@!+`W6fsuGgDSE#nnYl01_ zmMHvj(yNMsY)*pXr?9RjN#LF1b=wM`j7ulI0qi{KHA`4>+N*^bi4}yW=e;(YlCT59 zf;_JqN)onDh`UZ3gHE{hhF73d_C7T2v*><{HcCzy(h;5T-3_nC)Cud~^qNEc@9a&l zx->Pt<#pSMx*v7BYqED)UqkJ>=A z0m09Xi7MlQ6YQCJI6@DBjU(emW^aWIPo}oe!;$G@TI%g5U6^Y)><B`s7h# z5wc3sMb9!iOTruxM*A`vQ&NGz3V&v;1$FK4gC;eFCIL(rLXwUOnYEZ8Gg5FuxKW2` zXlkk}4isD)Fl~sc-upn4!Zhq$)e#%t_kl1rgjr%b?_D4`gfhFaS&$RT+^4PD*pRVM zXdjOmU=^4zO*&T*mL{Uh2+>(&6dsv{zpIXc>y4Oxq4nvxE~gHJVZ_%LD+@}ptT6hE ztLB)PZzWktW$8DNjyJCBDe?gQ1M1lxJS+=C9r4wt7h%jHl6@MwM>3wm>2M|-J@m9t zu`$yM`{%TPXgrw?F$1F*PGP$H<9(Rb1UxQ*qYAeXHm$1Gq^OdZ4A*^l3=!i|fKE}qGJ%T#hUP69JjFn( zt|Ij8%-}1^sMz!_Ob6_UGs2y&Oa+R8$K9AWWY8nH-knJzPag_hdN2_r_(Nx-rciJn zpQq5L1LF5R$)Qh>rbw`&t66j#{JB*rflx=jiNL^?mLil5`axA8}5>8hRMj%0@_kVC7*VK{e-}N0I(Vm$s zo_mC~#^jizFqBpw87Y(;S_dIX+K`$EQgPKV6~$FS0L48(Wh8Ot<;CSF|LJ6q^BN&Z z&U1vQn1`5(Vy+>8VwM@jWIu#^y_tILrqME}MU1YY{`phd;*#Y6Au%rLaA&NK3z2!#6Bi zq>p}+qukU{svBq2#>keVT#5*(%EgpKs|=cl5UnyDQ``ZkD<>gAB+XJZE zhiM${Ny}jIgu!?UHNui46~&I$>O3kUKn0m%nwp=kEbf4EZ1sokQ90@N^p6VQU>~M? z^?{35mlRIM!uSife*E40B}JpM=&cZXKjg%>V{T&15kDTzhp@g(BpH+s)B7@f(5v=_ zDvJ;jt9*t4t@1vmw8|@(VwFo!s90szeYoG3sS}ipcuCtNgv6qg5THd9Fr`HYVTwil z3`INKhc*2ew}vseV#Y@2D1DJZl2HvIQHBNqD#HU)D#IRAlyUuzT>ZCuRK}#}iS4ie zmJKgHMcvJs8lRX;J;$0<_;0EKKcp z?>5>mL9*Zc{BrhlyaoCFnJ9ApCa?n-O;FpmhALYjBv#oB0a|4QrnJgBm|~S*P^f4> z_AX2s!0@3T+Zc+zM@W&N#1~SEs_8slU`~8DMe`6L`f7^i8UiR{nL)(SJ8&081Oeiu z1}{WNtbHy5wDvSi)0I>3FFrH3)rT934!Q$%1~S^_A+!LN4KL22AHpR3o};XWC`p=@ z62-BjMu>`Y!&DqQ)(D^@U%EwGgSTtwi}T^nflO55+o*u7Dy=W3$d<0`hyW_* zE(?3554#P$1~E0-y_q95wip|`9;rFX&n*q|iV+ff^Fxs@3Vn%LJX-;u5I&+%>Pv*K zA%t?5-H?=grO&=4+#AFUHYMvXL9;lOE4dmoXQ`J=EPb%pp|kys&&76KhKPtQNj) zU~o5+eI8;qGM^|V@-N0xMG|KTt@kmZxZlcv+54F_Bs4>)d4Q>?z+bY2h6kCOW@K-Q z;F`_Y+LAis1n*qt2!8W-LD1(h)?x)8E-_mw5R(hS`kRbW#B9FBsLe>&1tIq?lc{uS z_1MY;FGLxx36cT7DvyO}kC?>@hxHGUgp^rQ>X-0PsQ!d`YU;4%o=EUpD5XJ@?g3lK zbZ}@Q<7>!xmwQ55Av0I$u>Pi0V3w2yCESGSubGYxp)y`0<85vV^IkJx?yxXVDlkb( zgZk$Q4T_l#mLxao@40GxoTG^p>JzorloVVL`Y6?C5VYB_3hD^lljRD#%+)Dqhx1U+ zN?q0dTk!p|50Px^3-MNJTn*>c6Tn8@SwZdv!5dq3Rr0r(x(k6S^(|bbS+r1 z^MM%nj8AW3bbIL&Vtf#@ClEF{s8IN_`gB-d)d zCuemnvZe<3xu`3Vv>MRFMO}xqsR4~DsX5{!rxoP%fuDpwB&KfQQ%UVW=E%swavCM3 zENrf%zDP22g-Nbz3(<_z-PHInqaYU$(*f?gtM^djE~=~^EpD)^J=Jy5Iv0f~FZFj* zl6?_yFWN;|$*J+vrfq6r2d~D%mXj`uYf}Lif`dfvjaAjQ3i8w$_Ia!G<&A3%WkQ=Q z!``*Hn!1WD*>5d4*Hb&>N#e_Bu^OI zNL>rn%@a0R1m0w4^)I9ZPZ@229mWHA1H~&hgH4Q9V^KDS2VzIY5?5A1_)gSsNaZTuGw$u zhPW%cEX@2}jeEy$w}d`%>KWKKm!%Dk#V~bWwD4t!8?OFJYUc`r|Pu8pZi0J4IY9B&kW%SjJGP>?2^+p_=SA+*!)SE@d+1u1sIFhdjSUy|C^w_OF zTan=Jj^0`74kXi7n3AOqHm$&1!yU#`7?Gp)6J8vnCP6hvpHP3m2WMA>p*d=P#(zM+1CpBhoQ58&k^wI`f< zgq{8I8n`}I4}=y@a<<)VEE^ydH3& zQ2m_z(VeDMglo^$-U`yY8(^h@q<@Ua9k4YlPRrPu^Ol;ako=2F=#53Db1Dm74 z_|2kvvP&&Q$!+mRP}g&Ax<`#*q0M{sOa*-fw@tCSlFRt|l&L2+!eb1AqMoDcgJrQg zicFDtl%+hxz@w-yOfFW}!2y09hJ9DJ6U;xU@%fa=4I%n7^;+gS9Wyn-`K$UQV&TqL z^%xiTK%+*L5EM0X2^0o>Q=8!^yDp$0e6VA3<8KAqUg6^EZ{&7HP~>*mswLuM|1?nOwTcCCgp&4O)38~Of7-z;>D(VLu!Lx=tHN01%@>Y87>}RE_7PUj= zZNrOT%WRdmb2Sm{n1^54*FX?|1uf7y`|gATO4f%otqaeTENd=`@vIAW71$6-rY=;J z*Tm?0|FTth;qaoqPE8`{OAd`gNhOxdQ7Y zN$*()E>&P_O46@|h|*VWH)_021VxQEilC_RRsEI;O?WEMh`V`!@Wb2V@ zC&9^zz2>m)geARj&PGl(>rTKID=a_k1k|mF^IxFpIy5Fj~x;;`0z*FXH#`dW4S@p!q1F05knoh?GP8N6esYDgF(D3lZG{ z>!mMgh3|8ctDt-CSt4Z??}~5>UGo51!VcjS5x z8!_pV_-K_dj-iOy+VuvboJhV4$_c|`KO^};-ePSFc@SJ!TTXjVFt5;$)q~?Rbgvq&eW=#nv`m0tm zhV5sSiIWwrHYWoFhW#yZ+TwoVlalBx6f6Eaa+<1LM}zAJ`keIzx)*-fwP6v?0$DOk z$&s6s$HBluhdT1iEYG8-Jg<0J&U%SwSb3hrkCf@GfhkF1YFD1g;tBF>ka+O0xOC;N zS@scSl&M1L(`9-X(wCK|2T=Mzna&`6u#uifTXZCvGBlAGMi0e9TJ|pNXVs>lFJ2sk ze=xrd^RW`R8Nnn8+=yU-1bU#vJPCA1P%c)YvyaG>uqnv9LjtEFn2lgz(zlYDxC>Pd zMMRnA^q|`8=VZGLM+LDn&!8w|ZMG23v#fu0LpP=)8t-vU&Q@wLS|?4mNY*QoPbZb& z&+$0DRym2?uHjg(Attm6eD%kk_Wkk&m!Gp#|_6CX>_$5WF(%8#au z^!GE7j(1#B2_vv*(MgGaY_iEH4ta)^ z;gKn$BuWQL!EXvN!D1%h@5{QmMT#`K4xX7wh3Uq(p%wm(@6!9S&H)vWY|za4(^UHi zd@h?{7p=oDK6LwNfx?dX8LER*8r-hIHYMj~0O!w!MD^TmX`-r@8ip$3QoFa9 z%V;C!ocoBmMf6KZmA6MfF}E^a%xMOQxdBPoWrMfFdViLwb9xFoLGmdEx74(zzc7n0 zbPPg%d{vrOK(X8mv_ZyULpEg!eD-Gtl3CNCZvZ=-Y@Q5P0$6oG+GP6(`cgYyF(mio z7{na(MziBtzBy6Z2$aTyZj*tp$=0F9?@^QWbTjz<=SiXp)VQ?nQK_(?Cfg`6H}%&u z*EFZ{GuJ;UsB0D$7XL{zS1$vPJab(y&m+!U4GcW;%ypnVk2G_+7?_f3Ggos2<(VrFdF7ew0)pbq)&HY3bL~e& zndXQymr~M3l_Jkv&QxVQVl)|&0@Q>#|LV!&r!`%U&h@5+R}<8%y!) z>#?J0TDd-pCr!M88THw=4hDDHl3*XvO2@xPH+x>6^{rWBGS-bBO*vEHXC`4LSm};9 zRq9&{`Ud3Vg9FNXY25^9+JLRD>G5U|T?lrF{!$WyS0-lC{+FHH1Z$wex=ez_4cH)V zjn%ypV^m*1iU!&81?G-|R}I)DWQVEHeYtSisu7_BG^fu!;tYm z)i{3$yog{M7z0+TA)-a%aKTPZkuwS!HDRTBiW5nGswAGM((wKRw*(-JXOO;O0EPe9#}$z|l?C^nUN zjud7@;i9p!Jjm5}5n7RHRQfxkvtIlSvYJQ>g@;X8O|{T?6prg_F^Jq8j+tO(HN>e> z2jOPSSgh4=LO@ftm%`{OPX~yuVuIJqP*>?a4E~H}8P!Qd8w+h5AY6}T9TYC{xG~tj zLn&_8Z`S)FmUt5f-(%R@(J2eW5l#i+2%j$IGU$~yIKtD!+#*KIdCU}ZE9p&@DsRm! zG51Fp=33~2W-kDyIU5?~-|y#r1&ZdAy{QXA;NDO^HVw zFONI^JYM$6bUI$nm#2&4Wr<9u<7I`BUS_qc}mOwL9h(M~mOz`OV`3D#Clt=c<6`)D6a) z*vfP|U>uC}G6Uw-C9%b$2jHyI3l(T1xqEj6Vm@nK$eSv8j&6(v+JtqUa-j{k&lNEbSp@((J<-%aAT zFz|!jWY*d4G_B`MWO~#NY+>frrw}xm^|kFzO@{Xb(5n~!FoC!0@WiqulX07{=Qw$g6L;~`Kdb>Q zT8CDZC8B2;&>4pp!jbDN13i-P*_Utv#wM}t%|)J<$Kg^E>mp_Hj>D@YHp1EIGd8Z$ zp|ZxXJS-Z+f*LY>U*=QjGnLhtiyx)cJWjhjNPXaf(xk8ne*cf=8S@Dxj6Yab!jMBh zNGO@gdRV65x8K+eg;}7P#&*=6-CENmSb4|C9#2m{NyD7ZCI>V0u^~P@)FmAKh|het zLgqB~q_rMZk?!PlXCP_P$c`^2@v7 z#ay}isuhXJeDVN``{tJoV# zuN~LXI?I>b#ar#S(J>JjtWUrvVWDXhpS%Rlt!HZylV?K7dbX3ov(hp;ycWILR#K9l zYOx(}e8b;P=+{qZx5{gTQ5*2rUcvxO`ID_ro-Bs_f3km&UP~Z$BmO*SwpduUk;U&f zb}omlo6y0s^`P3!HX^pmq0MG=u$RkV#AbA?>;-USGux2FE`#rz*{F(cv{vz}zI3>_ zg{=l-x3G@n@=}CGcn~8%lc219ls`n5+jlu#Jr(JpmNk*%;yg&|*8*`ED@` z-i|+2oL&rzx3iPT;5p#51NnC?f-O5(Z<4kMuJ2&mpqasYC)m-cyMxxx(Uzd0gYCa_Jwe)gpTDwPvpStXD4sMa3c=#nPhjy|)63S6Puy&BSod<#?y(f@uJ2_R*vZju#Z20)MFAHfFPGKA zYJqL#Jp4FH>y}zpT8rtTv~rQ}ap9Gl;?VY?{4VAAEhPTZ$Tw&o%ed6W%J8T1sC3cC z3#0J{8-2hmVbwlXqp0)=+nb(xllpWHUc`L>ms}?Llv!|lKkHFF6{YJAi^QXN0~Ru& ztDB^tIO$zCe4-%oht2}^0oH?f&VsN5Y-{3|2J;TEu4K0kHXmTCuz%Ac>3CAsGb~>( z`KR)ubx?SKtxX!~!0RAewc8l6OYrcuXVIlTD%SLs8crMyoAEdee4tQ(N+I6?{LI8e z|DB39)H8M3PxTy`37H33PqJzzWMe&^*Rb!>Q)#=>bMPfU3#=l#_@30Jt}{V-h*fJk zp-kOj%0pi_$ktz<`jc44nb72GoZ&|3>Hh4z>&jjW72sEm>yvV zkv`L5=n=Lpv7HXtN7zVWQYci&V)4D#?$e-OHrt1MoGP5kW}7O=>8GGN#@2PeicX6U ztI|_>91`j0n&}Qbp>5Llng+4Q*kxqb6!?0K%_46n!{Os}zMBU3jts-$V7n4B z4JMty$7ajN!@nokAZebOlL-DN4U^W$L}+o6jjp&P@oq_rj8r=ekkYO4r%vMQE8-+~ zaSFUP@?(wGr`RaxfA^pte;7l1&M=DgV@1C&o#fI^874WaDKrSwgBPb*Yv&fUXzOxC z!+$L5pHn)!?VkW0b1=5npA0+Cu+>fV)&}7IBpI{I0HjW$z2-ykDV@aYBO|^~ln9q5 z%7pa>V5|XXCbM{mh!}Etg3S7d0T?$y64Km&@RSj6$4ky)ehxcf!+2UQ82X*VH;O_< z3Lc~*aR~ZzY&ChUxM3`~UNEc`dyIv?7Yu7f=dr?H7g$dPIXPT7lgru}*NVO)#K5|P z2G+b$;=%#AORT1A!E<@R_~kF!FGFa*q{`7%A7_lN|Gx{yJX-W%xuRR+ez{;wqMXU) zI7i3*ykL|=sP;0OWLPlv9uC_tvm>2@H=+dX;IeAE4*7Y(__#1vS}=b56Z!SOm*u}b zSXvQD@qOb{n0tkdqa)}071m!(M-E>9nni=|p}X1l(O{F}(RlKzajm>+9D5bV>9shx zb(Kx$nxUa+BybAMPD`aW4$Ka`oW6((DN^Cdp88Mmka`W5lh$!?>>3XAqLX;hbqE9w=pnHsVLOTXT208tO&Z^VLK3WD`EQ`7BBJWZ4RyPv*SqED>!qX z%``s|h~M632SR)S>uP?8X7&ZboB~v92WIx~2^0<%u;Ub7GG83-yg!UKjZQzRtC?P_ z$YsBwNV|vZR`x0_pND^NZ)0RC+=O$){_~V{IuO*4SU+=_4>~?#IdcOg@ey0e>oH|3 z_(1|zbBE$@2EyNu*kkZ#0A~x=?z0R3U(waUWl$BeiQ`nd6HNw1sPcPY>=7VTY#RkI8SFF2v7n*4k2$x^6Rn5aN zvp+g;?`t+ep_bW7{V7_9@A=j$a@{YN5j+)~xq?rl5|Z#w%hWTXauQ$R9_m!%C^0?| zvfr})<}xQF5>8`opll|bn|dQ<%lt_O*0qFE<^~Eq{$cUMhV1{Bn{d9E#g7VN-@^5e z>?UI`RJ7tsd%@R=t6}H`p^p`pt}ybwt;jhVdn?nAbA!w;>{p{rvukiJMw@1TEo1Gw ztvPqF`^Hu@k{kJR&YH^!?)!~qH@J&n}CPGPKLPPzLmF#-qJag~g7Un@I~H%8#`cHC$w0`Jd8$CDlToGy^g zeFy2>w;!iV8wc($G5GGMb01xR-~@S2XPQpLgIy2O=(->0zMBr5k1_6AIdba%5O)hO z?p{uCJxJs3{(>JwV!d=S+>evtAV=;BNqYBR(wZf%TpnTG3xnM_r9x|5Zy0B!!q@nk zT6FO#F$@@%9gEz#Kx1!Rbm!*$Z_Ss&|MpZl#DgoHDrb6dT*QB#DzVG{S5u|vLU75Q za{;9%cb#Ov7w&p;Zl!Vfn^&25?a6S)SyO1ia3jmbIB78ut#gaUb_UMG)X*Te`HtdA7{b=0DA`!gznK27YQ&41Wc1*M2puHsP>}Db6l8tk&1W ziVVxpyqbm}h#Lj!!M|LF_7CJXl@2T82H@uvXl>5V!MIT{j&6v3go{$cUSM`@?pJ#O zY2jN;hw_8`vJ%Sd6HYYbo{^+a!q!Hdcj<7r6INyjeGB7i z8JDPa!nx#9zU&CD*ffgFVj8#M>S4_PB1~?}6)Pe;+_JzQrbyv<{AmYYPYac^gE1=~{18x_ zgBg#K?g2`9J~Qu z9XU6Wc>{Ki;@oT}m*MGp1NwC2J`l z<>?Mv&XpxfsY<#c;=LelMpjFxq~CTD-4+x!!elJF zq#OQRE9&NaT+;2`F^R{Z+gCYHeNBH%IQBb--@GS%7d{N;&MWM5@uXtv+l?i=30ZI@ zj`OkKC8NzH^ztlF4dtqmW?4eLp&P4(<&P7hBo84ai*~*al*NA+ye#4 z+d;>G4_UWE$e+OBuPZaROFln*yXf<_k=skU zmuzaHh!ciQ#wg`dX-gT!LAK2nVPYcg42kn*N%7B{B*iaoDy?9h1eYqlc9W#|kWIi% zMa46Xbv*m?=Q^(ZDcA92gH*@a4N@JeHfN`g!47`Z{JBX|R}Pvu6Nh7mMQs%V^b zvT@c4`7^j?3NmA@WSqFQl5xWE6gq?NIj@zCqg-3YIP2CxQ93utv;c(;p2@vd_;9Pm zGWhy|T7;TeNIrC2vXhySOjZjivv6fxOTEfa!b^mjSrqlbRi)_F9v`9}D-tT98+}=6 z;QEATm}hYAQ74U<<06FRoRM+15j{&rOSY(qv}s0Kl1ziQNn91EH=DDwjx-V@Rzio_ z+;P(+Q$wpp;lY<^32fB}5&EH39gO65%j8y#SZXLST!enzs^&(nn59yyoQ)VqLpj>2 zdrJ)B?uZa7AdWEFs>?=No=hv#s)a`4{3T$vfXg;z%;JQc1zdr``r0Cc&?}2z_ad&E zb*2%sYLTE{#MM<;XDl=@=@x88HJELR-XeHH{d55t6&&^8!QBj|*TnaFwke z88HtQz~8`ivYu+hBrbqTOHjXT)bI8ZE>vN^bG}%C3qg4;L917E^p&^ezX{yXds?WiH%bj!S`?b4!cu znM;=fj^MU}yJs4!G$=K1b~&Xc%$AgzDxu3MHDI=+RF&D1QUMvI(yL@hN`06m;eMf1 z{wztUeKHG;)C*@xO4ZNg@=Z-D#0izwVAQc+G*ePaUIk5=36X1YNiYHLre2G)X{VVm zYb{rcd`=g#*K&3W+mC6bnmkT}d+WH?WOJG{`sb!eqkm`_o|rTkv7Y;2nu#?I{)6*Z zsIH`1nBZ)-7Uy)G@>nWt+`xHM6C~sq5xGIi{EnIMVw@W35}=1j8J8-&+Q4ZP)?HJm zkRl76vUv)4ZR8q|$|=x&Bj+Aik}OjE@!_pFi>dIr)@YSZ`AEW7qK~<(bjkuLivlhu z!^Vx=ebX>=gND1OmeFwSR7pdSkdlVerOYoh>@ro>uzr$3L!Tr`!!L=FhW8VHt>JMA zZ_qGX%A$t565;d~?v7~zYWU|?j#H@CP8KzMAR1-fWVp4BtEQSDA^#GQzfT7H?Ho`1 zCku7AbBz?%A0`^~(NBbFJGh9}J0whVydBfH7(i>~Q}Zm8X#R$^0L4=)j+5}HqsTVe zDD=09;JA}}XPRUYCs^&mMVeE{I9j0;!;^6w>Xg;T2_1HG4vNZ6#)`T$h>_RkcH(ft zYW>E-)ID4!)=Xl+w%;BjN)baAuT$oWSu6){aRFWlukfN@sNy-Ecz7dzY$#HT?!v`` zTrH^bH|Jx@Sc>}8RX8U6sLt~Qs*a<=U8EHKtj)_&R2x_IC{bHfW|;^{@e`F?usz9^DoSGi5KlCv2;d%W6P4TFH#SyNJbyz7sE8VX>@(5Rr zp7Ou)2-lp1*}?N8TxEI%fOz>lo5iWiT^b%uVy$3P7T1!pe#+uHkpe4do6Xgv`IKxf zmc&+sr`cR6&1;TwJxM`DNIA;YruhR$v0X35(YxtGAm$inP2R}Y-mm(GceeNb2k%9% zgQFW8i$_zN?0=!7T7;BSzzJ-Rb4}?l8Y#&5l=@K;FH)<_T>KIB)}2JX z?PUAxIr)QqoS?!ft}}_XhTf+nBdj`wyO;uN$UlYkQ={Zypk5JX0V8-%C#ZCq>to6r za&s_8wlsp(XYr?1^D~&KEoTzXaOJM-zVcqWfBMWBu7_eQygiMNfkVz>fs#;KdJLH% zrq1Ad4w0%*m~)OBqX1ZS78$FYM-RdKnH!zQW$f)>S(RJok+W~GRC(JAn0ekn%ABE@ zk#Z(Hx4b5sWleOsTo2Q~>&wEv=W@%4S$(Pe_KR44Ry`?GH4igRa%Od2d0|nqu);hP z*14`Mtj8s8JxQ_=$YrjhIe9Vwy58cxRAL-(U|dWt@lefJ>y2+iA5Tq))bD{PY-@o8#j>EoJ!bhuy4ISac#aZ9ailTcFd%_%mjBTg-+ zIzTrw-istT2ouft*MtnVg*bD55Xp3e>*jm|Qs5*wSn$?r42t40e8q#@pbT|I^JB^Ym3L0kj%;gqWUQ+CRM@noJ$@>>U!oa zR`D*7YtMTKBkcJEydc2}o;mPcNvsD%IPwiik|(@z=ADGaj=UP{W2D>#Cz{Jv3rK5^ zn_fDCWrzf1?;V>D*hr11P|b_S zUC;{;7|HOtq>2V2)cj{MmxVO}e05! z!M`g1)QtS*2$g*K`oz~!Xy?o06P$=jq5#i|BDC~aYUO}+&YY#^s5pGQtQ!qQs&BGSql0s^sj9IPQO zkY7f|R0NCKm~T)~h^)=yNmK1quqKFSP4#{%0fFxd|FzZVndV0hC9Xk$rkR-H3wBXy z!{Vr4S*m6f;i@nlU*IwOmZ=eP>+-u4TtNVO(M}9f_#$Cg%%_qfFMLaUV-_Mzq`M9a z0$^Qzo+Fr^uFwBX)5HeYJWMkiNcfWt_%$>?C|JU$2TS;E!TfZJuM;BSJBLX4Ng;d~ z#UG(~6RmJRgs-6_YpV&W2)?h9oO=S9EqJW9DL;fXd;)gS{09;i1QszohUFj#j^Uq@ z=8vFzYh-HQjPFLaKNPk%<6q+;iUqXj3eu*4mU4j(E%^tuNZnRwJ4{El!lLc((V{xC z_pYFC&EvseuT()tfR!(uM;vZ zyAJ(3BcsW6u{?uYQ;-UtuRrG)W{caYnguO!6A zqAAIla}xLRzR1z)9CD8*SI&xzq>Grk0CF}J+V|%d;7>Gl;PxQCl~89OzZOq0tuJ8C z+>Ct5fk$yTih5oa!iVw+gv_`EZ%6VB+!)SZA`A1R%-MJ}*|UpcMi@JSAEzKKa|PrZ zPsrtSaA_6q12CF*A#=`21ul-}eVo@GF*ixSo&3tbz$-E;F zI)-0L2A-ApC$8YVff>tRAu(qp%#xLSQ|K{{e?#8nNEM79j}>gqfqCO`^#6vdD^yb` z9?#>0>hM!iA&-eXkhGIh=K4f_KDl}V!q@W}m@|owA^pXSCw!d52UzwO=wbEBp#s#L z%m@vP6it(YNcSkl)s}O9X3ck>%1Jx+i#G# z(RD1L({i4zynbmp`>33hBN2mMG;t}^-G-re?NZ2Ij$ZU=y;Kyv=nFZuPRt1CKzK^% z(6zLRa8i9O^_vP6Aq)hakYH}uM4f@XL)kfd(0-Lpbb)pn8 zp3r$Mul8I&zl?e+@4Uclm6P*uM5$yUB@<$xJwRHXVTzfTt}Xg))-AejOE zx1r#$`P9$8k??r}x>!{VT600W6W#0i9O_;Hkg^l)wssDz*ooe7cs5Mkg)XL^4IaDs z>6S|~@=J74>kGHQ%GrE1xV9Tj6`BExJ-m-6GqsEg1}haMiB@`-M6J}6TuBlZptTfa zunw;NjqZ1HCXC<9k0KUR;MHC}g?ye22?D>Bw4V&zJ{)xH3}Mnfeg`3T(_zj@j8?4< z^4Ex4s+5U3gi*Cm3eBj6?}zxY3X(HTBnfbYA4^D$B(OV*PEnL7VMiUs)7i+;DN=6b zF`9$PQu))z`49!EH;I<;ftn}L4DTmG%t?$v+b0TGMJEO6JV7i8<~e8-d?j5}>39x5 z-~8D)YMB*q>6w=sj6Th`F&|q7BRo0H&rz749#e*C;aPsId4;l=PUrYBWXtbD?m0f6 zkbmQ0b1r{|I1Pj`7x~?!{Q&UEE8K}b6S8S{LRgW=d zlZX>FQmzt&Hh1}jXvqHX^d5${}!Iy=R2B_wS6GuF@Kz-w*kcyeiu2@ z8vcI5_puwZ&5s^{7~_p!U+ZiJZ4fA!-F=jPnzvHVBT_zO0 z<2{{qGIc@=o$~%_D#$r4Q}BGxd$`!OO&2u@vnZ;Ft!#`(I#ty8*ty79Q9q?cI!v#q z*+WKFgAi+t2U#~m=x(jSdwQM)!&n>5RT4fOTH0z{N!97XZ?>A6g!E4qmf2}45RV<1 z74T=+6Lj|%o#ElYnVUqbqHY$MW9BFe*^U|>9yne}gxNU|VwI;U=$%BE`eOOQX@+ znj^U}-g;w?%|AB`+G{k;$>L#p8c6NtG3PhowV%e{+;VnrO7`m-h(-%!(+tm+7-8)~$~wiCQ*h~}%(5v&?%qDkLQ(4mn= z?U;k?qE@D7V)~sy-8?%&S|d$_LvP)<72tNTdb&m zHDTEh%@*Qe6;%P>rpl4J!7mPPN5F2-({AvqoCE!aX|DM=MV4h5b4q3rdthUk9`LJr zO6WIS6R03fP6|unHIFRFCwG`UUQ^9}TV+3buaFrYhjy%mPSeyKgz?zCVt2SdUc=dU zLvm9jPm;(*Zs0gU!;w>NLcIwZS9AOIj#TMAJVU=a(m6*t;dhssD7#^ZZlUvAEheFZ z9ANKMO{}G-1H}f7bp)?zn&uu>NNui*e1ebs@uW-YZ93;MB8$??QJ67Jv&-Caloc)F z5mHfzn5juOw|qcoeSVuvg{$*4RtnX31=6t#U1%5Lr=u_DYaW?fS%3CLE)T!YzHob) zW~|l0k2D{2=%X)mU#^L@`U~@@NP|zlaB{h(o@v#OzKd6A8dy#EMDd|tkS}tDCe)Ne zAZ3Ln+|gewpuC9*?L4Iy5^k>0aHc3p_`X6j&&P7nIa){P!1H)xlm?Ig9yJX%pV2fU z#b=@TjHVV@kSgUX;Zgi&HK!FM^fZK@*JP9K)4}wD<~TX_8=StN*^1Zc31f3Lp%sWe zOW1o=!&s2(0^Gc#sX@l<6D;m(9#*hFwu9ESh2No|=XENRcM4w~X&e=*-0i+5EzugT zXbq3T?SjV>&2Q$GT{co~zwsL(Fm|WWc^ImG|TXqxCK|X)N zm6w{vWYwSG`by*N^%c?R03mIo(!Yl%KlKVf`dS%ddLz2uF*JD74bc0QrdieI$b|NN zhe=T+$__>#MwOKi-76YT)f7(;)dgMOAmqK$*wiMgN5Fkn8%es35HuW~TTk}X6sl{q z8%-_S4H4yVaYDYg)`xgBOH*P9a^8*CYQ_|w5=Vk6Dvu5U=k8X(`Dm|Mc9+dobC28Ie9=QYP!Czg1B+xohjAT-eKHn)suM~iq=Y%h$$ zi{Z>I-J4Uo-=pTj@)#|8Xx(N=$3AhRebTO(u&0^!zPV*ggvd2G0#>xsjyZIraJ0QP*m7SuMTgc82W1CsL((S|J9EOU8ZCsEl zMwXsou(X4gC(pu!tPa{MftK?tiqiX8!}2t3YqBd3UZiPzklGcYS-Q5pYolvQI`*~W@-bh_FNUq`mMMM z-DYal?o-8l*IWOnx*kPY4>2tosOq7yyb6nEYS)u~=O9?8tx|u$6_EJ;byt?(V$( z;x9vuS=#vO4KIu8X$E47c$;kpXuj)LT5gvJ_jrb|$KC^QdX`p0?q7n}v(SyUUIP0J z?Z4g|^ThH>nhsQTxPkw3P`zVC5mc!OGIc^8_|De0by4X>ZjYvz8VuQ84+~~%`#YxI zM_O46Cgf2IRs!`Lt($h!GqI%Kd@=2D4(o9qhVZ|tDb$o_u(qHn&v1*R?ctL5RMaI@ zk15hmBTe)5DO{eT4R#uSPpqYD$XqP-4{ce`r=Xsz_0%jvZZx*hxKds+?o_0qaosOM z|GCr1lP66~FQabNXf9mkT{{mmD;`Q2>%6Ijs~X{(FL}uY3e(f3XOM=sL49AS4RP-# zis_1%D0V%8J@y{cW0-o>dI=pCp`NcEz}!XJ%3kvxNU}omuoX5}UWk?Z9mEuc)qVhH z7iqoS*NW(_&r!g~fvPTFP*^U)eiL4R^!hHze*42!|dog8aqWZDjXz(1Er&nf@GZf>vYI`#F{99aQ%@ zm@mUjAlO!iNhZL*+JIV*nRnfViDoB@+)FrI#(0cFH=_z4s7BL+KL`@x4E zd~dGuHyLAt`Bm@5yb0aLDd$O>ndn3mfIK)x%?BDJgrY=5ND>01 zOqc~mca(7Sj~_Zc-yf@Kjw15C@4vl!bCk^lx@jX=D&Je&r6!8B@cc&l)E~?0NBzN3 zab<1ZM`S9CX$>PbXdOcizVk8B*@dT9(%1Y^4pulBYl+t3tvZ$T4nLChCZdGI4n|EL z;@~dlx+vk4QT~ZvPy;BnjTx=}50P9(Lo94xN}m1;@}_@LyF^L7-+m!|Hx`f9wXvY; zH~mHV1!d?}e?i}k^7Fl^jV*s6{fR_PL~_x(AMA~~=NJoL_yx5-QYEu*7pY~P2j6%> zs%-YTzaT$0iXOS{R$_UR>tlbcP2cJ#Ovq1|>OWyzf5KGw5reIK{*S^3?yk|gcDk|l z=gvR)k2Gjh{^nzBkvmYziMh#G++N>N7=584uUb{s}YbM+}PBC%!Q_ORpb=B6$nSs>B}}fCU<01+s}QuKIzj zKl~#G3)mYqTKywcpCMw(*aP`Ly)@1Y!xDcg(c>2-HewqL(LUs-64ifEqJn5YI?kBVy`RDM{n|>c0&%;33|nTP(o=)Kbj34Er{Wq;pNWU3 z>U~yHbCxrP^PC@woW>%0vJ&hLX<3r{6yE%)^&nX@hW@TQDy0oeK+pP@)r6qVXG4 zB6q2*#L4nJ74>+0*wn4G84t8p>>1pm8Z@D=_^Yu}s)?%GX;GO=g^>GP>rOfr!mtNg zH_~7!JUEGkH*eOuy44p8moq)pYJwi_Y}UF}xv@l6p_9Ixnichjmw^2iEu%e$n_WZg zbc*spU2mC)u|bbz@@YqwJcCQ8wBDrU5}3M0TgkUJO8vp}Y+R8UpQ6vhBvNM?i7xMo z4*$6TFWl4myPQFEay^sLR#_vdc^bSz^PI%<%?@A_Y#u%pi>`Y{6FlIi1~TVv4?wCFKRm1`Eh&zj?aAm{2KW5Y|T?Bc%gN#eixsL zh+T{W%muebYHZ*wtVU8Lp2}-RsZQX?;@p(K|2CXW3YKBsSZ(8JQU5V*4 zc)ML&8G3EgTB-UkM%#MpD(P^+5_It?rMQ_@)HhfxHp{`L^Ap-01-sWc7iu#?4#0Ha~ClGr@i+8PK8I*YkpT`(Fk9Pax z$3Ms(N@edYLfPR4+1cfJD(b5)GB)0f)~mC5k4b6cd*729-|-1;qDHlMVVQQTMI>$a ztc7yB|9Vue-N){V?XFX=e7*izL#S@^pQCObR3aU%PUU$j>P?~MKCP<~b(--=Y`L4< za+ka1TVCf8FgwxV7c7uPIiMD0%v@1FegSQ!8@xHHHFtZRUs^m8D}E;GY_snXJh-ma zdbZB0PL-Qlh{{=0iS>{t`5|qWO@hedO)k%;fy9jwV_t@H^bZolB#HKtMCiUtYwa*# zzAWm_15wn4hqO9xdesUQ1zCBh+S1#lszy|d=5^c8wp3XYZ4F`M zK;XSz-wGmQlTFf3`1yLpq`?Dynw%q#KxK2PvL>(vXTu&E09+Y&D3>f1oz22fmb-1# zHKUPK?L^>5wVj!OFFZNyXJ2gfEUqu*4%b(L>+3y>Wv^vU%q%i~r=Pp~(yK;|G_;u@ z0byHsM}(GrqP+7%u=2@F&`Lww^)};a$#@iL%S^M-zPVzqu{3IYew%3|<90!48wgc< zZ!uLHSf?V{pehV)BNIh5v?JKC+Vi(qXiIre_gOyx!{(&&h~%KQiMKE!tx`?mI~%T{ zi=CfpJH&Xk?p#|5sxA6HXuI(x*H#I&o%{i{E&0+I-;!ByWnE~afv^Ey48&v7^{Ahp zXG~fts&VB#&{&RYq~R_40}sb4%A`myQ&@D%@aW1arJaEtm4-5UO#`S+alOSsHB?DKzGpNs&x4x*}B)yrTWb4W?U808d4{8$p$ApP3GhG zG3T+%$x3E9iDoBXWJ?ydqO&p^({XAjXiIBOHQu`e8vBDr)p6A&%S^fBR9g_q2~TFr zAqz{gd5@o)HC;uGzfO+tgT>q9FFw`LRHb7|1n4;U1+EH*TwseCX5W}=rn7aNS`HY~ zno;|*Zi9XCpV1wu5_uO6+*P>l$62_td~ucBh81S(Ga3{OUGxfL`f&O?v^v}(SYp!49*$2N0MTC zN$2bsnF2rYql=+>m&wdDSgm_bWh+%Zl>cuo!LIEOURnfqd{`Km*Vws2;LQr`)RfM3 z45+-Wft4JbxIPU5LLW+~XT>Rs39B3Qu+v`33WIBB(J|;%I3VCc(4`oVu9HdGe#HtG zfw0)+^q)ey9)fcc?KxIVs;>YBliE=s4es}7awgq?4AV{F`}bIL9=n{MCu4mXdzRG~ zb{??qqDoO6s>qTmnmL&(nrhBtmy#aM&K4MVkNQ=S$unfrISP381PvbcUX1 zo#n9;7N4mT=tR6c$DA~3p=Wi!0V6$^Vkc5(&*53!Mj+x@UC9Y_-ziQ!-@|&z!bG+? z43f+S(cU{pe$4Qz?YbH+Zal38^xw|0!RIrONTuzNPCfPL5_Uotb;4)_J)yeR2y7ZxeO&%x)_%@-w>uRED0}O+ABWb{EIdGrQvC_V3_`>&J|C z&`^W{H-w_dSqw$oF$hJ^aXb{aPqWT*XILkMqWm}vMO70mG!#qDn1o{BX$(a(AYv%? zk2ML!-lIkfXea`J4H9tjX&Q>kWZZE-Ti1xOJRVEXf?x(b9)-u6#6xSMjmBdbaGJ)$ z_cX?1-6@R6%rO{`$(cqgXgq#9#p7|~q=-i}jmP{fh==DG9*^~fBd z%%Ar+v@b!EWTHymTTDAR0?%MW2} z{msX&kk*M#a`I`Iqytq*W2-givCDZqEPs+CGjWo?rkPJt&LkDi!6c^)<4P=Hd=qcl z<@6brKgmY4FGW`a#xYLvXc|uPEBFlqOwzqKHEh5sFzj8D$xOSmZaUL*Nmw7A=Rk$3 zX<`pO#|vfC*EwY38Cj?EP@%d}JvE^7`Vs0I-N_6**;bN_$2|AB2aOY>iWX)t-kyw? zUI%cYc2ztHcI_L=^;JfFWx2kIL-}=&WrxjTaOVJvffPhP*#W*PLglH$Z9v}4S8s<< zhnFBPvf%W;;{l^Z^sKH28%=u<690llfY;Y{tRb_DE6?+5y5#=4Ask@G5auW^L82)(zMOnYc`n*X&tD_taMNr-_FVI8tx8rWs z*_?GM(#BpU`TKF3xuO!N=;>~ezx15~IXe!5U3G=NT?VFaE#pxnZ7-g`Wf(nw9n*9h z=I>Sm@wf%~yRat@XDaZS+P5F5j zCR=8rN$M6+DXNT$Qg&hL(%+cor0<7`MI~}=-|S)&tIf4JFl{BIxjQj+gSQwhqp2IV z(`+6U(%_z+CZ{M>xvU4DtA{y{UCxvqCaHV&d!t60Y_EVDYvlPIn7SM8K}o)jqWC?>!Z>ZnXkgkRb)!NJlAY8 zTE`xs0>=Dvw!z!+L?If8c%pDH0TZp4qyM?Psfi1@<$3hv@YN+|Q)# zxM=Hq4;F0+-C*uw7dyL6>|!;$@l0;Jl_o-dCb!#wcZePW*(7l*q`{RhO-|k2;LVd= zdBD@ndF*nwbj1Q#bt}!H{5wP&)*I(Ylj;5zm2S91rpei90NwS3?lf~AyBxo+`9;Q( zjtl3S0LICeUyqY-y%i^~djON)*~Mh?UaW`Kmy|Ai^51=LHu*X0aPrm72d|J8Mh%>^ z3k)npHPRzfQF9)<9H|RVe%kkJ^5Q1Z!|%)|AN)P>eh4LEZfCB@8Ei1|v|Y}~&Y0)} zANmz?=mg@CaQCh-fCrqHJzPs(KJ+W< z6p2*+ut~^fOky_}4wHC#CrsjwkNj*MdaN~$GiMVS_K5Bro5`|Aa0$(^6HQoGdeI|r z6T84C(dU?8s0VAf6vTmEOJmPXRe*7gkC%9FCc4M4miu-SX8(+iOj&VunZ_Q}-3-8I znm}EfHDvH(KNrt~8)@eA%Wc+X7t^*w7nzvt=fbb0*>`07L?}}?i^>Ji>v*8-# zYwkw8l=VvojTnt(F~(rM+Z_ zZ;Xb}3jk@Lh8@zEt;e!?3v?8@-yU?BT;S@k9xrh1Xisy=%X6EF7FyrRfED@m?U!|! z8W%w|rbhktCiSg`i7c&eTY$~<(nXi`Sl=G3!w8>QWuhaQhWrNtYOcfw0{rqtgQ+N?pHnIm%L+h=H6Z!92Lgt# z#Rmcwt)Phs52%x!H@2#V34LvS&7m;Q9?17xV%V957btq-X=d84!=1q*dZVs zqG$yIaI7$i;&5OVcd#nsGmFK+z6PKY!d=fQ|Hcr#hZVGng#GMS!X*pUu$w?|Cy7Sx z;7T&+XTM@njhfRjcqFEe zYn1E+EaD`e7UB@l!3wea3RZ|qho@Dd4!O7n9MUNUylzsDuPn!UT)|Xh`d8)}moDcT z$1caxp7$D7#OcwXimr&i6W!HWw@i-y4iAHM`o*tMPzBmW517W_y64H>^TP^cz2~wjY3C zylDm*sC`N);=WoS+=-z_>Y+tP(|c~7R9qbL9f2^-gurj4&0A`I?EkSD8@(iqege86 zRqKP0N!94NkW~%o%Vx&InP>5ZMz7OD;d>CxKNOx>f``HlbSU=FT?r=ZUb^}cHo{v5 z`8&g$1?H)}JIZ+MD-#NxB4cPu`tp&)iNWP1c;Jj%f(OnzOxTr%;_%|nM{n+848_d( z>QMa9lsRJ|T!i;)54~bwdeChGM)QMiA=qTAp3;l)pxY1S*kv*Ilk<#%uzR}P05hPx zZCET$k$q@}C#{4G&uao{Nsk7@7crimj7O0&o3JB_xTRKBrLhWXv_g%f*LzsJ#0pjC zzGkWpEy4p!X;g*Jtt8Dgnnur+zhuK|AAXJJ%B6mTbLBOSd9C|^iWYv2wXRoVETHU> zqyuxz6YyjHrGXbuW`8HR>*{}p zT{n#CqPwp06?mQAA2YCSS+b5~l|?*()LNx43HVW8ORmrV1Lz}-xW4g(>(g<4@r{g? z%6-NxqjfZjCxIKHxR{72TGJ?YUkXut-Vij>C?+msJna~dBJFHw7RCEB%{7)qjqo*C z`luQi`4OTRDO9B`V5+vTPDOG+RT#w@CW>ej$FgCymlt3Z%jH277e??1JVQm(7GMNo zBTS+=G1X`hRg}qiwWSx3EqM^dJKld6ACcZzGdK;0K5Ji@g+WI`&HxKG(mJ3c|IcdE`e6 zIW*yCSb8Qp#gjzL-QW6zWHSF{G!7f-ic79-#F$ccwF!%NX$XJ$uEzE>A8 zXb^P4*_A)!SY-M=>qyJPpb(VA9|6?WUqJ>~$sSfpu=5JCNs?n6zK2C6^mxHA!Sj}r zmsWBQGRRW)sBEAep-vOV>ENfP+Lh4Cy$DBR__3|Wm(Tzr{IE6t2<=Rwox3bZ3kSI< zxnL=m4=6>2`9w5~+c=TzWwZ|`0!O<5E7`|uCeXm@Mvyh1vAWALYIT$(EhGD_WZB^a ztR#iao0d|W=SnMQk*Qj_yfkY7S2DQ101+7y;s+6KW160CqcJXVpdh2>(dc`I4l1dp8p58eTpGBD*=^&R7C zTYw8s1HpMQNwtyNNEcR+2R3pU394gZTRF%2ayk1) z;NYk3V5Iiaa&ppEo?86j24Zy(_999XK4a;-A+7ovbQ(A01t4ckb1 zQMok9o*+AtEjqclV_T2~d%bAlhHTRkuZePXV(%bVlUi;gdrQb+mRGlEN!^X|OD+7w zH~V`s-cdek>joE2sby|kNOLE-b>I`YPzhR&1I^_M-Ht>vPf{}vuuja>iQkhmPO_I* zG|<50UT|gQQ;Yk2Pc4p?&Tl52oaGXZt2Y~M{9-e+aj0QXX<4$625llAi^$de2;9|x zAO%lnh`W9RwvFzhxtFkubSNr&duf0UW4prz&Cj^2#4fU=s9aXsw3E83fkQ0Zj1Ug0 zy_00P$~CBeDm#KoU2E1EPk*@&@8FSZSYF?5bk@CX)LDlepwp!U3!PJNiS5*3o@7ID zIXLh~^gWe!xkk5z*MQ)W#yZh!leZB&SJ}(61<=6qKI=Z`wSL>E;hv;)l3a@1DJnY_ zjz3Fdeqk%~qQe@vdM+k1W2*rsGTcHMvW3)km;Hj*!|gL)jV4bIznHFA5gvoebaI^T z4~2?G6|}fC1_rF7A$BKN`Rcic5O>+byD;>CQQnXepK)iIJ;vmDz>a_ZmaQvM-x%3qR8AJBA@*1wUmcW?vl8z~mut zRr=HHOWKLqcVh=;-)6XfBy60!!z}woZzoBfvcK(BxX((1n6{6s^^|LusL9$acA=rT zolg71_K^>sGQ6X5J1OlYZ;{}3)_E`auylA2neQz(mIm%2cf92R(yzNobQyV+RBR79 zSw`-l|DL%imj1e7a)v^yQIwAt*rcn&I-G(UBKmR+ZA;tXkVXAr1FpF>90CW(RP8`y zbAkeL@|B~ry$_toBmfP5R^OdV*!!eZ^??COfOz~#_$N+x*TO))Eh{T^uELGTR}u7x z6%N%cm$t$jd!7NAtV#xi=VyosWD(@-vY0KC+xRmF=8PzNv^F9D|p#oip?( zl3^!{152X^;CA^ab1OA`5)wh=5m^Hdle>a@)8KFPMmRIcjXXY}-k!{N$Tb&`xsK zPp%@p-9d`WaLNl2hvN_w=D#0JW}tBiq%Bm@t<5Ch&{f_!~} zp6EC}8;&xU_P&5eITIZ-PFhB#dNg5z^+~mI@@{E-298t%9>@|}gobh%IFj{#9BDB; z)g*XKM@j+uXGiL@j|##_<>h@PQVyfj%fhp8v|jPH$GpSDu7d1u`Q2eHiBV*Chj_+_ z-tTgldOyseKRmXU=!hT*Y^`x&yW zx_n(qK21_<$n7NMEO}Hz?kBxJLpIff!_Ux~at&!PYkVmkIZbMX$TOr7Ck@9!WEozl zd(!ZtmR!!l{SZ9437J5TeG=eZ7$PGwHF(bn5*#X5mFArweM99&#cu$c3zY_zoD$Nu$+{oCE1a( z8(Gjuu5TNB9R~@$P6u%>T^ZU!e>JvE3uF;kyT*lnyk>BVls{NVjjkG8o5+1FZ0g@; z2hF0lNlH_Bxc=BJdJuModv>sM9!fr(oSvTRIx)Q$ylUl@V?ZuEo9-SNs7Zg~=(y`W zJYF%OF1@`6SD>PN3OlyXf|I_J&<*WUyB*u#rx(;fA0)$&!f-IE&bH(H!MJkp0;xD! zom^~@_zJcwzj7oKqM-CT-Xcmf*_&L8f`$B~$L{{#&3O@BjlZ6G^$y&*~)sNQSekVb9fTGB~ZGNp~&S-Sp!JZvLZx7D~(eTqk6 zSK`-Je(xGzoVJIYmmFIif^mMfhtA-3#fe3%T!r*$Cr_}LOT633#iUdhN*f~8bRolH z<$lF)-esPFvt1>$LNQcYy%_m5798$W%uqf~K5i*Zb0VWV!tU2dCrmENkARR14>h*w=rD65SoE~yF$+XChka*#Bo z9(j@o>yXyf+sX$kASMU5V5og?h_gt~(*mK5_K~ds3&j+(`O0luYjp3$F{IWPfis z1WgVlCHu(rimnW`*5ro5bJ{d}`q4&3GP@5{yJ>yoUXpbvdC^CXlv>v&A$?_UNAKE{ z+ln4Tig@_cCVl$C0%d(I;?oaS-j21%z>vD*-Ci%+5pC;s4u>o=w#~U@k4Dul_56sYJ z)*v*j*Iz{u`$2Lk=hu*Icv=td*^ASCh$45!%Jrn7 zQN(+k9OzIjipAQCp2U}kBAyfF_N2=QxiHy3PPX%G0KK{AVB+8=kMhV$FFMgTs(BQT zBJ~E#HKlKw5byEwFP0k{TNAybT$wc0%k%6WG-h@M1CnSbxmCa}Ab=P<)mp{2+4(g) z&!55Cc*feiF*!68&R9zU4Ng3Q>sf#1Osjfh(qgn6BQ1?2Et2FC4ud0&(`XZEfN8)P zYG@-6gKn~lA?=5Qo2(m|xydnxOdl?XOB18fP2tf-{YrGc zn~Hk2fR20*)kdy-5BWr+hqg9D5ABUI_0TKuC-^lO5IvNE4rU(O!nTbb`iiyDL-A4Q zp#Y$PhZ;bHKRp+Xh(Zr-Xo?=vH#N>6p(*!J(2i6ZKD@gvo?CMS2TLD8qmQ0=!T#3 zVvA_>qM9ZZrVYIf<)_HtO5(rc}XOFh=ci^o1}JDz5H(08y+ zFC6<90$(b=?-Gd1WZA3Au>|VDtjP4c5&#^Ta-jD-T&T^U^J_tc((hS;skb5SaKs3j zmO#pX0V~jnljVz2Oak#rmZQnHQ{-x$)4MS<;dO>_H{d@wV~^D>MH<_lXtLeIWVCoJ?0}3;t|U(HjnK_LZ-?MrTtwn9+{n4JSvcN)8vJAx-Kjpp@1|V3K=q8 z4wRO6Cd;SG#RErlrlzAyI_SJsrx~hfc%-=2&b7Wni;+gI%4@ z{!k}6`xnx*P6n8G0(^F%BbhowZdB7L$vg}%h8oAb#I|wFSl}}W!@8juh7rJ0a2PD8 z5E?Qw9u28B)Fcd=p(bG%Jmk|bG(#E-LnV`K7nALGgPELp7{ZYuKMduDU>N!h!Y~XQ zXc~qC12GJMCSiykNM_A~Fv!p=!eGa?(IvBB+bj&X2VfXB4ZtvjPzDx;_c-fB(n=5-3)%2$f1EJc&!5uQ#<8eQ-cCK8`ace)?aWnl05OquXk$ZFHiOw~F z2$`xmlK#XKQaq#|Nt!2DkS1D?Z|A|yfR`E)JYSyBrrj`WP3Ai#Xcpq}%&gcPX!{PN z?X0*U-hUZr9NE&Y@TT{bK_mKjCxr2BLvbqGb=vmQWCLUAbSRU1BA?% zac45$#b>~ON2oeIrM-(!r|J^ewxVmAL|QG7{X&W|{#e~_kT02cldIq^cSYv-`*$lWjoz9+DK3QnEB2>$;T=4UCdS3 zit_OSM%@yoB<{@QSC)=b&(am%Tg#+yQXWeTdxmabkGsd`9<*sFxw{a;(QhazLgaut6={D~ z{EskC@Rt(g#AM@7W@g=dn)xm^Ju~Zh(C?71fDoRzL%`!vo3>#bpCM#4k?VzAfF!4W z+Dp;Ie~UPMl8WAq)0+$?uZbM$lS11xHY^2TjI8HR=r{?C4sik`T^Go1A)Z{a5Ji)b z9uGnb&QUa>f6wV;5Lx?`JWraGXsG?Qe9Nl!`i#D6T!A0@Ool}c~@9`dL) z4>~h(+U1QpJH|~bW9H-2?A3b~+@umkC)V^j0 zv^@e5(4sMl?mXMIU@w3S+CjIk$7Mr?WkEY={xH&H1!PdGVPwn-IiR>J?H3vJG9LDv zn;t(Wk$o$`8Ran}gNrAjGoH}wg2`Q=Xfo+-oW4j!AI<6AhEivgAc-sCK(clydb%59 zR)U)hMdhVAv$aaeBbu%-=vkJwMo(|%^o2uEdF54b);gq@VdE)`t27sQ|p!;6G}P$4%CT`lOqizXmRuw5zyZekF z#n#ax#k9oTPIP>9lb)0Rkmv|SiV>kmF>HGg1YInUrprau^p=e88Qi`H{nP|;dSJ(AOl4T(i#v}TI`+S9<^B;iqvotvYr+x zNK@d|?PX|m;v!C;q@s7@^d`fo6N{6R>t)#|g%PQdG#ThX&!2!n9tn&N5d$RN-_c6N z#S1Ys4)+-L2x`VThDQ4LoKBK(xN#fganhtdhTk`^ay5oZ(Iq65E8K=GfO3V~K~)fg zOEQFV#V`cQRiY!5tI<^2Sgt@*X!>Y!=LjuVtPjf7Xi_SJmMhkVa>XzX@-yx=*sIlW zdJao!BmFpQL%AA724%<|XH(~ZwD<}BhcU7SSJ*%5vaU0XSP-;dBoBeiWWnozc+LG!r`d=)ec&;`WgD| zgre2H(KwbTF0&9}TCtEbO3*-T`?g~k=TaBj9x}t$I!A`_P|ObNUX5ktDi#uZ=qq>* zCO#+&C?spG>=y7XY(YktRj!GQhLx)a+g5bV#$x4iVeMGm2bK`VY`=whs>@W-zvcAQ zF?25oiF0Q1ShTdvMqadXSjXp!)<#tN2Ssc27%W=tnHE*i3T8yb#e*RhEwn??y+hTk zXyF{<@{87iF<7+5j=`c;kMW8WQ-Zv(ZC12!M==(yD86GYXxv>KIQ^_C5;=(5o$Rr{f_}}%2yqO1KYSk2Jho?hE@A^6R}_|N6ar+ zdTjglWEkfXjct$W3|s3w8DhbbU>nv~CI##61W~Yd!j`&V&0#bwSi{)1qU$&T3zjcy z$Le$_m%qb3!#vepW@y|4c5?bsJr*o)CWi&fh1tjp)?3!``GU0{mHt7&nxV&n)d$To zUu8uwqT&+75DOOCq3CQ-fE6s9gRx+p(PP2-QjY~IhM6H!ObM!pZL@-f`-!n&#q<3l z9QF%ZuwLPQrMrRYobSKAoW4p$pTy~X#+ekX4UG6{!J5qIimopp7A!oD#p-bHFovZN zSNj|be7+gySUXl{7>mQ*Iu8YF=@3KLELyO>nQSar)7f_G(1Az`YKItHmtm|{#x^Th zCE0djs3k-5b=I9pxEn2+#B>KwnuNPiTh@*ZuEWr{yOid1YZd(wOD0$kOe9`cpW#|HD^@ro-t^#-+G4DY6{|4U_JUbK&ps62 zOSqk1v35+viZyg1RxI3AMLJ={DmoD>R&z|*;A#`l8@8++8~h6Qg#3zifwg0U_p0cN zIDL}dq+%V@qpK&eP9?aH9+lVO%zi2*)|~zm*6;j5&$4!G@UHQwyyXq3SgA>dtQ+zg ztxp8hGkjj5(;;{$DctAnk!JA2qEhha@sox0!%VuT3k{32h1Mb7wVc!RGvdxwj z^jlQAtu4rh2l9GJ*Mh8jC1!Mr)NwnFa)Si^fnT9eH`%D#op&_;M$a^puipwJT9nB0QcJ(IiXA44N5xfnbX z7d!U#pdpWt3dEr+7`y_u@aya$cC|| zZaqL)OSqp}i7C;|g*HgvkEYTY@N=0qg(H*OIPJF3kRPAPu7xt;dTUPWR>bxvxkSm; zv{AO*wY(^c9!^gK!LYfMVi9{SkKBDGd%-8$|r{h^+D=hnC0ZRd5%-MS-?+(@<+V{ z(S!(4`JCgh4WR%Fay(iQi&t{R=C#Z%2uBNog#|un!A_bI^ab_Yg5b|u;MjubU&+M_ zH7a4L$tlu|EO{mScyDB8StJF}siy{abhU&;zL(RZ6*VOsTauSQ%Wk$0i=(<{ElG=?0L?ZB3#=xf=>e%y)x4ZL~;ev|XGDQWOpuG-`mHqWs>3RrH@i|u!>dh+1B zgAEAI5EzlB9c8KJ1{1fSGLU^!pE*uBL*t+PJSVgTNq;T7${E~m3Jv23$ep88tYp}R z;P3aL#Hi#)Ip>;@yw|c@6UOLo*@uAoVPe@)CixhLR>8)j-cD;p1 zeans~W8TRdY=(DZQM}WLRCq7Dd#r}B8XUE%3j0qIec?lEA16LWPI6EhJ9*=W2gBqHpN9&7R+z(s1d2g_L7Vln5iBEut6(R2s=&NgMN%cXgh=Vb5 zM{tpNE|L^WWeh;gSri7!c_~@bkyRT4zzzk|U;|XRQWc@VJbO0W!`!pGqb;nMcCeqS zg|!Q7+o~BH6O&KTLK@V7c<0F_rIlg~u- zHgvS5AH?epbtvZtm^G|`#?23aU$emxtO0OFrXD!-F^|f9MOoZKshJ15{LV;Ss4DBw z1z#!UOZZXmr0%55k*N!2!*fJw@MI6{E%g^d7}J! zG$h^@N@=NMZ4zdo6q6d&ChaVg%0(|Cqfb8LstB^gLRl(V)+MzhrEIO4Fi9{Ve`Kgo zW03R~tYxnw0#Yv|K3~S|kX=^GOR!E7LP6d-v4$3Mr ztT0S}&X;6CVWp0AqAIyuSQ#&6rI0o@O14y}HmPn4#$Ks%vL!s z{Tf0V*+J*gDkhyPlgU&&C4qhd_lcciV<9!JNq(_cDoAdXiJwmCEE#H$={k^_R>?%F z>vWQ-Q`$(ADo~?xrRvp5AxB`cu4uw^cPfc+1SY9GSprX}N`_!E-HB={Z^8s0r_Xd! zR!CQ?lAg}cxhBY@bK+$3t+UdGjCNPnkQGIg2&r8a^0)}F`IR$avzSDDi-L|3mC3Q9 z(AhB1q|J@U#103Jb+wj&yd1+zub8&Xs@VdC+m8Vh^KAi4C3VAEFrHQpjgtrnaji_Z< z?yZ!xkOGR4{bdx{#-bP#uq|fzv5a!Z!q=tlm1LNxlP|zqfs?t%s4c{xu6APOL_Dmq$p3keg9nLGOBF^hrMLw_5HaM>qHcX(s z4WCzBA#4K_G-AUboL8=O{=6PpPnd1N{-OM|ZNak>R3NyLkF|i> z!rRcSwo=Q&GU;6)NeNXNTPm<|HB{MPIr?oNsi43x>*^>zzSZBdpBUEwFq=f<^e1&2 zvxjM1x|b$h>jHoAx{9Cg@n0GL34nt5T^PS?IptRvx~@{vveU1DhIMt7krs|sO2MHi zMf>0vrsNE9idO0w28Ag@ENuf`F&ccf&tP9&scvEU@&$Lxlj0_B+43CSVmtXc<@cBZ z?ISgnIBA6oI%ecg+%dh1p<`m7nL1{0QSO-ePq||vVS_nl(Gye0w0pwri3KQVqEnnq z9FvpF`02--3gQoD{IcchT<)0hx!f^MtsIzRta6x=XOD?nDc9mo#U zNRCr~wuU3>uj%wnosLu2zyYvXr4!*Px_+GuuR1B&)=mem2WsFfj{XoE$Ya|X?)6Z< zkR;!$q+c&(k(7MJP`I~p!$I0~%N{sg%$S&};0O%6q2f4fBFy_)Jj6Ki_*VXW|}EZcqFD_Q$R74A|!Y_K$$x&@YQxhLo->-<$+~%!$hj2d zhP1sR*|I_@PA;xioNZ^od(LWA-Qzgr1i8CH@s&DMBre~=02L~dn59Yy>8gRmeXDqr zUa3k&n~Vw_ZNrm;Uv4EUQxzY##n8+x4VMCvgJ;vtqOf^PJFx<}m8$r;eF?8U%uDhO zPYI5O&WNec#=?8Sf*MpHC1--(V^?t^-(RH@Ib!ji)FVm&X*Nr-mkO1qw)c{j29eBJ zVDN!*WaVr{mgcV^Cub{_r7q>j$JvU%RH+>CpQCt4SGJSLIZCi}Cy?mpD1J7EKx$^_ zgH2@f958bxG}#BFlY=MI&EFv=$Fxa-I%NAs2PQlvtPFHiy{R|Wl__C4vm0OodDCJPrRWgWkf zJKCmbSHiy#h?DEc@db+PHW->XLv146#2L1eNuh;`?AI0E0hgEL3W4xpVlQB3vtefV zQSgW=Z(v&M&tW*`p2NJlu@Lg=)+Q20VC*Np7>^0QS~oQfQH)litO^CVG>*iy;ncyqG=@w4j-uj{E_tM)X^)&ZKiA0f|@wJ~(_XO?ndp2TAr#Cp#$6XRDNbhJ&ano7FNQtFZKmn&tZnI7cQa`64;Qgr8)rKtff(0*$Ae zSb+w*lIPzln{5)F`oooI-}t9w%^HYc(S_vV8YND5?TJ6Uu<>nPlKZ|dz9u!-Dn3%$ z3Pb0$O4Bp86)kCvuVGcgiX2MvFGsviDuv1JB!35T@F;AR9_C+!B$Wv$L7IP5s*&0K z{q4zwVgXLXsWki(a~N3a4f9tlY)GXIut1%#N3kdUb|?;Hyg?~s_Dfq=n8Ghn$)WYT>Dmv!8hkMnwBW1 ziB%ee`9nL7V|$LVN~;D)M{LjA^}8nY?KW^g53jBRx_h;1U^YhAp{T@kO zy~u!({*}r3bKv{P`TlOiYnKv2IvrIUc8&6%t*dmg7j=$C(=QS6Y7fMg-4Pe}Mr2Iz zk0lA)?q7w})B9VIY)gMZj-%u3>F*4srT<#L^cK8=ppea0cx_uzbo zxL%>B0Mu&==qQlhCJPO?$_;B4)3|f9#?3W{xxo=vvA@VQ#C6vZFI_|&cp0(B6^f9a zg5feFXf#ha!;4GE*pv$jzJCj|seyF&=*9=4GilYPnWotd9QfvK#P)X(W3mxD+(i8S z2gDDz5Fg$}?9b(}fbsIcbwGg|Nz`=7i-Jp2mL{})7?XNWDIBW`|S-XF!~GnCK0{?VLK zDEv=x+0Ur=G&gL(Yi#T3%<7xWtkoOrkmv`n;ZKd7e?|KFw}=PdA%689F_2>;PH)5c zu76&y{*wXJ1OCR3FHJ&}v=kx#N_;@e6Y>z#G^Px& zTH%7uoW9~C@^$$g5fy=_n8Lq_H2>9t(=E|}TimclR@i2tf*F;w#`aCy&tr{3NMBbN zk=P&(=KNM1y@1a4zw(@M+n*>dXu!Wp-_8wN!#xEP(sz!Eg(>kry&YP*q$uK{Vui^@=h{7>hWx>vxCGZhiT zt0EQ(K-^jm@qLhazu@~vNcX?LEBHlaG$1|@k%gpj^Zbxh3P$?GYKXbD5VzMsyjvY{ zP6*%sMaTaDS^d#83q^}+)$pyqf z>i)UBf8A{|<48bxebgN^d?d%!9A|Ru#<3d5V%f;AM$s?$h2EdJTme{1qwX-M22%H* z;r-cn$dHVHnfl3((V)N_#7P|6aJGB@DvAn{3D;pGsG$!qdDD^^J$-8|5A=EIQHfJi#fj~ z$BRE9e*xG)qwYA%2hQb+)&0Fy3O#-@+&CI=MQY#SC5|NU8{U4vQM9-5wm{J@@D=Y* z&qrc=GGKiB3qPa&=N#={WBU|Ge~yIXR#m^?Ysl#d9LK#j+&dZIXV-pRSNdH|jmD;% zIrdG!w!r?~v3)NQu|ZFB%KA+?RP>)!ZA%D&vh@bv3p*nB-;v~A1p*5K@$bByKK zjblHK!#PgiDCB1F_I!@Z))+=V2xzNqX}ud2FW7}B+HMAHci<@KYJ8;XcQY8uJPB}c z3|Z+;zs#u7)GmP-!*OH**qzh6moW5s7tmfiFWUkx|5*lG8IFGp7->Fptb=VV>#C1 zSX|Y1NJoCrFYw}_&(lT!p+j_37yXAL$oGunNsjY526EJLymJ`&Pjieuj%|UpRP8b+ z%=<-~V?T`$6sTg*h_>h#n7{|J=J@;=4wP~fu^vZ>6 zSeds4mgQ}Mg07Yqbl{^wO<4C5#}T-cw*}7SZGqExTcDt;d3lwy~==R z0nTL6@W3?|*~CIB=LrXPH%qSx3B;moxnN7L)C7|5S8iCsgcse|UMVm;EP=JfKY}h$ z(6=}tUqub#gqDcsE1A=Qj}FwPs8ApTct?a?b{a}hlO~P`3^EeHSRjvcmufeX9p|5#8c?7#&D z8Wor;6!gE0dahUuq((8Iz`xpG5WiYpuO_Gkg^$~J!I>6>F}&Z3yINpD{G6`W2nJ6s zC{V3HwEroJam*3&PYfu~oT1?Ug80?)>b9BOyo(k^4ZS7=UX%2zQ_#a33&15R`g~Pe zE%#*s{Zl?q*K5XpzC)u{oKyf`zXIrL`Omi@5})t*f1=Y$q&A@Y-<(lA=WoriF~>T8 zK@Y2h!hkAVKq)}bqX4>)FY+h-f=^(flum4X&F?cX314!2#8H%i<-A}%8iRbNIqu}R zhNI{ws`eME_9))|VvI_09~Gle*g-{);_Xlsy}YXJ$=gmUy3qSbUr@(?iLUm9KHri8 z2DISxSEJGO_c^9<6!KemdnL!Y9H(&{!Lb*|I7Gds2`30eYOKini*qc*@$D!yNIj!m zPQSrXt?!f>9r8~ws1*r%8W-5kaV^Ki90$)wL+Wt6H4ocsI1c34X(7@BIlf*1ZJPfF z=mz|W4uQ@~kS_4(Vr-A#sBmP$@Q=+(>_5E%@z!$0^}PKB#{`ab09~oDJ14y60w4%W zbr*g57F2Me0NkUZZ&kI`a_b7{U-@~uUi0YqW|bpI0q<5dj9cw0^Hr{WXxT~zcIyj@>KuVT_>`@at- zxR`X%Sqa7eMP%cDGLU-4e`bIUH~hnP48co|7dZ;~4Bp|s}%{k^PE4O<2H`pa1=?}AX+@EZeh`; zRYXe?GbeD1J|hFo>BrH5ywrgH z*=qKW!VWFHOj@0E3HC2sc?YM#??u?3CCBeL9Ur0B&>tJJzwV>R`?-PTy;lfboG$vm z5_BA6!o0x3`Y$<+8zKzSOyP9(*i}_@(LbBh#l+x?3+!(sr`z&2{3w7sHDYoH$*$Qn=YszU!i* zi>UQNdbmb7QDkpFE+FV4JqD@hB8EwygunM#6|0!j1QlHjF@@8G31Uw1*}d891zp(w zrQj!n=Lc5TKM(=ot`fst<8(0tG2CfR7c&rQGdNwiP7J$I#V`7IBfW%1C=vs$-~x6m zoomkLO?8OB;B+A-?3=*pg>Y|(<@qT_5z`CjbUQw6;k6j`-k_h){Uta=QCy;;3(c!J zT})IMc8TxS!eK(+Misy4-+}ZJ8hdV{P?*65bm*WiV#2Bb#&WtCN7zw}i(4^qZ*^T3 z)2J=Vg|W;g6cmecoL5+wKa`3tO!47#F;#((Lng)W(2~Fj`rc>oxM_6?apOtz_Ilnw zi_?d2Y{YTp735!k88Pgtc{`rBqj~@9OW2=+sMie7Mne0${|kgg-v4G`J}zBk{+R)` zcmA1YuH5=_Iro~UO*qQSQJwjf{ z3I6oPsAmJm1&H<TObv3;Z|{YS7ydV>*ZijgFZqjx&*XfyI9}~ZC$86gVn77z zFw$QoqK9%h{-*|;$;0@c7+}WmFZ8eY1HGkBss;Yj zwiuAkmj1o!i{rTVQ=wi>_$im%NOO z9k2g)(TR^^%`yEF8&9v9jvJbP%YZ&yAG#lIQr*Uh33TOcL^wUN;sk*&ZlQocL02mf zbl_w8wJ00q))#pRH5QH2xlBK>coUh_8vKH*Q~hN$(32^B;~PxsJhUgUDm zalEC%Q@ky3H*X6RbfHJc3p(&oPbajzhT{mV!`lJ_d0U_xZwnN3wY;DMA021mwm>g^ zAEgVM-#^9nJ}CcZ7|QunsMn|npR_$n;{XB$e>FFx3sloZ`;Sqt`D8#LP>^Cl7bpx9 zDCGaF&E#SH0u9*aiZh&060tYOmK;Mk`f${7%r1fab2u*KbfHJcQ#p3N2Ldeb9{Qo+ zS&jyd%Q+@<9Ktbz;~QU;`;E7S9w9H}fS*+o`#|LXB>?d@$DjwPMfU5D>`2V^ArZc1i7Hp8d z3+?~c4G;q|GS1$X8kn(%?Qx`EF;kWYyh>MztU#=-(O*b@jo$C z$O*#)3c4CUGci2h`wNV8eCh#q6x~v<3u|Bh-=JRepAJ0xFB(3I^Z9X1uS+KmK~o#> zsE)ZuQh9rlimsOXM{Sxk|48s}$aVMw!&%b)+Xnp6fMB=jgxUC}1ls?vw+l8Xw#$EM zKr$pP@c(#w7r3aZz5jnP0s(1FWlCr^mKiBIlbMlP(dmX}HI@}HRCuY#tkBBH%$dr} z%xb#1(8{RH$gDG!DVcS!i&0sTsUhCRJ1XjUOQg&CzxS-Q&x!ryU(b2Y@A380_x^m> z_qNvFd(EEV;+4UQ{yP8dhQ~X!J-+60?dN1hKW$&uSF_mA*7kTeV|OqpBG>D{yA4lt zXnTC~b=uF#ZwG4oV}?7gX|C<@4~@M!IlG+)>wqnTG$$L{+8!@5b|;^|NyqsO*WTD% z+v9H zw?o_G!q}Z$e6o(8V%X22?eU|Hy&2^V3%d>(QrS(XxW{ny8O^mlKHb=zY}Hl!|JFrw zn_->d*Ifq1AK3Qv)8n^Y^?$=}Oudga*`+S~?-u^`Qk^e;3G&atzFNBtN9O)nbFys* zuNiQ%;R+p4VVLI7_V`!*wV#tm=4ty0*Z&2nFDkCn1A`3P8*aT;+im`6w9QhZ4@TS1 z=IO@Yre(j?TBe$Ty3oP+vKha~L3>8d2L8ex-zKZRW}6I-(#851zIktRZI9n+>`s0- zS^M8+So1=2ZI3@{><$J+?{{>-&RLq*8QR(&KhoHp?DC$DTOHB7#L(9Ec+=nYcyn^X zCw!;}E;f97c5`iy-)!toUNukqw=f*`QFCpNpJeRKXkBpky{-eg8b144b8U}bX6#P( zo~HfxzpOdP(AM^N2V?&;PqQw#_ny;%GYnre3>!ZBoZg&{tI>Wx{GfS`p{?!lUEk|* zCkK72?I#*O`b~3fk6&Qy4)zi5r8;2I63rflwzkK2{iMhLMET;VuBUdnb(54p^A1 z*~8G*_W153J^m-oZTEfGOWeWP59<+|V~n*wCr`XCI7tH06?J*)njJq|H`u(%xy|2m)T zUlAW?tFjF?Cmtfu_B&ku|2AT6mCi(V1M{cp8Q82a`Vqss3~w-;_=@)LV`%HXMrRr} z82_c^gRKvfgm{Mo>(9-GeGR)9x*R;wTx0zVo6LC3!FW>sxp2A8Z!^_s7_TqBuhkBJ zHvG^|JFvYh;6sElZP62`a5)@ zAVIv5XH3Bt9K5}qZp60R+Q~zWJN+FxQIH_s$O2RFO9x-{=tgY2t(`p7xYOUE69oz4 zjqET5cRP4wXWfWxx3!ap8h83TbfO?Zypchs;LQ$h?y4KH?Y4IEP~%R2hfWkEh&OU( zmM(aaH8Ix&EBL*0$ZI)8DoqCKCk-;*Fe< ztqY#%;KDx5?Y4H(j&s@%Qzr@%#2Y#BJYBG(gLBL;_OTD=3>1}H?qqV+~?q6 z^ShI6yRDr()VR~%p%VoO;w$p5DfqsF@8szfvF)~Y@=)VWe}_&KB#1YXkgp3SIk>&B zx!u-I+Hp?%Vd_Ldf_NiqZ_oudI{4k7=5|{I#?I{%)zExn%iyd zq#ft9AEr(eB#1Y%>Q-HFt%GZZH@Dl`NjuJIKTMq{NDy!2j5~C}GadAfY;L!;lXjfb zewdn_^$y+0Xj5>kgRkGG8?o)ScJff;PJf3^6eNhR$SzZGpM#G+pc}F6ws!JR<4%8v zW*@mvH_~y8E_kYg9|oJ-ZSABT=d>TDP81}FugH}lUGQoLbH+8d+uBJx&S^hPohV44 zPmL+K&%p^3bR)Li)=nO3-0APooSJdw)I4rZfrFKkn%iyd{NM%Mh;6sElZP62`a3jl!k^JA^73R| z@KpzgOl@wrwUc(7(|(vbQIH^hvG#jW7rfHJ;#Zp6ZSABT=d>TDP81}FH*!O{E;z`+ zXJ2n_x3!aYoYQ`oI#G}y-pGT~b-{-meE-enc3V4X$2skXsrkfcx^84@g)TVF!IW9e z?Y4H(j&s@%Qzr@%#8+gyDY(nQ?;^Sp+iq(o4>j)ecWCaKS-O!{@9BcaIJkXwbGxmb zwBwxi!_cjxyTjFr#hSc4xe8$1h&z)US0No9&IZ+1hBEDMs70 z?ap}Hj$gb^^7p!q&8F|Pwz<=2o9m6XY1^IgwjDpKPH>W`V{@$0Ht#ap=1oT1wC&D# z+iv?U_)_NR?NP2FF!!ZALGV|Tsb6OUyL(`L5AlW+6mhmePD%7u*}dN|G?<5 z!#>>T0fyZSTN=Jpm!lKjZ!WIu4SN_KW4L3v_WRbb!f^a@S#U(wrNNRtdi*-WzZsV9 z*7o9En%BlOZ`i4M^A63Iw`&eH+_g>XCk&4?e0r<4zgDl=M{~GXwpknc8m`%-_5FrN z8$Q2L+s`)Kzh3KrVcj~d^9^^d)%qDTAsT1fI6m00LEBduKKftcC`X>54sGi67S4iK z|Fz@)@XOgSw;(lZd_piGV`%)ViR}-2SVI#9E%(b96dDt5^=R@(B|1j z+dSTAoBK>2nWGOO=sM}?6%+U?x612c8r>Ywu4jKcAK9W|7Xm8VRMAhHU}7Ovxm_=g67~o z!)FXf7&-%NzyFkWKAS=Nz{&R zxUC-lYqD|J19pP{Ehn3THl6vJ*{zN1U=Ft9wI-qMXKQ~W|!?s<-Dz}EH*N1dY2;dkaa%*h8j=%tt!2;|V+I0FI%x?SH<2E~)m9od<+PYkAgOkZ7 zV28QbY<_68&B;dFyw7Nxrc!(Ll0NmjoWm_ z9n5a~-PhjSuC`;qES>G()VAH`dB*=#vrpJ;FiURpJELvBXY_kPb8zE95;y~FzyFkW zKAS=Nz{&r#fHUr&Gj&YV_yvXS;0(02J#O<^M{P^IkzB=EXhCK|o=4$&VhSLm#hDC-K z8lG&JX4v8~9skv(nj;Ktd#=_&VGjNW+nInvapNVrz`=OG8UO1%?A3og@GrZa*y-oA zA51&#&GJ~U70nEPp#x?H|1TJSt@?kF*t*~kBd>m~JPb!G-{Bi?H*b?APu^#9YnSzG@<)3Mr^Jh$&@S^JZMbMMg0 z`kLX#|F;Z^|Fqy^|CL6$nf}uTo-qyluV~%Oc7p%3kq1p9hs!_LiEk!lY!~a5<9DJ)p-viGZzVm;}p!iP<-v3`|lymT(Ht>jP;D1Hy z8n6@mr;XfY8aZ74xla5V{IkKjGX4*>z6bu@g10%&{Y^$6F5{Pf{2%>)fsPGlx#g$Z zPfvO5&a<-kd&b;5&+0bz%wYMtA629WA5TEf*+LZk`lAv(g~(~MSmk635pKI!l|qre zSe3(^6Ber)=!cE41bWWJ-i~n?g7q*A-MxhHoJby6e)?h+fiYMMeOZfDac@4EaG$eS zi9Q7MS*$`Zma|w@!N^66Rr-0@FJ7$5VAU0iRo?joUb9%GT!0F`GnXj; z<*?@x)d+ppG9K*DQeMAAl|xV75>>%l)tX^TR5AZMaQGeqVbQ%yR5d>`?S5#9%Jb7X zEP*jN0hWx%e*k`uEm08|eu~6b(@2!{)h16KiTt- zTdJ~eA|DLH*rQ8T4EiQ6Ro1VPtC35C%E!+lhhAQ)s$is?1bp?s>g}bWz5Xt&op`)@z4*W zwGR+$D_nT&8NE?}BA2Jc5q=qGt;M+soZ4drb?l2|1y9x(tlPF==c1rN=CD!U4B+E z=*jz8l|8@=-uPMNjbZ6O!v8_^mp`k_vG{NNS=GR3Vy!CXE(@pCs>~4j*jiN!YtE`w z*$;F7#~!a$zDF>GYgGjdHPouyaU}e;R>dqPE?4143GA_4rH`l4^Ombz=pM9O#bD0R zI#v2OjgPET6)^Txol1Fvg3n-w?&s@NjiqIs3QfXqdYwvtl6vpdsmPOb7LC-Y%%|Yo zI#mxnAJ?g(r)lKVI#mm+zNk|rVU~DNo$@_H!bbQkg<-D6-|N%_=uTXre9w^w=E6|w z3RMLCtyZW~%YM`f6^1!SuTT}xcial@|L2)e_Z6xNhF~oeXRS~%m;+N@U>tg23}(af z9xId|R-L^<6~pMcD^$Iud#_MpGL7V{P!Z_6jKY$*zAIFE8TtG)07F-=P&remGjN3p z=5Y|?pb-{byF$6Aq62gYLxXXAkwn923`R$+P$e(H2PpJ1jf6-H!xQm`ZprvG;$ElG zSIGBw{K_f(@d{N9BcH8M6JEvsJ1g`W4J57%s#*?0Emx|t*D)NwQsqtOoM)_5?l&mx zS*dDZ?6j3i%s_X?59YuK44(tv#6NqbN~s|6xhqvMtb*mx(`%)wwd@y?=Ph^%_LU1LR=br9tREv z%2g^1i>9nnHE`P7tCW~6#FAO7ln=IkA3u2G0usS>JyxqIbe*?axjz)5$2+T)A9na? zwF<+rOINEJShJGxIh-<>35!;(Ryi=VceN68x&M7?wW{F2*J6#zu4Ktl*Qjz>e$*P3 z`wxR56@(>l#%7 zYl_#XdRTHddOj;Ud5!Y_gZsZ`#v0|WqTpL=R3(hPy+-9NV2MA#{uvE@w?>tI&XU3~ z^n6bOOT#KyUb9BkLf_&wDh7*wTBAz7;9}fB!(Vc)8;FCEJv6qE{CjB(*6btCLhk=i zVpNrVg&{ereAQeFX;Bq}MMp(dfIMrm%`_10FHbEy^LQ*`zGUXR0VPH;RtzP`fLirPIGANXHLb(OaLxk z$b{gcuc9g#<6zErIMm`$g99wA#R2xJBLRGB3**a$n7oZ~IPL)BaI0&riouMewaQn= zHPCXcDuKg}TC2)o$uVnH6sEOat2`?x*k-Nr!@`WUDg>Wxzc#2M9DLdVN0`}ht#YsA zb~*(|IP6p$VV~32sw#L3j6ru760Ty&ONfItqt>dFDE0>^3~PeKt!3#CtX0JuVA?uW zv5`}I$~slQiBp!jPNmetGlT0?H3y*{>r`Yb=ML7wl5^Los%@N-Uh9;G*Uw%SoI_07MHG5#rr9^hRz#lY~MN+hM|P@%69u2=p)XzW}P!w5`K@VxaZ3`5cNDqSQ9U;TPj4#N%WRc1nhDA`3G=>KKC%62jS z`+8LcYf?6-q816FsMQ8l4`at{P$h{8K@n=RK{Y0l;DimTDk*_C5*t)mG6_!Hpgcz; zhzQKK*kOb6L$_yxDuz`ZH>ePdcG{qPDJ1l5P!nKzj}0m%6@Tb~o^$Ynv2!;lk%m2I zg9<}We;PP4m>|SeG;k!HK~GC&a6JLgKWu}lJ(>bA(@o*w8&oCCfihYmV8Zf|(v1IPk;pv71z}#de!iW=DAPCRGc4nVVGk$s|61 zlPWre&VwZC#Ee6mR35B)ngXZN(9}(;sxy3Jlgd2}``eq8JCnE%HmNe`o(oSWe%>b4 z2t8kI3aZL3boBiu73zxPkDF9!7Bg(vqSL zVY8}*(GHtc*auJAtSVvTyv?fUOyWy6D^GW3JaV(jg`xX4t0?r332s&;XEE^VX4MGG zXKq$CJt*)t8v5TO(b;sqbhE1HNut%8Rq;7+9ex(~QXm`uta{!BGvk5vs?lOzy{hcR zctO3YfmMNeRS(@a)hl;z?1Sog_e=iZ&Gjn8L8Q1|m01IK)T;=L!dh5#SG|f^<8Rfg zqVrhV`SnVi&kPpSt14LaISDVIfn{hI+QImREbY#E-tCenMjVXnu2}xmDFe_uVvb zeS#>3nXnw@!o9E<=G?=~U~kJupVA~FY$Q^;tQAwqcAtf!N5@@faP!keEvQX zz$LI6W|oow7QvMK1ThO{!j+>*0Ea$6JdDB#uy73VuohOsmJbpSeK4gUK}>*|P>kKG zg1H~6yb`lIp5R;$}Rzp8*fF-bC2k~$} zjKEGiiHCz=3|2t*&;-#vMm&6RFY!aU|2O+@?z4XUW@C7-pw#<1-&-oVHEX!1N=x@tIG8IJMO_<-UbFM-vab z9!vZ!L2kF>NPuDJ@g#s1ZAbv;r;`9)e*y_$6?EUqjM|X^Mxh^$Yfn7<5{BVk7=bAr zh=*A)24f!Li@AtTCLXTrOni`o^3zBF-_IlgYBGoJ~Be?zv3`{T%$7O#*n*xg>zydyxQM z)0+e^r4I?<`OtlPf_NGF;6~_&oz5p7F1d(!n0^WI&|lM2MI>dplDD&_`{J6$`98b)u2k?CQk#O2eOf6H>e04aY=)!f$!uts2H46(4gES zX{eZZ7+f-r1RS_0k^r6w!|+lVfpAErM|JUk{$JgkHf z_$jP`bub1Sq5B?o#OH{=hx`9B4*VEygeCAE7>19*2z(jVz@K0Y4tSmf_a=x(p$~pP zg?P9cmO#%`;^8nDfpcIDZ2uzh@OJ1Pl^|xmO#CSB|DQPUW4Pya62LR2lK{?#5%|HI zB!I&!NC4}h`#u_Yiv%z*lXy4?mOu%^@Sa)3!*kvx9##-Xx zuoc9^@vDg+%?=rDP(dFD+t-o+maZoO%-%o(I0#1IoQ))aOJNLpHj&@~HYVtU#r4F) zj4chS6oz3LJb7D#s)WN~ExciSgKC6{yBk#c7;ekm4MCO7foD$xA0o5lV!JAZ?Gv`E zGWaH}gpawlt6DfPdAn+a=|^r?=?^A|XOG&hvf;Vz?J5tpK8ARBb!+0`0rBwSLgHcT8;OVO zVI$l-nD}wr|8s_tU>vUjHoyX=Phk3^jNeW?3=Jn9 z=G;ju=QIcfD2$HjJ;0+Sn&Y~;2xO% zWP(t$iHFaBNId)zmcsNo#KRY0CG0$xc=#}Egd65^|EE92V{|16;2$s#CVor;*yA50 zfRh)H0M3TBaLVT-fV~$I|8#;ly_$Gf^)>Nu;5Wp>{)>o*q3?;e>^~3>SJn^@GlR=X z5N6A*BLTd41qtBZO(cMxEhK=24J3fWcai|+#7F>ZcM<;#ukX8whdcHY4@dn@JoNoR zJe&e6VUi*qJ_;LQ@HVkSr9aC>l(0i(!%CP37r;`u2$sQGSP2_pEj-$_Lp8!|nEo6O zuP__NS`iP=K8kqQ^BCe`Ev$qYClC*_VB>S#|EoHa;CYtnG!j4s^I#~G1n{lXNdUj- zLIT*kD+yqqEE2#8F#UxDu@z>+N6sJ~Ug{+te(56~UUnw&u(~_(Fmx92FL3`);vju; zf|v%g;kF(mfcs!6%YOc1B_A|3`|9_-(nc=!e^gV$X^ zJlvZ@JiO~7;)5J~b_of}xcFc;oO>w=U}7!_;2p3GhWn8K4!E2Ia4c+uvtarZZZnt- zpX*ONTnkHK?<U|4r}3MSME^3Mh*sWkUo_s8JG>jFc1C!OX07u44!-y3E;P| z7H)=(@Ekw!FLK|*Y*;yfc=!h_gE9tL0~oDFN?;A?gSRU-#?4JUns;@$i}< zK@xEAB8K#731SP(hUW|=0elgbLUA(*;Ipt2`fecsysDUZSauunuOx^WcM=aD9zi^O z`)=al&!dQkoyQOl&xWUSj`R;(`<-)8jJ5&k$6_&w_ ztuz47g|#pbHp2U0dIhf$FdKdd^WYL#3irY?c>T5=Dgw)4H7se^p+xX4E~XtjlpogY zq%&9&V}>vU(`Rz=?I!Wt?D=p4jKSPl*!R#N^zYrF>S0yW4pkFj{8#MnQRsIXeV=_H zai?nhfVX%lJ5}z7)H`w~UmNDxu+>f#bkC*WF*}vN5<~l)suqS$*{O;?f~W3Ol~9~U z;5;r;I02S-*{RY$W;}~=SPtu9Rkxk0WIhe}cB<@8DBNSG5}z`$^T_`X#xEs56qoH( zDOLDgwv*?74x+g`RWYpUyHk}}yc|bZ15*~@2(zK@3JSoID|f027=pF19Hx9m9L$DM zSZwjCovIwx4A`kMKj)&odZ)^Rp`x8C3d@UvJC*wjW{x2T77f{{sx1v0VGYdu5CIq0&`#$EPrXTdv68#U)A_nW)btBEQ8{IjnN+;%h@e9FupcobEVu-lakpyF@f+mx}at z3ID~rRPp65;l6a2%I?qj&Aa#%9REjmspv5H7zu{s_xdj09lJ#AOZ-E`e@&i;urJxg zJ0IeI-la0fp_dZ}bJpYcD2?shrK*CDyF|Egm&%<;M{okHIk1bzYjo2tRq=#N_>Yul?>;MNd(n#ct()8o$KdJZ#cP5;{zw2Fduf42%w_>h7#b}R7}iQn3-@~RnlZ?}qlL%BM`*BP3sD41p?};S6@$J<$$v8bkgKqp%8!;Olh6 zfd_iJv2@T6J=6E_6;DtWtID7_ zdatU6zV>@nUSATPzE>4p!HV3xR}~MUfsuPv@Y-i7{#nU&Ds4}19tmxh;65Ed<`krBkNq3}o=+CUxX+qRcaNU0aw%kw`6 zvAuMD4~8aI;9dgNUga4@=ZX7NG4!PEQ#toh;HZ770)~#=#|Mbm+wM~n?#JG4AD`=C zKWU%J8;!lwK2`Gory_Ho${d6JjD0Eu!`+F0ki0$jDKVDuUi;J`}cT(nPB z!=lS55Mm`R->2%KxQYfIV*KiTe5gdj*X~nQ594<`1s@^)ZsK4LY=mOkJ{6wEgkEI= zudu?i_Nnr63eO?_RaOF4L-*W$JpXf$GnYpm1?LHNSksla;i>0gC zr%GW2MlJp0KINN_LIEv(sqewP_ju(EX|r`aa*UeBaag$&D)I2mDTHRN2s<*{F(O(HV_u0u((Oc|c(tRzuI( zjjGYoJsVYV4UN3msPcAl|Ce)6!a)_B0Hd%RM#>4a>@W&zU?cRu+Q@?h8fL?i*BX`I z($gDNDU8f$RACsKMLhI<(5S>N{64}T7R{$o7+uh)(sy(JSAEf_a&}W_A)Q&QCIKw} zwoz4Dx`qZ|XmO(|+XH`QX0WEVQPsleatiFlua3m9d_|+Gg8tQwsve59G`x?(>uCUb zHsS|!HZ#7Dt39#>hhK2qh68jr-~eN=3f63IRG$45`n^%*!f@&VRbuI*52y;5)8>GR zTK4t_crv2FP6w19mSi1J6JQOjfJJ8z@tbk!y z1FO6QG|}K$2UIqUokIajpG#sG>T^KFp#Q=HD(6@9-wyC7Mxjf{10($os76a)PQBk~ z{Hg;gSj2&Q-~qlljB|DE0aXt}dCcT@8oA+sDu$sO52$h&8$=_p>Xrk_^9POJO2g21 zJB>hh$pKYs=@AE%TX8Dxp%GYe{{a<-?gwZD`o_?(Wqje=MEDoQDpmT#+bB z9yy@OpnE)a=z-}8iNXhSpm>aNSTm6Z6B9)ghF}bqLGc6=g6^lVCld$DVYH0Kj>HdU zTAUJO#vDXnBCsWn(+GrBuP{?s0~?{coEf*G5ts{$U`oN^wDg>iln^el_G~{biVT(PQl<2~# z%5G8>FxtCGrFSK7PLnE!B{}lWDc!ob>ff0=bxOBskG3D&h3{_UPa?6RWwLv`EAdE< z9!X0a&_!mo^`>Wzdz5do{rC#YNpw0Yj{o7El6c%vaqm`N;63?k?cG6+=(9j`y{ZcX_-WQ_o+jZJF}b^So_k z#N+j(mMqh@a{1%W-TKK>I(qMD>0YaCp;LsAPafqvVeW?=y^pwBgcGlny*qh7ah0@| zO`W_mQghl~8E@P#ANsvpFS-6y?+vNp6SS>JzPcLQBb~kXx?-NWO`W~BBm}E^>A`Y6 zU(p&)dP<0*-X`cz_UYKGjQ!94^DX~A+CR!$GwY9)X9@P4^R+!}>|?MuV6V9#ZkKB^ zz4yDsg|gsu@29TF0GZLnd!ws7UyknLo#FBik|%d%>B56`lBitZ^QOxYUA-r@C>e64 z+_tz|j{K>s_Z^pKqw0*T6H;rI>QTSEu#>l+JgcYoT9;>|oY>Pl$`#oxUFUeuZ&k9z^lq(+oc2WbbotID zUbnpW9Pe2!|5iEk9Lm*fm&3BXx43F{$i;E)l)cWyUbJ5}!4^fXtK`SMyyv-&Jx(_D zqVG}1%U->?xZ1Rl!+N7n?jUDcy0W9Jv-B6I$c#Sl{L^KD#gRSaRExvUmUR}d>n$_R zv&PSt1r~q1L{7C>cbTlS*zJ0maX##sFAFSox>ZiKII%?5S)4agW?TSg-!BU;pl;e2 zInmPYp!~+-%!g!J4))>WWG{=qJtl`)>^@OWwRqzs`HjWur({zO{!^cky)HzjJtv1< zh+a8a&a`y0lyw#(Ql|Zl1&OYj`_13Hon5IV8?LezROHA7M|nHT(8%t|^3+SbLtK%1 zIq?$jYFEkjxx+5?ZgQno-QtgX3i(Ve_tWcrz1vf(?$fpsnQ)r-V)^~;M6d71fbSVS zP$SoVVcmTFz2CJEll^kV7Stc3al7aW?;WY)747Dc9Y62ZZ*Ge#y)PuVJg>>AS9v?9 zhF{Zzp+B{@?<(&HF87;qrr-ON%U>aT4Y1DoTe4{YH*Lu~Iv_`07@^FbtEmuqU)#cR zZB4h%GW=xsG&$uOZ_t(VvCJ4qu=@*HXR)eAPQ8{D^8GaTn`^x@5>g|3>}-Qh_x79n z`Ssr86I#St43I1GxPW4*G9%x6ovY*+IWnJxE;>QJpU>r5o*}ywkglYc+*;s$w`2JH z0r6F<(Q7AOf?q7)KEFWQh1^x(?J0K#yn|AUF4DHB9JHnzn`yXvOZjx6caSUR3c0(G zyURaBj=sS=&E>gEX58p~*X18AzqyfxC>kpZZo(c7$)=k)XQ2tQu81atlv4+JCudYm zHO0*4(TOGs`B{ys7iGV}Tm?BV%aO2S)wJf#jLIdS9|ev3gpyVybmYO;wM4eH(AHyQ)5GPP*gtig0HR2xz$_jsu?C{-pUM1M#+A~);{xq z#fOuJ|3G&^S#TRR&p5g6Ht#~0?=d-Zn0Hxfbb`*~mha8&)>f9^?kyf1o2YF?@wW8# z+G8<4Veo{u3$sGjw%gybPcr_0vJb@`c~aXW#y$)GG1!Zqmcxc~Wi`OZ#0p`Yz67)km_Rgt?W^ zm+MMcVDX7e8-c(3%eliwc&}^Wiv27rl>Wk zMf|)-)YyyuWZ!^2r>=RELmB@KyT49uz1Mq7YGjLDdKqJQYI zh;^U!l6T+dz1bB$Le||!oZo#-yi!yilkROJZz}cnb#Y>6mJ%mM=&>q!;Q)3JwuV$W z{(kSU7F8p!k%9YtCrbBd&VTGFIeN5rQ>uH0cK65yYe*4#fH7aS-1>lZ2k(+I$B@$3 zZeY9|DXnebkq5nZ@H#DbKWMFEt{gqqJ2^GlPy6^}$AR7}=H3$Y9-H8DUn56{tlR23 z+2tV;cjFxHRcx?@hmG6(^jFbfBv5$H?w+jb# zPuE)I{6H<$Q*P}Vs4;!tCE8%y;sPdPkV>Cs(Q%Ro+eAEj~o{E{*vmyK>L)-T~o<*{;2M5`NA_iPQ~(N z#d`w2cV zw*JiK?-G7O$9I>u`%lzQa3{(w&wHPCg(k_-FL*n*3O%WZYZw;tsoT3>D)+p=(*zq* zzsam+bd^k#ytb4?wO>U3D0yJ?OK++5#PN;f-ivLK1!b&S$Fz*Vjk*u78o2qUhz?CS=EdbZaC1 zNBNTFy)St$az(F_^IoEGbdc=#GEIi3%Cu>0U`3zV0r3~nvtIGu(Y(Y)?(`f6ZYteRU_?!7a?wdy~fU6e!ATH8k6&Q z<;>T;SGtPMlufU5vxP67J9E1C{Dcfo;q_KwQ6B$Sh>KzCmV8B{P`YM#FLi}(l>KJ# zZ09eM@6TXsEgB@#-t>-Y86K?TO1M(u&-C(*H@&aB#Lco_h4*_`NwLg$%ep^rn>+d~ zZ-)d|(Qr9+CXGZMom=p>_tJz^&l4treCP(RPcEP3y~`DzEc?B~C0zBP9;^B1_LMBI zd6x|(JXe19uD7R4%#%&;dPk+kKGBYTIiQ+N=kveglk>Ig9`QbvT9ls`pHozx*^Z0h zxc9giaz<#IPw$tXzvq1?wd8(n;{uy*o~DvQNr?|6%OCITex+>lf%jo^f=ke;#bGX0*n6Ta`eaE>ykpzJmHnUFYvZa?L%k;d8?MzD{T7O zJaMX)V~{z>tF?Ry`8=|pmh+KOWR8|Ak)CyVqNkR>A@lwv+jDh?kpuN;ALIsPP|HH( z(Diwuot9&eA>;@xUqbFhUasYQWX^^>u~*BL$Q~Q>#06UZhP(%9dT!4JUX3(e^|5xw zO?je!+&Qff*&pe3$qt`++oX;`kEzcSkLg@5ArrUc@jfoTSo4vgf60}|-~J_kLzZsM z6K84X_Us9>ke#&bgRDX3X<3NO+?FRwwH$*iM-J2SC1lHnf6jkCGK73kkFG?D?Rjje z@veSD79q>EY;Wy3JMzQ}TJ}MfA2y`J&M!Z(Qh&d-2)JXuMp$6XaCOyHC4(F)>+w`kA+F zN(xtM$9!>Dyh0Kx`xMz^IWOrfGroYK(`7H1JilAMXw^dJ#BI@;a`czpcBve0J2Rhi z74JMLm20TGoM#0*&?8^?gj`J%DVX0zUTCdxy5-c+LuP!*lH783zUU&)`^uYc`Gis5 z#}~-*De7sJpo5-rDolRql6>*Bh_{`ZCPddu^Tic9e-g6vQrTpA)#v7m`-SYlI$B;s z`{s+6TEthz@)B3bf`!ywcSXMVO+NS~tBQ5?mHA?vMPPM$oUY9R! zPta3oDa4lR^F{9#@uf;ab_&QQ%PT98FZ$>bDVU3qo%C9vCZX=sYlUhG$kEk=$ijSa zhM7q#-V!3uGc!RZ+#u`lO3J-~3qa2V>tfVxiSZRnLiQdkdwq@5xZCo@vGD>aSSQDo zwP2P{#cgsbKFQAx%NN(`{3+Z8n~~4yD+x7vxNO47mB)MFZ%FvJ5&2?&y#G{2&mGBX znuS6Rz9(PYtM7&+WbG(9m6+t&_vMR3vrs%tSC(=Sy7WRJzZ@-_EU(EAa>Ce6Ip;~3 zzYWS>-(v3ZP(HtBMqjd+6CaVIF}rg4WXu{a9G@>D6{4e*^KeSu zCi6wHx#KWjQN^gVHbrK9Pp0>#ZPMzBCJU9KXur)qL@ZSt#T&ugNAWanbAf;s|--=iE|Qi>GsYxb%I8d~t>>_<>aa znDNj1!SY)CCR>MIsU)6b_f*JvmRH4F`TUA5y>(z-jl4-u1a;m_nNfq&qcijQL1De; zVgBOneDO|F{A8yh?|Cm@Tx@PUWaayEDnUs#@8^rh&6^eXANIhEt@Q6FOwNR!+UniUKS(!WdTh3aesk0 zUQeB6H>h{ zJ2D_nH>-sC?jvQd6`Y3F$H)Sh^xZK5ekjtq0#g_*JT|~9&&$@<TU59&P3k;-=wBDGGyoevdMCqeMLYl*Bd<6C$9>KCv=@8WcmPE zum-2v0ZdJwQ>+PB2gJ2zfA7ROy++Q%Df!-O0^)K#lN8KV$gX;SM{T=CW<;5G%5}0= z)Y~~}-gN;n${KB(wuoWh^#Sp$dD3q{f0h>zef4n5Q#qUY0kKODr)8mkF9?V?6XS0z zQv0K)-$dJS_tfD?Z&5%zqoXGwtB_OT`)QlhS?Iz$1NJ+fMacO`6WoBj?5==_n$n#) z10@0R9XC7gVbZeDd+!d2&vfau{^-imfEe9Ezvmf_?mmXr%uFVsmp>Q~D|EV9$k}59 z;(X)22)+BEfVh}fRO>Bc1G?w9fcU^vKaFQdq<);BI(qDQS~u@;`lDA*;OdFHrw&IB zdmMKyCm|KG($q%Iofr`7bnVnd=qXPHcqoaVg9hXcPY1-uW|3QFa`K-Eh$rKhVw=<~ z^cyb(>^JxQku4{44Vu!(r;t~f(#Tav{f<0s7P3&XSLnXe7NLhv30Ut8JaZML;aoau)KAx43P! zT!dVNd{)Z_WYNq3-NiGv?83!>G#@NvA>D6t_T!^z{gJDX%S@ZY(I31U5GNQt3B4#1 z5LsHM&O$f7&jzc*7a`pr1VoNm;RdvSc0dH|74OQssSg7p)#xnr>^TA9GP*x{&fI|5 ztaa*ebooaC(Mz7kew{W6SvNl*=9=MI=x06+h+j-~Qgi0qOJ$>GTNk>~2%laLL_XHD)|=&=g}VvFf~5xTfKAjYt- zaG9qypf`NYx+dsPN?M-5Our3?A9T7b@e+PF$w7^s(Wt4~Qd8pIPYM8v^1hJ=`DZ+Q^HS>2o;x>`h#`X4{&CzIRJNe4C{2 z-&yFKodI!%J#F+Iv4D6(r)xkSyNgZI+!rm+BN6Hy|qF z!>PlOZ|)0-^R%3V+0at2|X<7oE~m@7W)d4 z>&V*hQnSzrzyI_8>5uG#{MPJu!_h}16^b>wlS#-;Nd20WIt#flxlk<7ql=J_9Z@Jw z(Xs(K6RB^_)RsN?FJzDtbR${F;VFgUA7)qYkM7#4Q1sTp!;!_v_){xe3bGXWjJ=_u zQ{9E;)hu-pas%=uQyCdLrcfv?Tb|8#i62`iHkiuDA;;0CUV^m#=<%%!MPIWd!_hw+ zU&v!>{5GA0?9!%?&voK*7P1uCN6SUX*~oWv?gr$bwuQo*YWA|8yvg+xnpeKmEM#uS zLi3StT7Tqo$d-0x^eZP9{_|xM+2fQ#`+A#&9D%&dR7O@J&Bvz=$g?}KLVC32Ih-1# zxk$2*C!bnqKWg+xjziwBorfbu=Rz?}Pht{s8Zucw3#83LPtPpmrz7;Hxd^=^t56I! zC!+z~(_1Jy=mJDjxL?Fb-den3#E^xaFTZ+Jb`rH1hjO}DA?d@(ZewdV6<qss%GY@MbIX25}WGNYOE?pOq%vUmjl~8(Q83A^B!?@RLb9IZa#Mz9k({1Q z^Sqnt&qC5ge{>rcDt86Rw@C)+YeUlS+)jf>xtmDldfmn(9G@c2qi_GK+&GSgCy=Zq zxeZs?DWq>JcH^uUmPN9Oq`IgLDl0G!JR<5VFL{`(;b#VGJYdX5+$=pt|qxbB`zd+)&p+iWt3Gx`beqU@FKHX z($_rX#zk#-6Uk3W-T`;S`E+zFJ8@VcX?>(^_oR?aAgN9+;aMankh~O$3rYWZlbi1L zm?ymolJ}F$Kvil<|M(X=gh4lvj{mFM2-TNJE;?gB>NXx!4ND;TI?3rurjQ);n7i$i zN%B>a>hD9t3#GT*ZPXgH>A!tk{HHF3A@>Bw5~?776Zx-FRjVa=;p4PBakg(F{e1-$ zjjBaVq`A4pZTwNq&F}=$J-4}yD==46NY8(|?O2^f@*$GXs@w}n&f0OJUn)p%en!u( z@LH1HchYG>A7@D0s`SaOOT;9@`1<~Nw$sBB=+nSw-Nq>N0Lc`R=3R>ZVOb>eN$N32 za`Y~@F--+mki3KBY$a<+9wLcp*F^Hj32(#&baC^X+jvX`Cy@MRDI~u>A+t#S zL^4qY7m~E?Zkz5EB(Eo#fO1JbKysLpO(ge_d|b(h$@DYi&%2FSB@;;AMKV>%6q4_f zbeJ+ci)7dfZsRgN+DNCp=r*RP=n9e#kvv<;T9SV!X;ZR^qOELo`%iy=# zWG%@ul53T>iKJt{zK#lyNT%}*$w7L4k^bs!+LyZjNhiHa=M=glEWb@wbea2xwobQZ}2Bp2fBf1edH4hML~;>Hv?k&bn(IB&jgQr7GCYBF-0A7Y^Yk}Z^CF7$M`xxR zpFn4kekDHLcvyEm>1#%&>&uq#3exwUoo@Ja>7*~TohY58o1`i|EFy)D7WQ;~21y_} zi6q@sz^<=H__=|5R!_Xw4rX_IUv`IE^XBZ28p zYxBnu+D!gQ@nUe8ZK&nJcri81HX)|h@GpKIDC4oww*@0En_gWtTx<%njj=pCTpXl0 z%Y`FEOt@{DC2FKt6mA=6=`&KSmbUju@r1OABgJ0nFHI0J-N;6tFBWwp9(lf4E$znh z#S_w&o-g)xqx_0pg1+w#we*`P9MbNfCHm4{JxS&t(>3l!dI=;xPo~dT;d2IkPQ&Mw z^m!IOZ>G;P@wu8lU#ULNJWQWwO#0&IL9%^jpQN|-Nfe=w9OYji{n#XrCH^p_qZ5AH zCi})oyl#@%-ktg;^8#_SJGHfbvKZHcZ2T0lT3Y(!_`x2wpdMR$6ff)*rN zg1Y(%xC}9;m(41sMA}AlmG9H4G(oIb8)>7}U{i#n7j;9y6fwJ(jh0W%OE-(pHrM?6 zntR!5f-Rm*v8yiy7cKP7?q|C;$l_Y;+tc4RFeog4nF_aweMz?dI|tZov|jrr#jIjq zgkzZS#Msg-b-BJ>F}4Y`3hj@+yjtv$*1ASa9cY_rv91%F2HNhm zlobodAlrm6<9?N!OWZJ#76=v%vSnLbCBkB*`dBxLO;+2eFxN(1;=D6$iK54uwy73R zshEAH?Uk^ihm@~bT<(^Om#M+e)c1zED66yxHkaL*30C(brXuBQ4%+M;K~ z;2~u5cZ%uKdVFHV5E?|DXT|Y&+tBa?>Z6cCdSAk`;v4BNdR7b`N;{~IR$)mSx%))i z0gAKk7JG(LoNKrEMq1BqF?bl+{M};uFpAHAUaTHQ^~iov^o}QP^NUIGvQyp=d!=^0 zA@dt$Nqs|%7;ak?Z0t)H=YD4!-nHdES{b-cd_0_-+51HA5w@jasR7ku8$jNie{q7gNl4z~(kwn|nu(}Hsqn&H6 z%^YKEw9sF4#{I!IC9G(w^3ihztL(H^^$)hW7SAOjJjq6DJ5$8oB-_j|YpRM#74sIz zt{-blvSg?FmXEdFZm|^6Du{FC0G#XFIG%=*CHoq&>pbdX|8?T{c~nDVk#EEVTUUB0 zB}-*fB-RXe3=q@Lr{U^Z;#+>cjn)kMvz3!p+lq6Bh%a3>dhq`yv1_93drM`mZ~G+M zLtQOp_xPq?YMGqx*e6EDS_)6e(tEZYr1 zVYX*=BsH|Zuw7-#4-0^qh4vS9SJ^Tx))&OM*;IYoOTOi^Wf6frDq%nkyt1ol;Q3z> zQ{B|-b+3tW^JyHlP@hkJnHF)<0>yNyaao-hF^Beh^M2p*wOQw64#^hy`Rl zpNrWGY*Q@$&&4LG%bLVnWP@#A%n?7u+lF+LLwxdHTCoTaJ~^CD(F9Vz@XgP#O$iS! zGv@l9TxxqN+>+hjH~UuG8`Qs}eIxF)Jrr*7C;Rr^XPeT+5_zrYeZTEyOX?!A`hFT4 zo@`qGYx}@bcB5~EuubS~i7fUldfYauizR=HZ{rr*&Y-a7ZF4acC*EcsB5tp=ZL(B8 z?Hjz!wxx$9a=-7b7j577w3L10+xw>N_8?1Hi*M>a+tMDE=1AYJ4{htagcXhUm>D#S zHRqo*KrH)&dN%(LzC}l9riC?6R8Cq@eW(2l@k%2N^vcPKxx^iEUHmzp*)~|3r-;3u z*=Rj|s`3TI#4~LDec4BCNg)=$TRib4Ic?V}r%gOkWFH_d^4fbw8*0lUv+_!d;Xz2G zpw=gKi{OY z?dvTT+aTY;bL>5WEJmE~sLf7$qw+%E$1eNLkrw}AG5d1+2Nu^7-?-`0Q*@8dG2ecB zAB*)B-}amA_jV1dte!28SoBb6_;E=G~Zr^DsYY@9`w@F~eB+h=55yDsqyry_qxtr0gR;dPE9^01@g4RdLG+lC{QM++wiNwC zymg0trX}(dF=7STvLj-}3Q9*0RqY`g=0B?H;+I|5-)H@ky^>C9O$s)Pd6(0^XkKa0 zv}AuNX5VRlC%CzJp7?x?eMqkyYC#UYOvLzF2b%}NyI0vSvowD#4z9A_99H*@@>R;G zA?XRpyX+TR@>_hn?vj0%{k?B*0aZQB)2gCuBIru0L+WaKWEV?OH?gPL z#L@fgOD)Y2Vs;VLKEJ!zSY)S3+g%3D49o5@A3bUwqg-q3)-a=|VupM=bAVW}#!hRb zBgL*Yl+GF{j!J8bq~`<3rbddX(t0Ara%uA;#S_w&MT&#c`Xfd7IL+Tj`^M%-^-73LYH>nN`t zMBV+ets}&^_4aEm`R9mD>+LsN0uB))?5lz!Y2n(r^KCaE>=|7M}?K;>D2Qk(6Ro_ z3OgO^>+V)CQkZb=7W-$xmcV-7)a~}JQI_oezUi;n>8imM5Sw15W~ByXH;y_9Qs^@&ztFU89pDN&z1Nbat=L|j?Yf|T&F&tmO-Bbl(E@v zIpB&3GzU~AE9LZ|Gw*9O;L7Oq{+fND#p3!z#MIJ=DEmYO<*Si*U#sTP z3O<*1f~b4l9%{*N76)Iqzhg=Lj+S{5Y<`2F|F{%W!fb}RN-Yy-*3n6R;hXlY!F630 zh|}xr7Y4h!nIFy*Wp$LKl7>tj<>R5{7W?eeMBP4uMW;(KGt6&Q`Owpe@7m);`djvY zSZqUST@nQr?WaJx6+&XBrOxjAX1{r0NfNW)CU5H7Av~z+WQ4r>e9sWdIFW{(#{dC_hhZ+`=-8Uzd6WK zd7TJ9WS_A9kgUNH`FTok>aqpmr#I}e;`&3>W&T^lhz3gE{6}f2lm-g5=KGE}$n^e| z;@}5F>pmdbOpp3~K%v%qXfcTW8S%r1_LnUFHDXtQ+LgUt+G)b`kxc)9Z_!8gp+Oc~ znK=3}(ZI(m*1$EVnDi^xGIU+C5j!-T|FN-HWwXY8|-cvrmd6^^THrg)_^9OX-&MUG< z_cD(rG~DQmUCT5%27Mnl+SkWe%DRc=!H##rDtlz$fOE%Kc~T5A!5-Pj)6YUX^!#`OMVi4 zO>@w53}bzJBOFuc3&VM0Zx6?mUb4WP9GV;FsiO16zIb_DBcCO8f{dAFshi-7>FM~F z#gcldi0SQkl-?aB_Vjj8|4$b&eMsA`6npxRuAJqIiE^x>M_k?V!|g%B+TTGV!y`uY zchGZk8LEtcxZ`}=FyZg-2!z=(6{}RNE}B?iv0_&9+mEkqfFnScY}YGTCg{rujndN{ znU=B}#JE_;dW(OVcuQJ3>r$K7hlF|VYRh$)BT-Bk?3ika+#psDcFYW`+@N#6;S5Kj zZ{S&u*&&v?3bA~cW0l4Af()Vw5>NFGydY-BQ%~Do^sSDU*;@Cv)j?jDOgh^!#!~R0 zZ#mf@OY=70_EGXf)raEfIgWQMMdQRC8`-M$Vx!$LDkfCWOPMePq;Uqxd+&6N6TXk_ zGU2fn5i^<+lwBdd87<45AqG1gLoJPIVyd)t>0%k#nB)w4rBJ(!!Cfn!4>oe&5+i1jFZF=5gS)m8i+@M@hgt&lVw1G>?~A>&96MtIAGG{z zbkRlA?G^eM`(m(B^AE8xjpCXQi#^iTej)p16v*=QC*scg^z$ z8;$h6K{D%?V(@ITRbPpzvuXULel6aTy5w7NTv~sN7<@I^^6$jdt0}Me@5K|+mVYn8 z-NcK!3Wv1ObV=ZLjOk*F{_bawxa~?ue^KG4K1drV_7b;b$BA+2j#0vvPUAX0L5eZs z0;4HdwkJgX6LFf}lX6rB1)OO(hU7af&C>9klk(DfXtzN}6_X*HZG8 z(<2IVh?Rjg5vzBKMUqh*`38#0IaG-%r`R=zYF3#jzM10~)uk?pUfv|$pX)eNOquH# zVey&ng#K+QKa+!#k zN9pRPi>dP{UD_;qR-CviO}s_Ci|6XLbVKJ;y5_lJ`h4=&d&KJblrB0$?3z#MN-{OtRItk+U+H?9;Tu64}p>ba9%0v2pOIO?mt*0Itu)w7D;>eViP zS;onwh7ukvkN=y)T@Qb4b8SS&}!UB1##Qtk9mh`8O^(0VdYZIr#Ks$_ zgvPaE*9|nT>ek9}NKXQik68FJYJS~XG(UBjZ2np?dzoXYrDUDhOExC7xMP*%R6g(? z9q)?8>RcM3**7{u9R)1N+=B<{@{)M=iCUSrY!irw-Y=fG(J?TlxAkUv2F z97jypO8T6?p7w)$n)3`XIEVILV7*wDL+5}r@zITr)4QY`qLzrD97i9~kmGP$l1s$6 zo2bS0C1UnX)Q+SLVlU~Knho>@Ggg(A^w3#ggIQG9&Gb2Yqu86{SkyJ@eLDVblvRv5 z!%3e#5Bz)D6yo%9>YE*>cg>=Y@ulME%~V_g-SoSKY-6d|D{b0?VjOjoBb46g*1mYz zLoFZBfrD|`y%8VMVg4c6y>!%iNL6nJG1tSgj743m>2n!AI|IS=CmdPUqOP0ib9R|o z?J?E#*-CGZ<7GAwPhwn_*+M+^5n1NoF0mic!AYFCoQ{l@%V|i2Zu0F~?wAm4$$i{+ z{5JU^dYf*G=_;=F<93>I_W8x3lv?ymX)A{4V}TdM%t-a*tz-IJd}g zX-rkr_di>7Ey&8Y$I-jTDx<`vBF9isb5laJsJkg4R9MR#*_Qe(Vr?1apZuWD@(5iN z23KxayKY}lUtj%S9Qj>*p2r=vRl+rYbd(4@IyyuY%pV;uvX{~)*IA<@gOiM4G3e^i zeY?n0;aJh@=h1zH^=b-E`gwHZ`T?UOL|w1pp~7EG32L3ALxm@i{>i?P{;8ioI##64 zmpS#KIGdIJ@kk~eVL#JmHbOjd=I8;wehWsAtGGHob&<*RKX&M3*i@zqp#8AXm#g$T z{yON}FJB~^eELS{ZLoE)$4vi_vDWDw)4Q1VK4$v>gPXQ{Xt*d*9x zum!L$!#2Xkry>6|)uXGR*TMb;_IcAkWaL{q9(yRjv~iWnuiz>(zma`rcdY+lrd`n4 zv(5Nk4>EtHSQF*!AMCo?bYF1Y)hfFcuw}3{u*YEI-73xlTL4?>mie6F4M2Yl+a+Da zN5hVS)w_{f*Gc>t%wGUqudLVL84jD%v7g2;oyxQ^w_|(~bQ)|P^WP6$VOlS(0Yl4Q zgX3e^gNydR%luz39eRzbh>lNUdgcjjmTxRM z0n8&x0n=4XH!~f7tt!w=O#jSgIukmdaa!UT21$8JpUb%p#)H#42OM5Ql+ zt${U`D(-@ zJsVhuxtK0tI>55&w@hWL(~n^KTxebFWaq$?4dD(3?8yWTp$4uIZ?~M(E8f1Evd@u3s69Xjm(3Jgm`M!e+zf!WvPk z0#?{;*nC)d;rz4jj9BMiEMECMvfSi@$a1?KRz86&H~HwX+|H%viRC6AI+mM!+*oe% zIb*pcU8byjz*uhb(PFv%06T`BB9`0Du=tuz@5qfVHm{GW;P6gYfeG`Kl@AunEpMr^ z*Waq_9eK*Gx>s4-qsq!Bira6O?o@hajj~DaD4X+*vZzR?;Td4|n%KH(M2s)U>U=fG zTRn2InU#zQq5lKjl$PI}q&6ayrf;8yWRcL)Cv_vz%WpnXTTfT6R78p576*vHTJai0n1`0SGItzYz&qJnP3I7>V%WIZ01PnyL zW&{j^u7=+V-3)yuwDlZSp~28D=(C_RpyQy6p@)$6${N)I3`IaI^f2f+n<^k4IvIL6 zbTukG0y+o&k+f2IyzBR z=ql237mSCNOWx!z^FYsm4&XpDm$V!ME8+LhXL)2Shn`2DW%@>`<^G=!V2xD;E`W|7 zqjUze2l^W5e8gW1T?+qo&^6GR&;i6Rgm#^=Sbb+(1Q7BERiOM=*3bnl7Z^#M2fYNk zj53hhQfOnK($_q zg5H5Ka3^#s;#WbZBzaW^cLCHPK>>6V^xe>*JyrZ_=zL`OXXwq)g{0+}iAVfB@Q;VS z7dq7oa34S>bP;qe^cv_w=(W(L(CeTpp^KsY(Dy?hfL;&nJq#cK>d}G{Xk)Bu@doJe z&>Nwfk^TYbEci>IBO+D$2ce7Me@JPsu^ZrF1jHjj8FVB3k3ffxQyFf8c0&IJIum*` zbTRZ_q5aU0nwrL6Bfw(_h&oplP!630{W!D-`U&VF=qI6fKvzIFKtBa-wW|ELNG->I zGFrS90r?21gbqM&gLa*%GT0711L>cJjvTN2JD@Y*e+jw_>1#-PBLhLqGunLZJi5APm|X zrwRxsEgMjZ_->@>zqUg!y-L)~zaIH_ht5a(c=}Z}Gr?|TFdP}wLyv%NfgTARa-OO{ z0(4|ArD=_{SzrJK6p@x&7SgXlyw+>I1mwvLj*F;sQAd}Aj4XH>y2e>qR;M(BS*dk`GiyFed??h0-6R`I7nyO5sNQ<)XWm0He!`YApWL?8jJkTrEY zbT{ZyWDo(J1b=tZ^q)}&e-G%%@b`rFKu1F7k(T8fu>|BkYe5EQKqs89S}+hg4SEoC z2{N=o?|?oNx(<3UbUxCb1s&*(^M4#bGZGAe-hl-45_GeIA$?SZhCw&L9}gYjQvTu4 zF6a@^+0Y}QOI==-AOWBb0cS(ELes)ivjPbdRs1>7GoWqIdC+#~&Cv9tiDvo((4(PS zy#P)C>m*e`qSk0JE$%Qga6$h8+5?>goew=0x)gdG^bY8Ap}n;L;{gKD=RqHXo&X&Y zr7Cznv=!O~?S!5PodQiOuFM8ZMguQU+G}JWU@`*opf7|jf}R4s8TumV-O$O<_0aUY zlxBe~&{Lr^QGrWLP2)ebuWC^W0*VlDDfABLY0&l1mqE8er$WagzssSMp{GN~U7*Ul zLTWkx(g0>4AP<_B^qVcnKn63RbD(EImm)q5x&Z#Gv>$plbS3oFq|NXDegHQD4nU_v z*Pwto(9Q7A)f#>ebVxtd!gN2{I6n3VjXqWF)v2IuHKqpxy9i zLgzp)gf4_$1icx0v4#3y9{I|VVHN^vpqD_mKre-kzfe{9dgy9oC|^h}^J{><0Xh}& z%b;_hZ}b9`0^~sZp>Kk2MTR#+NA*_~xCOcy@ww0m@Y4?jnH7qjqT-iBkB9dD5g-d7 z54sfkHt1wzcssOTX8_#x|r{9Y;yQl^k6zT-f_dv%bs}|i0?KCqmjQgNd;V*)o z4F4MFmGG~H-XXP||LXu+5Ks&qeX%O=e&}TA_0ZYS0=f*k1lm7TrQZPE0RKklsHr&r zKLC(IKyIbb1<(&dTZbwCL(rA*KMZ{sx(wQl9(V*gAL%ziTQ9-+|1SWZOH=`yp^Ksa z3cVZpQRr6a$Dpk#Dt$S0GW6rnS-BbTzaG`ft!H zpEX?x{q08V8Ko`RQ5p)Ig$NKzV3-AvFR3n4K(9O`F zKp#f@5$M<}RRcbSPKIuTwvJT(&!F?*KdR6F`3U$N0lN{<1l@`PzkrS!pfdatx*73b zK_|f93>`mH#eWU$g8v&gzzl$Iq1{N(0$l+89drfs_s}^={{wWL^ou+84exV-{;IFP z;p=bq`WwCeCV%bq%a-NHTfJ=CJ!`ReDJ-GSx(|YSi^lVu0f%+N-@;{3B>f*s|A$eb zax2O`!KK!m-Uxeqg7X!xXSK>c2etsV6t)t!2DSmV1vd20Dt#<$XWRz=WY{!iy+$Vv zBMX7~u*I;Q@pAb6um@n9U_%N~QCO#GX|AULcwlp3i(t!P{jdjM8(~{vqwY}!B*0FF z^}y!A#tIeZl2#sTJOC?UD`6X8OOUV3#? zfNg<|xKCvm2Rj~i25c7WO4w4^9k8{qhhbY`Ba2YcqAas~!v&BAn+;n4TMD}awifm< zY%6T!8dMlI2{r}R1DgX|u*Rzrlmb-3R>RiAHo+QeReTg|JnVSbRM-sIJlJB`3fOAc z1}{Fez(%Y?3t-2?rom>PgcrhJ4qFY|8J`qDz%kg!VpV~7SQl&>Y-$hrr!2$BhV}Fi z$1iXW^`_02e{rJ044Vm?4Vw$w+#}PBvt_9GBv==00c;U$6|5h&2DT11`WiEz2*ag5 z7|GWxH4lxx7bZLBhkCcYmtmIo%>Rw`8o##SO}|TpX@2nvlpy1^uv)KT1_e=; zbUpQ_R<#f4{Z@*Q3kj9G6?{}&`M3;O-y zG5>>3y~e+&0zZ7IdgN*VMq1#cI>LN;0XVntZrDR){BBV4frqn zz5iDQqg-#>C^gVhbBEDCbM~oSb26KaxL;>!{QbIwou|_kKBau+_~(Js(4xt|vSm)B zI~8lrzmo;>3ZAMyS-#{~^ZyhA&0+ET$6@}TYQ`sPxz0z&Y2B&y{(^layP(sIeq}x* ze?f-wL2K^^DClIi8F9a}^%}o6LtWutoBj>6K{Q^ge`U*dAl+}Wa=z#cer-#1`d?$s z`TA=T%E9|Pq*KQWp3%vALdWYkt$(@2@#2>!R423FoUZr34WaSu{rs=8H2!%)o$>#~%K7&{DX5cj%=!Oo zEATYBTn=2hJyoQ3*~#q7h$Cy3Z?pquM*lnQ?O5YqTW|?lwE9HLPOTwcB)I8!wx`+< zFAw~^D{_+jyv9iaezTu9u%o8&rz@ZxTK`Y1e4g^ZSde*%w=`7!Wx4t^WxK=9?5gzE zF3N_&&IwU^N3gR0v?x0T_TeCSr~nrYh?->i?xcF6>MHRN4dkL95b< zuq8h!JpuN%<4VWC-v6W0M~*2w3HHbjN;_cR`d(_<#h05O==X-cQvtnTceN;e0qovy zm7W3HD@?Ue+ezRy*nzMSu%+Lq^n+n5za}jYaed?m+OBU__U*5fO@lr3rP4ED-~U4C zIj~JlN-uzY?{lRmz&>+S>5;HSpDAr6YaU`BZB)PsyZTe514opd1zYxs(w`kxb}nqy zKa}nTd-KOi*M6kzVAu@-r9b{q*^wXO5dX*r3LJl5*(+eH8kFtw@ztVkS|NNHH&HI$ihkdtBX*~wg zq08Uw$j3mhd7~pg(+iOMdPm2ovNo55`ZsmE%;cZuxJsH2!~E1}D;Ajs`sToN7q(E@j8Uu6}gezk+8aj+qNriht>SW zZHiwAtNAaq-cI-Z^OdTAD`0E3Dt$HV+gp@g0&8|7{WBW+OxPgUubxuzb+9j(md4*N zOF%EFK?e82UJsiNdp_*hu-{auf)Bt>MTPcAEysT)b1a3vjPdiJM>2l&NmW2CtS(>| zbUEYNFReNMG+2+om9WcTJ+RYY$HNYU{rL%1fsbI{gnb_NN!S};$HE?a9P|H`$5jTa zU}wQz2s;|~OxT{V|14MOK8JlBb_;9~>@wIHuw!8Rm1F*Y|Cq|?UDztv4X}TN?K~ru z{uk%t{kIzZvW#>~bj5W=PnCOhf^@X-5?DPZ5<1~MI^n-CAFt6_My-#ko;V6y58D|p zh5u^U(_zDy{o$`FUu9|he*&QGe(0BAx5EC?aj)`Gy{B|9gx1uM5_@;zJbeHqg_{snMd zL2WhH`Rn{Nr#LnLJ4>JoY?r|76dtcNx4K}R{@*p`KM&A>+VYIG)_&a+TI-f-tsA1# zwOMZ`U8e(d2Ha}Dwz>gYYkM;8)q&ccEP;;G_BY0R4W5zC@V8ptV>-W%oW|dOcKrTa zH?)J5<6l>R+m1E;?Z<%*1>?A4&OhzY1)fa*>e0@QA5@;2|GMCl*;6g}Wci$EAm;xG zpbo)YpK8H;7i!&Uh4lRIG~nO6%sc}AUh&>jZJCbKN48FnXeT+K>7Io>G5>$B7Il^n zD;UQe8h`)Uabmjh41celF!Pn;|5OXmak|2MaGfe|-vD#|>3yjSI900))bS_N=E$Xg zlJQhx>inhhZ%~VWwy38QpN9Pt?4_{uBkX3czzV5*Za3%r@k>OzIGU&5N%U!Y? zIu5!TdI)qa^ib#n(8HkJ&1%5L%L{**VNr}4gu@ZwL4`(;HV0*8QpBGP zZC$A1M?oKe{~TyP{5FGjf-E3niArEc0R4pYt^(&LR!c;>?~%uy2ZJq@=ZV{%azWPmk28PYdZ26trOJbs0v984!D!_;9XsTex)L&BhTkqV9yJZ&1*ptc6RAX44UvCeojF z_P2yy=DYc6XXR-FbR{nh>(GYA{l4>`bB1*d+V8vgCFho4vd5~aU&G%|cgsc@)X@z! z&Q~MDBLLn{7f-$Cv|6lb;?4J*Nfz5y5q8iy$P$<(MjUjGur$sRGY>k?v^32Uw;rUp z=2_x_gU)`>Ln`9bGEOY~OJ?T~Z0#kEz8IpmDDL~a$H zLzGwAR#AA!Inv_UDxQ^o>sE1C+RUw@X9LBhZWUvtP2TET(BRw>Er&&)@0{<4gk=Y= zG^<}J_K9=)i^${7T#NM+vHH04UQ6?5BIYOODob`xvFRu0mom}XR_D?#mV&v$Vo4ko zUcW`n1NyD8ahAj}XBb8FxI z68{jnLP`G$r-?mcVwc3EpcP_ym&Egf{vviMb6lFgig8`Z(C>^&Q!jQ&^OZO*&G%y5 zX^H2D{6M*gJJN^u5k;pZ4iEZ4>>?UvNi_2=UnoyOh9M71i$(X)#F1g4S@QMkhB4DO zF*I>*kXQG=3Gq*`(Zgul5A7VmMo&X`d^>E=j|dxj2Xy4UXa}f1>d1Q=*dgXcN1HF$ z=ws+T(+257un}eGozs!`HS|vE$om<3XLaQL4ZYJ8mxpiK=$Bz>|EL|Ki266!7-0BU z`1XV+4h(YerpP06kkQtZwsc7*mn{*!BNERUfE}*K#v;=_z-V3}W=AAWnOekpNWRrN zhgbgZa+*`3U% zgyPK^rMp_b(@i=&=sNS{Nd?F^pGjWEDk@*^C3!jH@+DT1XR=)&U-cw;<%xJTql4&L zSvsmtILuCs0?$Q8@(NaNXMy`!{1}rDFg$3neEqlFrX#)v@w(?c!BoC1xRyDVB0(K1 z;0}}bH{zdA$0>P5Dz`@wzY-PIgY+5jdX`TOc)-gX^b4(Kg-Vc7xXRx+3f{=#{|VmA zcqBDZHf%HU>5Y5_nS22K#*7*hUfo5Lk>D81Xcl-CGLo0na=R881(1=>rx5YR(;cVr z!{7&ykGvG8&1-ByMrE<8MmnQ6kRX(0^bvR@<1OIPjGsoMTlR#N@feePdl>P|aW)dv zVEUb@YHExJx3T!kz?)eQ%>hqh@i&0GB$wl#w7IQ>BVwoOI(_6?2cC?KsG;We2)Kt8 zumd~}`RKv;GI&yn^3l^T0A8u%)$z|TzJ((b3Hqx14GSHEWtUdz1mJza{fx(h z=OZ6|2>%0k4U6|qg`N<)coXs;CJUzRb>j5n z2|bKD)To={#vwBSbsfI3xb!}tK@ep0H>{7TE}e|{p(dvb3Va)*Xg`M!+PWW9Wr@8Vcd{4p=^GVIs)w8$l^0^KU4=$@CReg-_z_Y>iC0BnM zt#UBtV=$)B#iiUXG`ME8F&r%{!R_F!;CgVa0XHhuF3`JdGk7St zj`x8_u=u@_%j^Frmf(FPh-L|z!L5u3(;$#zD4y|t;5L^3aBwGabNuTTjE7@9%jh!j z$&AkjPhtEf@EMF3fV;tIHmUh1;P9MqnEUck@C?SE0ncRoW$-M<-vZBO{G$jeUv^y% zb9{vax!`(VT4>bB_&mn@g6D(l9*qYtVEkP0A}@1HgQJA;dEjN>x%sCi z95$Aq5j=_UpTJ#=_n>3B?9pV#&je3x$IbcYgd?q;Lyn1yz&(u52G0c7V`2$-7K_gZ z&tZIBPint8otWcMBv{D`cow{X#n*xtG5#TV3FF^@mu+L$|6S;;BP&$S5=4VnGCmT# z3S9TZ1n}LAUk>iq`KaR`{gDuk8tst%Punfvb&RhDuV?&0@Bp~3P$l?b7Vmu-jwa@K z2fT&x&%j$*0j=N$yMNG=zCy_!4P|_=;$9mjdk*=A=?*%uWRUM-9`2akU@o&HzRX!ul7pBXe?Lv1pWRDzU z1q=WWeOAnlqY6i}3@?G-%J^LHc*bu8w=sSfcoO3efV&j$(^lcFregrz?+`IV63_8Y zRTsq}=m9+ft$S3*=n?icl3AyBjMp7zWAWiMs%5{p7#|3(Z!XF&ALe#89L-3e`FQYF za5`+7+a=%;FRO~`uRpWFqrmB$Z*B|0*T{t<6+NiuKp}V<<7MC;#&>{cGF}6o z&3J>!>Exfw9L-3O&v*#kkda+lz<4xx5#tHqC5*ek%Or1q@}B`mIZKcUZbR3RG`Cy8 zli4m<1)j?I{hGHM6XwZB|9L_W3cc^2KmtA{^j|0Vn0OZPX(*U3PRy+aJQG}xiFfb-5hUOh`VM?0;&nws`l(&RE7S+Ph{X>EFMSy&f1QyXj&dZRS!Zr8a9*Ly zzWeRDRqG2m*KQUB|Ge<2)vpUnVwv5Xdgr-0M_9dla-o(8TPa0hs1`+Vg7FM=Zn z4m}GV2G0lA72N_}$O?EKytI7*lz%OFIg39;+`Rc$#S$Dvf@*L*kADO|0In+${Yj5wCmh z7~(4#*LN?yyWyZKPIEhr?$^nESqHAq_x->FEPe=h3yascK|@|qyOM^Ex#_!?v5I>Q zI;5MM6B*fFQwh!izW_WF2PoZj)4}=dmuEU}ybzq~q2`~y z70nM6laQeNL;|y_mxJ@;ga_P7Et8ubL)U|6fG3zQHI?*eZ^{(6_a2Hxt0L!Y(k;V42zR%G-kcr*&u`}lkCc<@1p??Qj1 zmkr}R8U>z=c&|=y796}w9pIjJ7mc!vi@>uPcY_~5!{}^oZi~TN(J;(1ud&>8&=;bM z*PDUkk>CMvKjS-1-rsOx{YAb0j*S8;+12wG;8lzpG_B~D(|Z_x=ICQOdKfi~4+XDd zd@Oi9<0;?)#^-`JN-oF0{>HNmj%JqNPVi%lmw+3))M(fFJP97k_zU2X?YJ!8*at^+ zJBQ?l!L5w{03OeHIQ>0S4mum-XMiU$ZaV|l|1RdZ011*Ap9!AI_(JeB#`C~EjNb>I zxr^QW+XP28OYjVMF5@-e`HUX|FJSyj@FLC4{->@EqIKu8>q@jk_CIY=;AP?1Q`x##aUc>kX@H)mT!0Q=*QE{&kV2-ztppo%Uz?&IA z27Zk3ZggamJ!(AHadr#@4>dXUzn(UBI3ihs$>7n9&jPnHz6d;?@!P;{?YWosaSwgb(Sgfk+G8yj+p3QhXcrN4Tg6A_n4ZPqv z_Q(Hua1^lwIp8IX-vwUA_(t$@#-9SOY~x-YzYiX-xVLTkHN#G0*PY;M{(0f3KjARv-&*hh<9`KjWV{Nzneo4aA7lK3v#5OY zB=LO5S@1OygfbpNUj$@)B;);J=Wq_6}(fX`q&oEB2b6Ab6%sGIii%qsAYT@_yNYpfj2OIDfnT=J>X3yr~cQ|??yOUSb|mHt&DE~4|y@u z+*vxG3h)TVUj&b8&*lDq3y#?K4&tAH$1#2kJc03U!_{DPf(K&^4FpeO@pj_o^}mZb zCL=*I%V-vO3X5L^K7;Ywz}<`&foHtPuK)i6M;0r1CwMl?=r!X#=cfmC9auz?&3r8h$s}%^cvN;JV-o!6R6F8hBI(PWyi`9I+i7baNOyj`20%35;(BcQWn+AJ6z+$>sI` zWafAu2~rqu2A{!rFx}2JPeP3M1J7W5ICvIubNuTr9S=tiOQ4^}$OG4d?lQ#Zv-tVo z1&rSWUIb3NOwGRnIEq<<2f#}i-vYk*L`LS=cnQ3m#UB8#Wc}U%0zc#3 z&sOm@;Cj$m!E0IkXz&A!PXTZ6vW%{RBft`5fj2Vl1#f12E%-6U{|aurq-K*>x1b7+ z5IFRh_&az6;~#)Wf$My}29E~UW94V?*p8gu;XO)qskNiSI2}BW@!{a{j3tW&uYbwg@uboMM}Q?b&8E7pk?|PtX2#D3KgPHV+`wPWx~Suy zVO#-6sCJmgGkV4rJd*KS!J`>31kVQ#MLv&!7clPK2}cog{2jc6@c?)kibS`-QD#cwv%5jy+>G>7B4#FAb~Exj|BOwVEsq+EEcc-l$^sF z@HXM+|TlP z8GJm8e+PWBmpSyZgBoNMVOEFUXNv?WEWQ=|0OIwa>*kaVqj#^|rDjJyG8$p>9>xsh zBcD+=xAWj|vjX&M92!^wsff>D@p{?PVHUpt@mYvRxnARDIC5ANKURV0p#`oPsUQSAcPogEKQ zHj~pCAV9N2j(@!iTyW&F14Sx$l}yks-UFV;;KON)1xFGx(t~j{cs)yy3?5)S9o(f`h8|i1 z-pJxtn7qH&aJ8;AL&qaQ84{FX`C)f({mf7$xE?F|nV~Ai^)o|$#`QBpH72M2*BR-D zhU!=X{mf833(Wa{t%Ck=out{6p|GaQ&6?OK>+U;Gf_b zj7KD?eV+xcPwS@>H}7}m!l8R&1QO)4jK+c&fa{Y}GI%k#p5L>;H?w@M1+VxR_dE5V zy9tgRNT4gU5_~tfzF=7g?g!WTYyz)g1#bhdYm3MH^TSaOho0YWf*%Ig2apfIo51xT z{Q|rdTz7RVc<4XWAzY7%Zr-tK-$cTpGl~JXg6ozI2Tuj3#eC+L1fB)156KsSC*z2D z7UE}ud-IThRt=fkH98|C7y_OHUJOpF6U^;T;HAi?ANX4ED#X(bBy)QNe20pspQVLE zFRVOvNOh6!>PjT2Mge-?zX*O91&}niH^6HUKM4GN@J4WzKkfe}IGW)YfCN8*M;z{0 zA^mia^;^Yh)|s1rIw%V9(ct0Z)Gkg#JRPCUZGhzR`kyDzPx}-h0exdKH~o}O0t(Q( zOh2Skjd&~K<5BQoa5|})+aJJ7DIatE>%lbzj?E}QProa{E5P-r&H%3h*Rw`H9aIgj zj|ckEl;#t=Sj|8Ew9f$~&@H%xwjD3*w`|^+P&^h^LEVb6bOW{zksM zepJ4^{%=A8J=*a!j**E1^t3EPMxmdm3dNv+t>AIsv>?>nUI3r`or)g@{yKP;7mjn` zXn@0ojC9LB2k$s~4dXa?Ir7mB2%`*T!|GW6(clr^s|v}#x0suL3}{DjmZ|mEX8kZu z3VK2xaP&hoyodC&FS&@vD;JTvv36UajJ=&Kcz7<@bE$;w# z%L>WyACH2I;3#7S>qkU(fcHiG!-%g1*SA=E|Nq5g$X;oPWh}6?MLuZ_Km8?cwGN)W{$JyVo;9W3dWPbcQAe_ z_-@AMgU930t`BI-z^gxC*Z+6HQOhza1-Bt1z3(f)53u-`z#ABU2RupVqmF-uaRiRT zEWuCUO^ipLr+UbRjP&5Lg14~vMDSL|y;I=``B3d+-9>Z3BN)#Ck7E38@L0wl0*_<- zX~n%p3REneqPMDJG}>*DX$fV+Knw5!}uAOz;fG z7lUUpo)4bWp3D7zKODR#{tBMgJ^{t=0$<7ao8X0v9|kXG{72&E^?xaI^f+I2-Dbwm z0E6#M{--v-{m_$%Ot+ql=v z&o~4}Q=3EaX7CoqySP*jwK5)Ka(WT&?Db{_F5HwJV{&>k7ryM4<0{tgwW^F7@g-8v zg8kr4?91g5@D>*TBls~EuNPVydpl0=L3A3FM_6wtbLfTEk&Nqw*3pdXh1OPZ-2lDN zI*!Hbh1Lm*pSb_87g{@60=>|BJmY$y^<>8NLhBU9^+M|z9XRcOz0lg-!9lOIrt_xk zq726MLhCHX^+M|$#`Qw$JjTs+*7Ev)C3EP7)`g7gh1SK4>xI^(jO&Hgn;AD3TFbL5 zadZ6ZBcNVry@Mst3$1rEt`}NYGp-j}*D|gbS|2#U&GHSs(7NG-!;qU^XnmM*z0kUe zalO#Gg>k*mx|Q)4hEn-*ABX(CQRlJi=|^^)@glFRY0r=MPO-oO&*CFh43*GtZu7}rbATNu|%&Rg4YbN=Zi=OMKn zXTh06)IN@2TrW9~Vq7mdk7ZmhIgewUmYl1Xoh2}bUUKeaTrW8v&$wQ4KACa7$d~k%Hl5qk7wNN zg~N@E!ccG)xQ!*a13a1W_2Ajar$6#}6g-v1KL_sR3H}a88cPrWug9*T>ke~k2KTV| zE|XNx@%X;rxD|Wi$4-X8QGgcco6P!G5{g+@I}u+7uJ^J2t%A+q`c};ah_C37KaD^A zV+B<#f&T3QKjZqh1Zu$bSkbTguLIY&Kl+=@F;Lyp?krt#LfAq9S_2hfCRm;XMpR! zcD)Urd}5ZV`F|9S6MvUeCF>u$akGMtAwCma_niKrn{05sYr0;b24gO`ZgA8ERK7f- ztz-!XBS9fcU;{4!*Dam^UdHlC0WW9qv%xF9%%Oi_rwUwmbtV#2vjT1guVwk@-xNB) z_)5e#fO~aU=pQX=ghTJMwMftm-u9P}PWTpZqfre;J$QG6M}TA3c#XYqM8ToEv>rT` zWpo6b@B0?;e8lT9VVSIUaY4s|jYyNz^?wl@x+exAK`FT21qtBg;QE+94txj8CmGxi z9)j646TDh-IsSD`GvKI20^N1X!0W;FE^r2^mNkOw(Vma^W^i56d%;`V6 zZ^5G&@0zT7E|&2D;1Qpx{7=)@|HI*kf9q7pq-R3?7Pt2Y{C|5}Q;AQOW_qOEn`ahOs^a&EggXNZt%wG;7r-=7XXp5;FhJd^VIe{|h{T%}|F$MJhiXc!|VrU)T~5Heb_LI@$m zwuFNaI|#9@9nN7x?Cc6LEg{5)aI8U?;jn|)5C&n`Fl@^pYu3gNzSn(UuTOQ}-<|Wv zczAxUANTd^zOQq4&bg!OkB!Yf1Qw6_7O%#b9f4O;kZmYVT1EPESCRhG$la6njvv1k zMD+*r$xy(0wTntGUMTDM8N68hExc69`5Z5k^uZyn{&4?aA%P7J@m?jq9bO~8H(n=R zgV&3nfzOFc;1U8&;@9IfGRAk{b+}!2AHrj}E!Py@fX8jJyh@;vfSo8lz?*TKD_`IV zNxu?r6<@o`_h?(X$AgUsbfgD@ZSgMgQoKj}Ks+ga4Bm%Z15Skm$mw21^c%Uu2jSNd@+2*wD0bHLuw+Qc{0{htQ0IqLRm*S}?z3YGLiq8r3 zQ_wn8{5?K|+g-6~hx?%!lLO7cmy_O>@izF1$sUgXYyv9@*a^p;O_8zO&vvVLf6|xZ z)_@v3?>nD<3mR|=?pr+3;PaeE`~Pw>*awX-T}6gATzlv?ypjX5o_heV#q9*O2(Mqx z{(l-rIzXV240a-V4{zc??d|txc!#w3JG_~4@+d#keR(uI-?Zc5!%;U~ulER+_Ui}#HmsXx#J-Y*Aw4Zai)Kie6;Ze4}nkH-_=`^jR< zY$1V6zOQ4m_jNo=d<4%C{}Im@&pyHr!LV;|Jg~9cl0XIrw2f~-unRs$%WM+ugX=H9 zSK~#LQ%E@{TKQl22KzbZ#{cY96m&6OLqT>mo41PeH?Jc7y|_Lrm_yY0uj`2OW zP<$(VvAIA01;Nh<3|PRQCxS!qCE_RHOT{n1mx*76FBgyBLSTgi9>Q0OKZj=wrmuo` z@af`T;Mw9+ei8LhJjj#420joJh;NG*iSLD%h#!HMiJyvBMDF_Emfvp(R7r+E;5Fj6 z<8|VX;Pv7!;&Uc@IR5VwXqp^w{xv>VeDzvCxmv_?@p+5i}70V3Owe0;`9Ht1R5m69K2CHfj5h{;|bh)t_N>POYg>i zA%S^m0r$0hyj^@5-YFG~;a!q``f=R zK61DJAC$n16MUBriEn`qi_gYK#1F!1aFKlk7yNKfoF=}glCCA zfai!mi|0@BX#KrKppbx_GC#wM#DBz#ClwSP>G~)7o-38~TjOPtevcE`|5r%hFfvq0 zK_}zY;uqpIQqI+Qout1NkG&!L|GyAukb<7a8^zzno5jDx6XL7Id=Isn$Dv&T9Q@n57S%2RXNR0Ip|)tX^boDAdXcf|ETe~wT8GJV}2hG*j& zNARpg=Mlg%^pRj+co47B3UO2CtZKzy9tdP&E;V zI`uKU2G5|YpT}z@{kwP!pGx{K@p?(W+G(!-aQ{C?0vn#@y-5n%4sVw9KgSc|N8+vG zr{QgJso)|4?ULb-c&GRsc(;`EDBdIKU&534`2PO`0)3L-%23!ZMV?f%Z8>C&%*7xp2V{y{bD>% zdlk{bHg?M$GK$R3!kI$1+aueQ;TMxD1 zow!{)+VO5l-;Kw6CBp&&3vlb|#dx3i5`3ZfGQ5)yty(!N@NVz%zycX(`>sw&LD_h( z6jXpOmh>ffzjy^ckmhduYX}SyuuWz?zC^qU9};iDmx{OJ!{Xhchx`9!1Z)GcfDFsU z7vn2%TlY)wl~TbnJow75CTrLVJi~c3|E=PTbNmoYCxdx5o-G9x;5m}M1kcB9GFIS) zxSPCw{nZdCo(M$isU9zt3Yze8@fN%ipXOI((2mzg`Q7KZ`ol9_9RWMi1!Sm~42$tO zl70!^B)$xvi`xOMz+2-4*5XJr8vOxjhV01Q*XSb4_&HRlhT6MNvb;s@g~ zJZ>3|CD0%l&cqwVFT$I}uf`MNH{q@69uMv%&_=*!=@WRn_)B<)_`7(g_-A;R`1g^! z{eQOv);iY@L67)Gc(3?&cv5^1e1Z7Ecwgw@{I?b#OJJd7I1^9dcFvFE{o?JB&kFk3 z2V^t1lB6G;ls;O2iwO)7uv797zEpfUK0K+QsE+e|&y7g>Y>CtjzHqS{(ws9y6acrcjA?#-;MMS zufqR|w{t)l{`?mNZxHCP00n)FcZz?5=TY(Yq@Q}e9|HB6k-K+P@)Vu;c2QtfFu-If zrl5l;XjI1d1bj^Vd^{NPJ!eDKif4-7;@v%OotD|D_kJ=gmKHC<`z8I$_@Mav_z-TV z-Y@XV@I76){_O4X4+NHxVMBUiohILVL4?&Y0&?dN6ume6<((m>E(zE^!CD0-*IR5`- z@GU+IpGSI|ToPUgP4NFW1bDT)dEF0B`EugpU%xquK*z@J4ZVBWY(E9p51*fp_j5ot+kc1a>bM>s zB)wk+Zv5|DMTUp*p|lJ^@YhwOe*+(u1NwLs>Awj*-2abAhN-{yU9ByciEH{TaXnA$ z8hLI|-hQK>wcK4hl=RK+K43Ke*YV-tWCE=&5Weh0@oRjP1GU+HCEh0KJMj+jhwzn@ zCs0PkYcnU_ z!Ygrmqw*h#? z;I-oM7YW29@B!X{ug3v>gU`in-Dl4AJv2|!Z-RG;@8UfkEReu~Wat;K!{T+A78vWMfJOQ4y6b?J_H zt5mQb-YMy8@m}$>@P$&&WzM7h{~!UIrSr+K1h+F<3-{)!3a$Y%wETvQ4J z|2mcQ{gh+N_#%8Lt>PfKCiHOsKP-W6GK`2nj*p7Jf{%%Rj0f-eRb#7T49|4#+CQ<+ zU*}TaMOl(z3p_`BcYL|*pbo@ST-J9AD{-%1;44V)w)s*0!RZ8afBx(LpCKyf%2lMF zkLSyQ_Tq)&&s@r6DVD%nWGEH?9B;&J12W|@-*dI^`>xK64l@X5;R({4Z-ckSC9pez zHt_@S4)LS$F7Y$*9`QJy#N&2E?F9NH!##LP{3*O&{0)3id;}j#_jvFFf#LK(aKi?^ zOGm`l{~eQbX?j<0gXf5s;rZf6MDF(gg%UW848`KV#Y@H8@N)6H@M`fV@Y>MB`ENt; zDuG7H@Cn{5{$D&HzFv#(p;qy2@U}@Ft-rkp^h^qb6Zj}RDSigtCmzRB;y2*^;`g<% z{~wgVGh`SNe;Xeb{{kNopL)6PiBa)fd~9iD`Xt(kKp^XQf4orqIK24%`K!IW+m7*3 z&Up6j*&e;R5?_t;Lip&_@Nyme=WCiU;K^>C89z>eAp6_b=yFmr%dnA1WC&E7QU*r7~9@QUQL11Vi5Vg%7bUGsb zHtDN5pe^YMdvNKPq>mn4>Uuge@C~pAA9aPRKb+M$5;&Qbk_v7jeNue!Sq1zCO#~_f(mr(myv!{(tm>oGL-(osP1Z-C4s5# z?n~I!I`*qw>AhIeuZP!4&uxV_F-Z#Mz7s+xSDnnSe-zHyPp>hLHDF14MXy|zmW1f z*Ngj>S>Rm)E6I>g27AJ)9;iKGRS)!yX}$qVqya4)=u&)|KmWO3RLu1mMl3+Z8{#W) zn=2ntLBo+z_-VW$O7HsL0%uUc94V-i z3ToE(UAh%5erOlp6UnQ86^WH|AL$#VoL_D1(>LMK#v~m7Hz}xja=`gNC}=KjtK%Ee zw}`(&%Q9H5J8_`9vlWZUvOL7O|2CZjmQqlo_$WR&)Axk!1AfHkaX@aD7`^UxT@ucf zPTVHxI<4MIW}XqYKM3-pe}mv8CS#He?f|1#9vK$nb^_TRUy5gveh)mKRbXdKd$>gn z4cOlAe}cfCZc#`E+YQ^pElR}g;TGlM_Hc_Td>RL254WflZ;9{cyP}?en}gBI9&XVn z6&ys%vKShBJ8uuMNYJv)DQCm|efe`KXA}H*%87Rp$R=RF_n*YA>+I+L`|veMZ@m*faPjEah*Hj(9`FZ zn}2T-(8+a6rq7_0%N|Cvj7ev6A|&O%ki*b(fZ4z>;6kXTej9!z9+P0xp*LxaR*D!5ad&S89wPi{rW$IfF7wm zF-eEOo|vQuYEMkkuC@mzX-~JT?&=RGg?4p(SMPIa!Ire(SPrxc-xfau*Bwzao=5uG zq_+p2^vBs~xKn!cvPZ4NIEPyW_Q;lb;#Wi!xKB*naATA|e~K^XW;}MI_Xf|weRw|} zx2x7O1O^CDPVhQDDE=|NM0`c$?zzG1T_07MVpgwzweQi=sb@sa#Vj6dMW8%A5R~GT z;)meX;wRv>;^*Qq@s`Nl{=Y#2^U2UCelOlEz6ei<58|!jALDJIhx6aA>)#USkPOp) z@4K!`JP+>?-w98O?~C_M@@V}XO&~QX5DroU-Y@=Jd{F$4_>lPR_^|kb-?RT8k-!UN z7!`jP9~1uq4>HmwR}jqeJ(npy6VJ+E|8GOEHGv$-@H0GLyb3QAKM^k$KMyZ8_vgPL zxST+_1)@a~1RZ##_T&QXm$4gR`DkUN+iP=UM9ZwHNHzL#5cvO#COJP#+~(7L7;9t;Md(De9`65(B(OgjO2ljNGVx#G72=oRRpQs;HO`~?Z_BTnK%HcG46he| z37;eWKHelgiqD;Jzy79P=ew?DA`q>=4e@#6+v4rwWq7Cf;dr@CV(|y?0r6+>C2BFBbh~8$Hu#2dx0!kfiA z@r3w;cs z;)CL6;X~pHe0WXS|6fmFL^9lskBUEzkBJZ9L1y|juM?4457vCN)6#qG1EFM3CK&b@k@pAEB-=nROyZ*Q3w*`SV$*>#VAzq1hi64jeh@XuoCwn;lmlEik9B|%_ zr^Nq^_lrM)4~oBx4~Y*ukM{q=68MG;BjRgx_^ulj&&9`ZyEql$E5-N5gK4t=KaxP^ zwDe_jDxM|YjOU2|9?!?^fNsSL#?!O@9wJaA85ZNk;~D(Ad>bzn{|qk|{{gQQ&x-%a zcWJc*w!~}2XX7#PgYX9NI=oT*96a7Efy)Ra#Bab`aqH3^e4eC#5^ooO1@H7evH$;& zK(}OAf%l59d6VzC1>zgw3voNp9q?3IdN=;%1o|bzQTRYwh9Ec%Un1#$gAYmidHAsS zZJ~$z{}CzZFJxFQ{v19k1r6b2lKyjirKJB6&sagfHv50z3=1Gv@Ai zj-)>r&y)1W;{_A$*Iy%nqKQCs0Kdaa#BapQ#P7i?#24aK;;-K9>JKlkH4^xU40YoF z#_Pp1JAFBG#5cy9aO=?>@#eT>*oQzuyasQTf=jU$1~PWzi8cyPZwW=XNMlne_Kw21o9-q$9RGGw|J5Gv|D}8m5ArzWs^Kw ze>)MVm=p-t-@bU2_|bTccmrOC+fe=%k4gGJ-pc;JK?1jvp;3GR-YotCo)CW*Zx#On zZ(CdT|G{m(>pCREOuS2cYrIGNXLwS)3hy(IN9Va9IFUfg0{D4&zxd_&pm+y9Bz_-0 zEFOP~z=#B1!$-xJ;bY?e!Gr1Pt6;4z-=mr0n|O~0SrRBFLyq`;&>OzEBE! z9bYW|2|ge`hA$cSu>aj_?K^zeEgcVd-xOaazB9fYw*#%fN5y}EuaI)ij1yQXfs65s zb<&qp8$Mn9PCQ%uQ9MulMLb?0fu#hB#J|Ez#8k#oMHu??Mmv z{~Z#T-tD`zOMElDM|>ANDZW46Cti!EoJaHDHXy$u&@UM-!3V{!#fNa~iEezUq<;)w zHsOB#y+mO7L?G(a_wf}{!6-f^KJ_l&b1S8s4e^W_>8okmyIlR@{(rgz%E*u{emI^d zellJlegR%2-invR30T+NOrTUUJb;&rKZ93FIZN;=N&gSL1|Q%5e@~!JGOTmA@1c6} ze0+}hu6UF90r=c>j|aab(2^bq=HTUlMva|5xV(vK@h?WUx807d|WnRpTS#r{JSf&V~4jN$I2YcNKw^ zlLFx}b>bQ8rZ1ZZ@#*5v;@RSF;(6jDe`fz*Ac6mqp-6m2kMGhF@y+ov@e;g3{6M^F zUD^L1OQ1$FoQ2nkC-8dl>+w0_cjHaw{`?mNj}w?{0p`R2-Xi{Ye4hBf@OJUl@9{m? zDIU)u&@F-O@m}$t;|s)(z!!?w;5ITOv*y3M#_S#Au?^3N`XZAa2{VTI8pN%lVmyoVZF4Auq zrFUawA2wf1pn!t%C@4Kc5NwBQ`qIeV51w!0{`%}*eUx(?=~H~X*XHC|p@)0KehI|M zFerX4J|uo8J}mwSJ|g}CKI%L=N7)g*LtsoYe2xd(^%EC2k+-Xwk@K3Dvz2iPOFNT8Dp^TZ#-+r^*7JH_9``(>4Vh7WGY9?{0~ zCj#YUusM^u-uuM2jnd}^slWJ1XG6R<<)kdVKmP^6VFZRP5ca=&oq|_$ zak7G%sbH~G@JGB@CiQK2xp+Kj6;QFY_&Iz;4(MHcRQxM^Ogtm$d#FYlkn7#GpMVX) zj%3Ib-w)3cuf=Png0t`(Nnf+4KOlc5b@dnfo(?V}L%w8~kJm{By^*^gv9=Qn=ZO@a z=uAHYF2RTK{4jku{{N(c9%irg#CLcSx3Qo0kZ-{P@m#!5R>2NMz1%yjQ3${FiQezDEXeYv5-1kfblhmx}L$4||_EzBxUTz)0@= z@52M@-N;YEZ8aqu>?Zn)7C6X#2F$(O=_Pu- zi{~Hg-De1bPt3y)let%6^luP+9l3j68LKXVueBf?(pf?N7S~5&o95im!#6qsU20vo zeU!m{Nmkt60A-2W8=xF*|#gZXjxLrfH z#}nf1>-qjDXAzFS5Bgb@VMb6%zzV9Opx6|DpgFX>mh^K-Zw)vNZxC<7tJBMm2UilP zO%DV&;W6yS{Tqsqr}jJLxyipHe(~)@q53=O4S# z>>Us8qGlU2>$)~Pi|p3bNl9;G)3fpX*EgEIlg&vh?ra)9w3)vG*sf(RzEa$-3>Eo4 zeIC`@l_7?&k6%S=yJ&5^jKEz~km7i4s2{`o#b3k+#oxn+#J|9Y)7^c3i@->FAXx7) zKLtj`ZSnNc6V`KEl0GGVm1}x8U}L$(e!fd}Xo~Sc(%a;+U%=7y_6s;m<$(A_oB015`xYu# zMg|+>=NNmfpo{b?B>hXPXn@^z&DbIwde{F}f&J8tR#33N?};iZu(7nCz|r(?aG=>y z1rz1hQBGR=aQy8ja|*~{W7Gb>GPv}2;Kfpb{bWvB1up%&q%SAEt%B7mT>Jmm^2>68 z(5uN{=L7pG9j%~<^flZ0)nq;NVbl||g4mXRvz~GX6TYVRv0U@y^IMw{$dOa#E_lB9 z{&=ByEnY1CE4*~vS$~%hC?5~_BfS={6z|5X#UI0K#b3f>;_t@^G)Q0+Zxo;UxbM1V z@eT2W__lbfco`mVlfdBwI>b-LyTmWRd&FDur1;HvpZAHktPc=KNrq?ee(@#vp!h%V zA@T3=;WQ7|{}aATN74d8kdKdw?~0F!AAkp&_*JmFpF+Ve@l5eKp@(l-vm_8FLyq`$ zc)s{uc%gV7UM&7MywrI#|LsUWAW$wDzQ!xXGoJK4S1mpZuNB`8k4?B=e|r&VmWh5O6^iHJ#p2sX?)Lws68Je8%EgbsE5+;aYVlv=wc=OfvCzZ$Z_Do% z0u7QOi8qS>6>k=Q3r~pu6K|d5(fV6SplwnhTz~66<-4>)d<(owd^fyDyb@1}ANLgd z|2_$vO@@^CrFg%1J3c7>XM9Nf34C}{_Ww2nFB2G%48!=S_&4~N_!>|9o(p(yY31kQ zndVXdyRI%GkY$0e|J`eEJV*RUJYW1&yimLuFBXsgouJ`qyjgsaDWvh1t;mf^8i(XIf?E9dOjrml3FcrG{Q!sZR?Kb_Vk-NL) z1%3mbL;5wI@#WO}awaxmIRxfVfz8|P@FsEl$yjZ`o>6-Dvw}3BkctnrayI%^B&$XL zxYyMOJ9_| z#lD9ugW`)gqy&d#cl`c_w~4=lcZrYSN$>8POmxzJ2&5##8qfMs9u!{>9~RHUN5xN^ z=|_J!tzm8u3Zo1&f}ylP5R~D`0^dU!9Oyy#0^Fvf{T;1UW{cfYZ4;0Fj23;9K?286 zL6`XH_=p_nTzpjgT6|3WZai=eaP6OXFv*hyGHIF33A+ueJz=+DwI>ECr$-v_5uTjz zsQzFDfxd}A)Y)tN)sI<9JO}R=-wq!XFT;n#5BsaDKimlqOCUyu5%KfzQSlahO#DVX zUeJ;WQxx)AZ|YbJ0#wGl~2DA&!8MTSuYcBhz`{4go_E-Y`>Tm zl!{-6my7=yuM}Ts<;W1cV&#M$&VQQ}!&Z=F_!nL+p7ES-ajp1LVe%$!SW)CKiB7+rl0$%>#o6{;d&(c@j61nXLFT;nc=Kp1P*TCv+ z{Z!bP19*}1&uF1{J3U;=-?tOE?O)Eu+r;hf;a%e4edzGgGso8(53FK4!L`Z(bwvm4 z9`Au~#DUt!_*3|%xP7{17~cecfQAQ$`VI+-!Vz*4@dXR~=GG{w_0$J=zWBc*cYi}> zpWVKiuOYYJw=CEyeQ|A%XW~;ippt1WeYg>tE*TC81J1L>Ywq6Pj)h7u|0a59uhL0zOTm-K(ZE5%Quoa%{k{E6Uv0yUE1a=cD_K3+djP}HgS z;0@wW;&Y^&m-}7)VOKXvhQE`cSu%W$C&Z_|=*wvp-vDnD-v;l9OW;Nu&i>j^BBg6_f>i$8`BNChw9gOdJzd??-H!6<=YDQN0TzDt*- zX9zaLmy2(UuMjW8SBf7Vx!VY3Y<)&FdF*0%G8v|eUw~)hHZ-kxj-7nA?B6okCm9ebEYsHVlW8!Dy4dU@j2{cNe9d8!@GoBEC0&f+6 z8E+FG_8t!knMBq_Uy-34UzeV+$M;m@_J{3Wx9JK`;hO#>T+{zZ`LU=R*Z)?5eUnKG zTIXe7ffkg9H*!F>Bl>Ua|6U#TkjqRqCefS=$N#5$e1@#a0q5IC6}Zp2FNpL-QiuDE=Ydh8IWG z1i^QBN__oS!Uns~`)+f+pZ{}K^EqZm0rwFhnJfq4UE+0kkN7!wQv5Q!Z`@gbHxNjT z2mAr`;QiuH;)CL^;6vgc;=|%A;siz{u;#0NPK=6ggpY~ufCt;8U(Cw!O!1@ec$NfC zBakEh8$4fp9$qMZ8(u8_7rfN_#NFuU2$Yk-u5v?og%tETUM1;&#H%I!dV{`4Yt!6~ ze*uA5S|A8^#~Y-AgYh|%{&>7e(l_GGlKyw0hx`A8WVn$GEt26Le4eCVh_^}lSMd%> z{|ZprWw1r2eg+k*9Nj{(h*6PG>OF<;!CCptK>5Af^Hz6GC} z2t-xb(=rRCps%9?a)0XB&R;EK9MA@@`3CezPaLte_attY^}^R&{o%!9fn?Z|f)9^FMpHJ>!{p zHJ-r%U5eM>Yv4CT?jCv-PTp|-#|YTBV^_!++qYv^ia!z+_;m62@a#z* zt-mh`)KV}8u*?5uoHh78n9(bAfp>IU{{~1Ar1Y%^U5Xf=IKZ-L-So_8u-0VA>`AFr;)_VXWRv+D9Wr~zjmo$8_1K)T zyJ^MS&p+A4;~hJv$G?vb(7ge=|BSp2jGkwcqlHm~wY8HPHPl&%y1zl+sxV zDzq^^-wKkJT}g(NwCoN%cp!bQJcQ@>dherQFH-(O4#=IaqWXik3G___qAZ`_3&g*{ zlj2j}3VXiJ)E-dsV`W*3R@%+%k`ERG@w+IwUhR^U~@gMP0@tH$@ zQV-HYcFEWx^4y@f$8RI@UER_8n@ym4QXuTTL-0oN6Yw_i^YNs3Yvi+nIoqe7=+%8dfK!JxEYJA71pPdw|M zi7pL$t_m*}KOV0(_vgPLIGaGD1;SF?>r%W;yd6*CSw4BtgQq0@LVQ>}K1d+AH@yYR z@O<$xyj*;pcf&576?DrOZx{KDV2KRP&%L`{xCD+Q!!q&H@a5tc;gvGR*Wi8P-FS86 zuK#WMt^YeeDQYFdzc=tc%yP2JapDT^BXSYihH_$3P8r@X*~9UFJUSxxK0!{IuW+Qx zWgQ*=w9^R9? z^TnKPn7z9b7~0*R^9%7Y3Q9^r??*l4uJ=sFO45(w!F_&Gn@@c&tk|U=ch=vA1cKi5 z4BO%{@xAaQo%`Z2-}hWfChr!Zhj-!nC9r#x zAvb7aEbZKW1l}cc;#X9#g7ZWsV|)=lDCJ*+4>^zKzh&qqkiqgZe;8jWXTWD8cPB2H zbg$vV;(w={271Vq@7Lc~1RAC5rheeNu33B*o)F(2Zx!DgZxcW216P0amP!Jrlc7ue zw|I~EHF#3|PP|Y2F+3HQz~2b;i~k)T6#oVv5?}N0zG1`S8{;GR_+7Z22#iXG{j4CF zM8C9x#LuyE#4pE7cTL;QyZt|bG0E@{o|T>~m{{}A=_g;8KuFv2Oh)WyQrb;Euv z$7E>k#)BQwPrXmznc}bD<&zo^)*mb*P&p|O`uBLXc$WL~Ubt#%#ka;|;$?W7_>mvF z_J;*ENT7iX3GqwtR`K@8-5m|tLG_q(BO(^I%Dooh!Gm#shax{>_b5p!Xp{;*$D75c zeB^r~o3Xd|5m}MD_xFswUDosPa`UMFUFACvh*^LG+6zyJABuO0pNOZ#8}VWB_@z<6 z-Gtg9{k_@Ek-Kk=GKn%cp!@J_@h9;dnRKt>`QjgYcYlSKz<g+YU+t)?CQYRXv6r@u6E_ft#^ zS%&A}+BktK3EYlXi$8?d$k;!J*NMM_*NcCF$LC03%BOw^n#4E2=ZbHOw}|hB&l5ia zZ}&d2|38&Lr)2mI-YxzIyjT2oe1Z5Q_`)=Iccpo1S{~BK+zWP6W&n*?t z#g~ci5PG=(UoL@t$go2EXndvk8FHC0-@#*5%;$v=EM)Tj6-=7HtCB7i@MUlI| z2|bv8wHzXSrlkLp^u?sNGv-<&el@jCl;hXm)&%k;!#;RyB15!rj>8j@{=CTD=a*@* zEwjt;9P#T$T>aq&Bu@f&kRf0EFL;);xZf%e{~&VLbLT&|dU)jd(c!zl3E{E01ZMuz zcTobjuG=wk_lwO^K?PnYek@)r-iVjtbB{_=G^0^u(0Ud{3mrH^zs>XX8PW?`rG0BO;#_6cqbYav=@4Aab|=FJO$V z1y@CZ89|ZwEqICeLwK3^b9jaLJ9t&-;rzFXzaUU4LooGoKS`^_b0g0UvS@KWEi1u` z#Sf>P+DYX^>+e)DR7-|S@J8v<`FKLoKZJKl`j_ye__EKV{lB|`Ab}sF4DNZSbe*l^ z8Owc7)QWG4$0oHb?2MAg-R*oi&;#+&rg-|gtRs+hLAp2M<@hk0&#UnKU;Fgtci_Qq z(#v_w(wqD9Ul0sf1r}f|m*Fw-@9~6q))&5q>ZFJA@tAnLm_WS*%JByAYIEu8)9^;| z`>Xu{HE=#ylZMTW(&xs5E?Qt)uIqgu2!7|g&bC|+lm69 zk-M+^NCPsy^as=!x$A$MT-gMgBtrq-jN5W8#S`LHc&m5}Z=3Al_%~Sw>AI^egLoI- zA^s?y5Ffz1#6NN#?f-iuFh+)?_>8Z7*Y$}P;3@Gv@P6@Xe6U3J|ECcck_>b4Ve#wm z;@#Y-)V(sJe}kY0ACdG=;bY^@`ddOEkb*wLGsS~Z-xK-b8{&oHMfm7=#r{b5jT6X{ z47GTU_}O@|ycuo5OC^1x!XIeK*9}pPw)}1)eY{*U*td5ZS+DL5cl5Gn&dkHt!jn-! z?oTS)-XE2nX!L=|<-0W4$uB4K&G1a|o$)O3 z{qP*|qw)L+_v`O;0)-QSsPle<7mNQMFBQK9FBg9huM~ggU#|Xe|6eVEH^@*c{s|rv z{}0|EKJ6P{exvxtcyn9=I}k{S?~S+OQ<+>x;PWK?DR{g11$ZYue*gbU0^O3~Pk1kG z72k(f%K76NyjJ{eygJ?E!50K#>49MC3c5}_7f*=qgg1!qk2i}S7rER2w@Sc%O0L$=fSDQbDr(|db@qY1-@Imnv z_|PPe*54Zc4qN8#TJ3VZZ!0x#75R){cv6NiK`A~Weh5A)egZxwe(t}c{r?R2;F9zc zP74__#pmN$;`ib?;*0Qn@j<+>SbmP+V*5uPLzx1;g#a{SfOfjfBp*s zd#+He1^hq+?zuwI2`VOTj}>YVx5o-KirZs_n#JQgaij?e?2EUGAC0$(H{c!Ozs0-6 z|L8p)^hn@#G9<+p;Cb@EA;~Zk9~R#l9})i? zk4^S){7)nhu&1*FIuFkjzZ}mJ@4$1!@54LfMD&dFX#YPbfw#%fCH@7T6rVcgd#+DB z7w;F}3GdlW_W%16NJ)m{@L}2KV> zYX0s+Y5uwS#S6UO=-2Di@4}vN<;?VVN^KLnvH3mT+gRSa#|h-$n;tj{PvSXbm=pPo zU`$$e89plBK{>-x{$KET;=c5X2MA>GC(|q{{*np;`H)G*_kQd%#W%vU#EbD9?-QT@ zKY&2KWT?YS#m~bF#ar=W@mulAGz! z|8JJSE@Y?`uf!Y0PrzHnnF2Pu!yexe^XVt%*iZNP zp{SLDrmPIz{kdKWvTukrN_zXsT7&pZ$|+AP$IUT&6m3E>*rRBh#kZoMSXx1D=M}lz zvr76Kp79Oq5U&c;m$*6BCV?0gv^|{Ob#w9L{7b85&x&_RHv2M8Qry10(<5%*DCraL zpdEwaHUm@MCq~YmO4`pHw`*i?RFJ#-$9HJvak_em3WCz~o#p#@ruZnHmF8~brvBi2 zHYY6*1RLT*(gIs6qvH0(i(zs1aEfSyrw!XKEI<5qP_U=plv~RVqT+lxpgO!z{2aVk z{4%^$+`e})<_c(r)xkFNgcb50T{*vR`ZlW`3u-JujTg4;X6Iy@%joQpSz z-+rYpe|emO{2n6+t{_9BWVjh`7T=cxbsw~j4sja}^axx(XPu;+R>~Rw>)>+)5>mmt zk-Pm4=c!66Sb-0Vuk%ybGWYk;hx}>B(r=A-rF%Tsn?UfF^bEClHEyT=bMZ#;tME4Q zJMpCWqR8EMbO#CK)3Ue7Fe(|o!n5Rrn(5jb{o(}6cmd@U;juEmysak=h}`|CNVqKH zF0oyNk0wwl>-7x0TKrzw7FbDB$jaGg;hC{pj^1El5cVUd8*xKgI{e|AP;S z$JbuXkIk?IHpBa*W%j7uesOy=Z%TYOdLkuf(vqlr_t(K{>k3+283o*}s=fTODaRW) zpbA_Lv%s1u>{I$j2 ztWV7dhr*>l_|fpf>jr^$_xA1IU{8NQc73;R|7M8W*N!vAv#o;RsDkmQmlok&A5L~x z|2Oyg7V8s{#vkzdY(vy0`=gva;B_UwE-n6fRDt{57kX$WZoez7ai2fudWeEcz}qGhpp?me~)!AtmD@gY1h;o%~5pAvl24?)XBAo5Si(2Co6VGM5*Uu$*0&O5~O z@GkKkS9gmryjb)|pqvaz@gwm*@p?QZej(m3-ii;#C2$jgA>95V)Qc~b^iSc-#0T-^ z;veBF@bN!Utst6`4eyVZAUfg z1LK?Ee^5}q6!Zxv$U#YO%YR7RR`YP0yOsVn1&t7}p<7ACl~S=?=8MJGThp)FQt_?v za`8Pw56`sK68P#tf8J>nucn|{@l){zaof8F;un!V=6wEwYiAe58yeCF+kRWKTHJnH zvsT=mgc=jKN74?T?#s7jH$OT8_nz>LXp+arKah1hfeIfO_g)S;K~qkTPQ=0Z^VwVX z_7&LJ+4I@wiQDtp+tS=k0(-DTds-kEe^zgYc=TWiH+G%k4D%{yh!{`yjc7ZyacxcJq|AwKPz(giI6fW z=mj#AONMvw3h~eJO7S1?D)DuDeS@n*59hxf>E;A#B*R{GT`g`yb~s)q=}*FA;^*V_ zlRR2~mlJ516bRSfe0&aW72kt5O8O`9Ch?c?X7SyoanEP21P)|!CB%P;w}}4=Z^i9E zFUIFd`fKpE@_70(yPZJ06qMWV$E-u#esjN5Dkz!e(|3s^Hzd-ztr0)}t+i&hKlz{!_eoFi=6tq~}esjNH+S zE5v_B`mxC#j{hM9RuZtDI35r7IU|}4=I7uU;`W>Snc~-ze!BQw&ZB!iSrT}R4B6r@ z;W^^(<9Xtvcs@Sfqc8a$E!c-ogjm3SbH7juvftb<61U&nFO~}YZ|=_sN+iAgSl~RuVCf?yEu6#p3S68|>zaR1*efoW^|uIs^V z-RI%G;yd9Q3?sPpP!+yh{6u_I$~g~TA?Yv2 z$Kn#`Ah1&WK0Mg>jI?XPQ+S5>Yj~#kGJHB7x0d~fK$c`!YaQRE+2WhvIk=5+F`g&s z_rvqkJsuoGpddXEoPigL&&7+xufdDO@4!pMABo)U|4Sv%PlhtwTJ|1ZF8(E6AwFe> z@3~4TKO3(KJ)HkG1ltg(mJEB~HR6Zjwc;_nPW*g4Hp!#)cLjm^Nr7;d{RwXn@5Sec zKaDqvzm7MFe=>vpf3pO}$S_xY?R9;ZCd4TPhZb>>2j%Hv&eIUO1Y7_Jzh20!|~re3b@CV@V8yNzh8+b#cLyXe{k5( zUkmD)E5E`MxZNqe1RufuUoV2-TIbRJe^dh9WEjKk6HkxfL4~i_{3SdSx2}F4pDsR% zXI04lf9m?aOS2`zhIo$nws@X+8J;hGI9@RBtiO{96pjacpI(3$iMQg#;y2?Z;`iXC z;tS&h$|UeAUM~I-ULpQ(yiz=Krti@z@s06#wFGt~P$Rw%UMpUM*NLBw$HXtf>%C9h z|NjGl2FcKc&k=tZZxnwXZxVk8Z%%VJ{>ur>O$)fkCE*G2^|O7Kwuo37dN#F!Bw2PmMcZj#(o#ONHF7bOK&kd^Gi71-?cEVXipmy>Z$}NN!DJVrjcEVYT zm+$Y-2X?~w3h%+~47=I}zUO);+^@e42qY&0(fTXI7l_*@vPb2QOM6D?bAvi*z=3#7 z{HP70{eNyyFM$)I4DKUXoO+9<`SZa=`0@k%2a@cAi1YA_1JnK1$Y%s88el#25MCZX zApIP^m_X@)-tAQSHeM$FnH41d170DXwV@w^N__nO|CR)*B*SdHTKpiqM!XKM6+Z{B zOZRwi8G%@OAh-dq7w^FvaGP9D;&a4b!5hUtjNI-2nC5rf(8Kv}6&yuio)mN%-X{JVydAd>qs+rQ#c#vA@v!Hj_4gM7J(A%$JSjee z_lbXwrzTYpuA3k6#gcx#9QOYM1nfjqkmI|0iTLjLQYq+Qe3_&_9$zlrh_5)1{l9hH z?+C0UgH5g*@u1Q#OG|$bo{8H~F2tux`d9I6bASE|f{zH~5wME?jptj2us_`^GuQV} zq4>slvG|U7sgxhzhd`NRsKF~F!|8aXq`wHS#;qs*fY(SlUEbqCtz>wZ40V#>c|0cR z-@)r8{c^lP(*K0diQM(S9qIZT`7UiFgN^Z4c(ZsZp1^I$4#8U_{R#NI$sUgXxdhrL z2b{Oyol?Pkyi3yGi}&ERnikU&{=ZKO`j`wU$?z@SFFtK!-*bafP98plTMzAo zFRf(%Z(Y4Ffn}26XnaH}XuwCse~XXdHpG9#SB|G={oPI=Sw8~Nmd`X63@hO}7^viG?vTS@rd>eeZl(Ppu8kfMK1XhU0@G0bYFDw$PF6g21Oqim0{A?yE4Mp-|*NWe%;#*hY#?6+GP{6c(0{3?98cqcw8{vf_0a@YUX z70(hFlMHX-E5%3fpvrf(mGfUbLwv@jVUOkpb0!aEIQ|<)ff+$Q8Eh zz@vC#@?>$x^jEw^d=PIHe;=QRPxtK#zKncU5YOk1WhGaMY58H7x~B#o;_qa-KYm58 zS$J1fx^IQ24o&x6@xjBqTZ=33Veb=P0;wS|Dj812$HXtdgTvD+xDwCA?dmrlpN{)4 ztGV&-A&^DDx~LD&6Ys|h(+UcLw<4br#29;9uK&b`aa-OiLl5`=6^HqCY)888=6+UJ zif@5eiSLG2<7+a;m3XcAad^ymH2J19{i%4Dcr)IO+tB*`m?kcnHDeuz(( z^egae@ihy4&*e!u8{zq(hx6Ym-hn`&WY`lg5Hh!t zH8OpOh4}QLO(tYwA;hwUK4d}&A!IV4O~}OJoe9}Oh))P17Gj~%2yc^##X=^Nt!=SJ zBU{@-^ZA|YI*-@8-p}7xe|&D-U+0|by3TdZ^*-R~uv1foH%mch<1OMp!CR%A8}W8?fBkbGLIgT35Z1r@dlK)K4ZMQ)i2oVym2&3s zen}r&e|ukb0}|L0AC!W2#^aK{7#|it3Lg>wzIQkOM>cRF8OFui@JT7?4txr?M)wFl zE&e<{6S=E@%P>J;mJD|8KfvdupwICI@lAH{HM%I}8?)a3!^-^J6! zPsG#3>+uZn%kWIx4(ul9?(@%m@sJGnks(Ji4B>f_{&#r3_+Rh>Dd*pKQMt_jNzL_D zS1cK}!b@?Rc(e;%F1{aLiCg!sz^m}}{q-*hPA5>42!x$42rk0waBC}9wRoG9v+0h$Mmr?^c6h8)0)+&+q@Y9a9`Td#KHO^P z9K2t=1t0Lf^ovky2n>re$ z_=IfWoA@Mdr*eOMTKwqH!}0&D6jV!wIq@Ik^HR_?_@bo06Az9^9^ee%sm`O%AFJvY z2&72{dxb(!>kG2A+<%DDuL?TlOXuGxC!2C=d}j(i#k=v-8Gi&D?c}RE^+>+~Yed<2 zn)vQ`y7+;3hWK%KCT<5@w-e+4ED6NOkSzsWkLQTrgXfA5;(6k~#q(nXY>)m(pg{cN z$X5kjQq`Z6zL@k@)tlt`8ja(ws-wRgJohMH(~0~4dlASZV5i`_c)s|Fc!795UMPMU zUX<*y;HD_xex*;2tcMK6WUwO}#N)Uf*(jb{k=%x+@I3MP$ldrqUjnH+`>HDtx3^o? z(+lj5hP}nIQQY2;)GTgq!)y&b?0;5J78`Dt4EB!3;0%9cmOh{Kos#~#-}~nR-QrK< zy~{l6|A!IiUls^ki@o)7P~6^b85g&AKaGfAxtZUg8Sz^;bH^VJK;|T1ubN#Dx7Tfs ziGRugOo;E!is~uxlBniZ1wF6F{Hs>1`yEM@7IW^caeW`}#V!5$c%QVDtMGpD+wcMN zsQz75KTKdyHW0^$EJJu++}}7J7oWk0#TW4r@mTsUzO|1^AO{~4FT}^i%kT;DYJ5_> z!MnTvFM(DvOpABnGvfXDtoSfKCq9ACNABw1u5_~m79>OPOc=?q%99PuffyB8}SVBHat_j8_yCSaPG(d5*Q&vj`$>=D?W$k;nq9W;`x$( zvwW_wN6Y>H9S9UkhHv3T;)mnK;-}&z;ul1|D(Fpo*3kbhCqp$E?8D|}d8ATNMl@jv%}m1i{vkyPMB! z*bZQKdzYMPsUxQcT)+X-7tGqAG|9OHywG{M9{%{G>YGVH;MloZx(+NZxR0;-s(JR|8``5CD29&yE6V4Zx`QicVBZI;#=dL;=AKr zxZAGpe+LohUJ695`UJd3{A|2e{4%@`w*y#%_lrNUJLCTW2|PoFLGjn|A>3;2Z+Kk% zGkjS5D+RvhMq(1!mcXd^Uig^!A^5oX$#|w*R~qniJn_!wtD?ZFU_`pj8hljz9(+vv zQG8td*~r~naM@8VM6uuv0`cRLyWijO{^Pyd74Q>$5VupX(H_1^hs3wR9EenMGZyS7Gd=Gp<{9t@h{6suB!JkSy;B)rm{(p)DE+<2(_|15l_=9-5__KJ1 z_#1fU33C7c?*y_W!~gJX@lE#fHJ2m49iA(`H=bwiuYW;sD1m$nMAttz)e0{VKOZj? zzX~rBzYQ-Ik3CGFgn(T#pT|qZ-@?np|ACi_f2q*dPz7%1esk|`{=a0{kqlMh`{LE& zN8mN$r{T5Y7vgo1yZW~yzM4S2WVjP=5dS6Kh})69h&M_4ckt%r>BIB?F@cul0q5)O z?W?X;d==g%zBAq~z8~Hpezfyw{NE{oGsw^-elgySTg_dE_lVzv_lo}t?>j-p|1T5h zmkfW#2gLu458`$TR_x@f%|V zCM0klJ}LebJ|+GtJ}v$(J|q4K9-EcGM&I&PHz&RgJ}>`0;p+_}O@^c+0+w|LY`hGa2e}yRbZj zH;6xnH%d7Zc$4@)@aC8V*7>%tq88b}O1xD(4{sCS4{sMg2JgTV_y5l#&`H4BL^Iwc z1>J~ui{Fp;h(Ck(CVMOxC(xH12>y=ui+_#};I^ZieaF|_pm;7mB<1WIxf}n-CBuza7Do1SZH}jcyE|l!D&HrzHKS__U<|O0ln@nPndJ zzZ?Ry%K~Bl+Z&&g4IGBgi=T=wNI8x8qWIOtjQ@iZ{TBnPiMz;PJ|aGZkBYyFkBPq* zBQP$3&+rNH^wqwGCdIeIr^NTcr^OG)V>1${Auua`AwDO54L&b^H@<*d8ydtHy)TXb zUnUTolzfxuFL;XhfALiDv;%z&rHOBYrzg2P|9cY1AYj*nL-0)TYCKE)d^}tHr+AL| z9ifNg|6BVLQ5mE!$)6>g2|dAwTu4|t9EM+dpK!k0-|e z&k|@Lus$39J>De#0p5aJ8ww8gHPIo_7+vEUm7+LHspckmAJ3cOSN47^MH61-dd zhREIczefVSWat&QpUcdaM=JJ1qq*WwQBJ7vKxh+V_v#ebb^w ztx+HQUB>^#)xNb`FQ_9!FK&(TQoLXMCVUXL#`pj}Bp%1()iJ+e+rS$HMq~r;<6~0L zT6|nQ;}G8qCUEPnIryZd_t!u7Rg1us1?YZ<;nU)$;xpon_^kNV_?&p`E&}rscpP64 zAH^5N|BMHx_!_lS@E<%ye8aM+hTQr;31s^~kcL~;<>Tp+el?yUemtHjem0&JxvPKM z(-s2RlHq1NNBki?SNu6VPkaK;U+&@g{|AABU z<2)MwmrFrsk)cAo8Lt$-5w8-zAFmdF2Cq3q?*ESysFe(V$LqvD$Lqy6JIvQygLp39 zm~i^vz66>Q0pI_Q#GA!W$6Lgk@K*8b@HX*#V+7hI@Fd=W+qoRWyTsqcd!(FC@m}$- z9PVo<)+d1+0{!B9;{)P{;e+C*;zQz%c-;Ha{GY1{3`>T)@DcIH@lo+nd`$e$_;`}L z^Zy?L6G?#}*s$DJ(WH1bJ|(^fJ}v%Td`A4_(4+bP5@;a99B$|SDttlwc0BlA@}>7t zJVks2Pjw!(f4c&{Lm*8u{1Z(c&7NSc-E5p{&ygO?4>}||4zVj#Ov`~ z@yqc%@muhG@n0Ol_`g5`!(=EFe+w@X{}3<6?Ub#1q_3e;@l3otCI#(GphA3qyi)vF zyh^+duNJ=)ufY@J|CD*2dyhb`WcUp46Hl-3 zHPkP@9X=qw4?c(|*8d((AigXR_P-i@L<+hP9~Hj_AH%H|+>K9&4^}Y#pOS)JCd0J& zU+@|6|KhXaX-E4SniJm!pZ^}?e>(+x5?GK7hv19i)p&4f@}>8DJVpGcc&fR-{sqAu z1kx-JUH^h$08bZx5zi3+Bc3V#FFZ>;mU@h@qHGC#70(gh4bK%n2+tF*!t=$?@g55b zBya^83dL{5i^Ti!V)5tk67fIarIEY(w{!myfilUEa;&eRa@@XctA>&1thN8|qn3A{>%M)CLXCh^bkX7Th&Uvn+u z+u^OJ%J_dD0&Qflb-st=9pW{3mu%odyj%PlyeHxGzq<+aCIY_y4dQ*`FXR2#wFVN3$M z5-1Ws5HA)#0WT4+$4kX8$II}<`2Q9H<&xnSc!l^dUMc<-UM2n^UY+c&|DWiqs3tiO zWa72rJL7fY`{VWE$Knm*b&7jgO0eyuv^GY38%QUF4&`*k1^A5wN#b zw13NI=n>z}-B6sz_0f9NHu3k1U%m$joE7(9QZZ-FJHqegS zZ^W!7eJ>sj-NN(#2Qu^%_zFApTRe{E;Y0W=zCHdRo^h71(Vg+z@eEfygFp`f`{J=Z-j~SWx4aS`ko2ke5bj?x z83doWZW7jX9Jj{%evH5<0Xu@X@p0UaU=*Lk-RLp;dkUY$?EoIa=cSxG@YtecXeW^J z1Ahwa2rk7_aXW%@@eJH{^i(_xw;ig$bG*j_%dnb2o@Ce)FTibwcEAg9+o28D^^La# zw;K8?jk+SKe0TmcX}whhY=>6hHAxwQAdiCTaND78;q~~2oSGxA^yj__x9h}r!}Q_! zzeNI{?&CAGi66%XI>ZlP16|?;c#rr8l;7t(YX7!JlLQ7N!^`-Pcr80LEPeq#Dt-k% zzU03D-AG`PfPEo3WXjjXEN)fWL;3}LQ>yw=Jne_R@!F;R*LWsw>0hmO*T1k|<`J+B zOp&1ww|hPx;YGN$>d)~K+%~+?DZc!2+}cVOUJ)a(IY+P)fjZni3--aAaNF>~cnj`2 zMf6vRci|cM>3Bb$c>m{*2n-NdL59omIBwmk10NH=8=u0hZ9Rg|;B?nm@GOCO0(J!) z!xwQo1%JYWv;8@?n)nb;#jP8E=`X$wrQ`M?_rEB;8~^7Mup>+RoBxE;Y(cqwkD z<{Nk=ZacI$UX9zJv=pxiJ#7D0)yER3CxeY-zK=KKxtyBw@m}0^v<2@MzX2ay=28E< zi$Hu?Al$~o_=tEM9~1u_K7m_n|06yr{*Uk3{eKB8l3`kW!&CjKnZfOdx5Vefcf=RO z3-O?y@xK*x5P{Ts-?+?=!PCW0!!yMj@NDtR@LY3${R@KY3FKRVb9^UWDBh13i(m3r z-`Y#XpCNs@c%}*y@u#d&e3kcD&@6#mGPH{Cg|~|zhIh#G-QxG-y^*{6w{v_n1@%jY8hlXvJUlM`6MRJcI(%%ohv&bWz{K)^^RFN2k6=oC zGkiuo1)mfDH%Gi6{tLI=X#5|Xlib>$W`|P6U&hnLC-F@25Aba9Px0Jy82{TTc#l2J zmkcXT^NpxbJQFV#-vKWb-xDuSIQ?%mfyzX{_rEvUp=$9FyjHw|a_YrT#T&)XjS*;; zz@>Pr_zyY9?cyino#O43(=C1n9_y9BLj?N8Z)F36;%#_b{3*&A5g*0Jyf3}~^KAkX zlHq-PO8jCrJR|-I>F2~#zwg`7LXx{-$Vvjixock9X0HQd+2{J+U|%Tq{-y7R^|%dC zwtd`tqxcUu@m?+7f!B&39&LYRQ0#wCV5h)FzuzNJ&JPWkpO04`zUGOq@3l*;?jpa# zwqs}a`5kD$SMXU*!x-MMcexxEC#<#O!+o3tW0qG98`AkuI-JvU6y(e$LeLB-b zwESmWl}BIUI@qucI$sV0Zil+?6i&gR&;H-u^qBWRXi9Hx&hVYY$p zXirxK+1yc$Z|b+)Pn&6`EzPgzeH3pv`i2PXi_4!z{DzxptRxRU##@)%UqaV?(PwC1 z3Pc5Nj(3W`gLjM1;l1MPzU0gA7vJI~xBalH2PLp08RFta_=x!7_?Yu zS_#aE@4%7GiSLash#!In=baJNq@98j@l-r!fwKvuONLAFOz|7=Z1H>WT=B>8{A7;> zFA*qA4g|MS6UE{W;iclw;^pGwc%}H?B6nZmswMC#8EVBh`mJv(_2Q4NV2~>Q8@yTk zExa}Ku>IR9$lA~s)GismiFbVH2bFt{ubwwi13xcHs;i1+|L zCjJ6GA^wLA?JJxF{y~Nr@gU9D(46>Y_=5QMc+lXg+WlEg?~SK6$XB>o&P}>xSc_+h zZ@Pisp=|N(@LcgiJm1`3|AOEU0)-Zc_BRMl!i&Yv!Ar$k@N)4rc%^vkegf4JcnYr- zAH(a#|B5$?{|9dtU*S)2ENGQL8Rw>5{A9dS{9L?S{Bpckyc6$_+||D|iU$Y`N`_bQ zxcD?aBK}`|Onifld|R1V?&10WDuJox0q6PnjQ9cgocJ;Lg7_JDaDH+xXmTEXg-eyd zwPZ-g?dH>6c!s3^C7vbzG&PYUK0^Au^W`ht+XMZQ{po;6H?_Uh?PC2CMycyZ*=C zcj5Z+3_gzUh@U|@u|5We_FS*ZJst~3L~-24`A=-=eFV4rix1!vxZT*CV$?e$ooHEM6hL7OxWDwAP=pnwSK(BTy$^h&PBI zf;Wkugty>!3eLgX@WlAPg+PZCv8I^w}}u{;x==lR@~3DaO3|50(Q&hsp!a72Gz&DN%*w*Ir!`{kNRH=f%#>D@SxY= zi{kg=DHr(uZ3plao+dtqXNdpx495Ri68H}pa>S#j-tLN7@NsQ)Qmj?4I5Vu$l|h_S z))7U6V28-v6I#k~H_@WMJ-6_up!fnA{~t`CR5o0Nmy4f`SK{{J^b@>F(%*>JnEUIW z`w2G!byCoic)j>5c!L$hk^LEO5}(Igq@398oBOJ0l?=mpyZD=Ur}+DLw`|~lc#ot{ z_d65|`XsO|dpaNmt-sRm=%DzP_>h#dGd?Woi}8`jUH#iRJBq-l6!d+3Tnf4npAc`u zC#9S_@ag60!}I?Lftlq2=g;G_QqTlGFX=zP7bN}tZjcxb>9p7E<9dht{Bu{jN`Iwm zq7hZnZGuMMzpdMxg=gT_?=Qi##IM71#C!0(Mj8JCcZ5Xp}%J-YkAA-YOe-2yc`0 z&*B}DejJZ=O5kqlOOtCkS*op;JM;^;rTHMe3w9>_=$M2cs*V!ei>daeiL4aC&vHx5vZ07LwK$D z@9=u@zu=AH|Hhk>-Mzx)Y+rS)1guK8!rPNG1iRp!;``y<;uUzW`00_m@qa%7`%t-v z3~sAPJXQQ8JYD=8JQKGaZNal8{hE5l|G5&lpA7lpPvM2)V|cOnU-44$ z|KQ~p$r6qg=lH6tkPKOP6>e?in|QUPf6P5O4NpNWZr6|fN#D$8%6dC;P2S)wpP|(P z_z`4i|M8k5_up&#*hTJA9TuF;j@w0aVy_uV9erQ_QMtTqj&7-d?MgWvMCsg;x+{%rP&{u^_m;5^fi$t z?gma#ugMTUH1@0yWD&3Ojcu!#z(xYdwNR7e*;@kL+LnMv;YpG{QAP6`BpO;pGgzk!1C#qYrj z#ciTOvH12Y+zy4e>q`mP5!>XFGTe@Mi zjzGN>WRp}Hq#&E5(j=b451_W-c3`{VtxFzlKPV>9wiJk(k{SvUbAA{mH_aiRu=YDJ)jELLRk1=tZ`Y{ocfX)4w!tGqz+>dEVZ*xCp zCB4l%n3ME2?_dE>thly`2aA%yCLW|*d`5IitgYC@gEVoQcaR}&^A56b4lEYf#Di?f zU=t5=lQRT1@gPsq+q{DUNxubcs}Q%w=;tH2;d+r2WD^of#BD-CnYc|zsKD)%F(DzS zl=L<$r#kdJSE-i4rsdQ~2Ah^sx8}~8y;jB=By6*An#64qPK&rr!f6w?NjM$iHVLQ8 z`_dd)n}yRO8Qd(K=)I zN(#8?MXP*T^hjMVD z|4{DqUoeBpL zF1{%KEj%Sg71@RGFal}fr{Edl4S1ILm3WT$@ZRWdcy7UhF@|_xb*#E5iy%!z9svutm#cT0$@yv#>s#gW|;=4w^GU%k6g`W!H z-veARyO-@~)c;>5(7P-UW|+eJ#gB|O;HD*upN7Z9S5Xt0QWK30?)sM!e7rW?*z&(i zIkIk!%sypbS>HFVQZ7XHS@$Qr47c9&FjnsaQn7wVmkCmJyWW_Mm0deaQ`PIq42P zgV&PYRv{Pt+NYn)@y|P~CJx5K?MA)AHheFQB~D`;dEnS+hlZ??uGrLDai0;*t!_`3?dZd;^bYac_V?b7yZwm% z9>BYBE1!GWLBVislx{=PKXiaEXUM0IC0_0`L|}x1EQ8(q&V0`A=sFZ+_rAwTZ+HFd z7PM~IZb7qQw(HK3-GkN*+hRQ3ur0>Z4S$C#VE5h0S4_K=tveLmISxM~ra1z8_HFmL z=Wx4(+db~iU-~Mw^mdOs0J8B$b#P!d9Vt)JAGMNiP6ZOM=}1Mm4bf~mQn94B=}4vGHXW&4+-4(H#w1|Vk*dXQ zI#R8;O-HI1w{gZar(g$aVrI3k>3rHmY$pPjKk5TIqK!K7 z6u>pQ@b5c5eJrrY03{S;gH>CgnUB1qgc&g4^T9H_{b<6XH-$81(fpwS4OS5TNqVG9=3n0>MwHz?BQHmm1f}mxOKmL zTsLt17nJiM8(v;c)c!Q1cj-7=f>zoq+4o#eY;ncHCa zXE$p58N^z5bvJ5bQPmAf!0yz>#qFN>2yS0^>_+XVq_-Qj`raI1nf?2p}5^aEf%*ssHNg|2en+BJE*asQUZ1dwOZWnpw^1pGRAswTgKQZ zZp#>(BX{+0=ib&awn_$D$Jj1zYv?+~Z4F(wxUHei=K5)NWd1?O^Ms$x*2hMwl#-a$V0>Z^$>Oj24BT%_ z+-`iE^i>Qg*X1&@Im;-kaXZr=MB^6scDb#VSGa2$Ib^6I!}=8TDH-bURD8vceB*AA z^qF{*Y}oEw28`_N{^$PTcEhI8Oa=?=KtXzBw$fCOY){fBA6XDw@&yOBn)Km;MVCf9 zva89UM^^C#M|LXS&H>o1~;#)WKM-b-- ztcE^ij#a<-?vxWqIag9ns(5q*E*uMF6ZnQd{ej)FQ{UHWLXP+ltBHG)YvMS(Tl{o< z&Uw@pt%}Ykkp6RDkojeiyB+0-E7;L5bH}a$w~N|0@Fwv)C?|8NCVXFbl)#u&=?l*P zuLtlp|GvD#pEBD~n+9Kt+o`l^@b$Pog2-9zwjW+Bnh4k(xWiU^Z^c(|#P)u_4%|jR zc4xl_w;SVjXTJ}(ai-nbABf2YZ2Ed!-0nq=;&x;)*lvI~;npTzjvA?Z-pw+d$>P1@_mI9{+)WneHn9Y3GIw0uCUcL7&#{3qahqH|A#Rh) zr>>T_K2WM+#V71h}$EAzU3aCe|r=# zusq=09t8}E+oOPCaeEXnDsE2##>K-Y0nu&ZNeS5PqG@rPvpp+rw^>@bV%mp|-5t@} zIJ_Ate1>=P8DXbv#o_*nIZk>vWjXr$N|XN%&@1V;#rqRZ z|J#eeU?SiP+JOz!clv&8j}i}w(z}}%XJtg6S9bHFigHqK^6BkE=r3b_N9Ux5&Wce` zF+;9?j=((V^+U0M*<05|=~o8br}?`e88mBqIn=zI8hba?qPV>qDwc9>@)gQn4wWWu zFNewyx0gd@i9gD_n{veMT~B%5mu?f=OQQ-TgS|AWNZeiyRU&?_TaXtH>E`5A_V4XI zlT+iz0q*=iNR`6{)~^~)(}K?>@`gBy}-Zj^JM&jxIe`2gutCH>Q(hvWZr3D_$V zilh;}NDej3jfw^u5(Fs;OW4~hQ%OZr@wK5GBgoi=d&Ev&kHDahWzP{t0q?i>Am zmGp&@J|8a@f14Vrr5rbG_xM5vO{N(zEaXR;c+>YS8VRKA0BaX zqX>d)$uJ@r?!w2!XW1b=_rE0lgrpzAr(zQLjDqyszfFc2N&g{UEk}H)yE_^lSeLY+ zFS+}&q1Tc=aWC*!u0Mo_0AZmj%L; zzau^&8z_q0y)dlKUoq`|z%itEuRaU22X>t}4WE*78ZYKv;EV)*Musd3viWDX;B%7x zK|Eg$U>GkHe;Y5op7FnZ>HL5|v1F+F+*ftE_-CZA6yM+yU!x1MqhF1DWzh5kUvp_u z{ky7aJHl_En}NpeQ3bjw1pKs8E;V5{5bQUj@}-9CN2X?RyR^S{u-~D$w29ch>}kLa z0n2a%d)jJy$_|}P`kb5nIsPVYKTK4?hV5Ik{g6>LZl8+$@R6G)CP!dDHq*xja@c_V zIL$EW?S6p$_*9XUV?S0^DjT-nj0&RiUH!W!QqiCN*3&qrU?-fP%Ly7OXa#OR(^J8Y zZiCzJ3AK>k#(?$%L>0~o+DYNeoO-PGD>M8pNhe|2&-hwI05TA@$B$c1QME=1==8+Lb4&+ylqUK{^k%^r2j zk#*y};*a9};=jQM#oxl?;@6B$48=eS<{^EdqwPf1npNcv_;|82a{ z#{YJSJ(@tOd>o&F&vOd4rb-(lUm0ZcFBBSa{=Z!i;si4I^m88<(ckaz zY$@okc&_+=@qEg$1N-u2zUK0i)5n4>2^1y=f?e=p@%`~q@p(qcg>2X!&8+JN3Sr|d z#qD0xI#-77#{X3WY>;^j8>q!?$aR`+SPFW2AHM-Dr{N3Av7chCcik@IUpbW_3psKUN!V!2NAU`IkjIv?}QSjvohv_mrZ~zfKFhu@ngNJY>#YE*r^= zMDA`vo$32=IU6Yd*w@4uy~(bOC*$MdA6&-xe?kJEL>q8l!K7QJwfIIfExt8AE508a zo|p1>BmIKC+{t>uY61cM*t`-?6+a747rzA06u%D7HfQ`1^bp9k09E=po-h6qUMT(! zUMxO`mx{;Mz1&x6xdgVrE5&!jtHq1(TJgj2dhzdhj|GhqIG+s7;;neA_^o)m_(OQ7 z__O$28ucj^DXhuPuz&v9S&!VIZ* z`u=JK{l^XLL(df7k`3o}`}B5+-Nl^rcHxRqem=f}wsrhfuK$M{C?a6r*#^i^Dh1iE zPn3%nxDSi49Noaa?2wj!Re?|M%J=Qx_H?6GAJ7e)!UihYu+>l*8<@Kzxh4u1eK`x_ zCvWCGJ5h7=zo}6lsAU886|9;K=nmQ2TIxw}>*4KXEiJhF?1}#DB`aNW0B6V8fIcF* z1lJ?J8rLTzmuLCL*vygHg{vz{@4n)lcSE!zHdDfG>BeT+qpjJ~P6}$3g6@0P*HC(* z>U@Sj@QWnXlHP97j#IvE_#dRN_34+!{{eTU>!qO0@J8_`sEM?@{JGzR131LB>aa2D zDd>FMdr6N^?>`mY`9E@hAIPR4cNZ@DD|L+^ET~xgde>S**VURYvO^uDxADX&l;4e8 zTiM<9+i3i+1^t{o)q-MQP>`+i%DvkknRVm4=}ny+@opU01UnkIQxmm+Yb+noSSDyJ zIb`@Z_ipPbC=cJ@O5f<(<9FT{1E~WSV?>hv#mjclyj>Ly3$hzezc(0^y z!28AR4(cG~SPflyCFB1=$#4q=#l>IwgFpAf6l52!2T4C7>ErmAcrIhc(U=_B>tvXa z4DaDn;(Jlhxa`m;q@R)WFTUXqV2UeaV*byY1SaH?c_aNkm4fWUCau+<`vuuRF~jhA zcF3-PTa$h+Iejen(>i{SCMClHtv!uaZQbeH2l(`v(iqF|J}x-6;oM)7UJk%7rgY=~ zOg3O2D)tiBa@;N~_U_dh+`a|doUkU`)~nmARNJI{Tb3SuT88a^1>MQkrR&F~O)l%A zAXmfDpUwRmz^xox3LMAnma8ofUw&Xw|Fh-ZqhzpiT);@CYOXfgpt-$3be!~dZMV5! zqnsM|F(3We%HCPh+ZE8gWW~?_3CLhoy0g0?g_qcB+JqYjMSlm-CYo^Da2cQXft=%C zk-mTpyN{CS&t6HE*%R}ZOuM4lJIS;W?MCYzrg7Oh-Y@c1L3W*=2VjrsPc&a=b6;uh zt5*2eVBU$x2((#Xmo1_U{XTtg4?cyL;!ohk-%L*bCO(H-4Sg87`^v_Bf^06OFCTj&C~>LpDACt%3mj@#J}!67R*Rs4>HV&m*NY!eZM~ePrcQj zO55-c@pSP^@yy6w`?m}o1hOT=&+%OGC-8jnm+?aJDZF^OhyCwg1WK0&oPYVJzUs=w zSK*c7d3d$>cko*ABb`U%|9T0WMutY*9#A&oO_Kg+c#HV$c$@gcc*m`b|7`=q1UeDe<4g2+T;} zMtn}Z7he#65)ZnPd%-Jss`#JrSh@sktzIT>W4C!SWJ&t<+kBPgh;NDKiSLXTcwZX- z7ZWIw3`gN5;@`*1#4p4v#M|&H+_yP*{_h}AEg2rcYsKwd1@*Yq(DS5kkn|IHllTXr zhxh+mB=9*I+Qc`x+E;alcn;nrz8Bsj{$0G!dDQ-``<+OjUozC=gW{Lraq*k*5%K%* zu_gEYZ-~IeQXuMozr&}*|ANnm{~MnZPrb(1+=BR4*D(GMZcFYqyO1GOd_O#0yaLY@ zKON5&zX;Eb5wJ$oN+3@%+=>^7KZF;FKZ}=$kK<){V*bzH2vkUhPw^`8jjr`oS|gr~ z*NN|rHza#3IFLY7av(SkZxOG<+r(pdhxqk)m-s!Ahx30VFi3_z@!#SD;(x@4#6QM| z#Z%n#_i%7K8hY6NZ2+>8z_?`i20kgiFFq|^j?appiq9|esQ>+lz~Zt%*#EA=Q*KZ0 z9bI@DZr6`r;OUb7*LbG*8|{q$vn4P?hFsaeXLz2Z-}pLTr3K>K;6>sEc**UI|LqhU zM4(JE9FJFs{{XKNZ^moHZ@}x!{q-*h?j_J*f#~`d1i!+Y#7FTK@ju~h;{U`u#AEAS z@2jp$0-1P^_)d7A__y%^@gwjd@fz>3U|0edkYQB(r}((|ZTO^kKRzw~96lSltA9K9 zzb7y+8UBthiZ9|R+%d6ozH)=F(KPX|;~C36JpX$T$XXt7UW(_4pMd9y{}3+_zZ5SL z?{FTC|4StBb25~PKY>@^_7&`9yhhSb;dSEw!W-_8`9ELo@Kx6&8CKyf;(2(R_;>IQ z@gwoBgwy{{BhZrw`2N?3_lf@u9}vGC9}<5U9~K{u5g3)g>T!PuBwy}my!LzVrQ%!s z&wH`BEpDw8f0OO*H^YYQd;ei3m)|w?!$9Pe?2~i zZ;RXV!76+m+%F$=x2Pg_&B*Su*<0r7$YA#&{~Oh~yH%9$@7R=5P}+@Qn|JG;#ka<% z#Pjj|U41#x9iFfm?@yq7dBAxEUN8QAyj}c)$lY!?_(w)1?k-^XXRpN#?nxdEgs;Vo zE+eTDu-D?Ii`#2)GsW$-xY^?NTHIW5do6DMJ#rbb*WwmR274`TvADe!w^ZC-i(4*k zZ@;ZfIF}K7{cUw3;H%DFe_JbVufMGqx7Xh`iWjW#jkj6+z!-s62~^_k;%DNW;!SwB zxV`?iSNs~%$ND8;ufH7>?CVt+CuZaooOScg&Com-$*5EVZ_u+Hm zPvQ&W_DbY{?(Hv7?xCi=@;sG5sz3F?O>3SVa4%cvelUF5Lhl)oTI>$GU|hQ3gZPyA zlaagm|3CNTl+!ggPxYUh<&PjyH;@ zyUT4@PIHnU;wD=YXiW+PK>^+_ejwf{{-&?h;zRaKjm;3VTD4300&d@}?NYvo+ZEd` z<@%VzR+P_4`BtlO??)y^kXEZBWU$j=dILc`Xe(k&PLDXEFnzQFWHKB($39ID@eiUVJJym>Y z*dJNF_$zp$_!QnO{!hHsdGzUK4JYM!zkznium#?U+f9L7yi0r^yj#jC!+V$9f4ZJT zpkE5A#|OlJf)6eg6g9^VJT87OJ|g9`-n8bYkGdDC$K@2;Z&r-q)`skZU_$&yU-lR2 z)CZD#wEf`9oTRs(FPif1e(RUsbjvSkypq9w(PcsWI+{u#8@?xU*B0kjMn(6h_znD$ z^u?4@AF+FOx-r*`egf;UL$BVn=At@RLt}l(M`rH~O2@5p*gJ;$CB5~&L2>J?adGRE zBi@&4=q-+{`4fL+99S@ow}^j)w~Bun`O2XANB(*?#Q|@>!k>X`YSfqSnrS{YltaL3 z$gaY9;&v4-5TCr#Z(u@>c#Ab*8(I~#$&PN2;nIhfi7Cmj!~L`s@o(YTxSg8Bj5B({|a@8(fuyBZFd&*wWh<-eTFnd7Jt4!Gpp+G_(_qwdE@M;wW0i-eK#(b9lh@NZu{YprOKYxv4I}hKqKBO zesGT8K$&c~h4g)rz8&w6NuZ0sfOv1@?pH}K@U6O&@3g~_Zyc1fVY`C=9&;dPQeAFr46`{Rwt9t$c6G$#jw@8hlFjd;8GPw`IiuE z{sJ;7zAAF}rT-$ofkCcxdq(cwrYALVFy6b&qyAS#pnq8)EUTfwpZj#_9cPoiTDsH8 zq|cP}iABufEjLHc65M;@V?nb7p5R>0%DH?2 zpA#R)=f(dVxtm2z@2H@6d>;A6K|E>`uKulGZgh)p6eH3LvhgwT-SG+W1Mw;GHoi_Lar6r>!qTp!|wmx*O2J1pEIB{hxd8YSA;I)sdf`; z!=Wn6T4I4cma%VUr31;IW%hb<-LSo$TsLg5C)W+z>&e+L+jSkMi#^iyE_+jRz3h+; z8OtJf-^?!cZK#c!IE(cCzw`%S6Ll{#mvXKSJ^bQS^e>;m2EAQm7?cdZz~kb-#z(~8 zz{kXGO?BMuXmsJQWhXOan2-#g;Zx$a*m{a1b~j9;zm0G6*SQ%~3f zivAKm4$k~`Tkm4a&2*KAEjOE|O6@LV^xNI8Ms;P;Gi*3`%r|zreJuEV)E|Lv;Or}W zBhn4notJ8<3Hxnueb1fEpHE(V z9zr>~_-Z>=21MnA?cb{I4tH%18>1FfKn5*nz%}nMy)M2ASUskTuWa>LE~g;u_EG=4 zmLuzxZgW@U?$h!o{z_NMkv&U#U6eJ3XUnNMWHY~`0dAk()3-%moZJyeh6M^rmmT_q zPuE3`U^{kb!`ppZDU|d_oa0?r8h(xR#lPf>lU*q8zQXTmqwLVGWXO~al;FD5@F+Z6 z(tjV%HTT!QAh?h~z6Ch4HoR1PB|ECi)9xUBxukytuN04MPeHmq?Rhd(ONI%&R{Z+C zea-3ev=2yMFX=z`?iOK7V6zEd(5PI9Ho3!}%VtTRgSU$Bh3j?YK)gLl@9N*qz5Nb* zp`3eLx0Ww%x0vh2k7EPfvP1Sx#CZqaU(Ex?b)id}+NL{ma zbkCVzm+3l|n-fVvujAFYrGL+ShgDJfOwunz?tVRp@0LXzakTs`9RD}VS1?=u)+%mG zh}v5z*h1!NCsQU(T3+QTR65vX(6$^0Y#chewqPQ(lO6gDTHMT@44Y!(bOO*T~>~zUsONcVX zZ3$7fxUC?{6}J^c`Qo-xsIXtg|F%@9STfj3p;B>MDO4_QD}^e>ZKY7PxxfAefh`rP zwLo;)2?D=V$o*1?xUCdw6t|T^&EmFFs8u{>%TC%QV9QQA#ckP1x411k=@qwSC;j3q zQHlkF60kK&adBIsG$L+Gl*YtuiPD6)Em4|^+||EzKU2^mIs`>HA>NlC_~(qC}oM;5~Un*TT7KE9`JZ zRU~eUsY=9c1yPx}tstrpw-rQH56kRnTS8PL8Egqrow%(aY7n;-L`~whf~Y0o^gmm% z)0PPM{%0$8I>c?kPM5eX*y$0sl|p^ui!oO63=pt4e%Nx95y@c7QO0n)l-hEXaY=8> zQ6|N0rBG~I0=86WR@_z!&5PSgp+#|9DU|Zavexb@)m93nd0(1eX-kDNBty7VC~Txz zxE-;r6v~$Lwo)ip+*S(ZC%HTSwp6GvDd66Xz=n&(Z6!~sxGh5~7q?|-mEzGdv}k^% z1Z){vt+*{is~5KwM2+IMf~Z;CRuHv1kJ`Uo0c{CUyJWB>M4jTptmNqy&*=82tXF&o zydQU`()Yi82nA~#_?-B= z_=5NX9>g9^?j9T7>8mbP{A+l+_^x=ScnO{@ek`7gC&vG063CYf7vqKE?Rc^H-FT_^ zV|aP8$AT9LR3-<4NxWM8BfM68ox6O^)r)VAH;U&*?#BPk68IJwTE!2;+r>}8JH;FD zZt*Me-q6GLZ{6<}0{xQVL3~g=j>pAc$4A89!^f6+)c-yqFtIEU_OrCReU(m$Z;j80 z?}pEbuf`X|-9vH4|J=W`8<0QaX?eHY*Ry%d#o{(gw^H1u2egV`K>3{m>Aw3#H*DMy zSKhtm{oCAA@y5rK-F_*s8n+$YHhPG(anLU5J6N33EPh&46K=&F_lWG?(S_zuMfZ+k z!CYAX?yt=PPy3rnmf?2uiY=pkw;lQ=-j3T%sF(15+z$9pcpUeGTh}V=>nRiX~*QM$yKGi(~`0;)UY(NABwNn6IXWm18^lB+1* zmx=!uFBSjtJz+On6{NF6`JA%NBX{p&UzR@Ve>nsymj%K+yWCH zO;~6e=B}6pZux=?Z_~fqD9El8_P$&7W8FCouLtTj@gd<+{kwfV+zpMw44rJiE-d%4 zL+u=~jiBuJa^j@73(FAcJ0*P!<%1^`B0CRFQqCd`yNGsnV2teK#wXZnjzE?%b2!y0^V?c#`s?hhyRSw^t5N z6R;(-k1^!Zs;hN%9#*N=oIMrSQ}A%>|9uK>bI%o{yJA|<13YllQ}6<=r@)?;SIVie zr{#+rm~~5gTJF+^$M4p>MgInYJucS__Bz^HX>|5F+Irls`Lzf5Bi8gk!W&4xo*)0X z1sM#9w6WM@bai`uZ4(7qTe06jAH;b88rakFPTYFUVfIwKTLSjJ+g>T?M9yU#x5jI) zuWc8vZ9t`)Q)6Ah)E9@8veu+u8hmKghI8W-&g8+i?46 zJYZ0o<2MkTj_2c+{-VfZZgx9!KF2u(`+naoUz@jgpDy9YZjZR#C+HQomo@j{)|>2Q z%|oGw{m&W^Z)*;Q$zX0TYaSK1mo<-z+sm3K#qDLy)5|>S|Ms@#*=2#S_UvWN^Wyfh z=0$OPS#!#u@85O+_Oj+Qard%je^)F+0`{`zEOC2TbB?&ZtT|8IUe;V7ZZB&t8kDEv z;oF+Sb6g@B>}Abm;`XxU3UPZ`bCtNgthvTKs()A2_O|9a3xxIW{_JJV4dV8)<|c7_ zS#yiHy{x%SJZ4j^IwW9It-8c*s#TA;O||M1x0zN0;>@&)1w#bv20~)0m1IavwUYEU z)oN7SW?GF&IX2U3B63&%wqcuUH7NzzRI4c|$fjD&NP2rI^Q^edw3=I9PI&%ps?~yI zu&Gvy%QLw2Hq|QSSIOPXrdp+m+f1tr@o=V<`}}hc93@~=t#ZU|s#Tu2O|>czx0zN& z;x^N&eQf^0@rrMS(Usus7IQ?&`F|Jl^3`a~e?d`qvbZWOnB z#?82W`q_-C7D;b2s@lYV7vp8h9TKpaOYRa|1Vx3zCo}1tO>{eMH2XG7zjUv#O=928E%cv9x#-P z+w{8%+y)>v`>w`$)c);r+9r$Dk-^;NiZzJaT(KtHx~t6J$fyC4-@x;_D@x;_D z@x;_D@x;`u(8Km`Rc({e+9ZQbM(Yr_$!J~THW{r)+$N*-E%T`V*?gXXWr47t*?gWM zab7DO42#?RqET_1UoVU*)_Las`WFN?ORc~H(RI$f%8{vAMdCI|twh`=sg;S_ zB()0hn9WkFl7LN8s}Z+JYIWi^Nv%QLCaE=vGf6ELv`E0_@wJKDWV8-(n-kV0ZgawV z#BEMkU*xX-t^3)WumQe?6 z;x;F2Ufkw{EsEdV&GjjVIpQ~m9*+O> zBw(}P3dC&|T#>lVf-4cXS#V|IHVLl6dDQ;x3TU(7sw9KWf~yg?S#WjYHVdvnypNh_ zT5{k2Y^Gz&QXuMoHq)_9+-5piQ`8*uw}d>Gysdf5K0`<+6dSqf^vTg9)$+r@9eJH;QwyO(*?|KbFCmj%N9_d4D$ z{vJLk{s|rzPwVqFIwHPxALIWq3G7CO3GvnVlz1gRBYqY>CvGz%7oLgv)^4{_E+Ip& zJngbK9tAvNwfREVk-lHj_uzx#HJAFDsN=z9uD|{T!Q*6zONN*55%G8MG4VM(v4QA1 z8U$OY4n8la#BDd;(TPW&|r>X!}t zmGmjHqyHp*5MNev;rUp!n1Lk zl(L;GKf3>4FB{&I4Y$jNrzl7_d{C6$z3cYM8=_tB3_2XiV^{T2y5{Y@lAi>tGY~lJG?@?5U&zHBu1b{ z0w>{h;^*KE;w^ZS_!_)L{C+&vCV{62bl`T*#_%3V|5vog z!867GglBtSI%OXd$dwFbAX#B{@S7Jn@|0aG~tbC|)f7N4!*gF7)s#Te$?*8TK2f6yN+|U)9y( z@1=OJ6<@&X#Wz^br*Cu~U9#+)Wf5qW47=d1;x=7RPl5f;LNBMr+M>Tu>$j-p$#D9!0;Oo-wuf`W8 z{T-3JuWTtCfn|7@45{MJ;c2+_nm6zaN&h!IQ~VP=EA+7aTR|H>;`?QeWcVtcEB;M9 z54Upm!wV$+QF!69^ilsijX?3TKv;V=wOY@m&7jWaifNZ#d$rpbnv@*z`v1H>9u%o4Hz}m`FcqMM9@^^TZ9N3@nniu)X zW>q&&piVNZ|ENDT4dPqkO}Jg4a`9$K|1IZ9i{I8~%a%L$r*LLYc*scOIQjq;Z&WQNM=lXg{ec5lo>e1F+WdF{) zeJz?KeR@)Q*9dLlg}x>9BQj(rWe9?G&hs127Pr@)b#TP?zO~IspDXF3mjZ?#!u@2h z$+o#<$R~p}sZw_a!yn8Fe(JAdaps0g02k?Su?0v`3!vaO_ZwNeHh54n?Giu4gm ze+DRXV_fk2l;eDy;J@YmK{ggp}`@)?u zj`V|+UVjtAopF;n(xStZUS4Z>>THwL8(sgMiwrT!umCnnE|qhc@9qW_WoSl* zAZ0jxr7S3Sy?-Ko0i~B0Q_nWs^&Um~kWHU5&|ZKFda=#)yzhBrD545t@DlQ`;AQ0c z;_IYwPzKr=MY4@6D8m(4pepiKcs04cL7O|{zmUF`(m$~h18tZB`a@Ojj4z>}21>6# zROQY%iu6sC{#$sfM*;n1D|g0O-LmZ?lwN=F%AIk0r0+m_?TkTqCp>Y3YB2)cl;Ie7 z4^^zcc;(J`2GU0-T@14(R2TW!U|;#=J#;?-i!q z^0!Y(3zfmQLVCRpR7mCMFXe(s?)JYGS4lx}1oSfw%P=X<#B4w(%UNy>?3coDlA^yU z%2WSLPG0l}>c4=8%?>he$4!mjJ&3cN@C_0eeRU7F4po|A*>7A1^-I+cxxl%e9o+yA zEf$ZXCGJr#6xRiPO#Mwy0zqULM4%+<&m?BtzXpQ!JF>wn)o(6&gj(rZ^QcoQ960z)9~bpPs5WZJ`JDj{`OCN8$LN;J@IXL^2Dd% z$rGQ3Cr^ADp4|U5yt_eFLIM3gcp15VGrodc-<(qg*UqJH&RIr&20Cf=bGSjJ8EO%z zp$u2SYsue0=cU3Veew{(CU%$>G*RQtckn305bIILD+udxN0{YeVd~*G2`y%o( zTxl;PUkWcKKQLVm_odd|Xs_Gy2n5P0!yn<5fxeI~%>44h{ z9)>rPKMQXre+%A5{u#WTd|f(b+np5H$__;S2-{7rFTUXKQ%vG|O35qIhx90|S0gIm zdI#@T3?IE7D$toy7XpKDoh3J5;xj}G)Bzu%^s7)#jMBdfAA=`m+hYjCDZ>x&N%Gkb zW6tRz)GJe@oro|)>NS%N@zYQP*150IY)&m#}RgXAmV1>|=-x3ldK1s*|$BJu%v z3HiJ5GV(9s737=tNXu3E?)QJ){jw3LrVM+)Ysr5J50f7aZy>LPHzm2-|1LzJH7Vfl ze}98V$Zvsnkaxkm$e)JykiXXBX502lpA`5Q8T!em;Dh8_J|ayz4A;G8XZQ%E|2cg0 zCAzmzguplzbOJn11?jg*%Hwj_)}yq(45b?Ty>4QC8A>9(jDPkE9ECEaA) z0-2A>wk##z8D37F53eLY48DxK3|`~hw!dzhr3lnfhB|mX`L*yy@(8?{{6FxvWcRm! z9|G;k0qd{9JITl3-Q?fHd&x5%lNRkG-@&?@Z4Xc&hzvvIhrmb3OW~vBr@_a`YvB_w z)8k-&Ltu(Bw87J8ce)RrK^}!?k-rSjNjUbuQ3P@k&;jHdcwQod?0@OK(xgGS?ta_C zLzI3YyomglEl2NPu;Z_rz&d>By~S2?o&1O4I?Y(p@_;SI>v%_YdM+kPuCILUBG-3K z^}Z|R=tSF>U=H&*Ot@yTnD+%=epo}C(_+L_viAw#-C$;#%ruM zZFr6KUI>??H#N8%{fd`gj_b=hn(1e}xamGzyuPNhFFM6G4q?r7+v^c)6t3m#0W0aP zTe2_d5i9kzTgK>fKm67$eeQ?fx~2EUW3)heUp%Q|*BP&Bu=V?McCL8&X|k_!#d?jA zE7ph8c#ZYpG_F{mO^bMV`;~Sgy?V+O>)W-s;w^D4fY(@Gip3S{OR;o?v$SG;E0$kz zOZE8+(>&dA^u1VI^^tCTvhQTltAN*T^0A5_F42tFaAI$2rd& zg+NDYz?=f_B0nGAL*4+7lHUyPC%@0Re@&eNtr^lQhROd0kC8tCA0vMW9w#4#Px|if z8M@yQZh={T2?hF*p^W@3cm?_A@GA0+W=qRelUHpnUOVKaHuc2_gek+d@CNeR;Z5Y- z@K*Bw!6WK2G8*$H0v#H_ZuS|xi`<~E^pI~2kCN{S?*QoCr@RKL?&kUJuVEZ-ED#+xFMp?_LD*D8u9MAo(D? zfc$-Ui2NIPQL_8ne8CDE&kWWgP(m3t#*&tiZv(F&&x2Qy9}KTfal8Fr#zCSs zC1A`4@G$u#yn%dktUwd_4)9j;eSG)N3?dXLM1~IXW8q!oOW{4_m%yXsSy+L7>#qOn z2)G*pgOuSQ_%Qiz;W6?G_!#+l@c6XL{&yt;lhXmW|J?{Tucz(>o$z$>C*hgor58x2 zZi`7dyUN~e+P1az`)3B@IF-=Zus*1kO$F(LY60@2@B~>Nxjvy5B)=Ky3p@n$q$=^K zE}f?CXP?sc7nHB|-Hz#Y;Ch*E7h@j2%DH`^7|Pen5U;|E;nP?75h$f4{Q+K1KKpTL z(n|83;LFJK;Wepluks^Mml`m~!|TbhWkxCVHtpn6K~4T?p4sx(nW; zn-AA(K1~P90u{pbxdc0}@|f)4uJv+>;(^z{~BIIUJ5TEUx7_l2G_oIx}^6^Ic4|@3aTXkJA4^=1YSeF z5?)9C47}dCZGS!by@5a@Wf+GyldprfkQ-&zW*QzOfFvP`v1n*3;I#<0B)wKzYZUS>!$n@DlVr5{m`YKZ%Qx_<;nPGpN&JH z76BcS=RD=FfxViwLI$Wdtiry|XPZ&9oDMjL!Y8Oj%ivSwXL<;vy^%UlTnf)1zaE}N z-VVM1w;icpY;N{}e^Z!K%R8oec;LFHQ zf!C0q53hr3r)z+RQ_|c0uWYHTK?7xo!y8jF*mpFXDe0TZ=fc~_7r@)8{6)U|^ZyRY za1=6hk)HzZp@Pnb_mVfjqg2k#@P6y=_@leceFzLvL1&^RhNz%R;UnbN!$-;6;p5Zg zyZX(;2*fGFYWO7i+i>%y>@~WLpTY{Hkq^Ps;kv>fooUBEf8@%f4BsO|7Wo#-Bz-m& zbTc|vfYRRw&n15fp65|PLkI*Z!$j&#QQ!Ukuf6e91p29<3*ZA((ADrE@;3Mg`Tg+Gr1IVV zw+ewV%J3>YPCf>oOe)AfQvU#-BA@+VX;Jf5>OpR&|Kj?8Is&?F@{u8fdzXE|g%5XP)A^9WlAY3=)0K91F)0AAKm0nGa97qUto0 z`O+0+-?P&q6O#f=iFSBKnz|4b=-#vsyi_a3%*rc7pqv87!Yj#_!k3X>0l=k&nRJ$=AX=$v6I=v{1Km+y1)Ewn3m58MHIz!J|~r!SH@c ze(w__;N_Kzy*B~&G9I$>Be3UA<2|h;Y{|%3mN8yuH&MVg4{C|o9A0mSpN!?$+ zgQt^c^h=Xw!nNggglAFuec?GHbp8KO1ac`u8GIpCa3(xR=`V#BkY5iECCb76*N#A8 zA|U(6!|)<1Xf?cq(l59~TB4NvPw+A-r`AKDf->9$uOxpP6<1L~ad9GAx4EQ~IOejpV1m`Ks7C@G2S5JfrW=3nRc+t*(Rf#im=~e6dNtzs2R~ zXRuPsvD^RO8z`t3UW=jEzg*`3J?Gqh&YG@f#hlMGksD=5&ccvC*c{_E$c?X_O{slafT;DR64cE!4zGW^z>HmHo=KpyV(6`J5$@MLB1?2jc zxe&R&Wv+-^UouzXQ9$1^S4OUHnX4ezx6Ds#ik$&a{S`c^GGG5^=M%!Mg~zGbd~ z{IgS}Abu=4?K$7=6_cA~F9_k1?k>*lyP8lAo+kE80Rrt*!4dFI@)P0RY1fyaIK`@imfGY6zeCn-Y?e2Oa25BwJ4 zvYVE3K&6z^hkBl* zicG^}bo=cdR2&>h{jKdY@DN-V=pRE;&M=j;2I)(*92x)YOIZ=9pn|r0-rtt?@jF`M zCti~Z!qh^0B7L0Fzl`)_v_Rg62*fF{AAXWLNxlSbP_eG@3Gj6COW>L0i`|0Gwl94~ zr_gJH@XciYW8VsiKrLl>0Ujn_18*Sz2Hr$I>qTj~R`MM@1R@kT z{cgz+piZY>qs=4V7v%)W4}%wwA8*}%m03%}X$9F{Y*dCp`?FB@rw>3+z;zeVw=#@; zUggqh58EBjCr=@L2Fh{YHMW;m(?5{%XTkM>>0v3yvx_2s`ouIBREgDSeP0UFQRgCf zg#21~2l<`wrWCh3;n_Dzdk?OW3iMvOK9QY;HPF}0=zA{mXw3%pk;-3UGA%h;Q2XxISgMJ@*5ml^@h!?@PMvKN!PLx(`U7f6O)RylKLb?gQMurYl zte!R~89Hf!x-h_(qhdQQxxZ|r@22$nYCG(Hj@T&Bt;K5205dH|S0R=9|!31a_2?&PIk%=7q8u?Gp_C-<9eM z#I=QPftQf4gjbM13$OMl5JMmg*G}{`yor21-ue*7p-wy39`H$W54)>*PdavTwhI61=kkRH+U?g^!fsi8sGi?uT8CQ@Tj8<`Ua1B za(#nGBe}l8qnTV^;L(=kZvWFac(f-4{NAo_@aQDhH+XcD>l-|J$^AEY_`7!>xqX3$ zeEDsF0{RAzA@b``&OLC6Z-x(33;kEzGcgKWi423}v*B@6tcPiR zV;8sF2UyS?TF^;&u5;V|+7#>VlQrn4HTVP`rv=KoU(!#Kzl!u@cYY{`xa4#si*Q!SCR_K(D(s zwigSEWIWJ!ntcwBoFJ|zk~3cMS74s;Vss{%=K}WWVKk|Z2M54I)YM17i^xxcmyn+a zFB6}B2oV{r@~wxk?a6n8N5~I` zcaR_DyFdT$LO?s+smRbnej$8_cGpIDkaoY@;RWOm!9&(v|JQ-#IRr`)yNB$TG59c5 z{58CYDwy@Ev``88!JCPfO}p%WJ0e2`Rcx?Zj!?y)ULgflO&8>r^fh=jdA^oUUa}c( z0#sAQYcW>WQiilH*QhO$6P`IYvkIVdQAf<~|g@4~03g3q+#6H_bx5uQdq`!)Bfvjw@RSRc`! z_nP0e=9>W04`Q#}z2%Jy?S?VC%ZAYlX+K>h9U?L=t``Y@fu7q9pNqx68sj});GUR# z=(rvTNI5$p{XsZz)QEd#a|Eu%fgp?wx)0w1Zy@i4H^FD3oX6m8aJ^2m8r}hyGikfK zK8YpjMj+7=I8^q*bu@cH(^E_6#RG1Mair&W)c)YRKU@x=Ae{itx>`1Y*-4sC7f7en zndFyZ(*)r9`YoNC*TS_W^nJ$l*4=QW73hnLN3cLz&>~$R>MQ!*V(u$PAU&_KzTG$S zxvZGIz9hrtTlO-se?B#iiggB?Y4@u2xxV(7)9YJ&*>^c){pIZanj0?XnHcusNpzys zX)+#%QubnFhT({HF7y_l&+PzauqZ^j-N~x9cn|p-@F@8>yr0}#hrl2O=445e4#Q_+fp&q9k{5pWcyjw&ds)L@u^~)A_Qd?d%*T(lIK%I+lnjN~_B)`@odU0f>rCk! zcnw_VjJLZ5oo_PHSG0v5^;}?{8NN+UBl1we+gP(kTC+I3nS7IB*<@|xbK&je3*cTS z0=h&62y~Gzfp?Q11Mi^<&VWbB>)`$3)0a!GLtv0H+yNgZe-Iuc?}v|(UuN&A`P;q~ z<4U?~X}kaJifxv*RtAiQ#TW&opUJuvtyIF{kf+< z|BquybrVct4JK#}Hh)7Zm?GZ+p7yb5ny6{ui0 zZ2QkPP{9GnQ{uQ_l-hlE0DF1Oy@6jpMzy>nhhNj~+SiDR&SvxwN4p6h+SpNdu zhjKK%rx_kcVA1rpamzXgFC>2-UQGTCyp(+Ao3fzgsqUE^1S(SlW^ed1@N!o%d}Ik)rwdMfBjWN0M65#CJR32!5R65dY!GQ87wzyEKFPVoT(-IQSx-b=pu zThgR`|HAT~kH$!JpSPI8biM!z-Qf&Ez1>!-u}DE-O` zDQB3{x5EdJex{6n#{7gOsR3EefKV012E=2`8g(^daI8}T)oYP;hf%I3vCsB@_61u;YZ_CJ- z>E?j8{WWkV0-T{eCBt<3W>>*{6QtvM4=T<>r_;TlAHFcz{p~-DK#+RrIJ|(|ye*q7 zM4kmNBF}@DkT0@s=OD9983js^p@O^;UPWFDuO@GV*OEuz;ZJD(-;F>6W$1@Dk&nPz z$>Z<{dD=VDavcfB{+EqF7XrG?^5ETx4AO}f!F$O|;CHG{Q&7Bk)o3 zZumHPKYW6G1nx~yAdW!Vr>R47+Pl(p8RXgUEb@i$9P$u6SA2T@Uy8s&%1{N*C$EDq zB5#5hlDET)Q`~O<9t28L0>%u$%gJN#O7aQ#GV=78v|J5&j_>~bzm5VyWT+=Egg26x z!JEmK!Q05g@OJC2|LX*#8G%m95VALW{Ba@=r_DN49|bRf>r0+agg0X7)hi<%C_kwj z+5a9!fD8HrFJa<>o^k2zQ;szB#!yZ-RzP>-weTKVv5nvJWZOh3u+4ko{p5M@LGpv) z!{kT8W8^2p$2-80evk^C63?w$v|hGa~bS| zuo1aHq1*Cv6qHF7>sQy8p-<=x>dHf<57oeNS92Ke=l@|Wk?sYxS4)Nlcs44~hkff&&;jre)+~w@ z*cGlXIp+n^_sO^V>HYqnhYb3bbIzbIrZ2?;=~K!2l5U$e$?nmCt$&YHe{?>wdE!8ar>0aQgGu&oK$Hvf)tpwrvTVs7ieF&~M=JV|i;3ju)eI7u& zcMV*pzlR`w)tV~5M$hOYU`x-Dv9J*t^d~(f$j}7W3-$UfwoJH=82ZNffeBeL-EsA2 z2@&}2ZiVfRp}){*M?tz{=`S?e;JV}L?-goMkY3DLzEWDE2(B0S^k)V+DHYoX0{q`S z_y^`mRPZxw0)0w<0KNcTjvXtE9ZNrVdA9oYwQegGA^jEbLAZ`EH^bxB-GNb?uFD1N z&#|$CPNE=vL_CTiNn1i65$`A0@9+(h>l5O`(=G>QeMCHlfNpzz6nu0#gIgqh6nvc0 z>yzMdO0Q3XPm*8JZR_`YulZ6si&m_Uf~UcCjrCFR3`(z0f@e~CeG)vIT%QCFcofh_ z!Sl%VQSczSe#^0dT%QCF!F9!StSy8mZp`bW;Kfvsj=rVj`Y3ogRiLAC1*Jc1rnGcb zs(VI<>uM@UhwGZu45khR)sg?AUn;04zZU5m$&YgB?SZ)&0d1n)o|S@HDT5C05%Nut zzJq)lco+E>SkNBd{r<0=>v;sCl;K@?KlwfILGs7o!{mCPizT_+|MWmNmK5;!v&c+o zi8%Rx;FIKfU^FyhwqSwM;o8!JYc^_GacjXgH{5CuL~#s{>2437{`!ph+BTE@Q`hh9 zOj3G%;x3)i>yvWXzvBk)iPy<5CX1_ooc7?nA8 z6amek-+K;Fr`F$8<&o=GmxJW`(a{39Zi377Tb>~b=vPOJ$n~S6CFHwes3?P{p@sBg zq~(-eKSo;VQ9!>&x{O@EMp{Fzzq6}@YsKr*W%mnHdi_Xg13YnH{26{D)kGQe7lf_k z`XTEGTr1XJ7PeD*{bgZis(VI%S=gN#F#1cuUUL1VU>~{uP;h`;e<(OaER2T+o7`?e?0IHO!`ppzAiA^#IV0= zlOBQ$;qr%F8T#XmGI;20aouZf{Do}$Q5sjya_Q|mwZ~RQI59IFw##^`p?R`p1wy3$88q z9DIz95qb?^B;AvW?Kz73TZ(P(4~_nxj*PW%ULd^=GeIpkfb?clDPPOckJDwt<;28R zq+h2CAfTP)Ew={qP4qVx$pP*Lw_N6P^_aLFO5NWkAIL}=elIosHtHAt#g(Id&RgID zwo|+(ogxnf>1P#3Y46a_Dvp!uXB8*NpM6#Kf+@Ien%Bi`|NG_)SEUB@JB(>??GySP z#td@(3}Y6#eugoJTtCB@>)h6_73g;u7g7fO4r4yKy`SVxsuqziaV=`!c};#Cyg1qY z{qIZ!N|OWDFM*enUk9%wU-h~yP=E%a1KMS;36ekbu-*Ut(?TBUZn0g&_l4+;x*uPQ z2fr7=?K42`@6HBEAACVJ<<{^A;3ZVfzfg`X-;F;;E6`6aRv?33mAT!mvHh7Vdaw5O zPyZ@wR*jah%kBP3zK{i~B|q$P@o>VWescf<4T*ph)PtsOf@>$$4^212wGZisrrXH% zL(}c#E4+tg4LT`s64sy_t`*A{sO|R;D7}7wI!gX)l+#b;=!dDj0m|@aWEi3hci0mF zzfTO4uYiw`kHTZ*`sM3U@#*=$e)@VG8MI0D)7KN^N7)4H>%97dU+%pA+kE`=sh!tu z{~`pc(dq2G)%`WWxidb4&R9_^L#cMgH_=zjx3U*#XZ#qRPCn_oKmX69z-AvxpU8&m zwx0{nfolsbfaj7gf-fXL3Z8G>^?xns6a*F_gI0VFJVXW6!;8pU;3ZVf-SE=s^s@gw zf6+c-ocT?@Q~Ja<1^zn@`1F4HEi(r#+6@A}?|KA|I8 z_kQB-7s+jrjnP;1cV5{~%eGfvbhV_9pd3BR{v0ci?a@qTqmN|U2gtMFdE~pngXH={ zvJOYSN?N73BH@v+mULJ)^%Q8%a-{ zirtRsGf&0z2V*=H(;tlSRBYb{X;FiUbt-m}J1W}we_}3%ZRvhVW?P~M8D_#Ov1SFB z#p?cjk!}L=YvFn1cRHVM0vFlw!|(q(pML~_*flb+s1L#;w~FgL|2_5mi`}y5OCG+} zat;y??;>lk`Iz4l_D)uXc!_1V|LurCG%4U`*&7}>c{+pdzk-M1=0ZCavVTXa57)T` zs-Icr{6sBh&5$%2(w{x%=Kr=Sj(bf4nB88c8PcJgc#)S(5*ikUs&UF5%n_mF!>BM_xPCA^>fLiiy0-{8Y=z20{Ve1y_> ziF;<00#75uIQeVv398^@_#~yDf}2Shl64br`GjnObmzAHHLx=RnUvw@@NDuTc!2x_ zcpmwm;lX70xBq1b6eI_%{}Ub}e-vIs{ye;dJO(c#|H`_X|5s38#*@-SRpj&G)o{H& zxDZ}T>3;F2=zD z!TWGnmn$HiF*mq?JqY3Yyk7n4LWXo)+tG32{@=>B9GD>!mbqBaKBUj2^iOOc{ji_j zpZ|wxs`MEOs-iX6=o4vDo)Kljt0{dhyq3HGo@H0SuD^Z55Y}J`0%6K<0=$9zY+&qoD%2jf}i_KCGkCNNle%|!=8;iZ z<0vZ7>Gn;ixE?+Wo%9}fAzZH!^}tK0;+bgCaqRbcDmUQL+xdSPWr(4m3i1g!zmXyv z6&Nhg94zQ2u=$KdAs)T!PJ*4_L+odWM6LniqIJR7bjshg~omdhcZ0}qgIVh@l0Za*~x*Z+5r zz0ADu=K4p#olsDOM!@~yd;>!tvFG>c=_B^jugAmwr_b2)`}FR$7xMfioz5UjdMGO9 z3eK{V!S$uDgLC=UcpJ#@@Rd?f>eXsvN?pbFTHOqp`RKKsW$^GGseZYpKRVTKgnQu& zQUhID5N5+VGX4)9glA%tz2)3qMZ<{tP>T@LOm?4o+U;A(PCw zbfQ4&1i|FO^T-R}ylIxegOvURctMKW?SD1`ylpOphf*>avm9PTekh)7;sv@J=}Rd6 zc?a2+@aO-@$78>hF82f0`EaZs-{r%xetee?$FHGp@!|LbxPLfy{a=oy#!Mi&9kZgj;8-S)#I8zE9ef>wjA02HvYoj_O^lpC7@S6=fFe7;(FyX zSA9*YAD~{lkE=kB_rHP1;o5nR`@+rt?cMKD>7}{2KzP2(V2}40xwM7q;X$|_J6hlc zv1mcuoDSVRr61e#x^|;;yPba?1}`B$4qisS3|{dAUH|tG zsGz&bk zB)w-UsN%y=Py`j|Ez=Bp4(J~hJK%bny&b%m7HD^P*Ked^ozCmqQDlK^`|A?jv4INo zbthbbet?xLcoF6B8gG7}tUxao)NKNP``>qk1cniChc4@HZNNW)a|KV`B2Ar%rrs5s z>^r0%MfrLM^iQ@=xcPq-0<)1}>J%xc0InA(^viDC67L}W|7!_2FX(C0AtJ9B%^^=&LZw zs86h_kTt7>>t65&>@~c}D&f;>EDNM>yzqF5^pzL9#`^J0u0Y>l!4>GYGr4?yvjxhZ z{$bpC8(4$OH?Ri!1`Do0-(bNjaQ%AAx7%OeNWp6mK?bhiemGa~1e`b7^Um!l+eI=d z)r(p0`R>_SZ|DID7+lTz1{p&CxLSsSFZXa8ZS!yZZEw?G^`P@??5;b&E6De8Zco8J zkjZUmQ^`=`+gagnXxO-TdEPa-~2%GK`ZiflrVh51%4G3!XNWIz0!Zg>v)J$K2P<&x_cAQzJBmmc%siTS^N>2VQd&@Va`lIs^8i^=Vm8{J{Ll>8B_ zKzXWrW&nZ8)PQ*xzKr}!cn$fclTvXVc{aSBd=KY#{@+M}Um`;@`O)w;@=AC+`GxRK z^1s2mefRr6c1v>$0=<->3*JZmG<<;kHTV$u$MBIPcl+NI0;5R*fB)O^J89B!@}1!m zVM95oLHD z84Af`@M7|>;HBg|#$bSW|A^#n`j{HyXdUCH8fkp~k z18*k372Zbv0KA>N58g@sy0~Y$Dewt0^pgJw?<3FrL7H@c{AchX^8Mi>&Taea?sqr> zqm-c>K2Cl%e1iOP_!Rj+;AuZ4?FIh!??50UIbi)Ucoz8!@Er2@;JM@z@P*`?O=13@ zPl0W1z(3VmM7}4ykURu0CjULWl>9V!`A_ux&qWASQiewOGVNbQuyz?3UZXv&i>?=aByzo=g4@ zd)n)F>S)T95xf28;BHhkU1BLkL3|nhR9zt4Ue~8*&UJ1tVq+SvSIMt|n}5r+UHuN< z{rP|R<LGmx*`P33K)?xRy?)txufZHNaNE!Bm7n64t zOOp=LosC0~elVq}?W;hLehMeAc8kdVH;w=|^$&3WNhSYJoBCK(kcDT)^@_%6aDHCs zd^kTdQoqiVrdWuAc9h-TtUw07)p7^C80q!#oCo0i5K9zZh4dLH|3!F>M+Lou09X7O zyb0-bji=yT@#gljmb+Sp^!k*{w(wzWGEbLi0RsHn%>pC-NFl$2OmUw zTsQR0F(`=df*pno+$a9Dfd#68^IfpN!uc-Pa_8%L{{MDl;JaX5&h75H{X;YT&8Ro2 zR>4C-alJ`3r2dS!-bo#EZU>!D?P5%*= zJe~XncqaK8csBVr@Bq0tYZGa@JPPap50dW-FCae*9wI*;UPS(9anF=c;BsUrBfkM& zL4Gg1iu?(9HTlc%TIaU?wW&WuAWRv)hc}SVPLq~vBHtO_O1?illI;HW{|y2i$pPyp z!n?@Nh4+wO36GNB1n-CIyy0H!o_~Lf#E8BmePoS~KeDReM2qJ^FM%@)sP!$!VFE(n0=Sh>B z+g3{YF}RKg`d*-nXXKzLw*~AT5_H?r-gwyJ5jXSE8xJ9P1$hZPOkM$xkn1Z5tFb`4 zVL^ZUlwDB&2`OGuefeSPk{WX%)+~yGc0obg)(A#YK&O)=f z^ocD{!MRwoD4vefbG$3zp;bMu< z^2?CEx#gU%3g(^MMqz!AQ9HT59;lOC-wxDGu5SnGZMp30f_aDcQCMI4GeEAdMH(X4 z*CLIyJT*};Z=X>L>r0Tv$@OJN6D<$er8sVi!ulqmH1rB>JAIQ-2D!dTD2u$q9~5@< z;@I{&9^9|na_~{Njf3w=r+5->{_|LhzYK3$(VMb2t$~N&Md(|<+f+7vc82S7(^pJh zxJvfpump^rj8-B;0IusB-$42ckUo#nm)P?XfAnpGZ|xSy?hg&f5Tp#tPm?80&0x$; zNMC^TdcW~Yx5o2KXstaHvVYa?Ut>Cvei4=bgzx@%P)LD6WGE(I123hDC*Wn|8Jo#L ztDMUD^CPlimDb&OpiLS^U>Rjt4zHmK^lf~T)Q4_*P?|al*P&hC$d=uHhHIOJdc{Ov zpWJ!71nlXg`_tC|8dR*ER7bQfxb_tt(6aB8aD(j}?rD?iBxDc;Y18Q&tOt-mA8yxoOR?*_rFgGtK2#Qn++H!nlE$dwU%72&-xY_*P#E4`JZa0q0V96I>7X%bk1nfdX_2-CytjQkJxon)E4nIr%H_O7aij z%gDcl*ZA)5e>#B7{7NdWr3~A_!{mFy8_0hJZ-Q(2N5h+w-0lCTBG5_&oez(YUj^?V zzY*S*RDr*zb-AXVZMxyQmp!rB#x47OS+Knq*-9oLx|{X84E9v(>lJQK9dZ9o&xoq$ zNP7Edx%<;EgLh<#Yp2#PiATskK%WSoAN3Dfo(V`f=34|J5=i*Wbblzdosm2T9wR>j z<3|Urm`;4UQrvC?o%!^n1njTrQBagzU+LISu2Z2wa(&Tilv=`#u>L`4kODeT#L4v} z#-IXiYTb>~$#ozaqjJ{WBb`0drgxoC3(|pTk}~X#rVg)s!rx}btg;7m`=_(7VOnFI z4R@li?BY_}$AHnIvFY@#exom|9GecfEPCQGgo5PpC1Z5{7N_(&f18Bs?x(ZSDN4V5 zrLEr|tIgKZd-JfQI!#SO#kzOsG&O@v>o)JrmNGScZ^3@z{>M#d5YOqJs2Y<|X9%F7q;SeV2I!xxUN1D%CyHf-4{a zY#Z&2=e;VMCYwBGPnkj$kYA50EJfscMW%{euDsaG_O%qy*Kmf(=l&=QR6)L^Q@oX2 zFZooH>+3(8{JqTY|9ZSX#O-GG=Q_BnrBBhGX&>P=N~_T>e_5@A?g1+o`+Lp65#E;tFm-#r#O-Ra;BN4YZAKhBuKv*&yi? z&!o%vXJ1_Wyaf26^tX|L*B}S!c@yYMs(1zRUy>E$^1Vwp&_tKuU5{MB=_}XYLVrg& zDG#OFZ6Cfx(ht+~$8Xstx0}*49N6U$X$f9~#c+OLS>LY5o$Dq0y#M<8)>m*@G28yS z?ejKUf5q3{CTqYIRAYg7(|AbFEw`T?+5TVW^|${&u#LF_eH$iMpl`$E3U1jz%RPqE zZ!UkvVmtnz89R-_AF3AbIu{T;27Qe0v& zM~I)M{*GLd(ObJ0>kR4(ah*Y3@40|I6T+2?Qp_|~ZzY{Bj&nb-c^f`S9*3JPWFn*K zH<=^lq?6BudzlnifIv3+i|_#X8h9T0*YF^D`qtQ+#HTMpZHGXJGVBE}BL6kKgnSVy zE+an*UXkLq|DA$BRZ75^^WoLx4e(m>d*EU6UU&of8~zIT^ZzCajAPAO$=AUn$U9L% z9{H2-AoJez!9c!2y+cpiBfJechM_CFJWg5-epOW`5%>)}P@?eG%vhv8-9tF62Fe+32J zMusZ#2i#J}j@+WYysf7GUcp3R8EmzMxa7S+$okl!qSJ3Zr zBlt>)UY*yQX?;kaB}-$@SSjgi;QBD`Y4#M)FQ+Igb;SP`XBQRUtnf?lOuk&WHQUdW zi|XbAcNN|~J12j&D5!)AN1RtC$n`5BUF7xXW{kvr4G2gtm*!AcnYFo9iH?KPXW0OTOoMj zj<0?Rris>I1Q$$N$@SAT)#UnVnp*O|xfZgw@@2m_MY8;!(VJkySTlPs-2Lf2uyMHV zmU<6tf?V%`O_A$8uryjAxl{DV*(QSmdP^vaT<-|wkn5eFT=LGD8@F7%*Z$jkfw|Hf zb=wqRWFN$~*Ng2BVT3YUJ|*|GZjhE(VOQNBO8Zfc4#_WQ`nx2394+@W()USv&pwkV ze=i{rrGoNSNd-Y#&|)lTHu?Kn@!hEl`mOq+RY@ygckzr_xM7O1w*w2LkAy|h61t$< z;>v9SReUH8mPOxOFwp2HF=V(6=gH zk#R*I+DSAh(rZI+wz&*9VM;#_9wFb&cmLOlQ3@P@3^DS=-bWSR_d5DIx$b-cTCv35 zYCUC`SmG+SS0S*CwW+HXO9lDZ#yUMX4(S^x{RK#0gY^2m)|p5jnocjnggwo2duuT= zWT3NLf|f97i3<1%c;sc-H0u9C1x3>pxE#x|KxHUjkM@smj+s~qWq1(8mLHrWt-;u_uro1?sV+Q*hmF<{?8Zr9TlZ#}zL?`Y@%}FWLpsCp_)# znK(8z(;5`P+sLnlx5ITWI2aX&u$zVK0{VZ~eIc7ToGp79`j%&IN1y?LO(eZp32#iz zVEzklru47FTPgj=@Cf-2&h2H-4k~DiFJ(zPDZ`HNZc4uoyob^+hDXW&0PpwR@Bg~S zrz0>x87_hk!8QFg@L@`S3p_@y4;79jx!eC@FUrBMiw2NNc!c~~cnGe2=puLrrT?T( z$}gex$v?e9yr0})<~B_J8qyDvmux2G#OC9&r}o~zAW(q}x@LcZ zk5NH~V?ig$)4HS&RZ}@@?-!42dKv$W(OcG?*qvr!FF42TPWGV-jC4)#%dwlqleVQF zx&b~(elOghV(mlT69}Xspkw~a@C?du9@f}kV9}QQ5b4v&Psc1jll(F9aucLL9WrE- zUk?wE-wn?r{~=@JmOYNJCqiZ0%ZQ^(df8U84Jyd>0nS=-{cd~}xjxugO+IT2nFp95 zcW|;>_&wQZyK3OUr`?H4_-Gj$L-6p7|EBoyn!fCr6u&^z-}Ao|U#{tY=udK6|9zUF zc(n`YOr{SWA%7DdC6B{n;?O}itUYK@({eyy4%Av!;uIyP=+P1%Ye{IUVF9ll@Yiu=!&bvvv-ibrT*2u;-%9r zdw994*#6)PEulYKs)a|-m8Mg_LDRRU`btgzc&ZO-`uD}P&&6lE8IG;E@42K-Va)6; zWt&B}c|OJWf`?9jA;ph$Zm*p!N8^C$3yU_hk%YaI?wNe>!#^P zhJGsOP52=BIDDA=CwL5=xUrl0s4VFiW!M=WhwFmo!zU>HVelzRUj{ch(kBu#oTUh) zBcK)3!86FOg=eM~WFqiv^8dgC9;=f3;> zU)MN@Kq+N71YQo;^ri3$O0Spst0=u*wO^K$-tB*SdA^1+=vDPva=m(9msF77-}Rbw zJ-J?!ZX~zYE9J&+GX?a5WgEF(Rc|NPtLmNPdI7bYTrZ&Z=Fo$kdX+p%8T2Z7KU_C~ zUJM_g^m;LTh|=pt?_o_Z`KkOR-B^n1e#DE%Su z5T*YEywJHlH0c^wAy7;iE{2zoU)yr>E(gx@?s8#!oucPOcSz7JGKi+o>rCwU0o;oNp$E$B!DdMLvm zo!b_=`i+zpItS@{DSa58-&f^&_2%f?E%5j%@maq6JJ5XyOd+86JO2xhq5{48HUb}n z>jjms;3IH-jC0l;Y0*)*tc5WP&1LW?`I+#3@=M`^j0Ad@mIfM=60f(OWtg6EN+ z0uQFR-TvnzP=J7r2Y-bxO37f)!r_JF_rQzEd*P+zFZ%9o)+3-5zl{tPlp*fi_V$k9 zlz~WZ%(f!E?ymad<_P(WZKmHT(vp9}fmvQF_BYL`XUk3GIxs}Y=hzb6uNRlT>6R?8 zP)>gLg2!%n%ekJL?rD32e~?_ZliSZdqtDDQL56VFJF<${p>D>hB3-ejSMBou$@0>+dqBwri&`pUnBOAhf#i<%3tBT ze>*Y!y_|YgOZn!0WSFE3tKbF;q-*vnJe_&l(*f5OVdr)`v`8n?v*;V);a+i5CTFb=Xa#6F-L0O47gCG9 zJQw!~iYcJi4N9pXz0OcZt`{cC$@M};1^I!vE>Y=GKz{-ohHG!vpTPFRb$8XDx(<@R zGe>r_VRHTHZ4^FJ3;Lktvc2tX!$E8Ttyc$_7+jO?YsKWPaF$muV}bGy~-EeOXd~20(xI$ za%XY5vt#SmhioSImVn+6)ys=LR8VE1EYQNBq|Zae&)RX&ALzO$z20C+N5!^xyT7I9 z*vlC1n1D6bCDm(BA!N`=r9LZH4A(W*SNl}L^)B5n)8slyJzVehZS*f$&^GI?{dMZ4 zj}`SG!z?W66)Pn}A3Tx%YVlmSu37P7ah}3Wz@upCpUa%sn8*e)?2JwnrB1XLd>Fnj z7Icww+b%!I0BawVcYpcz0ORh`?IN2@`_N(f%8ptJ=#wR3xQ;7JQBXaluY)&||DsDxRC9EA++xY*oBU*y(@TCHybqqZsMdnO00MeK5yJDILvU@0dysw@ zuG9L*;A3!Y>gVC{RQJrg2u!91%olL8Yw9-J$X>eez43rEoXmp9Pr^mDFf#0d zKs~O?o1fVxvVU8{bxzt(L+oX!APedBVD`mKsW=y|XVqKUCGZ!hBj`y5`mn7Y{i1t{ zYe72q9Hxr*L_zsfLG&f5V31nk4NNf;E#cbVuH6^{g|x=CsDRgC27VFWfeLg5N-;7< zLZf!w?F)3#a!Yk2CHHg^8>2PO#&3Yiut3^Le~ag?D&RU!?1u%ag1g#n5--o>*>G0|n>C=OxGxFj1CA&cOn$Ua`sF1l^7uH}cnluL2Q@qWxWlm@Q{;q3k z^#DBZNG!z-Tpga+k{dA#^l3`;JOA&;Kh{wcz7wLKMP()>D{TNKmV^l zK&Mt=WT>PJE8xq>?}pcqKLW2KAAr|ecl}?t<+}(pQid<#&2VjrO}CX^-Ad`R;Sox| z2fTecz3hL#M4)pz;P$_x;oanw@Luu@;eF(PgAc%U1#a0EmjebVLl-g(Q--JEBb5F% z_$c|u@Nx1f_=HD+Ew_^vFahk|nccoo_e;z(bfjh61f($Mc=se(L%nj4Y z_2O5Y=6V;b#1#-s-41l4ib=e}r~PM`|I5W7V;;rxhn+l&y;>Hi2d=Z;o9>bdqHw)b zgLeU$0l2P!zSb!*6_fGLnAV4-0zP5TcLy!P*|GL&eRt3hD$p|iH&yv0@>80&!Qz#FPGV$bsr)HjiO>ZX?A}vq9shO3{ctaE6#xH{D13v zo!j-NCE5zxIFlBr8WpEZpKaC8yH08+lRvF+3zCPqX~O`M=;H@+aU$3vf?Zd-Y2Q6jO##cqv@de+w_8^s~BU zx2z!F9$uAj?0-Q7s;Pp-@R~#h*T>8;@H$F=20TpZ>);LK*LesuQQ!`ED^>6yyp7WL z!`mtSTksA_|2f?2qQFKEO4Id_Uve%+I`R>CKlxhtAo<4UNjbyf)ARpr5QtHRJop&- z!SFcwk?=|Kli_A}*_)&f+3nwiB~3#>ce6X;87Ucz8M#XKjsOi%^Kp#GBi{`JP67EW z`x|(7{Xa;7gOMRbekwdjBj!=?0QoiW5-R6Hc#(D2|MlpkUrPv4LHap{GAc-aBUeGL zpJ^zea`cz071J*J-#?y`Cat1^^cSSn(-~xpUnS|QDg8YtCrs&2!a=T<(r3(rG(y#_pm@6H#&x0eCayaOM@RBTUi z&%B5L-}j5c`M%#B@a%n;x*~Q(1?R)7P_a(wPJ>s&b>?%Rb36a%47+b2Lk2vGHPDLn z%POtZ)cR$W2>C{9r1QFR{Qj>6y^9athq0t~6S}`U;UTQ?Uhpg6%}B2gR$Ktj#XC5( zoYUb2aDTUV``_W~3HWs!1TRGf`+E!bw+B1~x3`|%-%l0N+xfe6YvKHHB>RmSng4fC z6a53dw2S;Q?Y*>rfB1?tX@qLr6at#dz0$Efw%p@AkK)CCZRNA5@GW{W!M$W+>=TENB!hw-fxB zOV(fh4zfU=8Kn&RmgFAV_ItVv_BP3faxvMqqx%b~e+0*C4@%W9k^2RD@wplvb#B{V z1J@u>jT;Pl>*N;a_Jx+~R=5f~H8y!K=tuz^lps39lu83?AMc z^M5_4yof*pWq2RnME)(jm3;FZq~#)TU9lbE9f|bV|Mo+mD-n=wyae7uegZrS*KK?@ ze31OF@EDcT;vq0bfq%i{d3eE-M{`{kAR-l?t=^sl;Kc#6Qw^6-c0Gwg13=h25-0S z`oC`be<09F8Sa61!?hE&>?577hr9>rd#RiOc;B?k{ue`FU^?LTzX|vddHPP$q$A`x z@KN$0e4M;+Cr=8Rpg zgfAk`*jZM%5T3aHA3&g(GUUTc$&29S6@@n|9RQF6h0yU`t(+aO6?}XQrN8yd+ zL-1zuG3R#v--dwhepAR0p$wTnlcwvS^ttd(N?!o)CNGBf`tJ9C?L-v_^ic&h@O~<& z0X|6S+u%c#z6(B*l-})seF(%T!!Ue|d>kH6D#-1xx!9X1eHMI*(&y#kwE+g#?X@Kq zAwxQO2|Sa$5}pm$O<4=iq4bUL+&wY>*9;K^@+d<$JV@RTFCZU*hsfjbB6S)6>_zBZ zr0GgDAoCBC4KE{K2(KUy!K=ti;ni?mVXq2-8p==yucHi2@Gzxshc}S-z?;Yi#68nW zffzDG$S2?(a9xA+U8UtZDSZySo6-lZPd|XH?Qfe~AGZnbCu6(L=k*Dx09?=U^vUf8 zN{>4mrj=a3MHEaf$FE->^$sNmtm|#F5^{Un%$-D5kn3HrCb+J^L9Qk2nbWW3nXn@C zt>0UB&;L|VU@0<0$uEZo4oNNOCU}_q0eFmj03KXC>bWy%J=I!+K*{3Nr`LXfSCh}# z&ENL(O%wT^@GkOw@i1#s_-*q9AEgz0Fn)*S=DS1sbFU z`h$l+oC1~b%)-=`I2RryzYJbNuGf+~XhEBheyeKA5NSF3qyy(|bf5d5mhedjrh8+Q zSD&Cu&rjV^^e2OHO0N%98cLsdLXR3tpYog(_YzO&>5DpIRDnLwp17-u4z3Tzv+D!) z?2n*PqG*!}*Eww$c@BZVk+L-;V9eX_@Uf}B79Oie^^NnSzlN5k`quEsd8wZ3yZ_z| zbBP4H&@m4{hUjIfemFdQWokjk!(-Q_`kC<1jj3J-kK8fpKO%38uJN@9n9kG;x56tP zO!Wug(I--U6+FaW7XFC|=d(GL-by_pEOt^6H4G@9xe3#6$dpQZZtaPw`d?**?g zAGocqEBxyP?i9iP5^rW|pwwls_nkLxb_-IB>rr29CMQK*=%jj_+9qARn_RyF+C#2i z`|R})(8-a0?z4~lT)RNi2R64%?w^aWW`V6`1@yw%-_)~G{bu!T#Aj-T&X%iBwZ9mO z@1MHcpN^X`G4eY27`cAl$P`F9da3gvSFgP`O6i}2n*&_>>EYlkd*#l*n3^tu#4w>R z!7V@qdTms{y;lg=23@{V$|4r z2rj1XiSz+VKm4(Dl|1qfKC$j!F$hvXf4Gy4HP{hbe?#wpJVYzTh^#Gf*F(}0d2qd~ z^)S4^y4xMKQ$LHqB4ki6MFkHh|=CU1k+lHa#Gt{8+V5JiRt@|WRFf8uXF3!w1M8f)A1R!$*Ag`@gR7p{RJ2G8_vZCqDx|LH-?lihT3`NK2<-NcQV> z```8mWF!Urjk7mAi~LQjK?QZXweTwPw1v{!tH~dK#@6o-v9%O<`5Eb@Ve*gQ4dnAt zK?nI>@K*B0@TLQB#XwK4$`FW9hO^*Z@^uO{uyK6sN@1o z2r!eA$>oU*=xZZWX5?)K`Z-j@F-QWJ52s9)ItUn3=KkD8;JkBYN|39Hf+ov5fp=#q8PS_-P#?t2wQeg zbm^{GwHws>p6A@}cbez^*0rDO^Vj#A>sqsY-S@fAIrn{jJ?D9z^Q07i8@x^N&%iT^ z_kedOK5(Bc%!z($%qqdoR^apHijM)$DP9BKsra$!Z_c($%;Y2)*|$d1>cXp8E#M{K zkASx-eSTlI9h`lG-1uxZHwVrKmwP|)JGr;bx%|yW#C`$<9PuBp zi(J30*MQT|!H9hvoU!M(g0-tXJJR}glAAJiHT!y%&EFTaK)}1#{A6zmoHbhlLuJ1| z!x>;`&P9RRl$}LO#J<+&$q7jhvF8-QPxP@)N&daLy?cvF_3O_K0~|V%8!7j{)M*@D1R+*1ZM= zom(R{8zmt&(_fZ?>SH{pO$laEw#8&jX-!Sg-*b3FV%4n*7z)yH^n z|3e?+@fbYOh>G8qwbfJM7+PNhs|W6Jp5_@S0Y0o_{?1<3?8$ z4$0>ug9_N;tjJ@CE5VuZpRSPtRXJ|kKV97o!89b`taun^xh{+o%s7u}dKkLX2zaOt z`UR!`_)aoZuLF1Ehu{DBoS*JyuOLB>GV~6(QPb`^@M6V(1P>{GuN~UmLuqA7unc2< zSn*Eq3dR2l9sy?vz67sQ{Cn`RlkiX)6BO_3uWjxA#O#+{dt(UpbbOGR07D!P4tBiM zEZIgjhAR+)-#|aA3VI=UZLb|S{@J4$oxo;%e$HjgmCt=hFs(O%K8_Wh=e$IjpNq;@q3(PC$<_&Yes@^0Ot`+`#D}}3R_C=ScPtL z{L>QRZo5n1+JCVW)NFx8W)HRx$hW>u5}5I4I!%s%<>1ANSAvHWKLosN6L$Ws1Rha*0eF?-cY{Y2f8sj4lv1k%E0G|k_?N$~@~lZsCTZ&AD+Jf--#;BDX@SFyZb-vI#|7jM@uQJlByvx?t}43>hkty}@V zBFH_{0l~_kz&sDWO7TB|uU7nH@HL8W0AH*4HVgd_*!BN7NK5SNaL?M#ZnZ-j09%q>@mEZbO23D#1hG&5B=)8qZgD z7J|1Z{d>Su)#{dW|NPe!^yVzZP2H~ty( zEASPH?*qP4@k!vT6rT;gT5<1m2-YaU%iwDjUk$!q@h`wPDETO=*8lUB;A|vVsCW{*Rq!Z&^LcB`AEQOs5e%E0Rt-wV7!@rmGb6`u({ zFTid58z5+gfYazj;0pr@jJXcHRq?yP+ZE4(FIN2bKKJ+kmngxzNU&7#zk{z(d?WZu z#kadfJi1EpQQ)gBckQ2zYa9e?RDxRYwThnrzFzTjz&9v4)t1pN*U&IJ#G zmni-m_%Oxa1Rt(=0erOL-`;}tf29&^-72md3(huC4ql`5E5WBJehBz%#b<%ndrELB z1PzKefzMTZ0r)({?*?yH{0Z>+;Jq)UT!QI!Ap{(VHrywkNGZM&hFVny!!n}ZuK4cY zi-X)V)etNR3e0ryrHaSFS15h~_)5jE24AK4?T*{^|7s;zf&^<6e+hi8;%|emSNt>Z z4T|@GZ}hp}{@MKoE)v)En;7f`JA;=fJ_dZ4;x*vI6+aeybRX{eUkKOzl@KuYJA+3Q zKNE(=_A%tomzRQ1P&@@*qxgM`u>PN>1WzNuY{lOIuUC8xc!T0I?iG*DReTQkyop%< zGX(P>XjTcX0iUn<9pDQU{~85qReTHRx0B2GXUz5xET%x#AEp9)iQ)%=FIBt=dpj$@ z8OleYpHuo?4uVc4_zQSm@z24#6#pK)pm+(!k9CTV5bl|7CD;!MdK5nl+#C|@9ZMdP z7#A!4&ko@s#fzX{=D77gV?PXnuu8Byc!lEC;1R{AgI6gY2aopUe*7dBy(&-lg~e6ttlDPT=blf5}5E zyOrQ=@E*lK12^iPP!D*q;sYN>FHn4EaIZ`W#y}8Oyav2N@ngXwik}HyrT7z7a={aq zyKI}D|H(lxdW+zr71Oc19UUsWnkwk4e&y_a1)jN|BuO!zh!{SF)7bTeNX<^dxS%O$bPbIUl{&CKz}cv`}_ZeyPgaP z4r79yUvj)HAvHUW{KgE_u4xL{&rlgO0dvn zFvv_(vAhR-w&G8M*DL-ic*7j-T>aLINzgc#{E53VEgo<+s2oslS8VCy$;-0 zJ^+Q??h&bkzSB11Dd8#TbAGx9yrAqfKfQT7JMZteyFsQ+^k?->bbM)}>@e{jZ{_jf z2VJ0jVJM>tG$}o^ZTyJi;xNOzMSRyR2F}}8d^atj^y#}s#iu&odG#*$audfr;SRWO zG&T~lNJf|QLT0Jr+;~{7IB$HcP#iZtJd;xb-uYOmIIomC6~7P_2p=sswON7p-jTSO zDG~-&fNiXwm-{DOU4aaS_Z3)vlWPE8 zEifCvU8ryY73SIXPlB5(UUB1E_%;b;CmmGw`v9N0n>Z+}vc1Lj2)|*E7QSfo(|lkI8O&o zTJH8nn4mfR%^h~Y%jFI%K5n^6G#{CFD9)E$bBeb@Kdbm7E{`$KII$fVmWgv&gqOh^ z6<;Mqi`{A8vt4|+^f^9G#iyE9fb;n-zLC?0iDs0vSi`59R)Fsbj;EST7wquaB0ki# zPV_yqD-zV!NMzR|0Ux*K8$6+@Qc&viX{R3ObGtPOJ5|u%1NQk8R0H_Fj@u^7$Dj;q zFainq6jUn`RDko*yA|O3gY&EIVc2o)+{!YqqsfBv`B3+soZn=lO7NzQpWmyykwGOg z;4^%~j}T8VW6oAF@V%kWNAi+NpR?Xl%WeIQp&>r2w@xMCyNa_>Q0@V8-fjTrQGGAj z&#L$p82;;EL8rbVyb1dDK{uDbnX^;`tI)pLc`pE8t@u^oYZOm|uT}gZ@b!v6ztraM zmvn;?yom%G6(84MRzv;J=vdIh!AlfB4t$v6XMqp*l;BbbMk{_Jc%|Ztz{e_H-YFHE zp!iFwp8Q(bG?idVe=#&$@jCE&#rd(a2F3ZYvbjO-8Gfy7UQl58wX$Z#`LVM3 zif2%ug^KfIWvzx#3`LFzJn;yByo>%ZI_mhq-8edj4 zPSBz9GhKd;lb_$n&$;sRJNdabJ^0@7`xf0V|5_?PE9B=j`T4W_bji;r^7D=SnDm(Y zY!Uj)&tUoamHg}`Kl{l~wfszxpBeIVqWsKtKjlpa$SiD%Tiw{fjyzwqZj_%k`MJma zq?3!s_uJc_y33G=Q}l8e8?N}Pk~}XzAEpQ2KYpj7r6vqD4wv6hP^kppOG1-A@BZHfz}&{%%SAlWiAznDGP1Xb4}`b|Voo-PiueeKV-VY><^GNditCm5L=m5c z)Qu4HdE4_v+zjzNm3NDXZ-F=oF`rYsN5r4OyD4S7L&Q%bbp~Qya=aqqJj7XuhoNF? zMEnKBd5GB#z7_G$5U+)pMH`qtufv99XqB|04G=S;Bb>NsH}~U>ftKknZH>M?*zt1H zr2;w)e2wDAffp2S0AH(kv*q@rg7ifl;|CXABSpGZeynT#@;~HKmYNVsM&~ROtry&n z_d4vGr&qcPvf;f2-cRv0mTzH7Od)ohb2ca2ufT`S6n-{B@x8NCYN99w?U#&q4c;>u zWDp8Vic%4n+`CesC=Bi9c&UlO5Sv0JcpSVA`ul@C{1))|;9v%KfF~4R z1fCq+DhsAC40S+|Q3)Og&w)pw|Fq-frWkFg82knBkm4)B%X+!wZ~h2DxK|*S-UhEw z{C&smMaB@RaReC`z$4&v^%vk#aJGqmdJrU);5+avI771$yub{wIl5)F%pVa|&;j68 ziVp_&qDoK(L9OB=z+;N<241K5-r#Y?$AUKs-*ghmK@cQVg2~`bicfXihG3Y4AdC>i zz{B9R;Kza|z$G*`{wG0@gn*6cRPYRV6I^{Zc-an;G4-6KB_yC3fB z@&0(zafS@+U&5cC!BF^bQXmr-!9U4ih)2rwI9_VvC}foKJjD79 z0j~gOJ%(HE&qK?bq*&Wxu&V@r^%CK4flmOx?NZ_6z>l=tpGTj`jvripqWyGj}97dQK{!RanU)VbrFit1}#?qO3_;Ae!Mnl<)D>B zQ+dF0Kgwm(k9UMyIZMH7z^kF31HTOX5b!s_Ur_w7!tDobmEiA4aL^3NI0{2O;J*WB z2E`MlpwB5@4*tF372s7z`u6RlzznJ&XmWy0ycT>pI5R#P{6C7H3VuK=SbDGMdma7l32<+gk}$EOrU^O!GM+_!bF1M}l)N7Crjq z{9oX%Yuo&d2fX@TfVDb(rO4e9&{o> z418#M&a>lp+16KW0P3H<>DloI7HyMW^qgHu43(b|?#J6R>N}v^#4w|BpsxmxD?SZ8 zp=RLY!J~@L0Z)P(WN@bN$tHQEOz|AQFGhkEa8CW#fTt9<>m$EbcetN1_d=^pS$zn+ zUGXQx3pt20O8jT=#fpFEc&SOi!z}zd=yxdne}gYke5*;$`<}@v!7ve+rHYRPU#|GR z;42hA7(AzVE%-{uZNp{_j&*`kGf&kl0p6te72wT^w<3d1m2n67s=nOsSTDj*QW;u> z1o^%Rtp59!`?F6~^$GT0#w>LIwI4HqegXPy&_6-{ff~{O7skla$y*q^)mLu1k$??u zZ!7S5kIMMq^r9EWm(Do?ViOB4QI7#H2B%}=j+dJGs(xoeKMZ|aDff4t%Vug4$y!vh zo0x1?F#AQ|72wR?HgsF+q8Htd_a?L=Ds`9TzEf|wO`LQjocbwveOh=3h5QkG?(M?o zfDb-Y?A(8=@Y7*uSK)h_5@c`%1P36&hv0sT@c#}?Kk<^C_>QzTdcqp=qPbN`%k+o zZ_&N-^QinRcR%I7cWFw^LKVulMe8H^`BHxVEk8x+qL*#hww0e9L03ip86~N zbv1Z$u<)P3?~y`2BtK8d&kOSN2l;tNe%833!JoMTm6}#$JTU#uE8|C$Zv@T&bDAwq z&wSM`#d*Dwg_wiF4k8|PnD0ru^#w8K)_p`=4RIb~-sGGjVqQwEg_u)+EGX_)#_L6V zCXAb3$-;p}yHLbeKpcXYMY}=7w?Z6-m>bTEMEo$sl@N0^@wA9vabkNOLY=grT0~{F zh*_~HQV)grLnof|IZ`(wHJjAGz!Qr92;QW4zbVp=li(PbO-cH48#{X=%KcQxPsIIr z2O&)h(r}oY2A)!R#KGGX|1Ee%@oT|56u(Qjov@YQNhDaV`0L;~#s3Q4src96dByu3 zE>7xl+zyZQb~ywEm7o%Qo#F?BcZ1V&Gr%_}evIXQkAC+u>ACm%jlfTIT6)aub{i{5cRQ$K={B#f}`e@E&Tq^58G1)fs;N3q&3{c)!qT!z+)hlai)B|iz* zD7!mx(f;xim7ia`pD{<AZ}OU%f7@NH|aOc^&*B@DHH>ws6nPoFEBS zLGTe0>~yg35$Nz=g1<0P_%q<&TJF!aVHA|H-3Xpn(djo;^mC4%wW+IY3qhyy-j0qB zGVyJ!vi%#4j7LMi0R4=U8nZWem$EYj4vZY_QT5#I=1Uv)I>VFNM0+-0yv3Mf{tq^b*q5pKLah2jDEZ^M0 z@~l~IpwyTd@B0h-lYIOBv*q!6>BH8)?r$nGZYvihS(+_v)mtS%&pSrqbSj9(9fYWWPj!I zH}5%aD}16<*xGUVo4+~1Ad^xx{wH|TCymYmJ@FH`ehRu|h72A}$bh|bnB(>s!5xF4 z*>#2|F&6{fMONi1h8 z{UPApD03*hX=r-5%&_UD0z26^HN zmgouyDh3Isp%i!xIQ8!auLs`|Ay@+5r0hHg-U`06^S@2h3Bghb7|Zv-^WYds&FA3j zRR-UI7jL&I#(tUl&6JQ;fHRcdPzWX{!6@(;IBPrxyb+v}N))_F**Ow?q0&D|xM$iS zU@Xr@f-E>Ep=R(LI2RNPz*nmb?f_p4&I|j8z`Gr{{%6dVLr{Y0m9BmryaL?sHvZqc z;I-h);4|>q;HSAcVeYoZ516$G69ri0gjGnVz>ah1VY;LYF+*(Km@ieC%vEmaw` zL68S$|9%j>0L~gb4c-k-&%FxXZ)mU$tp*PZ_YAw!rw~MufYa;0z~kW5FPbf(NrKZu zA@Fu^_NEcwOTneh+4i>&1gjum4Gsn`1QHlC4ZH`Ot^EY>5*!InJ7 z&YGE{B{ap`OY9l4?Z7KGahJc@8G>2}*v<9^ZvbawoB%!#oEiTbyak*!J|4VX>7Q{l z*8f=uI94|yK~5#O27EO*3wj%Px6;2Kyx$Ju33_@d_;3#b&d0xpAfou&;5Ew7$Kdtg zY%AU1^OXM2;0wV$mT1s160^k+FlIY}uT+LA!PkJZyB-9-5uAuYgEM4{9k=WMuH}JreK|Y};ccG;_gO(Ba?ip=$6|;C=}F z{LNGd)#&xU{{y$=cIfwN6; z4=4O9arL2oY3$#V&`*L-7Ho3h1@I{NpTNVzvHqV9!5SJGE*TsJ{v{28GlL%R=uV{|bmxC7+y#O-1W_c|kA}c$Xc7&L5JM+GKSn#?tiZ|OIdE3k zI|qVr7$t(COTm-ihk-8yFMv-0zY{z~+~yxe#zP?pj}Cfb6nGMRCiKV95cnkUD0p;Nu~Q3v zBzOk=XrKG>KM4Y}Td)RagU7*HgJ$p?I9}C;F&$J{-^7*{75LsTbPV`L@Lj=GgKq$51(t(Xj*$YfF}?=gV7Wj3m_1-%b=p_e>OmiUXuXY`rtU4ix*ebG74k{U3#Zt+y2k zn!)Lbd%-hG{|WFl;MFKl4!o>NGTsmT&)_ki`|Y2t@goSPApvXl5AY;7W4sZ3F*sZM zz>_3oUEs98Be)qa1@b*4{U3q}5O55y0-p;`Lx+R6f^!5s27CoLd&jBZ>%hbC#JrQR z{x7bU5;4J*NH7+h-R5TSMsRk^Mc}RA^jsD^2hM`N1ir2s>whNr69mH!k^-@Jd^st^Zkr{&9&-9tl|EGVl#5gWbU^50L`V za|eLef$xS290r~QcOLTNKO2Ij5HL2s0bc`7Pn-{45|xa(n7kZ33eIkv0#AUmp!Znr z*8lAgu+cq+1bJ|FvlqZOg0n!Yz$+(7fmngRg3ng`OYr7NSpPG@_Yf>b0@k3|KAP;` zJYEgX*q4HD1ZT*00}oG@0x|aEz-z!qV*O!iAZUbu85{+kQie_eUkc7~;ymy!#gpJ0 z!PyIL^dK00sFal5=5FvhaMs{a@MiEZ8rKT&cJRHx{|Md*PEUUT?sY@J82=rD;Wfbm z^?=8~slUxB5}Iak4&gh1cYw2?yMlKL_Y7O(SO_*C0UP6?;1!2SfmnlC;4yH7mKs zJ>ZP}Oz_dQQXp0!4ju!yA$Iwjb0L@y0W-b~d?`3*v+Kb(fU|eBgO^Q}jA`c)@LF(& z^10u5;-Ulutl1k#kOAkk+XcP`oG$$WeAp3^F(;vagHHqJ+Hk7|$+yLWfCJ7j2(sXu zoOS_U3(f-V2Oj>lWPC7UJPAAw&N(6m-U{xqJDm){3J4B?p>x1Dg0rn$3Lc&&8PlZ; z!E3=8nmfVgf}>4%=3xlhAYe(K1z#0RU^>Bjz!~HBz$>N)L-09xT=DN5x9k5lCFpmm z#3m0;R}Td*nIRc)fEooJ1!o1ufX@TxI1vSJ^SR&t>7pYcSb+qbUQYsF56(7mHhB0* zDG)u;3?2h#uUP<|>Z9-a-yIO-AYea!2z)&_XRYPn!(x*0UT7xTd%)?Tk>HgxrJ(GV5%7kYo(MSY9s)rd5|GaT zUj@!_;zaO`;2iVkf>+KG`wYSFz~_Qj$@phKSOURf2pId@!B>NGDD40@M@a_E_!;mB zI79Fncnq8&_TGV@83NXLEqE53t@>N=wcrOL##@{&p&33q=+VL8G4Q>h9~N%kN``;~ z%f3jEQG6o!N^s6{)4{tHuLmD~v=oRLp9Nm)xb;74dp}2}Vcg6(Se#wCt3DU{ycLXHb*tL5 zDCoQ$#r_ts!$(0EJNtIyh_~JMZ1j6Ux#>{P`!X0SRDq_511Z)I*VBRZg)>~v#mJuy zp9V(_P~{qSos@SgaMq&^`s8q|XBr{c5(yZIx#0c4c^@MIJ_wua0L$gbefHmVC-sOt(zQ;=NZD5DDF;*#k-uLJNcLnkXVcu9-3jy0CpVnQk z4Dr54x6hNkJxbrdPvS1Z&Bc;nAZoxHB_-gj8Sj$}Q=Cr;4+m$Ad7or7 zI4j2cDwP-GBAgkp^R%L*ED&#?j8z%%hRX!Sd7q>PoFV3Yl^FOoya?xwm^ug;d)^Oe z03QMmxf>|<`l$(=1?3Hw`QY>fZ_q3RXJdcS!*k9l2-tdg!=(+JuHy}tjN-hp(gDua z&KogH!C4^QZ#iy-GBn!`BmRpOp-CsXx`t6>j#F`jh6Uxg9mjHpkwsZ9u@32QSO`fPyD zyX@^Z7chu+Vc1;-o{P)tBjkS*p1!82da(Bml#-_fm9{uTM&|e#LB2hBbZ(GWfQQcw zat@{SDD-ylC8h+=%!Obu1RSfA$}1e(Q_9c;oAKseFFL{%XpjkCE6%Tl{bK|A{!oTL zMk|Q~+k&5hnyq?4YR0ksx8OO&li({APl0##<$nI09aG4d8PIjD;EXpt(GJe;LC-A) zXKUwjV2RTACoMOWE>#KcVa=YE8rvz_{VgGXF36t+&-bmFO~D4c0`|G2dK3CxFTCox zL5ns10D{%Z(0cGSigUWmBLj9Y4#}$(=XBf!z7>a3PKyNySfJinPI1oP>%ckEah~p0 z`kbaWDE_2}2cmkEp)Jpp?w5N$=;|`^6+zB^@M*qvNI=e~_WEIs#(A2z zibLS+1#+u+kSPPF9o{JpZ_=0XmyiEds0{e{-&kWg->401?R}v`{0G*e1fAev-SP<$w>Z@SBKB%vP`8HrNJj%vs-v;#Deaa97j2WNa8LkrWHNnw}^QoRn z#rcAzzPRKImg}3a!e{rhZ&8n&;EDpnk2W!9=BlSfj-;2~2qkK`b_u^H?KVx{2 zr*|Uw2B*FZ=DVrQs4=@GUrkLa&L_a;gR@b4d<0ByneY*?g(?A`Vbj;Non? z>-!~2pZftz!FgfhU#`3L|4Ilrd2r`KUpDt{I3NU^BYtT|gIh9o%fz{W72uZ4=!?(V z%?VfoK6SqsHDgIPre}t#OFdpsr0y4cEH5vVGT$qLdniy6HGTm4#Y+EW$4gCTRjbpC`2TIN|9cyP9tgN~{SV9i z9hl5|sR7rZKY>U75vcIvQaml>@l`M~BTw}1| zn1>`zypzBy=>qgwOJ1q>fU`j#Vr%1{q@5mOcU1mYAqtO3X1WmF;M{zl0T+c%JK4n` ziZOo1yYd~MmZ!xIV_Yx#o{60)2}0;rXIO!M{8D(l1QS>DCikQmN-0AxB7-(?&MlWN z5&d?>uaw&V>`H0UcMqu^Fz0_cAp;u&C6T!SmF<2)%AP|Z?*~slCOm;l{ADiS|1+B< z$cDaV0QJg+4MDG5R{o#0tK4(Jkp2awPVFCe_3K8de}HGJI)kD6n6;lI`Z?_2^=^{o zkpV-&@~#Hw9QLg>bO$B0ch>gfxl*(f*EBf78I0esPED0p6~b z`D06&8Y{*-UTUJqGwP~r%yjUi;{U5@#8DPDjgzG;>1TGR9z3-Xg$Sb%EO0aUXvJH> zD->UxeqskZqx`S3m_GyqOTSlw?}EB=zzBic5!n5)z14zqz<8=po&IMbGOMHzbjXV~ zu|L)(hRt!A*fp#Bd#_bKX%_vn=yS4YzDj}|QG9BP<9nL05`2IRayv){2@EmcI;Z{5 zP8Wx{|JzQtMf$vOby<9x-`xJ+2WW8$NWL8m&ERvzE8*9q&?#J2EqGXJpLr+fm7UX< zmsgh-?JYkOT%Hxkvj@7IUZz^xep$M~w@#1Tv3kVRQ-KTbis@kzhu*iN%zMJQPWi3V zFEqf=P?JkDT=PEw<8sQ;uPD}?vzfAF8gNKE0`X0&}7^+YS23QCC8AlY~9U-n#{2=hC z;zxql2DlxguXT;VyB$p_KJ*k9(E{#Gus3h`MB=(9;>`{A`cH*(H0G#~L_X7@&kg)} z(C4UtyU6zTG6VyVfZNR_Yb66NytzHyPjPN%Z-gD{^RDm)#koDb-f_?LepZ?L*=tn- zZa1${oSQJK73ZeSD#f`uv$8L@`5WFwUeQ-zIrlV|D$X7MGI)ZWhgX41ls+#_7Ax-G zA#m431;i|Yg$Q>5+EoHx%d{%aTNA@nfp{BvA@tcvxH&WbQ`}x=%y@CttP=2YvQEXG zSCcWtc{N$9IIkw7;Jvq(c{w>20$$(pa&m0dnXY+aZDV-%w*va?1-yP9uI%voxqYjk zo!30P4%e^02xy2`?i-7R^Nt}eOB;~^J=c2~`@Zy=JQ@Y}TH;Ed8vtJPBT4WC5^&EW zj1ch6C+>MP!_WY9SMGTvz*zxKT`k}vpwCrEhwxFoXS{H^p>H5RBIDFD%qJBu$<-bk z{VU)Z%rh0phqu2vkw2%mccGtvKHDV6wJzv$LjOYaJ=3HT@G+QmD!~uPAgS~@5ca47 zZJUs#W{cA2fLi>SA0RtUa6g?R^Kd8F#Oc5Y`1bGwBT);^E!drraY|)87QC%5_s1!Q zt{#TC1ek^doqZEn{S(0R;0(rjE~EVuVAN=*15nWG{IJ_o`C z3dAzIVAA&%=?OE0El$umchvIB98F21F(NW96*%>%@c7k&_kjTjH{mll&U!4@4W| zDk9q5=m;(|%s*YEi+*xHW41d_*2a;4idFh+C-5r8E7O3%}G5I+`e$JAg zOXO#v{M_w+JjOq9on*%`kL_OHr((NP_nmr=$<_C%IE3*A8KZ`F?HPT8jO{3j0@14s z^H^|tmF+7Un(Mr(hopJXXEW*@Vcau~wtdmT49|MCAYE{KzS$FnHf(*DhwV|{74pxLwx0pB4>KTRHteUQg}mr~=Ddl9 z7ak%GJ`v6Neaqbzb`K+M~L(_c`Vy#GC+rNZG5qp8ymEHEv_;1 zinAQiaiY()9xr0MmHt+^mMkbf^kKPVG-78~?+*HnSL0$88L*<|*WwyeabA;#75~!J zuy+qfmzVX6t7JI0+$0p|#duP2UW=y`|H=B_-+6v-54-cc-32lf7ofzkkw&vB@o4C0 zz}bZ9o1D_;1$$ociPnx^h?gp>2N%suFRHZT`)}Nj_h)1gpCEB$k+^vg2B-VE*%4Bl zn;J227IoUy*z;2Q*b6(}M3kUvqr|sP8Tt$bHHw>g;?rVqRzTISpu{Y123*%KsW|Qfc{8;)8X_-ljC+$3p!-#4)9mEN;d|) zN9A)k_(sJ~OfTBEx^zwo;*x`d?dKkF1I|mV%k4nnrU<2f4tNNh@sMn${@-R3QRW_V zrS?8X>Gbw|H+YRI_0PXh8`e^9|FgJJRm(xYb&b`2aY_m3me~K#${SbZ-6{Rnes*xd zj|6WFy`d{j@98%3|MegxHecx@rS2K|`Br`oPmkH(I>dfA#{PI67s_B2KP@=RJ_Viu zXJ>vMyiM_!!NVts9j-awAU_fuYo)h^dnN%xVJr{cVFFd455c2~uLUp6kPNuYSO=a` z1^os*=D58Z!+!k(1QC_NPeDh8F5A4LV&K|Q3B~CsbK&M4WlsMEN7ca)?=1JfNFo9p@bota*p{;g@(EoRbVwz*QG8$UF)RMQ1n?^>_OEVo_nv0_zZe$u z-@9b?Yj3yp{P?O)_?2)DuTb(|q@llT3dsNs{Wc7LOeWFzY%Ly0f-rK!E_016; zd|!-De1u&##_+2wwYP~OX29biqu{)%tddunO6yT;$|l}cba-n=v4YV(Q~=tI0DpUl^y>KBX`fD zLnYw(QtJ>iX3X=b3gF!1;EDcSN}mT|EeEG3cyLy>b*^83W0(QICD5S~@WlNx6&fBH z8Um*s9=24h^m*7)q?b$n#y_IpU;b4nLp;(y+?&9a*YMK-M(Oix(;j$~9^zrB-6{lS zUR*K`rKJ&Zw}XbgeRS;A9{FFcwIJ+x9J&+LY^=^CeV+D;WLGQN&z&YUX+XG9%c{_=xxn`9<&%0U@ z;5Pm^A5vYOGVOorj|pHuVnafBZ5> zmrB6X*D{Lpu;aE(hFrhor$16kpQpREDE`?@yvLDLhIq}`=udv@3ny8q0$7P62Oebb|Z$99{q8y^A#}0q@Ce=##+jXS|nEyg()`7L@l= zhJ*8_CU0Ft6t{O4Qn;Wx|pjtZ#g8D9p0j7Rr}|MK3_822+nbWFByhza-Q&dAI0Izgrh~!%lR^4mEwFIa+>0N8#1mq--etAF0-hO zKi|V_2?*?YRXFy!U2(qWnN^(cd9GBPuT6I;?%taA*Z=Dwpo{qOuen(o3pwBM9i}*6 z3a(I`uU}74oUdQUEO+gn8Swq<1|(pN`Tlh?I2$Qnziw6feEoWf;(Yyjp( z8kK{dZN`;8pW2)cz8CcQ)MgfZPvJWnzV^6ACD<>0(+s=8e1zLq zw4W4ySVGP1%UR$l#g7M1Dt;1pLh)0;ZQ5YI3W6LGa7X0^@a2l%4xUx~|6pf1sB*uHg#G5ZACRI~MK)vCM!m#kT{mRlE#5s`yCo@FR#9HnR5;?wJDo5JihTkO>|~ z0_-47CSMZdM}SwUjAwyI6t4rXaNOQwVvXyWflAPjetD*C@$(>F{-Wg17XLf&I5^FX zUnaM%lZwv+&nSKs?I3%*`S1Sx$E55YsQ+}XWXufs%t@Q#yf>auoX@VL6z9$4M#Xn| zMk>(acppbCKuJ50fS$NX9L1p_)EO) zn|Exj;&f~XoN=OKqe`ESHA=tNv0+3)JGM$0qGP-B!HCka1;y#uyyA3howL6g$A*zW zJ2tFpK*vT@LEi{EHuXW!v2b0$`Jc*i!wyVCKT@y$8xgh`6TGrFVN7}fzz>g@W5oiE6`#1 zph5=O(1BmGBPsj;5kA>;DZxf0$SYoSiOhDLiuX@{TxZAra){%f2J6Acyy_Gm1%0D9 zhuVRO5JgfLT@QmV@S)N{7S3S&Q z<1Bn3jUa}`$;O;mC!CF$jkW-be6(&Ma*8Yco55p>qmg?issw2yh$xQMZ!+MF9UFg} z;#?7>6u%4hTO9AR0AO!Pss#7C-ZJ$Gq|0{)d&@K6IdD4aIdYg|V|yWJuDfUR<_h52 zTmjk8+?&#u8AkK{=EIozY4c%>Ltlq6rSuubq~g6{Oeg`v7+0KO%!BJNb}G&=<`icb zmpi_hFlJSPHO^5*{}6v|M08RmXS)W^Vr=s}88TZG4}m8Y-x0h?@!i1_ijNh(r->`U z!AQ`kcx`&jiT13KI*8kpxB)z)__;2bcMZg;LBVR?1fB;Equ0079C!sd7sp9(w#o;g zpF{R3Tn9ZOe6oqD1WzMD9GrfC2|S_n{~*<01951(U_HJ9H;Vr|m@EP@i_K(V#rvlh zol+gHP?Ymq44n7ecJj4H>=FM3^#nMp7)d`dr@C}%v{_nMp;W5EGEDKya-HC6zyQI_2gpcCrY`{{L1eEjCUo|v?G2PMJjpe|=_ z5B8Qk#4IJ7eU3c+v2DO(sNSt|p?X2_AEn)!$-zRgn}opW`$6fM4b`RT=%{hUdxU=> zO$3}J4ZAeGtvB@BU{?EFDt*W(&V~~`O7!ChGaFzAJQNneIM~V03)+tgw~G^$i~Dg? zkRXEubUdG2ji>@0&vvKy4A|*b86N{)aJLw6uS=H8&8d%;u6&;#JfJA(R;l3xps9=8lU;_TQZ zGa_fWK5bMreijL$@Hl(oE8zLZB!dbx4L*$?R(5y|nCx4D2AhZ*YIS`DmcND!niS^( zCZ_lwp&wT~?;>6Fu>_pkPWhEmYzBmvuAPeW;ywk=fr}UMNyT{)pLt&F(_PqIvR`sk z8So-Lp$zdNKCU<~;$w<)gL$2@&kg2+<9)8txWn9~67Z@&^LwemVg#1kQ5lsn_la`K z4g;R;%l+>1bubcAc>iA|NQKb?v5(XLQNEqnT6O2Sy*b7#Sy&oeJ=gM$nidr7z2S(3C4kUBLj}#XE z1e-waADeFic~vupw6?DyYv>w zKmrbLjOe<)hHU7jxrolGM@uW)M$9o1r-O$S|1Eg2;vTqB{7RS2814{+A!g}#gE69b zt4mgNzx-^I{>F|cMQ6Al?@xG8A~iVJ2;T$GEB+C9PVu$iS;g0bXB7XJaC?NV68wM! z3B`+&GH%5c-x@rocnNq^@gd+5$895|_evoMs|3TrLyC_CH;V5D-W>{hbT9BiUvBd^ z5eV{q1(uHk&naGQx&LNY{I1ijmVL8pOZQ_AgMM;IFyk0_Lh<7*caI6imEd$Fh$((9 zcvSK8!6S-a0v=ZU3h>a7bNu>m3c+;{7?t2=@NVVmJHQKyKVZ4%UvuV zkXZ7-U$*Lkay8pTL~-_xe1O~dACE?n3kZy1FUTtX5DJ=6oV_EZID1D@@k{)C{l^3o zO2F?{2k=>33(2AmR7N}p{$skq-QYB!UPbhu|Vi{LlCICA{zP9=CKZm}P?7xlmv;FG{P5_xBf*#}q zkW!ozKvHo|013r80mK#Wod9A=zzHC#I46LJ;+z1&igN-8Db5MNINql-a02L_8uSDw zfP&(j0P>1+0>~-O2_V~-_niR3t0Z*#njrq`V1d{KvZ`jB0AfntZ}k4D5mCi^CxD0& zZ~_P`&dv~0oSnfa&d$)S3d+t8hoA){ z9PiWSIRV5~0!{!i#W?{)73TyHQJfP%xG(QJ0fhPr?5M;Ez$jjAYv5l2B;S!p>s_Lp z3JoWKtm1xOa1%gA2{-|y6la@HD$X{aP@HW(t~lF#?3iDe0HP`Z+k8avI}ppT;+z2T zUE(3VOO!{g5sP2@``f;$T{ApGjIaPssx+>GKzBoNGZ+s!0zdpEp`Hk%*Tufhw#qEfsWgk7QI%tMj}5LyjbxX*r`=^jsTA- zKGSml*|uX_>~XerPN~#X;D|D2CWR<95yel2ewE@M4+Y{;Bul_6!Fd#kd;!Bgi_DC97D*C5yblRF#8Greaf3KSSKKJhiub7U z@(h;vu(MnSJOiI+w&>3$ESCV;&k+155elPRj6?_-)3bj>8yO9*9T*13EsfqYTgp@g z=vet0fc-)V9aV4{l$$6H^lLzYzC=?>Z7mVC1EBlcGF^0ib?I%_SCN}8YQ?U~^D$Y)uQ2b1rjXxyUqMl)7a~^n`;+HsX&tYj& zhB%7UDSkN&H7Y|K6=F)CLt+H_3={{2D#vXrp@5@8R3%{VZ&941MN08&QPAazr-BYo zsq)g{8O7=FHpS`iE@VT8!?C7A=_3%H37;xmj0xz#q)Na*v?$I%^r(#K@SLhQ9p35q zW*nYZ3Fz1|6qEsCAfk%X;kAmlOFwuh{c_6QP=7K#$NzaQ{f+m|ufI+A+k2_W!aMBmJUk|b!j!<|DEAt6xyh{ze(Cit*vTn7 zKe<*^W-^$e!x$fU2#vQMU;n@`V2ZEy3uH6MNd|V_aeq9WMxXb|5qJ6h!I5$p?Yt7S z!x6V$Dz@nWK@P1As4<6b4#jg7=TtKfocq-rap!~E*P`7YN6I#EyX>=ncA3dJr~?9? z&A>S*2hLL`I0dZ<7&4~!LmS{ctA(dknbV}h(2hHl%D*!>TnTt8RTVf5@dF&w6z8c_ zam9HC)I4w=M!_SR7FzBvvkf!g8BG}^;3sK$M$-y#_9ULsv=W>l<6#u56z5?St2c3% zfA2TM^jC@=K0#)K)Q-})c={}l)hfVH%&&$0wgw{@Mw=GuS8z z7C^vLrZV8?gYz({@EM|i4LA>t%7I70x!^KqivB*}JRvROL9janJR+?Qd?YxJNNWb) z3!F!!b%2ir=SgU5z{i2}Lwdu`k^*`ALckNrY9I)M^W?Dx@V&u#@K`JOf#5t~Y!x_H zdOS$X%$1D6J;TqkjfG$j7~+W|ad3V$ljnT3gC78Wp69h1yb7G>c9onh8Ph{@Y?o)Z z{~+M!-FCJk*QVt-tk|FZ+=w>FB%!}8L^a?k#b-NSY6=*V$D-TJaeN0;d)Li4zz>El zvI1iUoB6j}L7%^tU*LB-L&Ho~=`Xf?h`m}Ue0Zd@lER|%amzbDgp*t*w##!!HICJN*QsWL}a0C)$zm)=UQkethMarJ0Nf>KFf;@3#M7onhgfp_oF=roz}Vc?U`6aD|d&e7nHEc4xK z=Yak&bQ%QVkhCSnDFL3`RWiup;N^?KLr+PZqOfzV&#gy8Xn?eHbNb^utivC&V!y{^ zOQc*^qbDtcxm+Qb{oCN-?IimG>hUGG`KPq!Tfx5r-*pQq)>O3Tl51VQ_Hwcy2_)Xe z{K^Xat{pl1Xfc6?zK7+0Ai`HlgJM1Qh5oQ2$zUiljzT~8r&A8{QGSi&d^q$AnW>iU zVocbV+P@eCAFL2V&%l8vq{p<|b?`av$GZY%O*S}?+>F%T5NV)m#i&^Zo)3w?BH(X= zM}9TiksSQ;f#rTYs)kBDdQkmOMgMq{*j5a&{ykPO*egqZ%T~G; z(hnJrvfTOiw74TIP&7UAF1ya31#xl@$-f%qIz2tp7oSJ*C(^G{(v8fe>C3Hn>QxZu z{vfFrY$LheXt^Ie^QtVBV<=1-`k`y0j^yF<2h$&0b45?NGI_5fb>YD6-6U^MBy@j& zM(Ty%O2h^UHlKi-g)+tzp#Kec;Rx}0q*xMs51z|Qx$FlT-QU&=Fet=6kU=4iuY#0_ zz~o*%%qfOt$TZu7CvN+V<5@U;AMosL($*7V-%Ow#H5MNV9{cVTt7#7}Xpq?PMT4{tp<+A13XJ-~Q~kP#SIK z0jXaW8E+4sZ4&+NVItTWyy{138S|lEX}POdyI&wX+IB!N0SOB4BhuhUfSb=zAUO7D z>VGVbjY9u4@Z^*lA!yKNr$5eEhhJdDe*P;?lzsqzo6C_bSAVQamP4`@@bkyYYTyTC zb0c{CMky|@dDGzgZgsrVd>`#5BYX#wyIUNXhoOgk!7XnU4fS5I|IaU4<~1qWJQU$o z%l#&l`ATYfCQ`m7Cd~o!#l=s7e*qpDBO}BR@PEU80t*6G{U^~s$t3&B6u=ch=z58H zXr#E3#{`sv7qZgH!mxAzc=sT&BTd?vgDfBAS8?hI{{OR82~Uvb`!h>NK564TYwL0z481)`9C!sXxE6eim&MLt@Vmj2+e*`;KOP0| zoZ{>6Zr=q&g6AN}e=U(q!4tptxf_?>gN7MB$2m7U(*2mfgGXI&C}E2+1HAJFiR0Tydo*}{ zuFM)KDY7{WJbIqQmkW>!!80o)zEQNV8--6csew}HJPh5A1gTHODM?iR0n7c7EQv)Q z7C7el^jiFY@uM#{_da|<+87_tTrcYoPBo{3hrg2X zuP|8b{8sp66Y`|xL^1izw}O$j;pVPrb((Crw_EO!(8~Tpt_+tY;YmB zs}=YYLVPLehw_f|xf{=Fp^b+h+$)12G6QX(s#k% zd{2fsE&)FUPwXUdDxd^ES?+fkSx{_RPYi5z&a^Z9G6`cGeWSt`*gl-NRSbo|XGj85 z_lac8vHv9KCvO*hE*Yc(J}Pk&>J=e>t?`KA7*j4?U1!Gh)fWFDU` zmmS>P`49B7|BxDF5sa;Fb1lZCCI&BawzJ%~lc|+{EKW6Ml<0dVlb4yA4;PMwq1>Hf zh!gA-XUICLQ~Le7&f8ppXF@;vfz*!^+{v_akqpO)a;I+20?+>Ga0~2(Xk<(2rx!u6 z;R5mOD`?ucSnfwCQZ0KRb^>#M8R)kjDI;K5%$Q~1r|u<9dk=WDD}7$p?&B07jxMNi zX0qs`U!@mWvG*Osd2CE@aM?C3{_WmPl5xRV4nAsUnX7I?vmXhb3rh#)KE`7+ zh|hwTpRn8?iK=!HZ?>ZzD|~%77Uq$1_d}#0btvFx;Du+UAw^-)w28;!xIzdaZUex7 zzE*Nq)!R z7*-&EM~WIl=lu#i0vBfCk{`k6luEGn#Z^(s?c&-M-^ys;fhz1|dDys>`&O0y|Mvq| z6ipW`wV&Odg+$2}(k~1W9dEhs_b^6?24sF7^*^5DNC^GtGN)f|LO0dBF^=KB&Shiw z25z#sdG{mrRS!#hnuy$<2QR!Lt)+l=_NKFHZ#x|rTn2p#{qSw#f<0j8U*IMzE>5D< z#do-F;h9EkQx`)p#0uO6>m9O?OM&kQ-rXPL-8LfF1N`j=r2-?7@xkD4jTbkT!OnE> z*hqf?d5|%2)a(QZZhJ%aN_R$y8o?u1MV*NR44s$$c$szJe25>(OT}v;z8O6AD;ZcK zm`@%C-)mdZw9v#j+fhKfDm6g@DmvNs73niLS*o@ zBrs9vn_}_c_m=x3N*nHr90L6TcS^=>>WX`m<$i$j`yXmG?b0W4i=?Q8z-)Jl07Rn7 z87enXObq7#Y3oejWv<@%e=g!?xy`-y_+>0*NsKjIm$BbMjU~yFx!1mBEY%H}DJrxL zsV^#xB4lYSg;EVIC~7Q~t&AeHxuQ}@|L2^~=ltt_|NnWtem}SOdCqgrdCqg5vwXMi zNcNMfc|`|)FT*P<|DW@X;AQF=Kwcpou4f!t%2y*KLD%TMtKb5%R5ri+$zv&4q6x5? zL;hJAxQ5(~XMV4dpMM)&!_z0Vk^J&q;MdS&-V<&PSNxf1afAizkpykh1w4DbmHaEG z<`#)Qh321dnHNxP&#Q8NEG1QYM<(=b>E z%KPpgX%yT2VmHH;(`{>;%)EgV3amra3~@a)ncRO8q3a^*rk=2TH`Y&Hj?ZVv-B;;i zPKT}1*h-%jZT zWBaupaaOprQnRVZzi6njc%&=h!_&;Ltr2{=99z7UJg_ogsuJO#SV^850$+}^q8rFt zH$ekl);_KW%g0PO=|RE^%}Y zp<#QAqkNTQ!h^eEiThc=3Gz`p(DyCa_l2ea867ubZ!p7DS3dah5=KXqn@LO@a`%dG zZRv)Wv4^rVK+O_5!7LK9Dbjdu;mZW-6KJp`MQphUbNq+Hnv@wer z#tAnz;Xt>OQvu||Y6F+ac3{n=qD4XxLh0Jall3<9AJhSkHQgxtk5yxy7?D*J5qPb|3LZVHE4jJ z{5*N64c3eHMCBjNmU{KT)AgO0F5jmbOmGU6T7 z(@D5lur-9^#5jrvP~LYZJkzTysw2WZOjy1P;Q-l`nl4DRX)kf$Lz5+&8R8u=`T6J*oydLv zdLvu}qC zYKPfUS-5=WV-GEN(%zTDgEdSJRN$E4jJJVWBYhi7FDlilHHv#n%bPvu=T4p|xa!3J z1s9xo0-9W=#?{SH&kn4o=M~g{YCCj&C-Uk}%@1VR*Tgw5xzlp+U%kvf;bF|v=uY&4 zUF?k`PxW9RqrX2$?r#Tk+J(R@;d-F8;s(XeG0$pw8R0%&Kd}S+6{ANvbw7}G?+z-> zx`Z%83#reIQdvyzg5gyJE&7^Dqw_F&?SA+VBcGwBG{>;@(E$ILwpPtt2KzLfi?%kT zqf~LWei_RSgr1pRW`<{KqGe^-f{o;%3MjyO^hf0P_CXieHOfKqQ8DP* z!h+9`f4vGGFogUM;bvwIrt%H)G9hz?HeF?v>w1o>s^PK);oir_i<^XNS5A$A2PPTj zT9Fr2LWSB=e^2sX9vYZoP-i;P5U1D2Z7L5RE$Mp5Oh1G8^BD8p%Y2?G!$CMg9u}^B zFT$lq70S;ePrXz0sCb3eV>T!WYV;ilm^=|LvhE>IoX4R_s6O~1a*yjS*6+#h=6=I2 z9g43+&4RJ&W>hR#{;=7AmlG})3#<8K&?k35zp9A@<=fyl#4ZIIk+0wds~VK=Kt5$J zOgxtScJfcc&_9YkHI&>xtglFl_XX(w<0L`bywxsbc#K^#l^KFnvAXh8(WB&%EJW1^ z3yx_n(_&;5xZ)B$LHX27zmYViSX}T|&W4sA*Z@+BpMr;VsrVYYB(qQA6Rsm$ zgd6n~`SaxcT@i0B^^|Rj22Ehaw}DG19A;$W%<(BRxaa>9q_hl&@VGEi#6F-$Xshy-7i%yOii#9Bc^seMFj&pm>;ts>*je|Wg z8PBG=@hs>1$_SKSkUvO0!Hl9)_${E!#L`Zj`Rt_bs(7S8t^lnG|3j8 z&*GwC9qa#n^vE&Uq>uX-ofcP9KC!H~k+SB|q^mi^}_ zwB!cVy(Bv`Z7pmUDa=P4oV z4OBFY*4W*DA7;3x6bi6oAn5cuAV!_t;*DLk-LE{$o zR@Ij&uZAN8gy;jSD8I}#z&1cWOC=`;O_2#&bC0Q4&u^&jL`@j`6vy=6tNsbnLMM;L%UbhTo3iUn<(LT1%nRc-p5&U4$&UMwU@e|hzU}D&^<6HsxJrN&c1_U z(EB9W1oQcbD0Uv7#cZKVm`-g!JVpLO7mV_!P4$&pPCXtzxyUh~Hc);V&kXF~+zH-Q zrH-Orn!2A zFOse;&LYZBxweO~wx9A#$pb@mw5~0iYWl)fCM-Dt+pcCO?Q>3iA$@*SlC`TW=!?Np zpU!ud*&@{t00YdH7T5KX+mbqB0>_9|sY=t zr%Ctr(ug8qc+ab32VJ4_| z&?XPv_DS->12~29=w`}qk>=ur(d9**a0TT*7=(gzAgEaDo>o(ax!PSbXFTCU;qd;^O- z;<_kRUAP_;v&?NeV)mNMP}k{jL=2K+^2vsn*FyYE?j&5>F~(bI3&{iI-g)p{dr&l( z{45_xdNvIiCXpAG%{L%QJz?;UD(f5gR@tkOGKU%B!?8i~F~dCJdbFyh7_FDdmzj1n z*~;WdJ0u60yqoF*?{_w&usRYBJ9$T?`6rls^*!*13|9M3a`)xOG8n zU)qIL-HBy&V&_jG@-TVB_C*(74mHMJLNKs*ajqp#c+g`Js8O59lV=em?4JB>@GKSK z^BFdSgymy$o_~h^_AB9HOGao?T4cJzRvH z6t0K1`?;v|l>dtxP>QRPZ@|(;Zm-l)JBtYcKD+0kiK>wo&W442tZ8#{|8%s;?!0q^ z>y~*r1_RX7lk#6yLl?YCo+sQKhD4WOT*PVWNz4#GgYchV!86E1RnRpyHY^|y{*66{ zy*1`l@=c{NTJ0r;^}_W~iQV;K*v!c`>L!>W6&qpdXbbp|Jh=*Oim{^m$b+4*u}m?D zeP!kEK*NG;z|Z7$I2KBpSyPHC@h1AFFbjRGZ{E|tvch#u{m)=}SP87EP`*VSjDmUO z*OPx%5gZ0rs=L+0hxag-F&sD08VAGpmVc<8GR z%L)F9AY^aETT1zBc#^n~>b8<6+e44t&21+SJqmk7xa8Rd9#&3M)OS1;9b<->d(dPL zEq+dTX0ghuLbnA*l311741-5_@22(D>n(4L=x0wiT9W6Odr8MCmCJ&AGNG_A&kzs! zSn{@iqe7ps1!3WOkgRkq1m;t|&qDa>X6kvx>Gh2~+y5q$%Ulh0?P>52j5=}U_wiJw z>WB&BQ_7E6ytHGvEihwjDF#f4 zwXRH_qrD*ksPc8 zVw8_iKG|-V5Q%z`45UPv;JF(G+x~NG1}-%NRJ58r{s=d!oM+x352{W^(su(gB!ue` zeCUn;EbyvJ+8!o&T|2@fPTooBp&xBIC9pIOnMqx#-^o*XSdqqgBvE`Tdcrds@(I@K z8sU1Di2R5Z$b)Rh|Agy$B_70a=ODhJqc-%&2SQqFOUct9^qfX4z_*nJRD-4#(zCZ(_bfpo(b%i1W^zf1XA zeGsCJ>X85O3c`D1w#f(HK;AW#XNnAXQF2FSNbn*0MdiTzIBBP)zJnxL*DK~aTNr1v zjSm|6Aj_F%<=6kmnML9e%E$Qv2OmmN^M!lribx}p_a&tIISJhnIg?rwfH@)BiT4{~I@t@UK6ZH8z6yWeVP5@hkUpy))~TDb0s zN!j!(Y9#jWEPW95z`aC9nd$8@_YES|8@?d zIpm2pC_YBRM!}uaXWC>AUq~U}C2W}@3>7a6*F*m#@1fLdJ^fopc@KlO?X8XE-|^mr z`gHEyn(IAA&*L`d00heft?`|3U6T9m&Wn_9S0A%bb1sfATa8W1%RPqLzLmzpqu)Xm zRc-QURYZv(M`;Tu?R&ZFCfEEE9w1WMEmqPW=|yS`dEt(SjG1W=bw7D(I8OdS8%)_lS-bP(p?xr7ih->3 zJ21uGOvpb?J=Mqy4c;uzLde_)ItbXJ*^!Xfk zWs#u1OY31oGg#&F!u4GWsj65{(j@8z!(}?<>yUS`fM(Pace)tjX@rDq@?f@Zn2gLI zxV#1hUeNp@M3f|lST7dvqwDd4e99MoiLFMIdd8E7Rt_~JKubJAp6Jc9KHXp)8J=K5 zS`~OlODbAK?mv}pNRWITd0-7TU2#7W-Xd2&VZmYLKO~Q~K*K(zo}}Ad#m>`xKX@ZuylI;ETQ3Qr^7jk%-;84#}Zs+18gt6v<%!eu8W#nJwvhV&DR^`7U=vX>8^dXP>rO$plLC4a6Bl zJytS9xDL~a%zQ(<%CcLg73 zMlW$Jh-<=cxIEtnA4 zgaQV#;I8EVTm)Z9MFYr_qo6uOzZxlATW-zw7~FLzKaKLC!EoQ0<~j^L%na^r!&Acb zI7o1+pQSU%Zy^<_@r-kv9XF9D`LYt&L#bWlftTT#wxVAOcS>AnQz87d{H06EeWmCk zw~#p%=c1S?U#UMR-+2S%>FTQN4)jHf?_erFouH<0(-Kb4XO6ZsSsFmTfy$i)FRMTs zwxgoNhaF9k_HpqZ&h`s>4a(%$hsgFEvkfQrzm2xr>3ahCleeQ@kF#qZAP>0?zh;mx z?$zBCAK^*tBj62GooeXAcS^z{FEPUnRb)KN?=ZV$mGjU=8G{>{9B{3d-f`ATa+Cc` zj?BgsZa3;jgln_ya=oPFCzCC#-lykx4w^#!v_f@qUuE1>mxPg29pPGCkiBdj*++T* zbQs&dL?MSf*{^8i=nNiKn`XeA?byu$W{9{hH4h<=@|koSGsci_;gd~&m}V&T0C|cp z$yMyG2zkO>EtH|dp{|}~LJlu2*ol0FaNT0>vZBFfqveZmfgtKd$$JgYP={P6RVS(c zq5r;#KrlvYoiQG4bGd54es*}6t{|3T!=qTZOmro1IC(2<3 z<3n{-Yw!lD3QywOGoc4F6t+c(DC7to?ezLx>N|u4$oBpZ-4D&@<3s5{Ht0@dO=^LJU*^%y3bW0Yx1 z?(@O*?MrX7H8;!mfc&Mm)g}0(Kr1%AA9F@$Vfmh*9N_UDPFFy zO}MU5SspA;M55Zw0=zTekzO|7FnMHc(f`dTE$xzHJwKJQGX!J zzQYF0C3koS(@o?rl6(2e-x&ET&iQ}jYTGI%r(S{w1h|6TNFL`2X-#JPh&*yPE(+O0 zo4wEW%B~zf!c_N5d{2`ndGEE50pX92OvLktLc!H1K3e!!w41+2!Q0Oh57Y>3XD?G1@frlH{9+fu8@b;V(i#^7R!8suDSaO zOxt7FfGpv-#UY4Uz{ZB_Btcgw z;l_K=3#s?GsN!NIm%NJ)wWtiGY8d&RCWyB+$S09Uy>O!~<`zD6TcDeV9Db3)Q6Ff^rpG3jYR>+a*)GR6Ssli^DE%_GAM8uW6Ev`WA@Byuw*?K~&H^4_hR6);6bs42roK&{WAf}uu=a~genq&h z*RV9$>l5-dXy*G&Zo3u9SF;+QlDqE`I>ch$>W5nw#QrVeR!xZwr#o$b#r<{GIvMXQpoK7~ieNFz-{hV-JD}9R! zg1xcwvkR>qK*cEJ`KzEeNG-Z3%{}Z_mkjZ^_J^)opX|) z3l6gDFr6#)Hx;>$H!_mwq1YpSQxzL}steZ!3G(&**a}Jy3D;xKXCkmHw(MG`H5J|B zAe2;N49g{dYZ4~rOYEV5=5m*3$n}={!I~Q{pTwM}4`M96MO)1fuA7+7dnRlRo*)mo zUZ1s?JjQ2;ywvj&^|!nWHT#+Sq!o~FB%iOswE&MFIo2}6(DGni8BF}6`~gZyPK^ZbdKC1m}aJekS(aW$&c#pzWxTRvMO z$+}lkyz#RNo0#uBB*|&_;h(3?KUJ4g*FyTNos3_1PKfX=n~kojs=#$4`%cY^WvSRA z>kBC4Amt;^PVT75N|ybtDXr&aN!Bgez}HlkW^(#|a1UPwHHW;4aNWD9wNS@_qiSk- z;}^}Cv)$)CO`dw}hBoAnzYHVWl5QdQuv6>`FhCw`f<1$e2TFs5n<4aS(FRR`d{=eJ zHRh%=ga3>2=A3f&^=Qk(Ea0s@s1V#tsd<{~rsTa!iRgf$>-yKpBiEz5?Ujoi0fx#!4zeT8`3#9t$C(`VBe@SP_6xZ;i0GD}xBX-Jr&y18Sxkik=(EUm zsFr=|>{{~I9zql4LV@{tg^MNcR^GpHd{YXX6zltubLq%7dr5@?3;s;^kz6touIwoh5`^N?i ziLhRE$>Sq2aoCM=XDk15ZzFFl+}rYNI~UQ@A3Urw`QA7iqDC-7Y9~w|q3=u}54Pu8 zmkpdQT#p`q{h217`cYpslk(mODjK8w3*@0{+NWe{xA(HFV}dUMC$w*z+Q9-+oZ%EJ zw99abfn8DccTM}0I%@U23X9ss>JQ|ppWzwCr=)!K3kx{J2*ipi^*OpGz*}TewNXNO z;d-j9!WVLK^eeA$ZHdSnSi;Uz4XvIw7)8Mwp{I>-vsF#-8C5J%WUH5$di07)5gr-$-7N}pQKPZRrX6` z-mprw?`)6_D&>(N=U*5~K{m50x%UVZz009`6M5@Nh=KJf?aDo#_EjzR_fTaVxpMC*~zjB^2}_d1^WaO<{5HgXH&~g^zy1L338PUI;|G zV>ktl;q1`?ESQU@dIt$`d>$YV7}8IYu+rowd(r8dIZ*lv|edDRmJ z{D$&(l5b1b6_uW_%gLZskf+#%9F?2NmveU%(FMrwW9sq#1eb{zs?e!~%<3|bzouZaFH9NZnaEkIfFJ&Qh@JBr`Pm;a%UQ)`kHQ<> zp91j;*InY@iKCcY-gRA9xO8n-6`9o4G$qcF-v|lHx1Fm37TkpexW5$CTg#gkjd0Tb zC)@dZEVyMRRi(bd1}n;5TtJ?`5p8J8-dajNjIV>WE5Ns%d0O!vt2lguf~8F`koTfc z!Fh83Sh#^7QD3FWtt8qAdt82bjd1PX`}vB{(e%q2lvkS&ocz?^67uq_@zJ7FbO)!` zb+U4~gZi#CLXBokM#e!VIc);|nF-;=rVzPowsAb97)jqm@&W4FQj@DW8tT_Ae3*;ksWupOk>95e#IwPTO1ZPSQdHgKaX!Zt>vDHRiBhZFL4GEw_1vFtaXv0TREm3VGz7d5BN>tlJoJ_uZ`zkjLs{%th#-50P(r z08Qz~8q6lY$xmv)d?Gpr7`eDjDI`R_Al&~>8q z3HiZ>@Zfw_;T!6?FYexc0Vo9NfPwUE`6&lFogcEdQ*}6p0S}uUhK58 z=n^qR`Or`3lE4EfU@H0b&kfk(SIE`9j6USAlgD}Y2Idi^J|#~b zMZ*%*|26r=kI_NqJ@9(gJ|_u!)^@+Z{R%Vq`RVou6;(NoE(lhtbe%?}I3sw4>(G&y zG|Wi)*aa=gLsPJlOt1kt!p-*f2VU%}$pU&YLy4IfEqs7h4H2$ga-qwW#*-_4G0;yv z1=RB_&;N$8LbJ$ylk?jd(FB9y3rvW*?$B9E9x06#TY!o-IooTC4{u{~V%AWj&PSj5 zf;{;{zTt85bL8GRe#7mIdYSwOzR>f3^wmsRWN5<%+GEnN6Jj;tVvkBHbOU;V`c)mB z!E8@DGHa|Hs*p4V%Je|)n}!y7vyd>v%1?*9uN?Tjh-vxu z@`ZIYK>c7djNm}wnaKt6C7gM;Qoh^?Ys2gHUt)guZB@<4Q!}v?w7c%sjWL_}*%xcbqsR4f!};`v?9x>?k-5K|E+4GBL8kd*OitWibO`+B z)T}Ebr1&?eafFU)sx7)p3)fALzlq+pGiL?i+T;(vj8%h|yGGw|-O2E}@WoIC&wrLLO7RQd6jB*$_-$kCQ)2 zd4F$xSCpIyumSQmybkr`0?cdXpYV2O53J^Lkjwo8xYqgS@)INvkjYbtCgdpMz)ksPATSbt~qg zD6`#0?&tLZYo3ARxja{~e2mq<0<(33X98hxd0XH6@S{*gl<*8Q1nVL$Db6A5ob9^4 z?@6*A5q{T``+J!!a2WB&$0%`-@_StO?tDx6XbQ#H`u=0&Wnua{7p5FS6;2xahE>lX z!d3?^$Z8-#g;rzPUC92wk$mbnIJ``;=GT@yu@wf9pk#jC$z#pXv0mC^kZ|4Lgc(rU zzv!sLoD&UXJWgPGsxD>*6}c>asMP&V=8a;n`6gN33&ZcY!aI~&#o_|yoeWAvOeK`s zLSCg8+&n?Plg0SCE3lE}2;~zW!B6ZF{z-6W#f{nWN=OO~;ypkIsI>Sg-L?!>kN5Og zrIm#1px{knNf>8m)}*}8b?bUl$`|X4KBz_gIpDJN<4KX137wf?<2tmmDm&p$;d<14 zuo|7VmYqC8xbB3`uGY_>p2F)edK0x#z>}0ut;nixmVUk<5*FzUa^CLcrSAYMw4912 zY=d_-B!82Nyy@jdk(_{$zeo8%5X08a#vhR<3t)+eZdh3UcZ7-(R3wcwzmv=mP(*c6ejhsfZK)ZE-2t7e(Nj!Det~-WHfnf;xOHQrEHBJq@rD}i10XhEP>lwZ2?QE zs4YK5!2qdVBM;`H#VJ#YQk%&C{YV>gd}Ioc@3Xs}={e-=Ya}Bz#eDIMVMbF_#=8Ff zLLPDX@E_!(cEN|I)8b`LqlaP(-~vITMc$`M9y*?9h=)GkOt=`ffja0qAn3#lp3&W) z;%fZU+nLu`>N|qTzMVnC{n*&52gv*V30J<31*+-=|3)cbIy&?QSxVFhk*8%kb z%DXF?GV+yGY|VTrcY^d4z4Lo!aDQg&H{m*dsZUTL8!bwlMW_0&M+3aHL z;b}H_SY7=t#^LQ8Kl7=`YfK|AE@c6WsVML`7AA`FF(WVcT)l=Bw_V?EpuGEz&u!%1 zhI;ar*lYWC2NfkMU@i-?fKQkq;CdM81o@krF+NXI{sMX41vKneE-6cWkFE;Nz~!Ha z?kXu?c_hJ@dRzrFmX~=2%C90bM7qKIgNDkBY{=Edq64`B`Eh>TeGDtql=_uZbWhS< zcvu&F$Q4-!QIY3FCsSmi1WF!BMWZNhO+AhBslIT|5S{QL${%!1WscRqZ@6y%zpJ~o z%%J$DjCv?nz0VBEfpF3oTegSXeJSE7d7{Tqqand{((mNu-bB~bE>;R9lyG{r%&y#| z$`9zGBf4S+GR~>= z5%R=hc!+gzhdk~s2?NA7QQ;A3@z&c1tzkT0Y9yQGx2}Q(p1yHg z@;1(&#NaXu#FmaSl6LW#t+^O5*dX6D5{c zGLGrHTVR|lV8=c}eePFL%@=MQWL18)t^l>E#nkgTUjb5~xT&6co$?7+M0yYM@}OaV zc)E4mLsaxU_aAkkN1Y~rYB(x7n+?Cn0=#q_bpjdwqI}^~eGKqc1~2wA4A|u}tw-8m z?PCl8BBQ52KIY*v4f_-g_dai&EP_a{pEfFfJ zAoob5KH=K#<6LLI?VYrCGWGUha*&r!?1&veb>7n`-d?(x zVzQ+@;pFMu3n{gvITrY@aDl&!JozlVFG9Z7;%b>}yQYcEM0Lfq-x3VPlHmm4lCVRfFE zdD29ZJyhhoVW^Qz(w|R}pWF@q-%FcZB7d9rsbhg8li)A7CgOc-GHQf!l}EUC^ORYH zk5wwggvv}vHbKEY4(G8;kqO0Md53`8Hblqe#{)$=~?Po3*J$A`F4sR6E-nJ zU=rGG*T_lXy619TC*QJ$lyjuX_N5Wh zz{Rwe>V78=dh!ji{0g~hg?=lf1604L^_#c6T-8MWHsd_6tNu$$4dkI&e%7W3`LcSb zrH@+f7OstZ#%0_|!gcN4=eG|z+dIpo_ZZc=ul~q8*CaW-jL9$EjCjU;9U*gQk*-1aAUSbf7g9WOIO`Cnm{WCR-}dpW8W`EP4b zz>753&E)=tXh4d*qt$Z^1t+M#x8eK`^~{m9(&5n*{c$B!WBAHvvdI^$1D{6QJRw~7 zM66rUJ;h6uKg261^;ya$^2mc6UW{XJfrpj9Jp47u3LzatL~ z$GRuLieB{_Y^fd(8cBPOSe-n%rn7Ka%GpW#dM5a)!Ukois0n$3w};rsdJB1!*9dI` z29eiW4$IjqRwKzLehB`Y*0240iZid9IO`myrvgENG84$gN&g&YyCml=VRGm|zQIYx zk=KRmz?gC^IJZ&WR|7tfV&C9+C`^Vt_+RK%pH9Lo>fSxQoqBh zsivrfkJ+n}drx7=L}|P_Hh0@cSV zJp-Te((MP3m%R%i`#kw1^2nPUCTz@X%UyHk6X4<-4U5kD%b3B(n_r_WU=4Zg=h3zH zr4U<%>jr$_Isw~7c{QEZq7}a+PdCqfOZgjE(^E|F@zqVWF+mpCw#ZR4s<3dn`!E;hiMbFbNq-@veERsFmi$s>;j2iZ1;IvjG1Q zRLH{uMv%w&GKv5#H<3J*1%HZAeui*!;mrRck29Ge$_(mxEUoPN;5qV8#Zg9u9gT7F zm8&qSTe5)ntvvhQR`e6{f+2h%&F9SUB@;?NgO$Yo;z;m-`c@Z)m=a zB8zZaqiRe@^5cj+22oAP-LDqyMjpz5H%D1OZ}Lq&(N%|Oxxvo)o?`IPO!kh%5;4S% zDj<(^L!3)d-AwXemEnePg{q?D(b0%=aTfE6)#LgQ!v=6MIOkwL3;38Berydz)ycmQ zt~+9l>pIkN;o7G+1u)3$i<`2H09m5LeHXn%C%KrV0eaV=-S%9Cfr;kN%1~kJ4WAR0nvcp6d0;v{!#C+ zfG1qLhCP(;n}Unggt+>O@`-x5hfZ}z!YRssRA*2}L(p!e&NCr8IcRtQ@~YHj^s)OL zBb9`kaC22X`&Z8nl)cDzDEvxm_Rtd2U0w$R+`eL{4|yHl!P3fF-)anpD3SaNL&3i5 zFd|&v#q4*z6#W_D+9UlZQPM@WVzrT%tIMmg4_`^XgZkyQ5{48qn0^K>2Ln+Ay+UUA zk{R~V-h0V^AomquYEM$pZ{&rap+a`!tp0{c4qglW-*eShihQ8C@1nNM#4I3-2{-cM zG_r}yI}>}$Dzb$nYljWE_Q@TcrIH-Jlewp?$4Ko=OOIi;4_w#Mrc*xoEUF!1EgliB z?d$%!+_RMTO+YBKZ&7*)`sD>GYhZW}6~&oh$}c!t%Vs1-N0wV(Lmdm0}&A!^cO!m7z1a^x_ z4i99qhjZIQti}l8dfVhLBh!ogW{^KX`8zkEw4Usbr^)~1=ek~`{8IAZNQ~n@F?iKV zaA$L0SvPNy|Ij|r*%ecE+RUysPm(-&i?)$mNJ>X(N63qvLQHAK%Kb*}epz6te~d}P zs?hby(TYfrXGfrDI`peX!nGF-3pgksJe>s#85w0!de|?)zlXUJ%=U7Eu5N7N$^%<+DaVMjI^O%Z}M@wNok%L-X zn~3WKbENqJMbu9`3^fXr+ACaFA;>^Zo2wJ#US17VoDnWkPvFj?Rr{OrA=k()Ew_$J ztZkqQc(u~Yf-50G#V!spBv2g*b)6G;%2{_CCj0r|F4pl%b+y^P%QNyG-BkJYae7I% za6Xd<-HyOwW=l!FpWMqw<-IzO{1yqfm8D=ux!(d2rQ?8N7aUnqqY8M(*pN9ahe_Y0Lq_b(lzX!s&|a70hoGl+9F&Vq(8sgV|PnCDUUC<|NFuA zFUbQ>(*J3|lft!g&!$sa=l%=wS!zKNJ+Xrs(w)qKQm^X8kk8))%dJ5imvw~eg+sI| z3?D27yWB_~4+RadCjp(v{jN>ft>o9NM~C;JeR~TRyN8v(#CW5~hQN`M@c(<3;T|g5 z^aBJ(^-_#Q)yv)iQ?$dmgzm^?vlXI>-k`v>~`B&+-`d1MZnvWz9| z7p|M$-?bDw0r@P|m`@AX@bZguevk};(j{Qy(4me-lYO&6CGtdN47Lc%@sY3p8%wBH z$=eIpA%DhuXBpD`_{5ofyaiR!G1QZ0=efycuXn_310G6<>3J~Y&Ree zZXId_{T%h3!DXT1dIww&X4rNQT74k8*fV;3vO>tP&cq#=Auta?BZ7SD zHYYPr>N}LlzR$qzd^}#b?${4pOZBjoFX(DY4sZs2ggnLD$Zb0ok{@=xq3spumlrX= z!IkbT_~2S*Skwxh_5wRFK_1}}-WKo)6saiRCCFy0gOtzz3VN1O&l$sMHC$@COwqe} z-$8YzmMVq5x!eT-=WD9SARo?Gchi+r9pTz${zXN{bu+`|rJj88*cs~Y4LxC1w5?>q zCX*odXD@xmkQAracUXX$fWZ)B0ZA%~v`!eu@l(&|l=pI#7a>1K?)&fYmz1x5XF@0o zElAPSSICpT5k^I12sD*RXCUkkc{|K2l6$*gbOx!XHhF|MGe@9CwH9t(T=E!i9IMTQ zp3JbP3A$!)NhIWvzxOI4YIznABHz&;^4K3L^|zXh%(r3 z7p`YR_iKChQhx4YOje7i=Nt0k$58`Yvp>LP53mFYsZz*smAvg&Pci`?4))`1z$=hX ztc?P?v4Gm-i!VSA-xsc$kuTsa4wzEpOoLpN(thnM8J=A_+>z|?gV1r5y8Bw$ZpfYB zeqqxGDFMvCBN62n$jm>-wX3=lBH+i2tv;mWr zk6yl)eA{cNH1^B#@RpSyg|(}qFPtC`MB%gvQw4Eya5=MEirU#&m0lV>5&ZA4kW3lm z(|cgjuw7kUxDGVCT*3J!%B%BvrpQ7T+>!DL_jnI5Lv-J8BN}3c5#+r?sAx7VG?hFt z60?<`7FtB^evEjTaD56|qTK?cxrF|IJ>EAlL#lf}lVA@M-XR~xh=}5qN|Gzr-5p<$ zPv(Yr2DGW4$UmP34YIv6zu$zL%Xg2xhraB|7L+Q3E{GiG$mMBVrf_X)FE2^iNLhpO zC;7I@-&k-H@`P)YcOfseYY-L;b`9Id**;3<;k%jcS&e$_rM`Q}KjK&7ZPa)~xUSb3 z*V6M@@&qHY9RM#;Pw+8B@OUN)*Z|%@wJw3dP(Xw1V}>hyTaeugoOB)@(B!N#YNZ*%Bwb*t|AyFYL+u`jI?R7&ZZxh{E9(^bzDhxd-=XfEU=V% z(-xEPo7x3g#}8OcZPO@Tb{vc<&P987G z+SrN7xL^~y6Vj zFLWIl9HG4XaP};?9OX~NrFoADH%k4+426?}#u6TGM$+XAwt51LT?_eS4U?=Y3D+(4 zui9%Ws<<@$pKv`RpUg#2d7V-5ChDndCO`>q)T3@@g4&OsPNIRTGZndyGH<7RjNeN4 zqduy?a8se1_L&Mr?}mv6Q$GG*OY8Anz=X?>Aykq0p1Vs>CiYW z7o~i3H>wh)o)?|8dt|^YXL4fAP*YPcv%ODVcov=%x!xqJFUV6(yBgr}f`3K6XBC3L zd|>q*dBB6EQHqPObHc?QVKvINv;LbI7W73A)n|RnUW1;V(YNTTtsc22J0BHd!L7-! zHt$$8FB~-~-N>UaX^+`lX+rk7^pazyPPo6|9;Zw}b`AUYyn>uck994`-rTjI?d_wA zHEr$8Nwr;;Ica#_u+XslA$58Ldf(Q_*QkjzxJ=HC#ac9TMxAKe*lAKTr=s(B&7AH| zmzp_!oXs_Jx;VMDaz;2moM=1FdFRHQaur%gu4bxF-`+iL=^N!kRe^oL#kY zJWgwY&gv6w{hRmH%K2na$?i@1cDQ5e<`=^`9k27Wl%iUwf6H-FFXmKmW-ZCd+FWr- z&Z(;Y7QUNXsQi0JG?G7VRujezn>>2zaQz=G)%d)Tqlb>ZXUb$%Fl^F@(c^|sR-^A3 zJ!QzS3HJx<8QPmG&Qm;O0DCsA`>N3`95o(GsD)p@7o{W53g75^E`X)wbx#I z?O$gf=)22*cpbX-hgaDEuNzCF-m>p08>*rocdAkuIQGK79q6e-&R10|~BdJVcYX4`wirMr2gVibv+u(mp-E&Aqw zdTACfqE`y0C?yR^>?)*OFiSsJUH1~3qhF$K8qU;#kHDU3fyjzw8v@4+ZRqg$O$uIB z6lBE8ic=NE=}5b%0#q5RS!9>NYUw350f{SyE$lKzoxOw|>@s+$lq8o4R?3`JbX`+; zck410U1h6TRM1=M{JrdO&@<}hRcvhV5b{>=;IPoLo7w>#HxyObN{6_s)^#G2x~nqw z?e!y3A4R?|=R2z^Ut-I`2CJJ_vt3Ah%h<)R!C|V=vhoT=_+c*GO(l(HBYKPp`&Owt z%$<#t`ligmUhXkQoj9ER*kiCdaRloUK4z$E^$afN_R%Co*xXU>_(YzoQoW-Xl>ZW2 z9KJ+d(Se0UAY|@j8zRPxRVl)5B@K#jqE$B3t%L>zS(4A>O0zYx_Azh=@y#9Bh@L~b z7vJ1HG^BVb4O`fg;`7IzgVoy-S(jd8)J+}O+QTw;C%%?P~i0fA1d&n0^eKU4Ki=%vr-=+!z2{wC-7#0A0Y5?0zXLL z69qn6;B5jQud=u2qzV~#wbQCJflm_n41pgb@L2+XkHBXO{C#eo>dzH2(%czH^96p2 zz!wYrRDmxO_(ueOmB2qL@D<>B`>F3|2pN?^0XeGV%qoGOEy!03e6GN|1U^sT>)Ls{ zYN3$P(4L_x5co!cFBJGDfmhZ+o+}AF6Xcr({y&`O?N_N7nz5;9Bz|FOWE1^yF(j}!Rq0-q@GwE}Nbcsup|P9Y;zD6m)H z(*%CMz-I{j0fEmF_|F7BTi_d2!uZP-GQJfG@~i+q_N|FcIP z{l7}cIP1y44ol#F5%@}h|4rbl1in?^s|Eg=z`Mv$ia)ZHRx0;TozQ}3+$7Q_fxj;B zjYa3p^i$6owB78FfN|2L--C;OU_1{%I6=_d$*Fngsq~w=hzvowutp zgpB6)4AnG&Zx#4VfmglN9{-OCysyANA@F*Ef0FacBor!S%oGY31b&vln*?5Yr@(W~ z0{@gCA1CnH0-tCXGM*MPYyv+=;8O+u-vXZ|@N)$|L*Snk_$-CDQ{O)?WMm5kUJ&?P zfuASv`2s&*;EM%baWc;>6Zm{#5m2oXGKvI66#~CV;420GMS-sp_+o*t7I=q;hiNV$ zW3eYgrK%Hnr@%J|e2Ks}3Vf-+HwpX_ftQFU*H9O{BxE!T1Pj^s|4OG@T&zrPT*gbc{wx^g^V>q0h_?T zBJimK|C+$33H(}t&k*?61wQL-VH5U-kdZAESSRqg0{^DK=L`H>0$(ifZwq{xo2T|y z3K^^18Q|6ne1*Vo5co=ge@Eb}1b(ByR}1`m;AQ{g!6jt8FBGT~_$q;K5cm%SzER*e z3w)EnSGV(al_X?rZO>3O3;Z^LZx#3*0R=WDEQ;fzK8AuLM3{;J+65Vu3#{@MV?D9|bJ}?UX~GOh?2p#pzZ;0*$QL*PvU-zM;8ffrv9*b2`3O8)+A zw$<1E<}{PaRHwuHrb7un*x1j>8CR2$yl(1=ya|frxxg_>lm`NCG;-%{M^w-9=bWxQXMf zgaZjTaJ-3d7s4)%HxLdYT*dM0go6oJaQrgi5W-~~FMHSyqAL;koLEc(-3VuMypV7x z;S7$SC)}NID#vpOhY?QX_({S&2%9;cMwnh4oH1}bnQ#PQJ;xL5MD!#=#fh#mDB(!LE{>xJ_aR)xaU@|Q;R=q!2=^si#<9H%5&ekB=Y${O z{)DqRRueW6&fxg!L%;(Fr*eFea1`N0j?WSvNZ8ErkAw#iHgJ5La5P~(VSC@hL<}ZE z#RU!$9zwYJ8jk_OX2MMzZzX&i;RcR35gtm|#qkEh!w6S#{5s(n!WA69OgNTs8L+*r z?=m88CnBE!Y+=Z2xk(m;y9AFOiQ}z=pC;VE@g~A^2)j7mK=|K;t2ln0a1P-Lj$bA`7xlvfoLEN0 zGlcUwUQGB|!r2@zB%Dh)gX8B3KSwx~<2i(%C!EOflZ5Ft!WlEi(+KAgHgG(duzem8 zdQMCrVm@IN$78tw;pQtm1_nI37xPAz>HCQG^Q!S8*IkxR7uK$6>(s ztRf=HIMIa!77@qfGa0y`p z$Hynr`d>jUq)+M-X01xPjxLgkLA@ z;y8-%8-%MkjwHN}a0SQqFe2V0qKp$=2){)*pJPA5ZxhbuSWUQ+a0bU$(}C9$PUZL_ z;SGcnIX+AH9l~bd+b$$K4n1sh{AepU)tovpdB4$>|5ldDwtr_CPxdFKCaR?U==@7% zWwcGUIW=o1u%dhW+lOQRo_o(Hq4BSK$DHtNsz0WawYLs>NNBvd0_ri?} z0*s0IDm(@ocZ61EsfM{E)3TnFd@#L>svsfiyHQ%z+y|Yp`*!{6Z`)sJ%=e*+RH_<7 zCA*LsHN9eEmMW#R&}h~``KsXFRCH~AC?!`7UaB4Ig3JMU9b~3T zCfI7Jsd#spD#fwJs7K`}u;`!xy;EpR1k^%@t#li=x7N&CMp-swEq@oCSh}2xN$T?k z)n(283fPl#zt})`VXNbpnmClFyaqJBKPhGz6a4K}sGZnGu%9VzAPHD<`G9PR+gqZt zL{hd@)mB(wfU2ZnE=$ceXhJC$O1T}j$2&sh)O3bj1+6#c_CxMPdl#YHjzQ7i%~TD$ zJnR58AosD$y;SF(D`;(-o8ydKW>=zNGU_YH!kd zwxt)Gp77E)qqIpyZS#Xr(H#^0h{^+XrWhfGKC!+wR%Wuw*hiqOG+D|_<(gt??{;0dQDdwem!@PF_xp`ZX zR0y0C#}y^vsEX^zzEwx_1~z(PRAhsqBZG8|f{x3YJ60byA=u8qHm6pX&R&|>&EDYw zlge?>(NNH&8`xmWJUq-bLudCXa$V>$fIl?2ADY|`&3M32)!X8C<$Y<3KQu3Bzt0Mi zM+~cMZM#6_U4VbK&FV(}@q)!?w5YNm;mp^H@upW5uT=oAb>EqliYtIpY+u}ejj zs&`n=2l~ZbQiebkm79rjkPqG+5}lZ49V2zlV>(Fo~~Q%!24>>-rg zpU5jI3DH8s1lz~a)Xh6o2JY;YpTOD5^YcNSRLjpp0g&N_ze? znk(~p+QOCTMP+7>GNPr1Xm)2>xH|3~HZ?8M-l~{XM_O*v_J$Xur@cH@Yjtci8bmFL z9xX&m51>K}bFZAC_*XrbCWC?}eW(xOj=}j>orInLjt9HgGeGIF+1OEb!ro`S!sH_y{>+*AFPa+iwMk+ zyE(9iB37??Zr?W`fe39 zswZ_gm8*3f-0Qcs3d>`S0r3)zvYVO%AomHE>wdEwi9n*NBWWsK#d0S1@0TKL_v;yq z0Bo(6<1gDCf2qi|)Kpfnos$iApZCNyhn9e9>ioi;29>kKI0(Iqa12%Yo>bJPdHSAc z$?v3rocjHjaO$}L8fEX7yGPj)ybgeEpOl5dOMzCSFM!_*Au#k$$av`r&$5gu5$cS0 zn0-p5Jrt&p0nMmI>g?AWb8-r_qJ9-j;==(I)@FI?Ct8Y)La<}fQekqzAa9q;mgSJ; zi!39x69a_ABrlZNuX%B_GIkBUY3zncQ!JtI*K;2$;}wZzV%{{i_OW2Lb*dk`=b=vaENHVi15S`l zG=oTx^SG?c<1(V95|b=T`boA~lwIYKC0fc*WXS}QZ53rJJhB~$mtsup_(M_Z%6C|Y zhYjOxG$(nsGkhyZ?Y4JByGb2_fd#;I%5(V^AEMTk@?h~XVtmm*QxP`K*ufvNoQF;Q ztKdWZQx64|Fj>4IXCT-bpe z5i(^rw$zU0#%6G^hNV6d7F{Qo{-(IIYKQWCZxSs#IgCeca7PtD0fC%J)DxX*X(g#? zdMB%V@!v?AmnL~_H*irJjZ2_dPT;&j*Hi{jF0 zFZOk2Z@)C2Bl$lCd-y8bn*OJarN+vECGFTZ}n; zlrA^sB6WxF#|7=7JTNiXGw$ws&xZzqv|Sto1LW};+<`A$*Ri;eT0TI&k@WudVjq#LRe5RO=J1*vB<~FUYvpd(lQM)t{LVPkWlgS4-L#$dRUw3p!-F;wyq*V`m0q`oo(x9zMg z=BnXH!jfLlT(*&om=P9Hrf9B&HnXC+Le{MPh&?@{cjr}Hg~YxxsLt$Bu}7zbt3P{( z)y{|<;qJBu$V*?`prtW7phVt5H466B9`OuRqNPhcS=i&zcEyhm2L}Je-%oZTf4}CW zfyTEA)ET#I70~v7pQN2a9*OCS?!*AW+B|drDDAoDow0wuBae9Z*|R2vpOqVx)2hpd z?Rz{_J?mZ8^mxdlFK&VH*!P)mBBY@~g~*G9YbWw!vlMj9pu*{o@2J0u#32-Nd60u0 z8~+_D%*{Je;m2A(j2ri<)b|JWKvwTgN$LDdw0IxO&+2Da_V;Z%3ZsOH`>>^;D?3t~ zjn+c#^dI;ZZa8HnDOv4~Fi-_9X~-V|R6dx5=*rIKxNLdQ@_=R1B-?`XX;4&mK=AII`1}Y)|g=N|yOVl-kgnz4S!S&QobD zKi{z@RoQ0)`{W7pT{+5IfhPDrLx~`oc`Y@n_ju%IZ!&Oak;>XUawg81lHU=;ZFJl! z7WJfU{B$)#O#3)$^SQ6@EiF+Mdx1jWQea9ie`!!z+V)Zx+0Z0w{Dt{%lB2lFVz?B$t#@7u<6X^hfDodrKo{Dk6Jns6BEkzoQrytMmbkEe_oSvg7>5|er!X8Kv( z?)%Imkq9k&_xRAdz^Wk^i==n%`D)oXMKB*>sDaMWX>YZ4XL zR3Z`s8J5al2cE6!~tyejglWV`Wa1T$2BAtd?byjkn=J(m^*#`Ua zn>vY4{?xLK8!?1Rj3o#|43uV2o-t9yt)>tpS{nMf0cU`$_wfo9(CSEd0*`HbDG2m% zGW|HB?;~zEGE?z@WSpFgecwJ2qh%dm+EN@wF0)G{2GmJnH|*ZT5`bFW|FHuFG~NI#R>a)Y_Zd14kSs8#e zOwp*#@9c)_*C~ z=cYpJhuokkf79|`pT^ozuqRR82P&~KEs@8@pLolj2#~QOFUl^_9oXv`-PE+Kn40QiwJPg0*^2h!QOghah%l zYDm)Ygm!q;bmsT(-sU5AzQPa1JZE(-=Z(hO-HKa=VJH+*?^dbU(@z8svD6g9bTYRJ z`eE+1O4Q(9Gz?Tb&1Ea`w%i%AX9;`t-%*3JXdQC;)RWIs9EC;+!^K^MT1L3mD7P%` zAiLLYVm)(o34=UMq5B7^`G7&y_UUEK_w)J8^$R#>?1Qh}Vs0J^S!$}@XHVt~NM3zM zFH9D5`%w^vQeZh!jEU}=YYP?q6LG-$;sIrT+0{XwUlw%Y^9#RU*z`P`|5VttZqQJW z&|~XApX!!RZ=dSwUi3_LPrQ1I(K+1chWA<8+##WF-maLk!&f%tW27|Iy*9U>U7YG( zz^4^(^`$<1?pd)O^9g1KOfgIF*tWNA_kK+do@j1zM9;w!pJI?t&q!t?A?*j5#jgg!1qs_f{^uQf`?U5BeX|Hd~wom=?a``t??Wmc2s=e}7`&2ury(j+XOzVx}lm2F+EiLKyUnklI ztka${|81Uq1wwzBXZ6E*V6Hb%w8-=9_SH1cMvox-oqaQ3rg?UwGSB{06SslpS^M3% zE~CZsuk-9rH6!sB z9G_bpm(F#>3qyW5aKz@nK{L!q%rLRf@yB0h=zgWEEzJXfG?S2E`%IGTXiRpTft7bZ zfiW(tY1?m!zc&A!Gp8WgzBUhl(C;z4owFinS|3q(ysLmCX@6I%MCWI}2YB)uF8HD+HD}gN#4h=# zxva;$u$cUXhnhED5iCq3Wn^K(4paaJ^X1XjVLL`!BpbUx`$Vy4#D$_RY2P-~ z1gA7}r{E&#hB(UUmNO? zN|TN%Qtn90@JJavQW?_wTbaEeG{)qivLuI6&>d;no`PnNRJN44m3>;!qj$(V$|d{6 z*_g}c-sOy~e2KiJTzlgSgN}uUIQf2^Ue`N+Fwi`(9IuA3zu2G@zV?(-I8`i+PYWI? zG}z@&3-DTA8ivA`HKr%{cLnoRDl9;%0f$XR2j)+=Wgf5<{Grc#e1>ke#=qbHk6#(| zLjSaXX7IttZnUar?H+dcTq7E?b|i}^>fU?rdZi^k?v|u25!`^!4B&>ab~nT~aW`1; zAqSMy?_x`f!p-u2V0dZ!7X=ZgebL~_|03^6{Gu_vh zZ+D@O1QJUB_9KA~2Rt7M40#hD32d0cZ<4+54Ivu_>ABk;I~@QG14D2ANMN?J{Ud?< zPx@lX3@q`u&4+&=@al^OyZnIwdEwFt8cy1l#q@PRiV@*H(&+gtV4^Ww>T?4EbT5Pp zShO9vCi(p`xHS3O02-Fpu5&#a3w;=nV$9`|X5~%vL}RY>4GAbCqug(}j~{&*kX#%d zBYzoy>l|quY{8q(`A^bIZM+tCSJ?hZ08!F2*9~lS@jdocRrK0g3u&*ME(HnF6S%g| z=>iW8!M%R^Zah42LyHG81)%#*8GHmCss})1r4mtw{_KY@<<1v_27CBP?(7#9@jIhJ zyz|DZjJb*Y)_x8Wnh)|JYGav>DE9?^T2lK3eu_iBz~8b`zQ7MJr!-Krj$>R^XSr|h zyZpd|>dznLpvu}|lY{CkqU^G!7uw^M(}RLK>#r~4FS|&lcUu+-brpmRuno<)@)hMD z$zsuqd)Spr`-gtPydHax!xIpynpD(C7xwhl0sV=nkU5jxU>}Wd5N(Q zlAeBc-`i6^=Wa$nFW5ug+)XdVv(>5rnC-g5Q2G0qR&2XrVBbfDoBN!5=;r>hEY`fZ zk6#9CqAmI=Rk6_LDD?r{w#8$nxv@250+qeKjfg@N4WL z>1rHpI`EyZ=iYr@D5-eiRu#Cek1L7l?Y^$BBNgLF1>KlK#iLN+jPCU!D=6t>0(Ef| zuIsN;CY}O)uG5V+UDt0h+R$L-y1qR7F>P@9I}5aa?0iWl`~F6QYOFKhI$1>*>#2~W zu;nf)lYN23mT2i*t1L@3kZhVL+kRnBw6sH!bzj(Lh_dY$_P)eRtkuBsO0D`zkMEn% zR@=L*p)}-_~UWe2TG39kmo1(bU=g?4+=eREHgbR0KNII?YK@{x^p5N`9n z{|09OTvjIXhA%f-k-G0dho!gQfxaC=E5T=(7@NAn%Xo8iS@R8noK)UZWQMxw9X92q z9#QgLzK>B#plX!`%#ua-_^-<4pMU|*=wp*u#Y+)A=o%jf4B98%bc=s?cCe>~o~wL4 zzskQY)P3!)m)0J|$k}L|h2q%4VUkvY!hN?-1Gv70S;~40Yrojfrx;z^f|9XA3T_z@ zzVc-%CJS>%Rr%Tl+OAJnjdAV@&5|D~guOHyxGST59^qQ$^8XHKZa);17|R6N+ECAB zEzy!cWG`#X)5w?4w)@hwkwq;H4|0DAV320vx(kD8{bZKDba3CPkGj7ExPLgG)nk0o zZ_1YdeAK^u?W$Xdr_Fs=Ye*1s_VK$TXb>IHaz1SZ7oibn(84+5(U`v;7BMm6xzE=(< z)yEFe)EfK<{~VwKjJwxr+dl^&TDtxl3tS%bkaDZsdt!U=uh6%D0I+^O{{UdZlE03n z`N#O;_jn^Me)x0^N_Qyt|M!1OYghimY{Bv#I;DnAr?7TKUzyL|Sw5tX`}*IELhkE- z6P`WS{|jDZ*Ozzi(tg!nNj7&}FMDDnzv`DA5r>RW>J87wK9(By{eL3zf|Zx>BnO{s zUS!X$2oLmJ^jDEyN2RP+1HHKDcdcl@=ubty`=Z|_t9Wq{yRxFMPPypsgxBO}K2)`b zU#HKM`6-Qekv#*Y++CDLwIzLlE{ZOgiZ0q{Yy#uyq9gKDi}6LYH0xJ`>dIa`sd9SL z%#uhuR~sG9Q*EU(|r=#;JGEP?NtOgr>jlLs~bJoENO|%8@@G zl*L$h`2dqW)P${%zN5}mr<k6t@K6FI_E8vp^QdihPL zau!LiX8O}jggjqCs5Y4AyXP!vjx5)#$jNgR$%T95_(U~UmTOhyR#Al6sn%MfN03M< z5`yEMg)Q!yD@c+H4)O>RC;hQb7Iar#=@E?a2ofhXD1z>~tK5QJYDan`iIm=6$6j2W zK6%jUGBf{%(j*1q7hTaMv4KODn(?yB56ML^Mjd(fA9+y5=ix+~2QykI%+Hj8+$s##J~Brxt;3MDuGOretB;1^Y9`tD|X4Cb!vV=+dw11|;Ml165tGfKP6oeqQ zth)5$)NQ|jM1Njc*ViAzp&1EmOOQAWIYWsP&i=|FPsn` z!gjwh%$|`y-Je7bLU|hAk5VTE)R*;noDU@JgRR`^z~K7O*D|>QB&ZMJJc@mVvT5gt ziv3n4ck!V5EJf#OQf91QMam%7LNKP@K#DLzwvc=j_(K;hy0n96WI%m3mDHvG0Wh+@ zg39UAb^`d+n_gqDy?VPnbQs39P9Jb#RT@2@E8?V}Or?j?cn`&KIzzfP4Kxg!Xw0Al zheQ|=xU%l|#adJtxF{p3M1DwB9+qUenG9(O&svg&2L!T&|1QF=Gj=NZo|&?B>A#J0 zywwP8NSfrNT22~}*gnBjhM(rUk{z!~Adr}#AHs=pl7@t)5in+%iBmG<+NU0;%3iHR zS^i)GZAVMe8+bcX0h3E>D_52x`G_);OIJ1n(Xa3PdJ09RHc`2^T#ACXs@xBWQ~?x` z;9)+;L2ji@wxBD-LD5w-_$y)bcsCDRjL)PA9Nv< zW?f{w+DcYAKa!JLRXOrOmzt^T@$hDx^6+7z91K1e^z%^^-4t-4>O$bYw(M-Pvuz!f&GIqf9nyqPM476Kop0VF$KsYG~T z&A88M@=qzvi=cz7e&lsMHDL@s#J*le3x_Tc8x*nwBR|>kVM-MWB|ElPBatdHl(;kT zxRb*%TXJw(KNaOD__AR>Ms)gABZ-q~J354!Z7 z$WC9vV_uhjg+MKjdp_^z?Nc$sk*~|RbYRGyu9$y_&~HX@1kEl?DqqsWk9hbU<8vRJ zqjD+*P_>PSRF_7}+3E>W^e4NCUcl#OAY{sWZjmo$*Iy7an>cFYHLL@lb8sa z5_dojULi*$jL@b10Mm^va#W>%3lQEy9gmzN0KqMZudyv}^s`6)8Hot;nKITHyqES% z;~mDmHke9jF66zxv1NXfQW`u3#8I=HaWn%NV;w$wRS3qhj^LwI5HCExyBg^~))}*x zwo_C-Rne0VaVj3C6wl(t1NQp9mN^FHV~$b*n=|2UlppU5*h{-CDxap5FILJYD&@0z z`H_3|sD8X7;Arcs?6q~KL9Ig({TK%Ur*q}`HNYtKen<&(U&Jr{egej%Uh;p4{l0FH zz49VvCwc=^pJ+wk@+Y(M6i{#TaEU)-%0VtTJkgYj?mk1zpn5$R?4QRweCn&Aj#3v= zni~-bmrHm0>Npaisgh*$B*Ul7 zG1TBtMJ5z9Wyb-JEge~3yrL9o3~$cZ(%||AVCnq>Dx4kSpY=gG5D z!1ZjJ9TCAPM#H_OF>K9S{&p$|Z`O}>hM(roC>-3gu_4?r*Bb9MQP8)fQuLLk#AB_|kF4j-#MJLopX;7-eW{7K`^Cs;NrSL7 zXEF~${yQ>!D+ULIN>hntE$@Yz-tUBc7+HLshcNBPLeYv(d9<4F#CK%r<$SU=Nb1S# z+S`ftV(KYO_A#faGw3PcbcOO1e7aJ33O`+~JQ+{B=t+mkU60!I#?z|^qp1NcaWrA_ zMknG4bK^Pu_a|I&7V^PIv#Ba%4{9;^1-DdhV&Ut&NKQV&vdK7YyP(M~)eEx{CDkxs!($cUzrY=SX*S zq>bdUMPeg)FT?*tvG3ORh?L_76Di&u8|8?jDV6#cV#Kf>8+zFf7c1vJz4Y;e)a&|) zk9+cSUlkI-*h)E#rWC&v-zgraK61eYe(Hl^J{R1lKJB$uu1$O1HWt1L)J{(r&r{?1 z6iUNsKFgE0y%bkg9jn<8V*eb{_+8kJFW*CnK~9Ft$xvtj`TMyPN~X)nJS4R30<(-W z8t+Syv<)A0lun2tlXCl^kyB|!>3f@OO5gP(xhZ|0K!ITg&>c2jt2Wt&FC78H0BMJY z$Nq9o*=n*!yH-x-Ac0PVhF-JiFmGnaFL(21h8$DU@p2s@4=8B|)CC(tI&*uw_Pu5B&h^>`#-j|cAFUif? z59DN%oO~iD+vH^XGyO=UR!(-vNu8V=kdxzb@`h0^F$=n-{*#2|5AT!VJ$xY|=_j#Y zHb(ZG<)fVcXpWI*8=ODn*+##N&o*YMW+F>^cZ}VipTD%nkxTT5{)R|#bL;9w^Wn{Ap~)s`V36KSVFHQ=}e!wr-L-UGLLkh+#nT7dtOYd5#4_y zSNhobxeSxiAuLY(yobeU6$al7T?nF26Avp8sUu}GV`I2cvD!a;z z>Y(&NCsefW?*3mE2EQ_8Vc0x@-Sd8;ozK=-7mo530_`1il&`FK8hMn~Q#4QSb5v5E z0**E-Pr*l3v~NXj_)%ZJgVh_4@?{olL_MF@F(cM1vpSBp=>hVrP8(BQ`bc?B*UOu6 zT4gYg*V{(1u&T)9R@8xh>fVXo2*}&+5dMtLZcwIn7plfK8k-~ZczqqQ$`<^hFBO9k zjhk3eRc||OL@5yHke)WEp7x)3!Zb`fQ)+l|K2jeet z^v7pCAAHZu7VrJm_~x8(P|#M;s?M|8GBs<#4?7H1$pw3FB$xW^aR#jLIrgO)AFD*z zoH0jzaR{|JM;<~(i_|J|0?Aj)?T3^Bj zZw^ymbF#6U<4iYBF!LD`wa_`z=nT06h?D` zSPXkc;Wv&?w*qRb0i`LY`_dnxIsYwtdCTB|+rH(^3Ymqhl+v7|s*9tsGyAEP7F?9V zi}PL2jHa^pFJ`}QiRqFK`7^z60SU{;(Q^>1+7r?tB{Sxg)}w6Vhy8U=pW+tdpov

N}4!>&JZpLy#HYs9REq#@jNrosYBJk9&q~ zlfHzPLr#7TXM2Y}yu_ara>~PuaZx#JhOMqu%mmcMDBfwu0Th$!&Ya z#h;%4CYoA?au#PVMC0?(?ApiSeJMa~_-jMoj_a?o#DA+RRHOGS1#RBCl2&+!obUA_ zOQ@a^Mscef5Pa0Y)9|Bao*IuP4rAM^Bh>m~?Az*4@5`L{}eq7pgQfG?U%L|xp zU`7wvONFo;90D-zMag?>LT@M08M<9p@fvXAe%&MpK0q%?eRx5MsyusAXpIzw><7tY)rdF@$;GR%en+=u9NXE^Un>pBX;6U+ope=6*8DaOfxv z+}-rAwg&%t*IDCt>X!86!#DQ*qwK3sj7bZSLG7~?&YXqhLgO;qq&+8;L5H8+e!b23)#|b zcMYyOf}z2GQ`0%fXmx5Tft{L{@vqf!HpOwp8t>8-Zs!ADn`>e>wi*2%MkZ+pTgV1& z@2-FQTXEo@S->W3Pu3mlPebK9dEhTyfPvpn8T6NROLi)8m$pC|^eueQ-v=mN`i2I5 zY|)p@t9G#8q%Y-Rq*=g**Y;6M`Rw7^6g9^0#@c9I5JX`IAHT7$9%fSQP`@YfYm(qX z!tzm|2fJ&Bull;3CGRNGz13H+=utZcZ@1oA>GDXjw&1Kw^8(FEFmNd!n6XbEVj-^n zem4AmA?a+L&&IfVb^3n39d~1S_&PXsM-S$3P1dJ_;f{?Zx7Z(PL4k@{|Bdb(--KokahI0=4Jfry(_*>wBtrmr{<+N+IS0xxi0D+`Wk+~9t&Ag;{)(I{8>fiaX$3n zCBVovwR^HWkG;REt5*%%w=1&Ws?QOq;qEm_yA;qFQhX0ipUvcrWu6v&oGL6u>;AK6u%1jtXYO=&4EuTy zk2vYKBkiLtB=0l9%waCl8HcDo0KK2yVrrb!e0Y2iMhYd+iS?wW#LLf70$wb3_X)HQzl{@OLmFd(RF(5Kc<8L!mL zeeR-$6uNzm1DAAd0&hqJ#HbA4oTj1<1!DR3mh^0 zC_^vwh)?#uCiRBB7Ygl4ku$|Uv zG-Bg1GH8ab+eWSu+ld>0yPcr@exY0$Zh{MWZFnKN>(JkAF`yaZYutu(Tf+?@@$)3! zf*r}M^VpKKU`rB%-Ha^1#cgD_Eie+Z0pFkO)RlaJEnsr|XY)qk?%|oRH-cBpv5bdjX(H6H%M68_O-d<_s=llB!N^puX7=)hvf>+9lxDA4C@Y`6ZXqd0y(J4({NY9efJe3wz%6^D34r8T^rr*5 z@Cx@@+FVKTJLml5On57kWqmp%T-M=Jq}1h8B-eAprwHW&fA7b>{xpE{_^0$=<^ARi z-i~&(eEOmKscCHEXLk-wQ@mhyKP0*zQt|LtFBsBTc)i6djXhldKsQMIjTg?dPwOM8 zG#b8qp{!W(f~*`~kh9?h1D8|0pvcNzkYyDwq^7Zi&j)nj6$)NhmcepA9}+I>K%3nU zN?D&Glp`;k`8+_6Ji!ZShvti!zCL%{rFF)>{s_zcBK9_38WAC@!Go*@52;ib5kY_T z5PuEvi+NogKBZ9c-4_XPMR>!#!4R;t?Xio6cq0HQLirNI~o`8F47jjx+?7Uz>C zi1a>Vy2IVHEzFE|iMjO~%JV_(Z=+{?G>n)3QIIFQ@1e{c4CgwFjs2&!*+i zhaf6>z6s)A4>3ho2LI(q($(zIm6fOH%8+J}u4L_GS(*Ha5oB9E%J>IHcPq;H|ACkr zrrJcGTTzR0OB7|>?6MW!UhSDB)7(Auvo2zw7X%dkZY+|KfcI#x4OFz1az5C@z{~=RGX)SG^ zJ2hRWuuETB{1R8pj348SDYbsc?mCj~XFDpxlonQVBs(ZIWLA6Ss^az$gnhHV>do$Me5u{WKCsd19Qg`tJkw|jBO9#ouu)f<2X~}6bBv9nWpf68 z{jiC$&uKY(w{sheL`&oUv_EErTvLiO&)9f({FZ7M{lVAF_sGOiT4zY@M3!=VNM|2o zwHXRkj@xWoeFibd@#z6>%2o~HkK;Wfy+ZMaW>4Z1>3A6BjD0>%{+l(>4kqTlAxPrMYY=+D1Zf2v1+F|r!mp-TFj z*3$@F3HA8Zi9~#D-waK)X(-^fjy^Ob5@UQbgwJ(zYQi2TbDYsrkvO9}P|NX)J9w}1 z_HFEiZ!_eH=D*CBZ0WgROpO62#^B%kPu%}E6J+oSw)KSZ|Jei?``rLk{m6I8|7$|* z$IgD&B}ASOljD!&{f1U%k8=8ahzUOM`-q@9F}FlV#wKR@KI(S&Z-$hSgRP6;V0@|s z*S7M`Q~EB;(|1Hm|9Xq9{l43nY)?;BqOd$sE;m+?qTz2*Pu+7bpDR^#LkPWSNL4gxRo0#V}~Gv(R}0^PMekEbNZ$)tf_CwZSZ=WTI(8P6N7xPT0p0ujrV zuiXZ~K}p{AR!4x_2}8($U@M}*B(U92vky-7_PuzDDsRD!bZS*a&;`dO7ODFz%=$?PT_ z?-_E?&V5&8YHU2cn*YzWrv9B`=`1yAA;?B(a^KE`Ej4&Fm%|84W z>PEC;M7XETW*VAZ=kuXS)22xrnsuI`Nwjp=tL%%PyV)B&W84=DtIsxCz3|s>@hthj z3Psw8yZKya7F9KRR1q!x_=-G(&>zv4cbdxN)Z|efiY)g;N@CJ`DC5+wv5(=}<3#O} zM?29{k)qvzVwmsSXVPYmcKTa`dc|}SlkOw!^3<0os&4hDCR&Pm#V%WJzE!tsv%Iw} zG{zw-T+vNp(&aT|d3C@7Gdye)wfoA2;6I|JdJ>GC5_QW`r-ziK#wGvpNS1k~o7%K( zMZQ;P=S0$eawsgy)W!s`*Uvnx4&6*Sb{pnTOU-8}f~~|Pl5p>n3{)lkX8y1D8)&XCI#J>a2JvYwIw+TCK z@t^1YMu)uI&*}duy$roI#(cY@Q~-+}dJ~?n>lMRdkb_lqKuVhVEQZcbP{w(UOJ4u!Y~R z@$SIB`t^48eht$#8@;#V7U=e7qkX<+f%)#z;LxOjUs)Z&+x6Jqob$yBz@Oq<@?tHe z6E!MF$YjV_y-&zn4EYL#q#Cdd!qL$5wH*uF*fwX#V91^8j)m5prS)V5AhY0+sYwOubdJlAi(W{=MT7WCWXfBvFs-@H(IU57F9+HZ9D z`02O%X|21f1MzkM9n)hp#sIiFS$Lcsd?D8n@V4O)- z;(s|JCE?E);jiyl;_G!KS(rihYckXenEm(JmfyTkBB^w0f@*G0t8+T-b0WS2mo)I_ zF{RV{tH(HuMP+l)vD&24=?NYfe=#o_lGR=d*pN$Id!`ogJ!9TcY(h$>w4DnYg-wD& zK7N#CUW!!Tb(Fn$DM~Y#>SMDnbyc?>VV_^>>fNeZz<$0IAH52(hrbQ`f&6C$s}ZI& zxwQYb<1iQhn|&0%L;ACOTe{jSJkgp@(OPYj2bl{ZH?5_Wo`Fz@EcZ-9Vp1W>IJM6V z=QB;QI25Wp%88byD9Rg9N||Zw@@c->qrDM%?x}|4r2bqxz5}H{SzRV-cX_lEE#0^q zX2i~lxMel1^QdluIs^LEk%Qj}#DpgStFv9SfCf{ZG-5V1giLJXfBhl3jV-;Lu5RE9 zY$pDA1RNUx$6_g+*%xvFuh3A1O=-yTER~HOn~0Wv8^a}OkU}y;lx*@y5-sf?!ydoV zUp?&xE4z~NkKT%YMQ=rK%Nljfj|Q(G9r!>s~ZJYB2W!gqYwyb&!WE6VjKb#pNL%7TcnP~^FTndGDp zuH9SKUMXtVd$bcRonI`QZa^u7N4piF9_1!v%~BR55|gS2q7YsWn6b%6v{i}f4IcGG zOG_5B{6D+dO&(=&P>`o6Gn2B(q)T2ot3^d-k0PR_VTzrJDC1ct`Yu(i||6tB*QFc9! z?>MCYk&h!`kkz5-gC|R=HO$L0aDQ9fId3dv4xbMj@LnMP51L;!9Ux%!K7<9<(ss}y zpST>sAEx4!fm5^hGyb}5E0S|z_{&vDQCiMhFXz3A#DYVZt}p@TQx%f(4GB1-uYL-1La-lDEcpc( z^hqRS2|IJWzhc9oD`W#+9KJ~+`29p+vVr>oCM*H1xWgL%b)Lzo$&&?NfuQ$J60_`} z-FnU!$9VpPuImS}LlCoi z|B=Mc`IfC@CrOlTr>-kI2t$LNnqs7+L1v!^f~#f|iNEie(*^f;R+ib;d&G1oBP)}z z$-7@powy@f^u;4^xRZT+-uR%Ox8A&T|8}HRMG!+c} zg*}s$9d1DT@q`oFk(L!3eE0Il)n0?-UEY4$cJXBur&9q8i_^|v3AFq%Z+$Xb_ujCHH)#Xa=FC1uB zdfWwXt>m61Z{hAzk(HNeMP6Q}c^Uau`EcHAHeS3wTrUw0r^g37*z0;7-BQx&L|G?j?_pJeS?mY^qXYijqGr z`C6e-QGx08S}Ispn3$BNlvYdriAoL2jM!*&~48 z2~bkt$%_HXP2@yl^R%p7yNAb}{IY?3_HC_bgdWKwC+x&*+S7;u{#5m?twoSt&c-nUIyp6 zLXH=){6OXU|E+t{;2t@_!OjLMH^4mugA_S2$v?}L0BP@Cl_)Mhyf zwONir<1B~d6TXqW!Z(s%_=d|kR_?|uM=i~AblAsRa?EnD%Rye>{MRKp69bof@UZoX zz;?dRl4ZC*~#NvujC6>(&QKCen=bb6Hzb@+N|KBs@a)Nv#yBwm73g?-j)o|L0h^`Ibsll=XM{164m;#%bGV6z5~S-vS=pT(OpUZf9I)j!by{5 z+n_&5`1MtGB2)?0XGOGH`v2|o1L++$Gwgp+NBajZ$7q`B=;$_eRE$plz{_{pud<6_ zxV3ooV>YXY5*E4VT(^YruG-|?Y9toWCLg?nr?DyR_K$COAjS2J?=iN%htk*QrjOZ& zJ(S))xIWTD86HAHfvd;imdAld&*_s^`)~C-#v*$vlRNqy>y|LqRsKxvIku#ya;KB7 z5uW+17Bc*#K|4{klNNq*n95xr1HC$f6vUV)wmG1CK; z5*@t|N!r8^v8k^?rYLr8SAdt>8jRqjLdc1EC!(uMC3*?OaP7V6E7Q?Sk>rsxF2trz zAas1nliKfMTcZ(vi*7rS)o_Vk1~ES)`oszyehbnLNO-l(HDAnCkBCpPtqdnn&5rMD6?Ue)19T-}T$f@=g{2P0gw z4yPi?vQs=xCpI;&mhen<+JP{gKILI$z3MYu2wF#7yOn2t_l^=WWYo`WDJ4AmCX zMd|QiNQ;*6#HZc@A;4D%_$VWMv<^>XH3pmn9|bX#nAeOr9X%RJi4vXIV%3(rIeeLb zk2b<5>hMHXzqp&(qY#>Q9W4#rVd7AwJSI&f+^Vpg`h^Jf~%0W=KNT?j}m@LcFp>mP5OhOTx z+LtWD4GTP&4h%h*3Uz2AtAEcGR^~xQx004QxJz{GLZlT-Y~oXEAO!4eU}qY!OLc4_ zt7UW9gMCptWjazRq)R1I2_Y>YB!nf6>hR#k2)0EBBeFVH8d?T1Q%$eX(YGLJvqUF0 zwKGR&zWq=-RXSWY!JWEGT`Q6525A+S+2HbMp;_48_M)}d7-9h1<+roJqoqg-r>O$qGUq9Ya(V(y$Mrd+3l ztbgd|YXU4Ei1ilDkn70$+~6C01I}plz@Hrv+BbG?D2*9PjE4F_6pPoX2PoaUr0W1x1hC{zRyshr zDIgPM0>Zw)D4%zBhX#+pnN~hTRkz$oZmhKBpz>?+NN%KScNT|L>+fJA2cmGY#pOG9 zu-OBZUU%k*%hh*8S;iLmZ}p|&C1&3YK<-@5LU=@0?~<%EI;MayvgYZmL{_iAgB=;D zBsgD!zH)}rf9fjmCWNC~^LkWphEKjT79VPrU0G>I#+n-uwB46JAJ zkXca}(uDl6x4nuSZ4@0fWT+B+*!m==D0bgM%G3@g4&Gc<8FO{gtc<)Zl;s$drMFRb z+MvtbbNf8x=?!9)0 zDXMsBP%)8bQ`_?xE8E7_3`TQAZ=tx}&79#g+V$=%em)E5JAKfy(v91jlrB$vM)Q+w zjgk#UPRZ3Mxf-QVqZESTSh+XIvErXsebKSM<+`wXSuEBgwz?{}H{P?L`>58v{0#al zx1YKP#?XO{9D<6H2M5SlGK3MmZKGF}=eK&JpwR3udJRYCTV&wNH}j>uZ{V9`;Byw6 z8PhHp82cI+k6qYpjB|S7M_2q;x!1r(Ghar6f$u8=AFWt-mwYfoYaFNybig;kNb#&y zGFsLSW-qVLXq|Vt;0>Z@m76fkFN$saiKS0d!rAc{rCaOp2u+qoo*qdP05pdLfo;f0ktoRc`9ILnxbnt56oO zN7@?%?#^uYIHg-;7*5kA2$9uKM~IH53;~?-{>EX7&F8=eY``$3f4kkc2%HY}5+{oF z8LEUv(&wZ@8i(>3~t{K*|XOw2|joE zvjf*ElYRU@WWBCa`UY;2+R{neqTwv{$u zXbAt1ow*JgI(1_GhAT1mZWiCm9MKOSFb^sJJ2?Q~$&JXyem`ejvCmo_jl=6*+Phz| zE?m2UimaFJ!7OOGEf*F$s(oYRt6^QRZ%|167ROHC7#4J+ z(u*A$uJrNoi)R;yD~TQN01jpWLvFu^CB`emu~w}<4%5NG1=PLLyl_F;NBqQAPI|2Tp8YjnbA+chjc+pgh>xnqfW1+&vmj!WBO zTxrIY27aXl7eWEvn)c#$?&4^XUS|Au3(1?SFobh|5?fc z9ggDg8Ii$6*T@GQ{uT%1!7)~RnyVtkb&1wv1g@EZHeh8^;iY8y0=?$h^lJQT2$c{r((BgE$DU!%|XpD_Gf}}y^p7w z#f?xRoevBX<0o!E21OW^QTNjbP*bSKp!_-Fu&ByBc_*wiVIDGDeS;9CgXsrk&Z zUGo{y)&3H_5n{-J*9t$uM@>4C&ck#XrxKg`+hjt2SfE!Bx&|JkgA-Y;1tYnkxt(Q( z`3@sYM{fb5uScMR&!TSN=sg8`l@UEkM<=p+|76*5L~m#AL^MQ=GLkG99jIT%uwN6E zcqMMV@=Fhvbc52~nHwYH14AY_y$bo&El>1fgRH)oCRtGv!0Iuw+I3bUtBW*N43NND zYh-oktRN$lCThH>S>UZR@}}#&L{`JoD3rok=aEYH4w*=EZL)bfuAn9jfQ~%QrrHB{ga870$9i6S4LS%IrIH?$k zwHwgWb+6^<@X<&Mlkmi+It0924_Ie}&(-0HtoCllf<`H!>;G0eIUyM%QAkq##Yd>N z}Flc z*GApX5Fg)omUN>M(PJoQv*A~=fO}HvPOv?Eqtchyu%ar~=UBaHP=B~l33k{%6b()` z`ru00A7|klvGLXb8h$0I=a*mw(GHs(ovvPfF%T0CD3R5=3GBu(N@!}lAfw20fl&uM ze$R_@b<+ewi(Spp%54rK+Grh($m+rgyncqTigAjsPs)d^dJI)kX0Ii@hX&Js#_af zU`s(-Z(S7gY~7t~a>&K24$&y7#+v)(5*`EuGrd2JG_+C3_~EVO zQgG29dQ!(+PZ8cN1vK8FF97!6$q1LIFIn%gO5ZMi@nY~Y*`-cey`gK|UN&v4a$UFT zFP6l$?#8~TIMUZR-6>tz#<2*9@9IQAd_NWeF;6@R^%0P;Y&r_5Uy*6<}Ri9*UWGv}Ik&*v}@aV|#N;lhP39JIbB75>i zoWr9TQD~*+27LY*TR0v=7Zk{w7?bCK`oJ( zAM)KwQ9$!Vh=QRLFo2F*%F-q%Q#w=$ zFS211m76;rkY+afu)I{I`;9Fy(O;U|Ou6sSn-~AbH`9Wi9kvQ%k=1i$RQyC%hu+8< zC!#$#Cdep$`p&R6Id8$a`WKdhVpM()N2|0|8PQJZXhc@OOycDfcI_E5QGb5QKeMz$ zxTs@&ByYND$e23!2sZ!mbyV4ZWG;s?rb9D_Q)gdb2OSFDKKYO}IdHSk`2vedRj%{5 zR7sB>JReDOuwg#FRV+7E>FbP^*$*OvL-;D$q_3xm?0bv``xAtRvQK1n|PL_rRB zNZ!H=7JTqWG?KKZ0K}$V0~z?^aSmT;s|8Lkz0kvTe4?vIM@fg+KyTxaI^mG+U;Udy z;@A_Dl!QLf91dT5i=@}ssr9kK$~4r&7QnmXs@Ng0`Plv-2lZsOo0KljM3SMnJNJ8} z-VToK6pq%^*EX8$SY2-0gWNi*GiQ{J2A;*S%U8BUbR+J^=jrfCzaZ~CiatqjZwV$8 zG$7&u%4~_kWt}w1w@YhR-F7JC6NfrN^uHl&5q9X>cWF)IznJjzGgq5%w+?WFw11b@ zCjW~G{{h0vq_=+HcQyy$NtEY^8qpFIrYXU;6mk!R>C+!<6v9t`(# zXdJk+nJt{G4Dgv%#h#n2#QWUY%)Xqg+&X9;8DXqM(Eenow*{Nv7fy!XyjK{0{}dRW zEe$V)v8S|7K^Wc_qA>i?MsB#zth?DqQ*is9Lneof$>lb;2%B&J+}n;(UCBctm9ua% zq zEmbGSv)#Dtx=CCP!j#GQQGW*JM<^SwQ@US+&%9Nis$w0dD}96FwA)p5KI+PDqU*ud zIp?a+NOc}&pC1&ORtttasntWwBf5F>_E)=bsB`_<8B%o}q3!AFMJsH_uDMyc$tQC*yZL4%qerF;_|&<+?OmTBl4!=m zu^s;L+^qB>w(o9Ml6-blvG5Ef>7E7B$~bVTw{$@Rh9)GNL}YJ8rA*iEJ;FavUW;IX z`F7CYPo2+wfTvTE14+w(OQOW4PPvwOGL)W4^#Wpugh)qPq!Hqn4v~qZAY_>!h)w-7 zj)l%p`aAifj?3VyrUomyidv+}uJ2I+=I4i6E(*8ejhUh@WLl+d2OPOeG+V!b>3c9? zGvMXNF@8b@DV4ZtsnJ_qY&$@cVXeEdmyjHkrqJyXh^a0W($^P`=i(`C#OtKE2XI1M z&OMPhB?|FNt4KQG#*a4MqD1(|b-Z;L6>;iLj2Q;qqV(-bZ|hAM63pN4n}m&2gC?`t zwELlm<7$&!?fg#6$R3vsUY4u>-OnqkZsC|KJ7GV|$@5SK7lem;2A@AdW z-7UAJu)156xEr)tubWn93~$CH*yG}kW$Nmb1UxZn0`BeH!jLmpqbqDc@H$3UC7DX1k9`LFG*ju{A(+phs3U%4Et$%Y9^qu7A?TyvQ=t5QijBTa z85m~MU_Gb2ooDbrz1ZWoDUrU{Y(LkDeLq#P`MZu+M-viN5$2hRMgDF*3`jW`ky8;PJ%|ENiAR+!%HduA=9GQRJxfXKkk8@OVc)aXS#U~mH4B{$ zr!+RQDYKO!&JC1IPI@PZ04?{6#ch?AItI)1XGZhqj2gM3Qgj{awU;1a9=Kk*F+cyC@1 z8bSCT1Y1FtAYeBCL=y-x1vwyK+8*0{e7x)#$Ed?k^yROH4!<}G)9%2Z>1qxf{|V;n z37fC~KD_M5qKHL-*!M1G>u3;1oenIf<#yQh^`VeoZpT{dxtW0V3xA8Q{D9Sai`m3oBPkEvm;r`b`{xCvm&>K$9pqqMhs za8XU+KH1fRg8rm{Dn+HO9@PY!WrNbcfc-WH+1j5evNa7zl)c+5sUqEog)nforq&v8cP zEya~|66chm%g7MVUE5r3!$`%Ps~}Jirq;$=S?9URl-@uXANckjSHMi1CAa&u#ySZn z^ydmlJHwXFRU(`qxI*ISH)$w-@#4;*({xCx!(qbe(XVrM>po5l_(Y_6#9=26WTNl> z6#=4RQYoZim_(j#Ywyb|+uEzF40XcYF9U}DG(l+nk*;|oQ)A6Xa$bK6*>nR=xi8R~ zQ(uEdoX7(}=Gw>@e;8vZ`@vUfEq+pXY4k1latM2No-)GuONON=sS3Xc!OH;r5vSwZ z{e`m=ICBL&OTP*0={IRDerv2B;g|l#xBDA1^`f1l+)K+az9GvtgN+;G3Ym=4Nvq4Z zo}uQ*=%Q5DjWl$e4zsl4bhv&6rE*26rS%hRshcvYlF!fwJ~`!Y8oedGv_CnZ$a*G$ zwr2k&ffe43t^?!tU3V*w_-w9XBjziEgEC~_8AXHRsjZPLXTH*NaycJBtB*%U(IbN_ zq!~vvbnUJbESX?w8hy5vW+lnj^w;$D>DJaF>x=QE_=R|OWWLh3@6U^2xIQe{wG4xD zH_dSl-;c=~WMkY9tk*qwHeV9QM%|;NICmad9_QE|7Mqgscb^`1e1NEu2-SB#9Gf_O zw*`cNkBHzF9GAvmzjIHG_2gUUI?;d+)1=AUaVLZ_P(hLr;ChY4C4bbLllOy+_L|(k z1mqM~NZ^-;;%lt$gN})MC;G*NNzhPZEd|97oQ_>Lyg2>U2`AQ$sj(J+#zIgc|F$I13UcdzNx?X; zu?FD`w=po*`rO?7jySxDLya{Y^y@hX@g@q6mj#EjjI;dy=DAKcaF#lp;YmJ$+>NB+ zo*L_Jkmy;?@UO&qIA{C})Chj|I?h7)*~>WV#m@%8O1f98vG&7R6hDi=Ss#8DinG4_ z%-IE}{rG7ooZ0x96=$g85NOAyM(g={Ok{$4dQ2KaTd+be#Y5goXJ;l=_@Dn zWld~cj*sc#n?ppPZ(VW%eLstT2L*%~oRT9c^aW8)$OFOQ3g+MOq$7PtlaAT)m`g|6 zfIKM*-dI+$D+}N0!{&{7IjCN(FR-ciDIp8&uXylfm;B#7TgB17-Ge9dq4~|0$%0_X z7ssr{;+QT4>ZCxrCJ-bAf}}tW1RSm%%`Iu-=r@_w-G>gWxrTu98pWYb96UHUR;u<# zj#rhzMM$s9<7^&rs1S!TaVWt7-06=T(YSL~aPBN|NEZjYIK<)L<#rNy(370qA`Z=h zuTdQ8Ozgy6A=t{qp+p?=#32UFL>qy<}}Yxg!q;_Raz& z#3|5*d+4JHfrI?_+Sd_-|K7ay;+QV4OXPKyye^a1Ir6#!*Sz>wwnQ)cpehe75ane9 zS63zuCE}2W1JsiD8=Yvfz!%3jUz9imiGxKPnm2NGgZqP?g9CDmEn%UEz=Wup$h!ck>aR|aeaO2DBhhJF_Pg#$P!_PQW*+s6K{SWh) z6Nfr+@ZcbF4WZPR*T?P32|OG(ooWagW3{w&fMr*6cYNyz1U##yMqOPAA}<%7eJ&E*ZERHPeX~|3=D_GL4d@Q7SY_jz;lllsr)Q2wm*zT28hu ze)(WD9hb>tzC0G=h`wmNIE=+%S5#cP$NYz11htUeh?|e31d+H%93B#f1vqT4ed|^{ zYhWz+A*IiKo8vM9{vfOO;DnoBaeFjQzV~a>{bwf(@LKbFkg~ zz~#XOW&8@{N^ufg;E7`|Kcs}-@$Tn`;?E<59aWD9Z=3l2()=;#B-VmhV_o&=7xBEf zwqJhZx34DOWk<*KC}`A2qfcK9CPy_f;Lnae{pgI1=+lSuze9jY_-Y0V&QaDVzp~eI zlvo@N=HR)^ugrHL-o*Wtja;aVQ_ivF3zgwGyi3G$OkJo9?vC+4KAdtA|1aZv6-)7r zl`cuQoMX|8l!4AId3DFu2qOT6mzH^dwkG1K%<5RR^>{tsj(uYOP&?>dt*0!;Z7H708M1I51@&)1i=N?Y$I!?&PrtU;{Hg>q$2;b zjoa${9pI%$9J=*c#Ov=Nllh~MPxrHViqe-?TEnbm0j+)R8y@JqwVQ)qAY^FY+#U_h_Q7%qC$}48kOO(FK)9j`tcyaZy2cuwlw--e&b<0(5d1a`G z(>%lnX(kU6i|}h9sN7alrifR)IYDHq5jkv|sh5E$}1t*};<8zygUQTZyC~UBfN;f2ST#cN z(g-^b1h8XExhn!6hn>>(KU8?_M9~hPNv?lvok^iD+Ky_f(xPam-Wp}0K&Y{f2Ok-F z8n;_F;2bQ*kP9-)h3ax$)*ZZE7qnh27;Y9kaf>L3M>_Jy9Zl;=4e_|iC}i`&8j;Nw zWV5A``7h(y9J5TZrORx-`?fcm?K#TnYl>nQCq9mKMg1RnH#wlHBcN9P(=l+W>WZBO zI(A;Bg}P-+cxRf58den1yzv}c@wrbg_Qf)#+k|`s6FHaOk&cEn)S^}390qw*G=~At z#~q~i>$bp3YB;C`brd$_x%W|bh#|;w8bItnnjon$+6N+zQoW%41Q@x2J z4ibnJM#N1zB9YZa0?}vl*X+Y5l)j0H5~Yz)zW$l)s(XgpRb@me*HMV9{?ne@*lY7O$_7P@vH z;5cfJ_EESS{_w%8A}a$xhQ{WVn<*j#*W88jFG_fL15GQefE2}Mwblo%x3IBJC21ad z5%rB2(LCp&schRkC+$Hnq0gZ-Q=fC{vn#D1c~(>3bi$p7ZnmV9&#KHYoZ|6SsHJkOMGHCLuc2s(Vc!wVHw=KN4kZ~$JN;4JS^xFSR;r)gfLg7`nmxcHAN?v$n zD^Ym1IaGM=XM}Goh*0|T7T%vLl|IQ=jc#&iAaG@%j5I@0YNMNVyJVyx4aTzD@_Es& z&PUN+p9Pf>2cxNI)2LKwIUlW5+kQrtDoy-)&NAg|pFVwS|HDi5l{fTK{q6x?s@*Yn zSri+)Mz&jP3zTmC&PxD#C1HUqRy)qoZvArt1sic>Agd`*`gOTXj95R35K0%y{w`4Z zv}?vZYUEQ&OqAzr*Fo6(?+Ui_)Xy6Av*xqx{->1TKCrRuDWy+G-y35$x`#BDJ6u}i-k zztsU>Tf|JwFvf2zYn9TzM+ppQGbSZdu54g=tCa4x3HPT4G@bZ`H2hs8G(@3PdFgBI z3fsQw-^R8MbSUa5n<#zSzqoCve)*9oeM&Qh>XJ{cwC=PQQI!1pufyArsXSD_^AW?_ z_QQ`hvD*uk0caLh6e3*5&7yGq?&&rL>upU#q0(o@RpDx(yN`%|vTnipwg$h0DQ8}{ zkY+MC^J6}2ZB%A3dl=fixh`IH9&;&)!*0SjQ_n{nja=YgIgt3(5oJks?Lm14=064M zuDEYlqYIuz^z-VziKDNL|`8ajB+qLGejACCrt#tQ8d8A>4ozAj~m9T7DzP(+J zE&R=PeKU#dn)t54F1y*T$0xSQH>GQKb(VJJK*O>mwxEdnK{<@&iOu0Y*7Pic=ILc7 zkFa;J9h3Dr{OTTYm^JU7&_=W48`ilP9?wl;1B$t(+lsy8^3s=0_}^6!{!#qu`00dC zgH16sY~L22(zU_p^B)M0mmvpPNvu)md*WM}v(6=yGdX-d{t~mV#t7Zls4SC|d2cZs z;Ct)kz86e{!z9M#B|SS$27C%w3 zqjhv5tM^OvLP&AzH7nu-AHYkHv{`}^n|eJ5e*)lrV0)<%JyAy|vf6nYiz-nSwKvH`7X?g}_!UO{Y#pD->L2B7)LLa=kC9J` z&Y}XH0R8EsX7>2nf9>6l%yP~t8G*f5sel=!p%Ci2;%+0N+(-?(C zUdqsBZq8~mH)nU2WwCzi6q>o2unyha^6Avgg{^2~x!%paxK8N<-d*dIkhIJm!c>}# zYisJ63SlaxnM_?@#rw5hE!-G69Rnkx)+?a^PF$}HzLPS70R>u;4K@lCZT98KX;BtK zHa+GMuWbzOX!jPJ4a{E!*C;jwd_@DbtPaWL2yO!j%l5z&dlagPMnSZl#)hb2v~kYU8= zCPovSh`*P~tVG8HCJA^CYil*Jn* zs~$DwdNlE(b&&?WV&c7(^E#>J=MNw3X6qj@F^4`nF~E>h2l0B{nF?Mpm3m^yxlR(T z1=Y0L7A=ac;A5+ zNHKP6WdC_d>7&`T#cNmRGJ{=%R*P2ndb1Vt$O?=rq!nw2o2;NzH>JXg0n!SZR7_82 z883T%;qmI6K~tWZFYYbA+82eNkT0ASZO$i(+3}S|3qkeG$Ke z$$?M%7sVEXP!#Yqe$k9Pi~}vvh84+yDSP@^e_3>{Q^8r+VQPB?vA*Blej$g5ydQVP z;CBYD`4q2oxI)I@!ca8|DSzIo@m-u&HHsjtRShT3kvU`4C^47A>$=>n2DzKOa<^;s zwu}V5tov&19X63)nH?TI*7cRVLtQu;Alvl)5GlxXQl3_dN4aR{{PM}3HxJpyL) z$E*uHJYk-M$%1J3F#(e)U`9MjH*i4@o$G{Ku1wThYKA;mc<3${X5yZd$m-5gfm!)6 zTMbM{zQoJ{PNFm|TVQTq!f)heK8RZopf(#(cj%}@R>xppq1Tnrj6J$f@_-Q!8~M#J z&ecw(kyz9bWc~YrbDe$I;3J^Q-9q^MbZb^h4boA0U&gfD3dcn3S~lc zbssqSZ7{^}&x?LuNB4l9Ezzq8eceW0j?T+6L$x4=waJhwGelcr=0KS00P!J1&n)I; zt43hS4$Ny1<_YV3KhdT>h^z)n>lz@5e_reS!IvUxKqC^0rFq1sHa^So*Gl|GBYv=s zPh|Cd+>9U+o#7z!qCu&RXp@s5U(u$NA+1a+8k{31?>;Px2AA3-I1hZAP=ms*K+*=S zXmG9$71m9?Uqm)7(sA_ADol)S9?{iy+`L|i(z+_hC$zCHT3Y8pT7$HX_+XvoVYd7Y zCDbumH>M5=nlZIFSC?(zuG+b>K7xwEkBrf5NzrW~vWhz%a@D*vX-os;e-8D;UQi0I6jm(monvqs6!;AQ!scs>~ z{>S(7*bg)6nWyU^vU;n~^S}bBrv>sf2WV`I^Q0b{!cUPoB0lKJ;CjXiJyAwIi*-Fj zR*$ZuR-iJcK0;Z1GduAHCPSBLSwp+Ly%(_Q2CO0jC{>%KsNN{X zu^t!{%}pt{<$+0#WBI9@HIysinq5`GuE4EI$80&izP#LI*L?nWk?i_qy2-8>vdcTh zo7Z1+47ZC?wGrK=XN6s~>v>`@Td~zUvaJ&ad^Cl|biIW0iVe-yqgtr;m8ZY}PQKC=I!{?s?#)_-Kl@;EvU20I;l;@I>i zMv-{N{p36vkHrl>CBrxfZD|IqqDI~i=gHNT2x3^*=>a@=EK0~*z?QzP*kgV7h-Q{H zQ?Z}Lxjqg{u2vyI@>xiOBqm*cep~4uds(WW{Z{HfYsW;PwFY?Pp5Bv&`cI-ZHN1u&5^PJB=|m#wW*dd&-$ z(qV8Xs<=ry8kr!KQPhRW#*g?Q9fS;F0(}2nqM7xBsFp9c4Up(Ekv$@-&lXcN8#e!^ z>KLgj8W=s==yFJ1aY!qaMNE9qweCKyYt)o!n4`t=P@}GRT^EtnP@!w=Pt~KPu0&wa zn;k~4WJ_Ikq-~bEh!47gg|7D}vxV;}-MXac@L>f1ToKQT?@!ffd)PbgDm|wZN~m;* z?~x962&j$sa;o1WF2k=XRe z9cFeSY?MqkF1u0gw9a&%07g;{k~|d1Coky7} zhqV(wPHUj_TU$w9J7zjR2jc+{0vH4Xqd$QjPhj-tIP4FMj^7*o-a5Q1;k&o6avfd| z$i#Q6Zu>oOQ2gEo(6QFu2X6&*TlO^>G=?kg62Z8F%)P*E1aAK$po_cH%}LyEkE6=N zZ-2~2ByDH5x6zG$_P!E)YcV`QQILaDONWc7c4y-pJFmcx2$N;=MVRD*ILH_#8@#28 zq+-bOdl;OnEvtBpJAbO)B;gA|CZ8IsP>U?65~Q6kTEJBkACz9Wo5%f{%jvwj#u?*& zv(Sr>B(l166=&`Ck6=wSuugH8>%2r)r-JwQz-iW>flEd8420k<>^@+Sa#!j?L{@u3 zD0x>F`m0_aDv>@rP_sT%hB|H6h+YGh(n4)2GHO}!(AQ}J>E5Fw5m|laDZ$H&5xj*) z-UB)>k=422{e5iUG~cttjkDW)&r&#WbFsDgEnY44x+LhRQjkntS<^1Hb#Lm*e}V&x zY1*XeS`5N2TIbBd+;(6-Z8a^TBX|^#j?>5}qGRq%>MO(9%qk_gU$N|(qESx|$d)?_ z=gHOSBI{@7YAxA@PuR99+$7IJ0tHhdRc$E}hjWzZb8~qyO`j=Rvh4N-H*Mmb7jI-h zR(ls{xSKy=G1W@XjAas+)+)6~T)V*SC2)`3E^u>Q3H0b--lk^YF^Br+N-}EC9G0{l&Gvy$Sk`ttHm;KD!y38z6k8DVH_svcOXhI> zrAGbrx_%<7j{=kQlk2_ukLmgoHT`jF8dy)?BVys!L>>!6J6fE0qWV9X@F>bsYeuW{ zA)j1bFN>lO*!%`W_8Q{;wgl8oFxgwpsXZZHbvUdSdYZ52dTKDjy=d)s8zOS?Eky~} z8}!`{yDinn5Z4%MNrf#a!YD(2ghC5Osa4eU=mQo~NWAJNS>)OBOw8N`=C^t}X*B*GW+IO!oF%jed zzWer#cAN%*oAOCy^$om$k~TMKX+kPqpTai=jK=swUJRp%tbS3;>^m_fhj%%N4BhEK zSSEEl2<`b=E$88TS;d+e2=vF3%9P8@B=IGw2#FCcyy=?R@ypMAkfkI9x4dO-EZFZz(Tv73#jgSC%8K^q= zXdRr$YU%Pw%S~V{%_-pJxy8tvqVp12T_AaL*I~a2;8tk7AvB4YrZW>=wJ&Gqc3}gl zjJ+(@gFQXtW#+d~=CfXBcX*UuNwc_&TD(^T3T<43vho@`Phe7}k(4G2i`dl6y;NA; zt?*@)e6 zXLNhv&MIUCHKNB%^nyjjzg9p3+4gAJrd%)6b4_IRrDf=Vz?$9pDej_LBX7RWOJsGC zadHrgUus<$K9%R6kMZBCtx0 zfwf7;B(nO#C&KM2UB&4LeVnvt|vx}Dh6d!&qP8rkyKl@0+YgK}wCO8|>o8Qh&U zeysQg7D~ffNb<8+*&iP((?d#nA$rVVT)CIs{t5h^A^mQFXW{n?nON#tv}ywc=)2Z_ zpz2|~L(dA4)ul`E=2}rf(1j9z?e3^FN6oitAH72;xpkuOH)qa?Mj z&yZr^zQz7{esqlTvJP1-O6brwFQbpJH}@%nM{YSPDmg70R*$|!^A<^YkfZj$1-*=} zHbqxUWYw~Zb*{niB2AEy@fILd0F4G;&4hNqO%42%A*oc$(_yQQm7!x1S$z$R!nmZ#(fu+~~7EQC_k6|}m0ZtU-a(Ek=C^qa)qs>NHP4U^cy&y?WyG$5?bKf=DR z#m1JUIqc6`JbSfpk$^W+Ck8m~{f1cF#ZqAjqK_0#S)G59IyY>_DJh5bsvpgm)_c!WWGlN7H2BYJyoMD99vaK939{c^Cc zOlp5Oy%~ytoq+7}fKAxzK)?>?0lOyE?8)lQM_B()mBE1rWWeT--B~ZNJ3du<1(ib^ z>T~f&eBN!mgSkG%E)xY3^f?rr5J1 zU53Kx@(R}c8N7365@)Y6u%D9b=>~S{rA3)%f~sYRJVab-4}r4CfO1BnWFcJ^{b}ly z1xmI=VZ{fOfa?#9rcju5mkfm$256zs6M#+E@lZH9p-m{9Kf>w`D1)6^C`6GV@8e-7 zMkF~Bo=TI9q-*zO?nL#6Djps$jE93{Yf3D+U_nJqz4tixnQsHd5{i<0nFeOWpuP@a zL=D`>qb4s1w>BDz+n>u280hFeC9fRe6oRI+2J5UuR;PlMbZMiO5+iT8&P!yqA9!&m z-nw`4s597e((fO9dStG3-{g&7V5`?9<5@J09c+-9yn1cW1$OHf%HR$!^ya0UlER+; zLK!$Hh9gd1UC8_EcArrY@jXai9m)Cr9tYnbU=rEdFO*&b6EUuF$7?cC)W-`MI}Lbl z6Eg3PW8q&a1N)BF_-IHnx!nQ6=9`EH3BG&BvAe;So}%&5NJR3{9!YA=4HOLNcgkRR zrk@rJoncked>#x(# z*^qGPwSB5+w0dpj<%pt#`V7sTwC#I_$)1~_*lpX-E|-m6bxD2By-)j!Q2DQ^Ef z=nm-*??_?TNimd%-ZmYS)a^rGUiIp>u}@^AxkCIQK#8+J(kRI=X@`Ydh+k)~ElFf%KLDMk9O|}@e0tj1(2VQuykJ3!5 zw0GrVL3!gGZBFiw_HZqxBirMtR`SlqIV|juLhH##9l}lkt}e8m zyq{e}EIqTOKm9E~;Uy2|%g8b^lURf|YeBtwCjjDA=QfBum4Uf!p8kADbU0aL3Iw%S*^Lhvaal^yU zVOJtqNj>H_E042x>XoU=9EP`z^p()fx3Hmyl|k+AzA^wG&K=I~IIKkW=y#)VQXMKe z{Z;29!m$%-DBE}#L;Yynina+28tT72fqi)x8}}DCu(Nod>F#;*?YvSXk_g?y)08l> zcl!j4F;Uv3aN({Ey!xnbT1|Vb?Lao%K~Sze<+|o!YLDK^M0*ri@FpCA-DB5fvISo& zJ)>`d^?U*~7p@L0_zGf03D%@GxhQR5+rCx?-%}^=+{zGZ>X9{Qd8n&`WmM{vXKGRC z+Fd40YxlMo5?4Tg`cRF}y+zb~6jT+Gj?pa;M2SuPavK|SMCmc0La=~L6Yc8j^rj1P zzKL>f8MYG5oBI7&%MtrkwMYheBjO5X@A zndX|*6?jUxGHLrQ*Ev?1SS;B=4F0Br%_ z37o^hUb)eh?ZG67a+MFFZ-ZbmW;yiND=1xC!+qvnQEv)iY1$ub%{O>%j+#NQSLCrV zN0qSjT%>4AB8N>kJ(PB|Rc_fHMY=I|le%AOX`?&p1iSWIEMA`V2TT1Hi>BZf@!E>h?NlSDZ=L%rqnFwSAM zx12276U|v^x+t>JPcJ88(DJhE9+8(i|r z(03eW*LPl+>!z?XCYW@BK^2!U&z)}rlYWAYIIc|9!ZC;`r z4Y38wIK*=-LmAC7oBS0%fT2|hZt|=X>>ubt2uwcVHFimC%h)xxyhaB{RqwE?`~qrjY{Nz7pBlKvFAnWw_OqAfdv7mGb@wU5;d@ZmMWsL z4j;}w`U4N4UTwrfs52O`P!rb-k1c>1)H%?+dUEvv3|P?6y*`S4)2Q_7a$cX7%B22w z&}^oh#A4gads(0Fm7b}m>D=-YaJ3c*9aT0 z!xCAIm9V4tu?YCsnId8BfGU@;i2`;ohYdLG5Nr1GjHoF(Dv{NS5c*N1kS<6rt;vT=@V8*7I@$$8cM@{8t+M1x$+)pB7Zca2kb z;=8(Fb4RbjQ#fy5Zz>@`x!qQRK@m)3tTi&GD zv<|*Xv>fz?l-6=g8SAYQuw)p_Z&Mr@W=nQrTPTwyl&)Elew(nQ0U96UT_2)KjpmePPJ$9=w%MWH| zbz(1_=59E6+PlA9lv&^8NEX+|?Kz|mot12Yp82VbzMMv8KZ9X@-RCUx4971zqu8d) z4q^TnQ-0cgzp^9Ur>{(k8B3$0?ia21U&BX5PjYHsqCWCiQNp_e#0@G9Na(LRZ68z4 zVk(u)p#hNtovoUmOAl_=G_LH3!A7C6qs`DeS|Y1&m6|%*JjxS_O6Do)N3Al{SiLR6 zM>r?dhRRUfcn<`<&7&29e*_K|+Y1ld9r)B?GyewC5BS z{tWAKPPwjwjFVi2*>Ts>IR{Bv7qT^pzaZ#*uZ-3qr22~6ryA>AoKYicuzHg@w+gJF zckUiQK5DFi;HPLZ@VDta4xSYJ{~#kZ)<4^sZq)AOCVh`=dV8B!xBy!J3z#$W2fhK@ zk6679Tmn{YbiRtLpmgmO#ts?6BZ-J!`yXuKuXs&fdL*tR_egd$lC%~iZL}%WZiAsf zG-fP_lv9lrz3E5zFs?~CrE4{M%FRM~I`|zuSWGh?dt@~$wh1z{*N!sj`%iTCNYZ!F z`iu`nbbbf8W@XZ(8!685#+-{&3;qBL2K)_u#en~(W+iySJOnEZ_#J4(!(ju(w;ks+ zqQ<*8cu@$li0}roMH{6r&?B74>K7ZtxOeeBHtRR~uA#)C#V+c#9Ob=%*&~6{Xhd12 zqYzom#QP?O(uy}b?3YIBS^N}JiQ0+BI}Nap^E5jxCZ-f(@HEocGl+)C8allT2^a%?wLvDgZ?9n3S?7a z1Y5D)C$vx3nx)vVF?QxC+UJbFg2`GPbUPV`Fe{4vIg;XO*gg3&l(LWyQcIzfDeg-? z#QQKtR4-_1Ie4YwYaeZWpb7Ldz?#z9=>`@?591xgi0eY}$ED zur0<-5ba!03rp!O=Q(BlWWl#y)8}kC(#BL z*2D36_z8pOpS-A`KE8DWzwgec{3ML)&F;K_M^aS{Y}ExNs=bN{MKvggZM&fK>EPkB zlj?{P)^Gu9R8UCbrS}$dqrUAJ4-<^;8ZJ8msB>#N)=_T!T3MbqcFG=$j}v;2cx zK5)$dh+>2&F|OjrGg=v!H$p%g_&g2jt}Tsh?M2#(po+b5k@vvIE_w$#Aqk?H;_q1( zfWOA--aVf;RV$NDUQhk)WW3HC-lo5u#fxzkzs*gtWe$d**7VTCe~K+Dugz39xKzLX zC`&QvNdxX(<=}Dm#hEr!T{{hBGw;vV)|E-4$%?==x#rHQO_$m7t(MtGx;v_#e~$jD z>Fu36S#m&AF97W_cA}5nBwK9-6D#ONl@{!{JBJNz@y_&oGMvq9QNo>jHv(YAMN`pK zP|U&CG=X*yppL&O(k$R10UE12MIYqRCBC}k2q4u> z=PsCRIzSTM_VpPq5V&R!Sn-ZJgs7!6gi9O#JHaoag*MVyo%apB&Sq(H^&&0A>n3be zkDsy8E0t@yWhpDUqzn{;)C`-0^*N2_i77U_*3pi+&Ja=EfKy}j({O#w#@CsRf4xET z&xb9J0CGdqq4TEP){}s@?Ov=2IIT}X0?mb`=~c7DF_J*TBWuSvO~N_@0@T_!Ei?BDo$rrmx%lHxM*vzSOH-g(;ykJFmRSWC2wckV zCky`@kBYLwWR;^n4}ae0gfsqD`V78mm%=RYsb$!-nCf93f=hd*#>)Oyx=#fEc6vOA zqC7ek&jq9AY7JJK=YmfhjiimH#y=yT3u24#R(dc9|F!Onn#=zAn?Dzfx{Q6MHq+L- z2{(qeF;w4Y>e0*p_CS*!52?$s?Jyad1FJPdwcS6vPDH5TK@X*w9%xoi;m`h(*BGDu z=@~)JvM0#;4N3mgarF)s`j66Inah&?!MDh_;BLr}^J40mz2ommhcSM^jyHk%ym6vb zZS;L&yZ~+lciAHL!avFoKRA#cjx^9qg<LGuRE?2XFj z>NU+Ph>udWf#y)DnHH<a`tn8cX4jjs9fWRZ4)Dcm!;6-bs^2V( z6B)CEyJFF^3w`u_C5n8_k2B;eZHOsf`xkx{Uu!PFJ*3&|(vJ?BG*hbP()6)HGp*sv z#ax5pL;1Wz@v&(y!LA?d)uv7NSsJMqJDBrTydi4pNU1k!{;o4ArBp3yN|J@r94N(i zOMekcd;9q4Pp=OR68lKrJA2+pgNim2e51@NC{^=lon5G)MV@(!*qc5)U&oX#5=@Jx}uP88IukY3m6ua*O6Gr+I#SH>Skx!`-G#~ckuJt zqW1f5wp_W_WJ@sFf&!9lX!@rn2T-b3Cx09%Y@wNgyyLSpDB+?M^YMcckG z+F%R9?UBP*l|ncf0a$4S+ix<0QndyzMjDX??uK=2N(Z0eT3?yT(%bulIcd^Ci?)aQ zdc6S6!LF+`N0Vl67jnFo53lf%g)^9xg+UgYnr_57jphtdnn-dq{1RQye{?x#l|iul zYC(rNs}Jged7m8NN=V^ioRe~c!VHqb$U`a|0Xai&M5$trf*_CjS)wSYTzDeA2Yb7t z*GD-vq$n-UvFcOWzOppH734D*9mN0+4I?5 zKGykp1~oIHOlp<{T%{(5)L@~W%;BDWCN-4mrc{i?Zo6J&a0~D=d$2zK-VB!U49+h# zXx?-n#)EA%^u*->cPH+W>h?EVaYS>tT3oO+1sSn zA8On9wS?4qd)(=JO&O+Ct;hX2L8xs2epZh+M^ZCjN0Tj^0e*^Ivsrn7Pfyr`{VusZ z<7*A}3^voEeQZc)pPn6#9LJb?t~IWX zP3i12ygfAl?k)RRVP~I+UNwIqO)eUsp4PG2f2;lfaP}==RTW*>FhHS#=b(V7K%hs& z3yKOwA_azaG*tT1!nDLRqc1BeHB1B)FpdX|N*z*6EG@p$qS68{fOjlRG_R#!l$W-t zg{4NN^1o|l?|sfb_Wl3!{5+4IJu_?8dS}i3w&pSjs`l`D5O}=yR|s$J$a>^f(^3pQ zEla) zi<@|IIoH3?UpnyIGM-wtNJldqmcke~=*_lVJgaOfei==PHU8lIJM5mz2uwfEWdx@KR2u z-7AK^>T_oNW_lcP%)Qn_HNTnqHX&ZQCC`iJno(1qy2iPgg+01zD)HWFifmMdUbE0a zJ+C+5W!>x5d!cJXNss1%0BggLxVhX|a<}3QcBjUu{73HR2RYtv@;-i|f?yk36v&Rv zR3O#C)KZvMzk>x0d}4v2L#ia+j)v}fy~f*)+^nnoksl=9<~R9y$s1--W#wyi;(fTQ ztbAhOi4OIXF2C48%1HBFohKBj{T5s3nzK`Lu#pfrMstxsfasD;Ci6{#LS)*oZeuj# zTRO3JEa*+Xr;|wYU!5Gi@gG4>aJ*0~3C^Khf{|7%R0`et?h_t)jA(Yhu>!MBQG8uz#@B}L>vM^tJ(zCaU>GAEU-9D`zy-5sXR9Tz|TnFGr^eR zq$A7#{Gtv#13?;aB2(fJ#~FATJayn#bl~Kz_<)wKC+r=S-{xsrG6;)4Sb+BKz=Hx= zr`(^jN!?~I`aS;}t}ejgyI=K`ziJ&kfA+cz{g_Dq#^cO*htF%kWVCf&7W{vH!e8KA z@;P+xmh?!{!$}j8#!q<2y5M4EAhq-DZd?+#&CQ*NKwSW`<|5%0evYHo6iN@t(aA4T zN+rT>J#bAilu^s%noAWD>AL1}sz1sg)?6seu;x+_gEu$Qr_zTzB|K zekh2Ib)U||yEg8Mb!CIW z^#>=e=1#n0Hx_t*+^6|?omqX-q?trGCd1j)4uC=gg==#UlPZGF+h;WLXSxXyZ+CM5 zf5=aW7?}TwlL&=GICN0=g^LK~M{e$p7Cf_&M|Kx1Cv|tQTyB<~LSvN^%NAmZHdfNb(YXd5*)Ljd6 zstj=${x>!5hH}frLp|yfCiG^HIB&tX1+yS;6mD9`i{{~Qb&NzDt^ez85=p)PlaHN} z>UP?Y)I!{E(MpiWAPU#!zdQxWPaBK0)?#DaQL+Q|1w zme-wFUcF7SeBga2)M-Z4Q#QDuPCLyrdx2$HBQNSDP;TkvKzXOia_M_cEYpdlqtUKd z@4__lMK;?XP)L8dW$andT$5Zs~*&acO7WP z=tA#ovy5;b|G))q)@lAiB;Z!<=e9_pfvu5DH{&1c;b_ye)kL@8MBx2!t&>jjNQh&) zwE90TLh?@Y=splqy`NkA2qE+OIE2h${~$!{4qSQHNk}mXanzgUN*5u;r}?iWq+&n6 zy{`~5w6CKB{=)rMA@am=h_jHTB*am3!XX4xS*(V22Ne}0U9sgx#s2ZW3mghZTZBa( ztTZeRl9kL2i$|4>yao8Qo}^V446-+(6^yUlM7hfywkE$ljlJm?V}@A#P@g%&G_YY# zJLEwyJT7<>MJGwIvw{c%c8MR~-Qp+qHW8L^JAP8^US{#*>nDB!C|-&^q_g`~jqPwd;d;x}P6erV>^1w;_q3MU>o|C4^~0WS)d@9)Cpdsw()uL@V}Sm8?eRk#u| zNruhqJY0aWkbZ2wr^Qde8SxWxR{ZojCw`)SBKbJ+Q_7NXgFf~LxNsyV#g_0rzJQ=v z{P>bTo>Tu({3J+*URU6vGiNLJh$BLeA?IM}?B{bBrsIH7CT0|>3BjfSOgpY)c9c{z z+2>6$q#`f+v+}e3nSXBnuefe)_np3)#NdWG;?lH=sA@g;Y8OSwTk-b8Fx>8&q>|=2 zllthSi-P?I@MD#hErmqqUH?QYcBUt&-}Z8mMR3c%78Il}9D%cPgpbnRK!w9frw1 z`6~k@ZM8}p=1e)!dm2lO9Q^qvPE1(^}s}g?%?;PTBFsCwNfU6=>C1H zdf-i5)qlsA`whlw$9j-ZT)K4MhvMqDMU!g!t0L)+y;T)SbP&1vf~b)VD9+nc2wo=Oa z)g2_)lY9Axlm*!;SF&2ssB$H17vNfcp18tnt{6_>6+LIRXLPpYt%P-uDl1z-eZ^!i z`Rk|tnqikpFu|%cnLPE`UB9P38um>aa!rx`yVLj9N1Gypce^#vuVr_I`0c;D2}EQV zHB*ES+iiw_vMJJYcNG!z(YZ94`bZ|=c-+)SGQxMWsgGoaZ^hI{GQ@WaSyd&bGfaUK zTTOi==NZYSiS@~3fEBmOP7Gne_b1}*8t9>U(Cu_!`Om)+eW}u*SBb3?m1Y|%O^Q7r zv5dN@Uh}`d)PW}1WoU!Yxzt>QhLUP3u>M>+7!RX97=yPM0~L``e{h*mmCmi$6Y>zwB`slRet#p&5 z^HfsBnbcn=C2!?dZvi$7CqRT3esCL^ta_QEkVv@ zuD?!7-pX^5^b3_V(wQ_yCnay?K1q5~C5>~C=DO7m(`m_H36iunmDcK@b*oL*Ny%He zbh}9Qb1G??Gii!WO5Vy2N&0|FnxT>A(lBDYPD}m@m$Z>8ZKg&`q?2`0@>XU_QeTxc z%b9e#PD;|577whrVb+vt|MEjxy3=q2eMHNx$ETTXcLEg&Hu^`nJ4|f>{ z=wGjJ8FueF&E4ZL3s8J{*Elf?NQrYyHP-zejK?M0W_e;zkNHeO`(y8BCUm6v%tJ?- zB6T5+Mj<9wA&sYbJqc;?<;SIv4tG0*ocqlnL_SM4fP|DEb`o;fC?xeQmy{en&2#UD z5EvHZcZ-yK2_fy&m95^t@lO(1=a>lMd-fYAz9)@*UoUgvd-62DXDIl(b>t5Zb<9K3 z_`$m|K}|(Zsm((&E(;kcktAb)vy3xF8Hc!w3<}p47@qW&bDu15_U_07;vF(Gh0NRx zCz=0gF(}Xa!z=zD-G8|b0A4=il*o%l0G(X{P`C!*z1t;#Qiw5k>@41^FU4$CT`Mi+ z=i*tIHXSRHkn)@${rsx|(hH*0gpy9`4?01*VuUmvFT)rXT_{|G^wVt;QYFL$sgRl+ zkec|qVGc+Y0@5>OPLTQ;A<0)I`Vpjd&XBGXB*$X@vSr#f=Bucqbrh;C<@Z$q)k8?L zFHcRtX$E!GxLOvBDkt&J{z3&KD714^QzUM(T~3rYe4g1P8h|$-(H^zs2v2&J1#^#k zSm%&p)My%qkD*A1>kS2YE7R`>DWp^*9xW6&#-frALG=i7J0k~sM5aVj9J_Bjm3-@=I@lyFWxbokq^KU|sx5}T$l!GG$|4+Y`@T;BSZ`Ik8xAGp50^`KvuKaiD{F@PE zR{0Z|@}lHFN#$Sf%)e3RPu|M?BZa1Yq3Od25#wSrH!t)ET%O20hfv% zd=+uKqH~J|hcJ~}BymfS-0o4i9e3t-UFSyLN~aNe;qu(ayCkt5Pg1F;WX2JzITiuz zwMLoDW@lDD`?R4Gc`GZEvD4@E>?c-Vx;yv*?vL1GyBw1He%g{jjX&Zf)hsphx06`7 z`;)vri3P+y@^36XC4^m4YsnO_z_lfDp(ys_gDxC`1&0bVZ$F%c-8mk0#W5=)*g=## z@!!IFPQ{Zr-|mJ(D>MUem+eV3LMxu+>BCt_qy?O4wIdRKAgTBp#z$j&xXSClkFOh! zox~^k!Qm`4a`MNx02DVMm{z@Bvd6yDfSDve=d845}XxdIzTD;7gVL}_*f|ABx<4CWs_tmcS!dSZVh%^PqKs<4hR;l9cRyCzdpl+wIR8^2Kl}@;dc(OmH(>sAUy+b^4XeV(qXCu&fmVWJ$Wzzu& zo4Hz}=ExZ2Lid2~7^``e{ob{MJ!%R5PsYWff}Ch;39M~m$~Lkj#j6b_493B)ZYCJj zTDEMB-W)lpLh78l^ImioIQ>_6}69sLa(79oqIMZ38d2{2Re)gXa!%Rt1Z*{ zW*}&m%9qHL>4=lmwmUigl4;5PQ*ju>?$!50D-8Rtx2(sY|H5r{uWoRo-6?zp_1zx3 z>noR}R_M&j(5z*h&FH{Q53<011NA1tf53I1avm^)##celN2G#rcFe~e7YDOJH z&NfZM$+)OatN3&)OAeSpXCtrxK*`)HlX-9<-)3cZ`0XMmouzw!A;qwj^GjAX_Kn3JY`M0Fo9d1gyK}dW;{ECPUuspG(GFmJ{1^oW|{Aeqx*zf#b53mP^ zxFrU}n)>9K#ZOU~bVW;7qI9K7SGsg%Ci0^Xus$OwqSaRAO+RhhWfQYM`)u1@obe=; z+GFSKbt!T!G6m3J5Xwbmihryqa`EI+wpCD(yT?r&>U?@2G3e{cpjBt!hA0&pgG6#E zGr_!Qq~uw3hf35-_nU0I>Glq5P z;{!4}hvm7y%$-h?V4>qH~D4-P!ru>2-Bk7fQW@iLDX%lhP2KsFYpnrIa) zthawGt%6m-&lK5zceHTF?5-E?VY?II7OP-Q@W6-9?o^_{5}3+GEP)XhZ3&DxX-it!BmMJ-&2%8Gg*%TvlOm#j_wl0P+$^&MKT6@BVwLj%S5?2qdQ zV<;2T(4*?LEluPFWf6HR_ua|A8ppb(tfvg${-Y;>6e=-A?!dQ?P%Mr*63#bT?+pO> z$z%&@VGF*|f{cpan_ZXGwwKJQ6U4ns?Tk2^3|1eECBxEWZBM zAp-`s$u@tB@?itzufL`6(rlQ-4e&WjgQg=R3eS);%Ka?A{1Ef+_v@Li9n{i4WVI;$ z5u)eLuXB-G`6Z7Y&-%`wQ7m;cWF0`6fQ>{XTQdMp(+eu+Kdr z)lxlZmx~ajjarFJ89RvAk4Hw=OBQ`q7NxE%8gv$A2x;Dy_A_3(7mc9Q%w+nuU9?9%axcE1y>Ppi?R3`;m}vMWmCW>Nvqjy5 zfD6!KLM%R#Z8uZ}ZeAr6I6`#dQSEAFZQL{go3qCumTF@f`pM&}22=5kcKA3p;b^d? zIL+xO8RV{QmKyK`OfgtP6dc@klrcruKn8-&s2WJex6(9F<|H`}gxg_Kju z3!cLE^))Yl;V9e#HPlsfj`mTqlQGt5#Z+>!s93LWH54sBTxJ66q?)y8YABJVyy+?I zLC|ASS8gfZrSMfkc4H=lBeGbqbE`88T*|WrfzETepx-bD2!}k)p25D$A%u7E@S7O5 z9xjRKP?CDn7Vyp8Z$u}TSZ^ekB)k2M`NLjv+x85B8LXX;u#jA8Mw0UXYCo$x{tuWH zV9np*X7p-BwTQ zV71qH<0r+wz)UXNC(ZkJx3?X&w(YjsY7~5@*cPhgH`SHp*jKdsS~nz6(XgVh=q+8C zUNp#C+16hajoaqjB=g{_kB9*VO|`yz3&mu1wmo*BtH4Of0l8m++KUxD!JL;(WPv9C zv$DmZ5XIexZ=1-v_led;RuQ&d5P8ijkocPlCaVoQdi3PCxcj55XZtviiwe|UJbp8i zPNiE^zT#B+Q`i_p{`^$KPx(|OgS zbeI(}>|S%qtiJYuu>bO-kFwAqG(ENBB{utbOVCJr%-Q~MC--Yj_V{b0ZP-}~P43r{ z?D1QEw#Do=(nbWl_av5*kSate!`sVdArV*SynuJ0P4uZ(60JSJYraa!4S50CO zxnba*GR?C@_WQ+&==X8<7Q3h*s!d0HI;k532P#ebzH0LWr$J7JE++#)nw)feD@XfK zv$oYz4Tq*n0zvND%&#U>W1@TtBFdIbcp4aI>5Q`wq%kHkB?oavPxHBCaY_JT4MX6# z5|I%8$z;|eAy4p72CFjiTxAsLGK!pJ5Sel_lA9mHl)F^2_%@QqJjQyoFIk3KgYF_{ z8Bc$V4V$nQ?)+wV*p8xrS+^I~pAW`dn&!X$_{GHHAkFVOUGtg`0{7Wd@)P37hh8FT z*yK{@${;JLrpk|BevE|;8HV=Du>wLJF3lm8@wK5S=U11Ca()GiOUd?txK|@O*kd2v z#FHOqu{|olX3VrhWFQ2lmdV`DWJ^|3%~sL4CR;MyR`ZpQv%7QCV5gUWrh~1PKed&V zUYZ=Nm?=YtBh((%@b4Uym0sKMGp?ln^ikW-dc;S43>ThnbdJ7Wi#|z>?m?l`E+y6C z7azX;7Db4Q6qRoLp()I7r1Cb}GWDnf6^=@fjq%vMh5ESKDsZETP8A%0bp08EG<&i& zf2X&+5#o{i5O_8g@(-quI8(tNXjq`L*M7yXJ!;Hn)WLV-&!@9ap<6*rI=9A&Rwdbz2*?p$ z^!Q!uu>!t2o#8U%YJM!8Sps*dv|*IY6x(!5WKvC&ANQNeIuB0ULS37BY^za2@Y_iY zGQEm2C>x>{h@W0_@DuNqnZX~P%6i|~q>;V{(lNH1R@()u-7a)uOR=QtEDsZ1y|rY# zB~_Vsl7BXpMGQEp#T|{fb<}$Rd&iXwJ;VA>TuN#4SN!%T&@))o#?#f8HWutI8DvKL{PB?NptESyg3_^y_}Ua#TTI&HVLt>L$1lX-CvI1a|C)+>BQ@u5pyi1=$uc=@U zBIBG2TE{1rsI6lN0z~V$;UgCq8I1&^MGg_U-;=ES2)Ex|o5&zEGs@k-X7}os7ePhE zxAV92qw`xmvgcW+Dc1bmH?8?MyiLW$n200PDB@ zjs=Pf5d{3*=LB%N;Of8MJ7@V*%<@#0^rIC9ukpiPi?)4L^3d)1Ki=N&TkEv%!~&-{ z3kBsAy(`6*slS!#Z#90U*l$QlC!~_XBwxA<>2s>+U2cDh1@|boQ${~(k8SzTC4XB) zF}v=^_dUhJdZypf`_)s{W^W9o5)+c2aAObm$zb<|J?P4(RkU6-rbtAL2>$v+*7bgR zr>_7ksT(D4rRNQlU(lq&f!%CJi|dABCQsX?AqeZ!2*SXL;@lg;4`kqOx3?fsfk(-}pJ!Wp)Dy2}bs?5KRlIZ)z?nE({f{)$`2T3tt-AaUOgVkXW9KD_4 zgz0dy5VW4Of;gEJCo`D8{WP`#B6X4?co(RM^N3^^*kWMhhI*z+rs(9Zjh3nC3KBH- zhgb)Fg0SdfH@CK(`F~G0EeW6{{#e_8T=FUoag%DiJJEEF$NZZG#8I-HM=PEdCG1lq zK4ir%B!03bQIlVrDB+_2NmMp`!x#LU`3G6mBqUpc4vQp?x0scM--L<-X}MCtsi2|$ zH4FoHCs9c?76BvK5-C*=3Z!SzC!uZ-Yt_V3e`l>t6LOTU-%#e`0b;{J%XA$?oCYFV z`Q=dPBf4USU+4xs&Z5qAyk)#L?<{{d5N0ou@~?ZE3OE)x@)MpO19N#fUdrGhXzP5h z%*9l5;!Rt~@4eoLE}46yWLCSsZ3#d3;$_d^(7&8twu<>>tC(Nbd)i9H{BkF5=Lav7 z^UED*fH1#|dJ8=A6aHEx=9fz`@v$W=7G8hspn)c)Sa-fkHSBiiV3S)1j1FUOu4#(I z`b16$js_kA6^;g)3cOG}u6eb8HCK!yTcOhYgiXXXhhm9QN4W@4J+Yl>JmPu8SMe;UW%yb1>_~*>kKH>)(<0d5&2a zU2%Jk1-CDi^4otTDn(a@yJ)4)v2K>Ns;Zm8#UF_!O&-TLuzs-tIHtvpU(LUMj&=1e znS)tEz#|3x*XP(kzx9ts`r4%~lkLM+l}7V{&$BMRR2;E@I(AxrETHm-o@XP%T7WtQ z%Ts2kEu~~Btq;S!)Di@DQcZOyUj007xvyH!e*lBrRy~bYg!fm~pNMaz>(^3u4VYkQ zIw9SWyVgx)n{su()Lkkf7!JmG>)D!yplFpZkty2|hX6*x(fNUr;%5mVEy72`qt?8i zFV18kcNVIg)8UE2uOp}p(m7`!NJC6y%7gp)sZ7iQ!z8$<{oMTpYH{@#S)j7U{~OHE zC{v6-8-d^Mb1KMVt`9*dA_A>wM$34hC2wWFp!Jv$!qK+cVN!jnv-?W6e-yV#i z`Fg5T(XNe@%Zq?a-paPl{J|G-8a!HGb#%knVy(LB*b07%turrtk@XK1yBny5O~_sP zqm#yQh?b0^6BocUGnoG~Nj1S3&ry+$0~LyF(SL;cF40a6sV~u~sMIFvSjb!P!S)4I zeTlYrh}P;%Yt?DVTWS81k9~jnRHj`O8}~Z^js}Y3d%qEl`#xjv zLqjF{wb~O#(of0QHW*uJXDWUQB?ni722H>)=}W6Ep>i|-?@KHq&3m618SSQd3hhG$ zsqQk>9jUr2Rd<}~u7(>!a||zcWPd@&B!W_Go=1qA)i&%%(EvVq7Q5%+rKpr+?HCev zI1-&w5_5ypIo9sKKhX#7X_jqeB0Tskg^#uOKTG~?+v6L?*b1%@uQ9fz*9h4dTlqD! zaIe2sI)Jy#V%TOn=0LV@&$q{D z#~wTBZI_0>Qf|weugGor>N{y~vDcr^^ZBzK`|{pc7OPz^c2kw=3H<167S>@SIcdeA zWCC}e!-B$(?GT4M8u2sayJXw$^BgI$^$tFC4jP=-cJOI)ScJM?Qob7d zC2!7Q1N#gX(F?@R*pTn+v0sts-m zLwwlFtb6ZO+p$?R_oRTA1ib*>B6y+H)4m-2VWTB$JI{HU^@&KFN8PIr&aE8l`bPBm zXPevFl1luuYyl1Ad>qcbUYok|{V%g&xo@s?0iB6vHK`_1ZR}HN41}VnwJhp@6~1BN zfuRoARI`Xy`DO@hQjc=wnx!|^71Si^9Ql^v%zRrV++pGT?JO4BU!1mjrnNh*ek|Du zT6^rl6)qU71;*)}xRQmlES1!~=?WwyP2i_I_AU>fi#D`g7p({}fQ?3`nMh9Tg6grj z?>x7h`-EX`xeLqJOvZ|z-b5oIJmOS2SBz9R(B>yhAzy6+;=)^9T(B1j z>?xi2*K;w+Ej`Oy=CXjhUVaBikedx);m{7saH)>I2_Zu1Ku=`K?>h~{)-q5M3k6|f zD5R?-sU}U$&K88xfQXbtbf^r!tf6tx%#C6k6bY?pSzTKpMME5UE3h%|%~BV(02qzM1l8R^g6m$8t7v*wI-YOe_$r12J?bAE7rskX&4`=*o)}|i2tWlYVdOO zLvv7S=&AFl9*BMZdvLSIu3YXSde(j(G#|tFG%@5###-7O+?YY61Eo!obR4P_@hHy- zY;CsQL6f(#k}hrlR=PIA5kv)QYOriOSqyK+Thf(GmDIbxIC~vz!RUR2Y0Ozlgt@lZ zeLvqipY>n^ym>Qz(})V2-^nNyazBy%Y+CDo*N=8xv!5T)go^%g3v%7s;-Sa+8^$<$gsJhh|??zQDY z?dvVHR!H{psiIJICpQZFqjgl_rLM@E3bq7SyH+TLM%{wX-a0go+@Iu8dntd{)r}p!Upuem)N->1KeK z2+EuYN~6d7)?VDt!xylSy9N+}z@1a1%etb;G9whmr{8cXJ+1rs>;-IIx@u>Qcj}q@GS4HyR&`u7Bs^Tr^M2ZkJQ=JSDnQ}YD z(dwO<=nmyV8<(*_onmXY7JipAb(C!n4!dotf&wsXtVELa2>y~WiV}G%TM&y#mqHaF zZE+?I)=9}*DFx}Mwmm8Fzh}Rrv$F{K0g(S9f+5_rWFPd8LBW^#eEmY!=K%sS+#a)y ze&fHM6*k;HY#T|7KQPOmPUMO2uV;D3A21abLykT6tx^{)M?y$aO<89imXB^Xo0OsV zF;v#Y0#mQI_{4k^?P!5o`D8PnpO0!3Cli63H=_h+s?~^g)s^|1Wi?9tnjgr={mYGN zH3~x@2_e?76+qP}t4vPp65-U+PSugzwN@$7bF=KHBH`B;8Ku$Hrs@!=PtYI`nbK6_ zs9m{Q^~!wY|5CjU>>U$-!&D4oS#6lsWZRk|Q*7tP6t?ArkVb{3eKt``X)5@f zkhJX?75_)}1$*p~B`&H>12*i;P|EpF1uUd%@h#eoqs*Vmc}O9&n=aFOshTGhvMy6H z^rWSNYN=XGtrVlCn&zwyR3e}M85MIyl_^kN?99I?M26oADUAdySIQjK zUosHZ%~}0t;Vj6|lK!#es{o8?j%=CbswVDgC$18>Er#9uHKPliP`)a8w@qApX( z{sV070e?P@nN|MZSYoHf*v1zxLfKi15AC*vD4q1>zph;UvaBmpzk=6Q(3k0n zpYiWBsGj#(N4Yg)r0IFjb@lXRf+5M_up}w{O;22ej{=_@m9r`H>Ys2Pjgd@EnKwOf zIPkuk_27}K@<;}g-{JIGr^3n^CIpYl-{qYW-)t%#g^|29e&_r^cN}_$RQxQB<}+Sp zkLI4VQwb?dMA1U(+{8Noa34oYo(i|MWE%xJY`_&ZBgEANoFG@iVg4BOdC;P(O|{R_ zD_Bc5QINr;+T%la-e{{!pNrcRSCB!QU0w@t8z+pvH8AZJ@$b%G0jMG0C2dWg%jXuc zFj!$*QN&_Hqb6g!E_Ux?V`6kuaF>c$Xs(MXxI%AeMe*q=K(`=OuAtXos{o)W$N+lO zjvH;J&%F-1s}BYEgO4K(XgykM5D_}nG*z-K8lQZzjfGk%sb~o>Xjn#`HI!^bNtlGU z0~~s&Ld|lrxAMK!ai1+8k?X3?@fN)4(PD58ukS-pKa_T-MU=-wC|+=lJ7xHp&~RPq8iYzQL0gv%pk0 zC_uB+{6K{P`|(?4G>{B$q+VLb+lRtw;emO} z-EcU`f75h)#5cTnt|Ysid&&K6$?>goI$Eb;;J$4#Lg=sVFr=xLpscoa6|kkKjQ?C@ zYbsD5KF52NV3gXlkdG>1L&oMk;+%acwjWdQ0_Bi;WNZX3OaZ3VUPwHwsxiP1Ttp}z zZxXz#Va73i9{&&Mzu?cjPry&HwHTl~u~z>4Oz>?1^t>FN2Y!IQ@ihl@ zb2;x=%HpjH2)fngd7~0v@n61pMpb`&%N!H5Z{6#8qYNPe`xzs49qjabLjiex3CP))}v}M{jR|nw3XZ@V&3Iy9N|! zNE`6=&q%8gB9LA@$NRs5lgjuV`G&;x?>B&J%D?^>F0aIY#03UTZva;T|K$xf0l1Ri zWP`d2T0*rU-z|wt|FU}XO5X%~WLAmzWqdB5MPelt$%C0FA1BKi$ zf0_V|D23f7>TxKT7K}!eT|u#N9xIM0RWe@{Yr#_?E!nos3Q{#jZK)h6-~<#rmDb8o z8X0dRptdnp8c~@_G@(j4s#26rCH!NmHIfRAq*~bs5;6oU7t6=gYb2E#NxkyAN+K4% zZDSfVk}8d)L3yr{*Dqs(U@LZZG{!cmEm^(s}w%cZn;WjBsu;^Q}IO%+%eqgn2FPm z6IyL0lzkUG@M#yHR-U^YSNzUAk6<~uviW0IWxVfbyZ=;mv_9+uN`m@NaZUdeUM(F$c!A$CF^Yf=?w zEt$|%TQ8KSTz(I0Oe)qf$2HA1Xqr8)G)V$c0LL_I1Wg)2v+^+r9J?K36zg5Gc-0=) zXcVPbrBQRRMPt*fk+djJzsGlTHZ*GXa|yooSU%5~WI8sUEBBfcJl zxs|kX!|A!QMzDz+^a}Xpv(>{q)K2di76ySp%YtFA~N_X5M1+$ ze$+LCk1i7#>_=@z>~pUe6YF;S0m|WGe?Iyx782xILq+ja+7|NMx3Dnb4O+;1ZLK38 zOB8BV)Lbx&aBImj@>DV;naItO7ALYGjf^~%B#=4sw4~LEFiayPPbFx$N-1-;#0@&t zBu8qLr)aKD%%r`?LXdVZX z)v!;E=7C>c!Tbk554zztPtoY$Fzhx;iZsnSdAqXWW=}ekBimCMvH2r@*Ge{(ogBqi ztYm!|PU`Gg$@+yy105Y0Z9)m46R*eV7t`5#{6e2T5AsgstS7s76c1kogE%SWtb=cy zOc7S?(r_|odO3>@EJ_up0%zsXi9mWAFWK(f2vf4FSWv)ZOXLWXwXr5qj~4Bn!;c|a zw*VOpuZ|)LMRk`^Cy1zb_*(TS-Z(WgW)=1{D#oej50^qm6r~uycHbZF#~nMo2e1Gx z(%SYleBCP6`yun45oih@^WRMuP;qZc+}6sYqer-{QgbxnME2{B-PZ5_EIk6Qv))lWciteeCld!ufOlZUtP^Y zpG*g#cGQ%9>p_O1(9{nSF>R(2B4aL6Ag(q;ZoU zaWW6^_7$w#undHcumeHGPv z)Rq!8En+_R6fI&Vd>1%l=r+o6TE%&hGK3ZvWMANOsrfc=tX+D5_kD+T>mG?HMBxp3 zjey=vxZ$j+t`4cU7d0LWc zd;-KNkTr9~DCuUvjG@WMyfDe8N~RH;3Sr8?m9*ZXmShnOTNc&CP*1ejOR-3XsbHul zFcq8H&DE8YhGLH*L$cngv(8YCtf1!p5~T@kR}hjZX?CeJIGF)IUeLO-STkeBmIjKnHn1QQI5tJQh#jVK3<0)j!|nxW;=wq}UE=rm)f6Bdh532#}? zy7i!ME%`@RNx6Aolq}?KwdA?+iceV}-?2_4|L8gv?j$mkLA+T2cd5kz~=YOchzLid^`f5V`z4gUBKh`Ea2jmG=peHGYOv#*j$HGe3ez`W6c` zC{+)a%T!i@QJyN&&0VH)`1?ZS!|xkJR*=Z$1qPA1kwUR%gUC23GWuhPq;E~+@|99# z0~lFVk%_8ERw+aVRvJWBlgOj_29bMvlgRTXgUDf0WDAL;Z%yRU6;fm~7^P@=sfyeo zMIJSZY$B0?Mv)za$S9-8VAy+sVymhklD;*OfvU(>FiO?(QWd%IKQb@>V@Rb!A|GC8 zNM(EkrSgT2hE!Ul$jnb5lD;*O55FZ-X~uz#@mgLoH7`GqdHDegcdD>BYlysDU=TU4 z7m3{DYY>?z6suQQk4Q&_T}&00!ZjGn%Os3QFi+F+7mbfrXZZ)8G9S)9lsWyO0g$+> zbu`ZaXp4ma{pn)>)L-a82XtR=7eExQ0XoVhphN(gtmXbKfObegM~#4@Nkf4~Kqdhw z!3ZeXsG0uvJ#+&a}64b?@1b3(7~V~s}a!7 zUM_$rTm$s*a;c$AFrTiK9BeTgvuyYVS%x+k0HqV4U7C`JHS%y9`0LmgjN9P*=Z3!np?Tmm@2@sg7z0iFY7Y$LkX29&| zQVFO6%x7w4=oUabB%q^4K;mX|pb^mDVFYMQJA;PC8v*6_bOA)+8lXTGP&EK$YGvpa zKnp*XW$0srhAK%z4`&-R6fXe1?ri{+W(2gehYKJI*8n~Irqoaqn9tJ65XN#YWvEJ) zp(+EQdIGe3o&nIjJ4i!EZZ`m$Yy{-t3W&lrK+E5dfD|y#(#p^+fY>Lp41Hn%besSk zeZ>H1ODF;AY6O&S1ax1xi-ss%19bFt35d4Ev$ZmG3!ohm&`~3x76KG#1oU?Z0eb8< zgNCLPAUdKV*XHxX0H_9Y)wU5s4i(mqFpWD1s{*GIt-ek}pqa)v<0y`8_lUywICCzV z_nANOJdAtT#%f;nDI0{*)|a2M@ClC5mN$~5^q6bV*Bt`h8ZQI9StJSvB~*B?!7P(D z02PvE{lpVLgQWUup7xoLwD>bTaU`u$(ik(0Bt4Pkkc7#U*DszpyN^GoG-Z<@N9Aq` zbrF>P6K{i{_61tW@RA*6>_$-@Mr|~tkKVyi-hJ626(if??xgD3$M^c;iOGu=q+okp<*#vDuyaY=2#Pds-M#8#+c>s7Iv zHi^{kGm51LZSkc&79*QREV+hjxPjkWa1 zY972js6eC$>h*sfg5R=&NKb>RhDh19aG zhHesT;<()Yyd%YsdUt1%y5;XDhwk;-&{2KkS1ePAssCY;?5aPWtjSmG=jPKIk`(7 z#@)SAi+m015hn?hql*3J?*fX#wMlwmp#+r&rim)3JgKD4wL(d~YoR1ZvB)IpyPtI^ zNh=n4i>Oq78HLxLMelUDiumSC4br_zPFZH&`)0^ERKG)xPw6zSBw}cJGo$>a1BFzzEnj6n5KQj@2wY2!VC2ViPa==-ZMhtgl2jc>8vog_o$pm6P#?l}vk#AYzvsF#BUb*L;owu^Fb=XQhcn@IZS|8|rE=ze8K z(tWHEg|`9}bnILX;d;8Fpm6yRcD?fX0*Rs(%!Q>foT99E(Y+7l;VOr!5z<^rG~~{*c`lk0!^UhMD0* zhXW}}trd%q*sI3UI(aJ(?c`f_u->_wQzcv4z@b0&92S;aQ{kf66yi9Bm2Am!J%j~X z#p8T1h=*BRk20;ygC1vt6lolB+PIg`-N|Bb$m*k= z?9MxaFo3tmemc$ts(#AKV;2kW>vGBp7olkF_P3u17%dV)2b84E&5QZiT`bTa^qa{8 z>upi5ii1^~_VBD-;(^VzyRcLr8OD$9Vx!n9Umm`jz0gipSS5c3U%8vb^@@BtQC<^$ zDhm6OWb|~)7zr>FjUN5gWFF(F_VxLlJE2x1Z+U6s)zf#$S zkKF?+!i#z49u_e9{j(B%7&vO^Y5)5&K*!G~)o!#&}N@6ePk_KquoI(2>aJ=gFFs3eAafSF)9x8(6O?lsrEQ{>ewEHkssSm9I-6OLEJhD)tc>duSiLGn4VN@;Co(n z?+fKze%alkopKvI&Cp8B^QBf|)tLj4PV!EYJjd{5bvsR79?3g-f)Ch-dDPksJS)q+ zKlrc9a<@!V3s%k(2L5095dTZ34gAj#e@CBq0ox5SJ&TAxg=%eY-dvfUDx{}!1MffA zeK6!rnd`2E4SmJkqV}$e*HlM3 zv|cswHR?*{{b)$c6%yhYbv%1JUX>VfX$#GZ3+t0iV^7&aCJt|MR_}FVjCjOwB)@wd z1#9`)^s-EUGt%Ga%TK-{(r=mvoT|S4(;Ya`>Oa|@^!;IyL7?ZifaqxT7u+VEhV$9$ z^)l!P=mk6z_YA(Fe&=#$HpUyJ$Gb17=}|r6UAIOIHb(SAL`vc8_F_-#{F@|(Xtb`T zVvbB^E0Wo|k^5weWZs+YZW*U0^UULpWZo{gz_xJIdq2&^wq&pOrzZN+LOu*Hw>OPb zY*9<#59@to!Shfrv}>lqQK)A2kRBs>-hMW4WWiC|$-~n{$hSz~pq{4!->7=Oaq4>- zzdsO(zDGeJrFNIv!rEd)l6?<(D_yJk_g}NFZ0S*M{+jiOi&ptmfsZm@aG4~zv^aAq z*SV0lvi1wXrQKQ;Q4@DRz`6`O4kmFb!zNdL-JMzN``FXQT`Xf zN>sTt6PGuaQ{vvbM{pq{&wApv>RiZM+5EXo+^(bi`2%>X+^TYEAugfIdBp+NZG5AM zqdf3=C`^u?54olIj7KD=X5<@2#m=NWF@$)aCyr9=Wzie!Z1Lxgn+frXbz03EtH0gKkI5wduqT|42hN>pfNuF#% zkD;K$w#P0UE_;kH=#lSsT*y}Z^+bwxG-I#|-ZLqh3Je&&7_SntVj1(W;u|#& zM;%wY(|^drQ_sshq_dKS?zG_L|3@D7#N8R>;f2pB4+DAHVezQ>bBB?U4`W;aTQc`Z z#iZYok-HE7Q%2@dMn)f#STmh7(tJc@WbY#)BPSg}Mm|mUFhe|!i;R4L@{sPhwNf5l zRP)dc+O5CD-H+mu;$IU)9)6Ri=bU4IryOOSEmzd|S@!YskfrcE=9zhC=rqe%Fa|+CH&|E`|MF=pN2`e9SK%Wl>@Empb*qwTGLYo0{~m zX8o(>5`Siq`w#|*6^jtd^B8~q80+!)ELCJ46`SiNbcbiXrP-;ip>a34YkiJUQ>aA3 z_yrYASQ#1LoraIFVpkdOQYigFiz7E(xZiQQN&D@a%})iem}EZsI1c!Psnn%N1pPVM zfn~@4DW|BaDCyta+A{Fw<1BEb(`b0*ix@v9+W*J+G2vz?6(O%r#gJ{*?L)ph?}l0wZKf{s&iI0Pf%miNg9nO~9`L^$vWsEukh%riZ z7ZRVJYLJ*{l(^=aL*gQmn24e4Neub26ZpuJc$mu0=bprUL{&o8I76DYr5}p1dDY>6 zr!-lO63$+AkwD>EnzCldG-V)7SqWsO9&J5fsINECr#xm7e2N8W%1&36o#v$Mtz!+q z+y$_nuo(pP0C~^>XIzTPwlX*tM zR1i|}YjWo&zGofViAeU4fEZr#JuFFjqq$A0$qSShhmQkp-p?5*@LL}{6hk)n5s{Lx zVHiL7J%)tlqx|CcxaPJ?ji4Z~6atB`8Q-YYlZH{RJPPqKo@+t_Ar>j9n+$?1Im{$) z<;TY)vKGXnfBioRCmPGri1f;*SYo8hBFiqn~sw~`yFayP?ez@Dgc4?@s-l{=9sS0)qoJ0*7o zK00@+&YirKuRtgoEI6p2*XdF<=DC(ggjK8D!zdD`NOs@)Nr!}0{ucP@@zQku-@z|NqZ?tNFl9Xm^AlnppcNyS$e(3Gmro}0 zOQT2np8ppR*kiX1R7YNL(O=Cl$z!jl#gnK0gfr?mK3Vx4RRvgtTd@Fn9hHdawwcl* zoJdEqDtA0A!tI6K3pzJx*0NYoq^MkRO0){h$ZmsKDHkR(LOWW0aZb{zYh}F7&8o~@ zlPlSU8Q4wM*+o{X?820>f?cDpV3(@1o367zhJsdI~~SGh$iZi3sV zclLwSV(u z1KNwIA`J(OrGi2P%GBHGux#uhA6S?U@r)Yjn^Z^Hk|d_jWAMVqk|k&@?6Eabw@6BP z`m0jV))X9DevbLKFUu^%L*}s0;LacX3C8P5L>0o7A8tb#o94T?|43i!(wV#ImZI~tdp^B_H)QC zS7S9J7Bx5|JL?VJat`*srhq&@LAhToy}h9oD!n1^BmViph+vP+>~B;=&Dvx9zMoiM zQ==%cSR){`!*h7{Pq<0F9>UO)Kle5YaY!VgP%ENnhe3%POL_XVP|8L@`+&XpI;oPZ zQ?(GQ!H9bwJV`$^uct^_ZQd%P5HIppZdXZ32_SW2xlXjZbXqrR|4*(8bt73>Z=g1V z*c+ZY-$tF5yp<}@;sqyhN&cp32}aGhBp(~v-uk~vi>aSf5?`jU&OwJIHlIFioC zl`Q=;qa&|ZaQOZ%Pm!_BeMA;f3tW2=5vT}9gIvql^;Nv%c^vmm3KQfjoXF3p-s?jj>Xd-JLbtap$8D$5Upt}gT)<#CY=zbGg$N_L2#I}*YFB)S-lF3dqk z$NQBblCC&{55EYyVH#a2I;~M2zYsq<9OWsNl(Qg0A69wiTQVewri3q5j1v)K~s4MV9^K~8zi$qJx0jbrQb+*o$yp>wc zQ-6WkriU#&>lZe(`;Ke9I+VO{(-yn^o!9YZ#wdC-qaD4OvFRPY{}&cc*05WCVg6$s zuVWakmnJ-gi1`T(9#$BP@D&;#AmlOUJvG^UkV@NaUf|M5!I%H4P`|o9FX}ARt*d5XQz$I-|bB=cI4mi z$eo0a^hFou<4XJoYTTtc`!EChgMzU%W>2h@(ckjq%Pi6XyUVxy<;yUum!YKt+aB*+ zW_RVT{2l4A2Lx{jgZ_d?jkd>}BK#ivM&n|tcwl7OJ{T7qRW}ncN84hqQfP|Z>-Y6x z9me9qEZH$1Yx6uskC2SD`CKJ8-jqKnf-R@WsQHlkvEW6|lfYcaRq|0bv?n9-cl_Qf zx5JQqLk^XU6n5eN1L(MY6i|W4`1LK7zg~IxwNBeZcHvFtPsuF2DH7(*%^#>n;oor> zg_ow^O_95`$FZcf_eRysyT58OUbpjp$G5m?wn7?zpGcRj7fM_56U8fAMLqeo-&kn7 zjYsFhqN4AAj`EP-v6+ejJ?{7aKlAQ>|I3E^*b>~iqx8dud--pw4fmY@RG`{}pZFCV z#i~vCi3HoT95&qfrQcZ}?{AZFgWb0&nTK9s19N+K!fj(b4Pu*R@xRdC?)4@@$&HJM z#rRCNCtLiHM-8|nPIimUFoU1muiobSt6u^d3jh6db902p_I|BN9)Bj=JWIrF?qMZ# zuad3|`J?2X9E4f@G~aEAVFzbIv!Cv3x9v@e@6wi2Y=`K!J@Hp_{p`Me1kTHp%uio| zfyUop4f!g&v+JXwvQ4EC5dGC;qJSmUqy+FuSN~~awvsxa6`#wsG#Y`)wX}5F!4-SR z1I7Xpb^|D^j=Z%U@goz+JPJHyjKm+XIDj#Tx;Sv`q+HAHjrEM-dp$R}z0y6_-3=z1 z$CeD+;I_MatW(r5IyXVX6?txA6{05D_RuR&bL+wsKHwTl#6th`*Ytx^N@1+invGx& zj+9)%-5l)9J<`bi=kGM`rUH!e-0C6~e)bw02?_oGVBsn1a#cf&s|0W*39uO@@Q=jP zqi*mkY@6*n(uQv!NVe_0U><>{r^9d?sLcGWKUmnH)-m0j@kAT(oc-1XPqe~+{zKpy z)XKsiRA;U`hdA(fkG2J0BCbg7rPk91?s3E&d8+ENzbp4Rg}>V>xc}_Lz5OlR!ByyT zl0ldC#tn;?PPkyN|CUewla2I7sh}B68Nb|$E4_7pGOv47y*@bDkq#e`bC5uK#U*01 zr$`mn)(7AV2wq{c;$UEJgWDv|{$ep*|J~K4a2JWfof*K#{l&Vaxm`ggtl#*fek)FC z3&)&P_p2k4T0Fs^Ka)_0TdwD)Vu!^Z+ZyD;Jx_3-9>BMQdv1e^Jw7}>qLdi96G?51 z6ZbL1eHB?2a#R$5${|NZS$vEtN{*jaNht5me+qjnPEmpoCD$p=BQ9}>+xc8$oM59E zi%S%$Zs$`F#U6V}HP5Lx8CfFTX}By2yC;^upv2IIpZJ^k#Fo1#0qYC}VFnEoMJ>hA zjPNCo;oYvYX0k^U+Q!DRPk-XiwP79akt5vJ#(Hqu4K~M5!C)xGHX)BX+XM}( zrj5R>_C-MmwAvPR?}s1zai+nINh#ycGWDsQ4usuzU1U3f+C^R5<2FSPQT9v*?b z)YHRrYPMu;CDLHYI>Uu^y~aAz!J254VPK79=0Qm)_An^CjXuXr+k*o-@Gpg+9Uz6A7lQ-Oq6 zCA@Y~sn}asg-_ZcQR4m&YwrRVRq_6huM8+ExQlXAL04IHRnRTP$V)DUwiqgv)mmzl zW@uJaR%9k?K(Q?-6*W|(SXO?@3d{O{*@`KNrJvBW(9AGz#}p+~qrC8cKQreXmaXsQ z_y7HTz2wY!=DE+zGuNF1E}P=eF11R`$I^yKfdRobZV3M+i1iQHq416!*);*14)Spw zS+4-4p5NJ##Rkmyh%f5M68jX)?`>$;5Y~?oJZ#_rt!g`h#~y{BARY&PA|4T)=%7#a zrFwo{Conpo@R^-h|Ir`J1EV9*(Cfk{wl~m%wib8Z+(a|oj1cNt3aF+d&rlt*OV;*+ zV4f1pdUrG-5W9}@A3L$$*VE}xvbo4Qy)JrCG^OG`S7q5Yo0Q@lK1Wbrg z8RlpVqlw`q!BFAm&Mcyrr%QW19hwtd(g}qibtyc%bDIm`4ldzxtVwBbZ+aZqGb_7eCO2OaMAeXaOUb z5R8zanqWdwH6a>F;iyaZLW)^PIq6r9zkN<7Jmn{)Of$j!N+@cg6^vXbc~RFk3+x*2 z$B4I8@IK{d!F<8HrBizg*6!lJcBPsKbXed3BUs?XL$x3iN!5ZZP0HyxkYW>3n*1y{ zyn!ru%uhEYTR=M+}n%!!vwW2#e@`wqtue_w3>=g2Nf!4^9}X zszf*538yyB?v3F5X+P>g3+CZ0oF`}Aj<4=aNb<--9&lnhBCl80E?)1 zFXm8qci~-7<0^%Mnl$4Se3i7I5I~)-3!#+&($Jlc>c)Bp#3k_CyRm+BI08A9$e}N3 zsGS-hRe%UvXMayOYlqG-V;0*Mu{JdC;vaWo7UI*;jSUzEJ}6N$BBe5WrqJE~2Sv@u zKI!ha9Y_jQz##)^#|fTjWc|BSJXfMe2ph5i2eZ44%xp8qME21F=0kf$w^9RrRBm-h zYTW9PM(?mr=TV0VM02d@H4GPUO(=Y+GKMO_fKX8=d-n(#CcLXMqLI{O zn30Bz8%>(Ve_;cId;vIQZPb;EiD{;~O!)fMojY7D%n36wJV-GWeCx<#hKKRe(TOeq(pmTULz@s)9tHz;n8z|Ap-G z?reOgKD(*EAIy(-XK?{|cIm0WE4U7@rTg_{124yfzr)G$xiM(Lt-6PoWKL7r4)q7{3&O@g85mKtvNcgpUMW2%2FvDy}GI z&WIZz1XcjFww=H=fY5+O83loPV~0o4o&-)WKl0-Zbb7fDrre&SFE5^IH$-dM#hatx zEprU-8O?eRK7gT&^1G8df{l@)BdA0{d6Jxdbiup%tY|iHIz=JWuo@T({p;}%=Nxc- zF_Od#&_*OlF(efv*F$UNA&BrKo%pN0HZi-^s@2fKJA|@c9UE!n+=pL*Y6FC7-2`2c zA67)R{~_hIKtHpY*MKfp)!qaZRPCdY6xxpj zH&|oF9cgMQT9K5;>9!TvklqL*F;^gr4K7>@F6sqHk^!Jo=BR(0zZT7lE_YU$IFQts zWZZ_AI?07lHn@y!T$}*V5FK0=OD>s!XcY=cjY~Gtdn6OW*x)kp%3drY_Zms%YNIOj zp(;W8h@>Kn4X&^@(PaRrqR(y@22=o|ie7`HCb|;oX32ywHn_UCF&SM2i0Xz9B$paM zR4#Q$YFz4(z9hL2#s*gpp4*#6gl0*qMnT0_0hAkz<5X}tfi}3p+k~|MK!+>ftT;(U z7YM4df|1l@nUJQg6if(XgDbL)Ni+b|n!)5?oiN)Bh{}YHE_+AQk`_wXhL>=u3ZZOp zMYVBB27s0Wz~xoR#SRDpP<9LqNRU(n+Te$%#W&OIjkS7=WZwEu^#r)me)fZj! zdMU1k#F(We}e>Ek^<-oaphDh z3BXiL6X5AD3k{i=XORU%Oh|jzN&%FHfb(-mK;GH*7OdaRhxLO1^LbIb%p~9rAplcE zO~9Z1gn(oc@GA-EJz%5cZIirb3*Nu|)}Hs)-F!3gPL;grf_SCwdQx6#<9(mx?Ihlc zP=0s@(%v0X0HvY)uGysgU48=g07s0DGI^MV^&Xl9&H5QgcxX2@Q%FeC?= zt`pKz*+_d2NMV$QuyI1z&gS;k9NEo}_GbfoOq1=ggzHw-?c#`jjmk`HO zk|U+T@dK>id6Gu^aXhD~Um?_wS_9-FseT1Z+k?A@k1XxT+dlRGpN<+ZC5J2;c`~rk!PwcNvyegh0 z3>ZO3m`Jp=w#Hl#4WJ51;BOiy`ki{bVNBa)0P7t+2Dr4B@M#@@%D%g>3fj>Vdv6mT zJAg&Sq!K56Q%D5$WEq9KUEtXR*!Z4tSghB)@?nfnnr`yO#N(R*2(y@YoEgAI4e*(G z)O{h8pso^HBlu5l@gm(?jhV>Qfh?joa1nzkzfCk&n`@NZPk8P?X2}hvIR;kHY1yd_ zMJ!Dh%K6F!mvU|_%FK7N@=|ZoZbBKsH2z{n=P*v$LhI;WId_>*0#9|EMM_SnP-iWq zj6Q3Lov=pES+J)5^Gsn(3Ccs8L5#C93nnacta1iXY?U*Jcdcw#z<`as!O9Zi%&>qK z&>`uAG1RdrawbA!6^+lpL4r^Ep!O3V8jGkC9|N>PIW8Z>h8eH>9E#BIz)yqcO+)$4 zL2P)Zb0@Q&b9ix4OjI z3vPmKb2RN2T9sG7#8x<6C7P9p*#x%bZQe{thGVeV%GU>Fc20@PAlK&2((`ka_eFlb z#RYkVnhbBYicu=y%Cjm`A&~6hwh$>MM@J&KayO7XV`p!`DWDPK9J4QoJ9r)Lud8yd zEeUndEZhw=N1S_0ntM;0duN*af+y)y#n)aJIHpu*MDkmQu=HCzxD3ycwu`TzSOWM1J6Tl@eDq#$b`fV{{C%2xN1FS*Cn>TW<3hoBP9#4E#<{0( zshwoJb@J$=ONuT8^;9sWTbPW`Cmr|Ir$kx^@0#gctuVX2|INzs8kn7QcRRW=(4mp~ z;iE!)oQO|E^Gylt`hbe>cwizM)M)_%zVduBACbt0j9UXjhkHT=P~UWlnqN7TOjB>{ zu2l7zP-RCvL0hR*o)T!{^CSy@YvV=ZWpr-Z< z=2NfHMp1}Q)Tlt~8Y*eu87gTHgVuQLQ$jp0tMi{$9zTqwbb5AmJc@fCe_$Bvn-qRT zddv(xaY7i|oGI1jDEZTfdVmt~pB!PPxFD}eww~dehp`Cu&Z$_Qc{TdBq6XePjP<&A zj!LJ1#(IXdl{Y9u25*6UU(JG4m=8OeC>8l7Rz0WhL7>emAmn_FuUxjzn25-Abo8=_A`)G@`eO2p4OR zJAKRf^4smoSuz``m9pKRTj3tsb-^E){!$g~Qf|D6D)86>tbH`{NyC{rrAkyMLf+C?X3|7qs+dUzdxK^GdLTq&|6oIy>OZV zYkT3V`;!?PEsasA5wvfxp{|^Vj9?iZ%K6L@EdKVzAQRCsrHW>nP;h( z5fh9P&eL516KXfPGy$nyn>Wx3swU%yeo}@Z+DFE|5o{`C3`$~gNq?7d@B?90(8%xN z4FyeL-z0@}Rg}ZC=l2vt44uEl*8)E2Rx;u2@y)W3(Har=6 zG^zB5`f*g_%3!DA(~Y)tcf?hc`FZYwsivhH){RSd$65j4nNP8(Tp%eu|4p3{>LtB= zw$VmjBG%p-DT&TWQdSE6q!V~+@M7!TV~~zlr{htU(o@B&#EYw!4_>{FM_I~myJK<% z!z#R)KY39Uj}Y9TBT|;K9lz16qFz1CU7NCrj7G0AVfYbG((s>7b=?;D#`+B#ZIoZ# zC<&pY=9|gI%bVAe7jV!Tl%#()o-zb%^+!``mYDQY|@&@?9t6a?Dwrf~S zjG2BU3WO4!GUO-nfVUYKS%jhNQhn%yLAHBafKfphl`2N14+a_SHC)Q#4ZyfI2N-m~ z@HtXA&BN*8z}ZakmFK*RU5xor%jH+EBX=Q88fRh4C@kbBdk9ZVX6Arfe&qeUES4`w zWjVc5^WTbDWT#a3m1+|-*p$qA=7uAW?5qQ3 zAu2gh)gX&To;wY%=&~cIhUaR8R z2xTYZcaLJdSO(m@WE9+NSKaJD?m5o_;buG1aP!xPVh!+E2Hx6v*(uq>OO&PD!eE{! zG-lD0s3ExE3^3ioOdXZLidCZSdrG2aMj5cQs#%t$;{t9_E(h?dM#Hh$Iyj5Kdjo{D z|KO$!l9uf&Ek{Qsu=1Wn{r#Xs&GAKb>8J!&awTfk6I0Qg@zaJj)I@`~P{$>>G7Y%+ z`B^4LJoH&v`axnf+L2*Hrr{-iZZu0|C2+1~3%Onl853Bs02kkjKTmOm7)Sf!R_V9|R$2@amm&<|X13vuL)g}l39jr=ktx^^ za#kBMx=9_Ez)FRIFB{8Z`zRE8P!F{znu-r0)B}}HW7b6T`cF_v;AasZK!=LE>|m^6 zswe+kz3P`bUnvc`6ap*Vp%LL$s&1+G#ckAa39KB$@+dyhI(hUT#$`95Cr-!NWs@VX zmhro;V`+m-due>wrf9Vy$pI=v2~e2Fq5q?0FYsO0vG{-iAM%##Sl@_dU=dk1!U^G| z7kg|k@`Q0L{_5Uei&Ou%P<9K}l4&fEPIoLI?QK!%3~hATFY!Fk-LQ4LIFV}1ANZVs zeyXs%FP@&HKfm)+&~RCcmnC3Av5C0nAU(NwSw$&7Gmc$*!@0F8vv;4B%vR#*NqW+s zS+LH`4h9rsiJ4U}TW;n2Av?R)u~1_+P-WIvF#B`2pJpbVSp^tqu8V$kkLW$uMDlgZ zSx*t8jcd6vg+&HeATd9DoJ(l|I@1C|+B^MEzv_%_wFNd?lh z@py_;yGIETAE>Dj9|FP~uS{X_;fHtm>1hS4lvi1gV7c@=Kbi-QX9EHr-^CNgGxNX& z=2wZb^jQ zBiFOrjSE4YQhiA}bwmT7l*)SFy;!hRYW~DeTk+A8vKM3xszja8{gyAq!dC=VN`XuD z#(^QO*5YWm>n#EvWn|!mDij)t{YCy&DvM_+f5!6DsVp+0RK>|@!*RXDdrkn(>6TcY zGJ&OqmjfrI+R;-T;J~`_&V0oL7Bzp{_fmAdzh_oU6(PIV~CQ2Yc|rd{CsZ(x%WPYH=T)KaoS)p}~p z`ji!Gu^5t?$%Mo`{3Zw7c}{XdDJ27(qnc?Wlz*Ln24CC}Ixc~gJ-K zHZ)*I9shpPKZIi&dKoIj7OV+jRk4?-TzBsh;dm^?>trBMiw_Pn7U53=rnd@HLEnqqll=#O62e-a_U4G$TFxEgwT}Jzj1pyi@GLLN67@(q@p+pWy5b; z1v#PBjSUzh8LDU$yeyqrSr)p+>U5TPW2yA(@^58T&_ZygZ}DbJNvH}0R(gQD9-eb3 zkA8T0xtU)znMDt?z9{? zS)=B-05+>m%^{Tg&&Wzqh?jPiVzo|Ff-IX#Lukr1DovR`&03wN0$FyIrc6`{rV_33 zCt9x)RU#`xB_cHC+td86sVr*bMjfRF;7k>zicnrZ{r5_N`XHkC+Nr1$TTv%|n9346 zn|h13_V5lKn!%#j4!{RyFk6?sO|p_;z;$;9>k+m`3PZifqI&VKCSH)iZU{dBa7uM1 zm{1F>hwS>!yb+v67JMUJ*Z^2N7aq~oZbVkLsy3l19h!LJO$eE%bd+X*T`Gz~C_7K_ zyMdB>PDe4IlPpnDS_tJ;T7RZkfsA&NFX=QUWL2m%gr?l9(nR~y1nRv44Sp(Bn&=oJ zx(Y;Eo5P2%+nQaEo8jUR^m*6ajLB!2ba9uj$)kbiWdz1F!?)RmOEGXSft6(^W99Jo zJjGxPe}h6kQ(H%lFIkLEMquT3BEw=)ft5?D)1Ss0az)E@`I1?5G6F08KsMP^U;`1& z1q*#q6LeGpD;IuMB_yj7o`wV=pv0GIgiciw5d^ZDU-^T#uz}%mHGaeP)YdHiZ7z!p zLR5LLBj0)pOBfM7bgwOCQ%lHREMs7wXs<(TK&81ad6L#`@}o~jFOyPzpf|TnW5dVp zy!s34wWVpY+qu&p*V0meu}vyYVz#uHx`F)cWnNJZL+`qiedN#<+gPeOv-A! zq-a4sC=iPc>Tla;z}kpgF)c9}`Pf_8wdQv?DQqk_1RPBz?;O&U!feAQ{FPhT;0~|z z&9}1QEDO|s+=?yIDW7ojZER?dY=NlJfgtn~e)nxGDx6f_L?dP|Nl$nGRaN*pFS?B- zhqr9>bKpWSZMcnHeO32Y)G63q56LOm?Ra{UUiTwVEm;h*(YHgFmTr8`?JUvkd0ATW zv#%xjnk8SXf1^VM2+&3Fj*LA}4e7B@=K@BKg5VUq-zb;~fX4 z`A)3%m)KwDhny_p4tU&X-DkA^h8BX4kvdNz)0HitjYccJKRdk<&{JnqjiyQmz?7)S zR1<4*C}A{}WvGCvECC2xYpajo8Pl0Fw;=*WpNfvnrci2v(YcTQ>?c^ct(jm(Cw_Kr zDs&0b>6?)={RHH0M@Bu`MX9Y(U?E|Mlq}8>i}S={y{$zRu-+C-xhY2H&mg4ls+QYK zk$m7CY+7VWHHu7Ko0B&HRhPnzFWkXmyL(%E%F_%Vbfhh_vA3VS z3N?Xz^6b{hU|b) zqco{$W6obgE+%}7ij*h z*ImCFZX-k10JF-kxGk}=xGSXaVP8PFwm!U;+)eRm`$2y1T`aD1r7WK}4)Pc7Vm-T7 zY57kc6gCGPtlo$Uy9;@-3&59TR)KGg>by!R3D=yR}o525M>DNg3>fI2W_+UI=m z-OS$O=8yfNqs_qolgpwKfU~!yC&FQzKSna#Eth(NVMfWK_eb%~v$1JSZ%T?s#MFhs zi1Ev6P-wW9W}TmiIl;WcJ#6sk^&zsjn^9;=KCREg-v`iKA^X)QBYZUX+oEkb#^>I{ z9R0fcbGGZMS;5A>h<|$zo6s}(c{R>|gHtiIB)J7ooQjCziL==~wyP@r^mFK39NNp_p)08Y8LUe_p%#%Z2!PdQnrD2 znac*=brK(KrM|fmCX+O$Ds6Ic{we!o;G82oBbM5cr8N(VCWNY7e6vkc`l^N;jO3rH zc^tGZ1235itv0>MKbi|w?KuDz8u$(Z=Do>J&SgEL35dR=23?Gd=kU&oX2{1t`aE9@)+7Fi{urY z1$Hp-*XFVQkqN47@x83yv80+do1^ONp2sW^R1?S^Ekt0KqRzm(&S!nbo>HS)FoFfV zlKOG1xJZlY3GFtS0M?4pTdx~JV5ROmK6gGF(xm}l;$9QNvxjwv>_wl>va8zEkoJFJ zc@)~9dVMpWSz@WC_-WG!7PM!Q(~b5_BIkwok+C)hwFX+m6(8poPIKIH8`9QJk6N zkg>D)O+d*F)=}uz#XTyD6=@}CmKqg`opS71fT!`Z&_Tm)!JrS-0wRr{ef(7LJj3Y! zJT?qDJw(nlOHLa&+W1+70pJwlXGIo)y33f4?@uD=R=<6!tI@XQQP%V?=QY$C9-E{e~5koBBr*1_VdHqS-TX`|JaXdhDY1HF5# z0#}(098$#q_lfRb>sD>Le}a*?X%qcubF9$_l;UsbC}_uFI@>4Imf zN67Ict$vI5&t@YdoM1xErB8LD14dW%N~4!Qkj>&pKQBLRYRrG;R>@!yo;ri@w@%@> z;#x}y2rx)tMdm&TMvbz~!fSzlT|599iZ`dhSh0kkyVr@q!?$1Ha>8 z5M)2aKWroTYn3FhwU%CA)oaMTM2yX zp*my8km~t7qzhm62;nKYxVX*Utq&S}`2^^AF-=K%sDOsl|mS(1yIi zuYZ(X)hQa;-og(2sYh9C{2p~a_XgA@;f=th9t_7|qVH<3rLi5}k`DX}pa#77GC%Mr z>(zZ0+n)^7;!)g6e zBQ#gKo#B;_qvh0)Pim+(*{LFqG|JXOUr=q=E!9ap7~Fy^ty>~AB>*&-@noZhI>1A# zq279(HG!2MyQ%FP4%1mXup;Yi(0Kfp>;4??#3)2-K0pmf*?*Dz3`5-WPX-&X&N+_^tfZlkD1vF#!9!Adjy~ zW>KMVkezZ-r*JBZ1`XXEa6-Q75IZ^K8iCpus1AQdDbBJZky_kSp#}#K)$V{U9RYT1#eU9{Us<$DFF$6R1GSU6Gel>5g5mi7CrHseJuX^u${Oc|m@F7Ey(XMroiz%zqWr3s2E$@->b4 z%>Zjo_MVbz!Pf*r#|DI)L$>tppJJt}XFy8;Z_H!;M^>p$Y=IM% z53pqBN%~~DUjZ4I=t7}x7VNZd|G~!1IA{?{Z_4&83=INW)d;M!uo|^T-&XxRP$54lBCPv1$v^v|k9ykoD%-S9nVSwrs3|gk6;wvn(#xL12m#qe!AB>Cp(9FoiA_kFhiHz`d_? z#UtVg@d$N^NBrI55j!6bEWac@BA;W$6RYGA4-){1&}<}(*3%P=)-N6IPnv6gpf&F^ zSc(YyC^L5(z0J5nZ<})HWrA_WX8Z|zE=#^)bsTRz8*dJ`rv=o+r0xgXT;mz6Ys?7R z9Zm{_<+T9jaDQ(5in{W=WIQIjc_tn}#A5o4&}u@2oaH$pZ+R9Txs%W%K&ySyW;U=o{5Wn@zlu!E3Uon97G_Y7qq^ ziUo{UxY@wO^FNBg5|sfBmdrjvy$gjfl1*TxmlPVXm~rFttpA`Rsw5XlS|a!qQ%U(r z%4htJ=OJmdlthI{l5D<`igZZ?Rx&TjVPYxc+ac+?65RAk(XNCfZQW1HzBt;cp7Z}| zil_8<=ilX)gC~W|WPbPaEMirUM5i$#zU6jYR9cAY74TPm&&Wzn$vm&cSB_cFs>PP(NWtdn7>nO<{W726Hl=YYFT?kD%{vq|fOY__Jy|)zKg$udac6GFQ|fT&}h7 z$Ck6{{in>71JFS1N#E*8+LG6vyn0$NZ(feou6%Wmp%VO*m-piS*pS_{`2U(efmzou z3&QLx_$?)DX7Yj=YVX|#XRc}SJ`zt)QjkBjRj0Os+O>i!ME%2^Dz#hPcvvbn99~^YpknBJpVYIU7%aK>Axwl7qXB}TqNM8q`~q%=@IcB7P?_1<%cDn zmCvLu*Uzb(hlruhpSS#*LOwxGn4VTf4k(;IP0b%I%r>nIP(7P-0Y z6&87;Lt2kWY)6I?@jmtIp?$!a#sm()^f5t(j!IzV*Y^Z!4;3||(<|4ph-)(_Ym>|h zRg6Q9>Lu1AH%rgWq}+MPg@xqLC0&HGYK+zf*pL6F2oFH2YnvAe z*HV`5-XcknctGTtlFH?K(jM_17MhSG-8)AVI_~TajtZ|Nr6Orswzz zrGIzucMd+kMhJXx#6LRtYvig9{vr5p4n6{q z>foCm{kwyYN{Ym>BF~g`UcM(KiTAM3g5lD^U&v?D-r@h?;HAj?X9tTI{9o7LDbN06 z4gTR>VXt!a|Lowk67?q))z`sGW!7#r>%VjGZ4!0c)&J<=A;?u7?CA722j2>i>fnzb z{=0*3lN5<7M4l;Wu6$2=MZAZFUbsp+cqr6FKuDT+^*=cHERc-((f<%4{s#wtl>d)4 zIQbpn;OSudKZS@;i8@6^^>y$sZwoHht6Be@ga0j2ldlpEPWB5CMacCe4SvcmE@#U? zf?(K)KvK7}wu{&&=RcRRpef1I#HxdpdbccO*K9}crtOR5=pl%*lo{FW`nsiy`~;;3 z^Q&KFgKx`F`??D1>u%YBzV7Qf+@0hZJ9We}T_zN?8kZFg!HPj%YmjmI5~MtdLv2sn z+`n%AwJ+Pd>#w}AvtGt8k=+q7fEo*;5Weww+9&yDJL?gcQoX>;x4+8FJwvGT*4qRP z%^GkLM_K#~4|t6Yym>OtTvDNw07(1gWq5kagh9%2taFM%5@57fp&MMGyNW(E9it8p z#HXu2d5b^w8rERfNERh;@fEMJm{FDT^?qm*P3`c z50$fo*j-}H$ynf0QS6N_Vzj`L>^%_3tIFA+o*ua@bscetb}g0JChw6z{ui=`r6F4^ zE4+VJ4ou#{^8|i-g))2LaX+K8gQU@wQ~85$Xhts#;?-}!=)C*+$v1qArjG-97YFgU z6)-yderfb2p(v*JFkYI2-)fO}@`LzOgt&3OL|m;R(#MUYQEM$U5bzcS@$Z1xcaA`O zEQ9Jn36P~}Yb}LHdrO1(z?Cd1z%-xFTFH9#a4z(-B&4IXuuTY1nhxV$F%u(yNE?JP{E~ zUj6nFKV5QET~6P~ZL2h07I)-Nt%5F_@8ch=V)1%aipFr*o8OTiS_SiNyN^e|$@&D$ ze^{>YO?wkRRW9l%$GQ$+uJ0=MXzfU2H5}t6jF-+mDdrLLOI5@SWa3P&Ph(7}E(a=k z)`>hDnh`Zm!UOr6Pg3Ixw9p)3(}d{a5ws9=4DaIyw(YHE0b$jmo0n@N%}) zZqQrMu3QzG1!k!#<4mMes@F>G`bzBrR(Ir!-ok`vqpAsgA9{vLpne~EXAWQY7S24c z1yAfyLESkHB(U-paMSX(F-PkbN8ZKoB`@iSb%aREOfH~!lKMR4 zSNJ=S4|Ozr=*SP|vQ9yuDE^cmTFtJzDbrqKn_4`^jW1jUO?H?5Q3vNv@sw}R0=)Ih z(e;zv<$qB6k#ACq)4O*_DNbok9bNNyZ=A55K~9YYg9w4=4?rQoQlEmUMm|jB^D%#TZ$B(JC;e|2P*1LFXE8 zIy^VU*yCM0 zz2nM~cqn=c%D+rWg98`V@`c}WoCjMXQ1x`XOxa$8zn>J;Fb#0zq!&0NjOBnL+ zb`*!4aIk&wwW+)>%H+@**7Isv0%5C-YLUFJ7l~Q|HMX>+Ux^~2G8zaI6aK|g0*23* zP0#Z$7M{BYRgD@+85+hOH87MQjYXgSA~0-xM0Tqc0AlH)t+pSKwH>r;khMl_V1%Y5 zmr9ySf0`pYO%<}%|CMD2Km0n8hyqoj8h@fwI#C_6YE(glrqsX0XT672gL67c1Hd~} zlzKube@W`nh!@PB+w{Mr(;W@q$%^Hk!arT2N_0))AGaIfIBss6@20 z(aupJI#DpPnpGk~Qw*Rv*$IQF`?F5r>s9Xl&ve0k-i!M1)c09Bqu}$>`|SMyG#dL_ zoVCl$<9DvbRW*3#tYv*?8S+s*P}uncC?!_)ltWGZvA(RJ)+op_6~$B7#cVP*cm@r? zP4QWH(#q~!h28JE2ee}GLw zlUg^MsIIR+t-f6_TEEAo8GC7|JFuw+)i*^aXhiry99HpC5T`3#OLl2jHm<3PAtLUNBP3dv_`1-b{`g5PtYC+tgKRT$?b&O?2DVA;}TeTOyj$OZ~h3& z3?uaH7RpXTcKST2mKc(Z5&7vjoYe@!r+P|*$%nM&OKn8&cc2QrE1gyLRLe+}U>_uJ zsxFJb%1_0yhTF(SDnLRu`68$5$OKl_0U5X`Nr@Zni#tunC9qOZ%>Px%9_dkik6&*& zqmvl6#qJp`M~N3uUKG;m(ZWCobBe20@O#%WOM0eYsT>f$YcUplh}`tz$8hC2Hl{GX zC+xK1Wrhk2+q0x}^zkm___~BJl~-@)d)KkyorxnzYF6;Dk6A?jiIQ{vgILKs8L3fu zvx%8FM{qlLe9W%uH~Y4Dj80JXEVU#JRqu$=dI}HxH;t$TyVpKu=D6LripE(dnp15B zVWMG$*JiFHny`@Cny>gzAG752CnO?$6ECJVa~tJi8_5_prq)o0-erRE%#XB}Bz|cT zQ(FO;qqWA7*Ai|l8Uj_tD%(#O(Rvb7GWp$~uwlsyp)&bp4WI^X?uRtSAYnM?ImHv+ zR7H!XK=IieS=@=&eZu7=yTct(06?yzdbs#E6p+xx zgn}=x(7yoyBtA+2{91$lSpi6zPk>F1LyN*cyy3S^4)@r*0Z6NQSg`G5Pj?472~a&( z{2Ky5=u`lV1+T8fs&V4CGtngVfi$WF&;EpU zQAbcWrq7i-7+X7W`)90|!vQc6&;?;Jm}!gs8Ki;QX)cYvLo;uOw(wm8qO9)c9lGxb ztQ0*bx$f!2zaXxeD%U!2vZ-7t=!5I?_X@5jZa8HpuIf#A z(EGzVLQ-Epk}psEf7b5ub0y#>VqAsC4{H676|@zw@SaX z`0@_{9ZgVhES>o8;T>wQ`4kQ)i7^1?Z%Bn|2z8Aasv(32Lw3&=f^Ja-88DjCi#0|U zL}2B1(g_kwploM|1$epAkZ9yNRYM3*iIaw0nkEei_T`_T^Cz&^dDdJ zo9@@0r3Uk_s@dQ;i%-y1Heui5#K<*jq+azawYufgC_fjdS#GlBf6O|#WR3#!bWd&cih4mVc42-roD;6x$rBAEx z@>#I>b|V%n#t3eTg{1i`SY-J2H>px0S}}o@7MH|zV&ReEtJ4=ZUB@M`vO~qK!eE|o zGktNV1_cA>m0}dMn-JH!RMIX&|)&nmv`M4TruKf}1~N!|6Ip zHXK_=zRZh;6AdsCH25@}df9NQ#n+YoR}E)qIyIcN(`3Wxz6lK{S=FQk%(RBnEHtr7 zO-9a?{e@jcG@)wvH=JZuyJ+NT4TtdHg(EX$#k1kHT@82WGvQ=pX$^lp0jm8G@bi@zd?AtG!+6 zOOdFq1Xi9^akc8|@WoxA;}Tf81Gw#K%502!^-gAC$60Dk84kP?A08G%t&nHB1U`0? z(HivN4K!FcT0?4S(b;I-WwgfBHjCt8tXkF0HO{D?Yn-*qI4E3T;Jp#>2EYy*2U&p1 zGd8oRfNO7-rCqR@);v);sTyUX3&~dNofGMlYM1oUmOF$O=dV@~vykb(B3r7Kb~f^~ zAWnEF?T7E6lKWrP#bXYti*s(0+THObv|FnRb%B{y7juxt??9z?r>DzSu|9~u|0TNq z^{OT%K+@`6q12>&x~xNGcx_kjHd6bnAtzKIORIN;rbIs_Y1a7DY}IKhk)=iBHDN?_ zWRWDQA|k$PJd5m6gQOOI39M8u;!Cz*d3cY`rygJ}*wzus;zhFh9v~mIufFxtc<%J6 zzQ1ij^=%aFlmODeC)!r{R!K#QMD-=G^8J$%SBs!)d~us~TmmcaskmAIt@Ooh37R*G z{0PPbSC**AS_G}~MKSxjUwfhklD0r81scX0VULp=( zru*r*Fqr>FB11yuc8iHPloE%o;E?XN;8NMWwiCZ)x3-p)+y>V>m-C6+Si+rsGO=$e zf260~czqnduuRFjj$v^i;`N+eBk&BB&lz;`X0v)bLd5ZsV%yU(n@R_6wt4MX_uEkB z++|bJaKjVU0)kTR=R3EtVIijByKEbRm+Z1t7rw=V>#)p!Z7d&Khf{i~@9|l6I0st@ z2ID;=KF!B7ZS%1}RUO=wRSJtWt!g_N4e7I2Th;(ZOM9;v>=8+nSQd=Lq~h?S7c6lq6=CX^N%4jZS#>pjoss5N7SX36k_CCZoU$gJKlcyYce})^Ee}d7zC#muZkNk$+ znpgt(gwa|bu-tpFColU3>+}(VSPRq^~k&q{?mLlJ{{AHNzaC5!`H>s?S zj_;bnzV+4_=h2Th^O1!r`p$InvMKaR3UA)QMh2|7#H~Bogb{|7LYp;`G7z@w)SED_&5o#UZdHAV2|^ zRN!5wRG`()&+KH^Swi5^5lS?L|>Y$X|Pr z9E5zK$WO>$IH{m%;Y5c!+%huNja~drR8rm@_=9qaza2Vy0Cjl6ah1C%bPjZI%x-dQ zJ{kzd(~HhqS8&g_?9SX}5h&-@ZKJ<5E}MhTucUkAW_hY7sp8H05vak&;>j3;Iy^zA z{?oUsV>UMOLT<*ndf{ZY8-Pjfz@21mdU4FnliVRckq>Zmz82_{JY$4=C%H%LB>N`0 z$NWSV;}HFa$i^DtAmQLCU_tgx!A0C;@riTv^{aWUv{p@iC^~LW=gaC*-h0MVlufnR zkz=T~Bec>OXDnXms(O1$war4aT|x_m)dx>LoiCUm;@)i z&&RK|!A=~KAZF>sxj>;#5^PR{Lq{xcQ;6~;jcun_HrU}FOhFrH8LVli>Xl{sn_l}T z(aH727PHWazK~${=70%gJ+y$b7Fw*7m20OwtJfv-rXla2%qi5&5u$y}$<)jt9q96Z zvSyYl9Pte z>o3-Q0xkXF1=U@uAI#(jAyU^cy%>e`Wtz0g6sI}P>l7kIL8J<*Y4~2jBq-3%`dqs# z%}iiZhM8ox)qs@h7NHA19YdnYd^60Sri!z*iObT(C2Qhr%7OW?Hv*ql)Y^z@%?Ua| zi}qq$$shTSP3`~G{fljjIvVqb;D?ClsehtqPiE zUOg_8N%x2cUO%BblF~gM^{OOOo=6x?@6)|U>7JM5<8^U=lF{^%xHAb`0v>VSkqnM-hP*F!AbJ9NxqWW$UKfW%oEQ}qzE1S2JVxZfa|GTNPv4}N#Ps(@x9o-n{_R( z*vqDc&~;#>)|ZNR%PZfr;T=}+5#O`^*RBv(Q#rR_vt;@7Mf8 zYeZ#UJ-iZ6hiBt(x=;z9%viLAYD)R=8u1$cC|Q1k%`-$iZV%B|sb2;7@f0 z{-jhHU?5f(Ap^}hGO{aKA2czEwz-D|MZ!2E|8XB1-i7>t+EUQ4f=BOXsU5cRnfozH zTs49}y`PQfa_0y!Y8iXu2>$tg);oNw@OnfiJdM_^;2Aq@4*zvO>y-c{;HP6}jWfj% zjTK8AZZiQ^$3g!zN%I-sX;-K4sFeYcnEe1!NkbvJtEjEtzxkl zU22=)Q@UV>CfBa~0K9Dz#E=@x;Z?lTKGrQ)8~UI9y_=|BA!_xSUMCJS;8^LB{wPF@ z*ipET7*Pg+wNwEC+x%!SwlLF1*Dj&)T&VqOQFuX_c&5$1E*%3jbXp;H41ji4qTn5# z5j(5s>5e$RnW|FKh0Qnf-wxm+6{?Kbgx*iJZmOqfKUK=9p0)d_QeuN`*CvXGLBEJc z=r`h#cmNNq;o}G6DN8S#2I4xE<(n}~`U3wApPTSUvy72t6sVy!o}L!*lrtiHhzm`! zv$)tUyeWDmFa3!P?z$3)zF4kX?6;`o*Muzjc!%VQ0i`HsPqyC3qsN*Pzy-g2175kM~B6 zrH=LD2EOGWOXyN_Gd>0rZrNmA$^SgauD^cH2;WM)Uadf;VOot#sm>mtjlQBwsh`%X zl^AO%tz$&pyLzKaY8IqAQcCq=&{L(f61CPrSC7FLSuZu=5hIr}wPIHq?}$-b1ONXl_3}G)kmRv7Kh|alpyFD^Ucu?;h{3l$zs!7AC&f zlx{I28M^KZiceud^gP)Y5yBm`0!chp;voy2s*Or!nt-}dhoeB9CD(LI@Z(X+7|Azu zp~{S&C!++jyK^PCdnC8*Mk|yw%^`-Sq?ur1v>r^GJ_!$J^7pqF?o>k`|q(d-onO>MogvIF(z#h!X=tfn_#@NN#2uR>g zc`<94kUbs480U+Xf`v@45$PG2GtElasot;(r0M77f9H!85*fd8b%u*O)PaeK;Eb=} zni=@Q;Gdt-`Jm~6P4e1U6E0|^hMgM<0@y4+4rD=vTiQg;+!>UTK!pb7sX-Z^b)$Oa zjs0Zr%?J<$v`IkD*FwW&l_ml-C;*5J9gL)!4Q>G4vH5rU5SL9ctH{a_AO}nA%R~6x zhgtvo(ulz`&w>5P!o0DArL-=Xrus_TdP-_oL<}VTDkT3&npNQ{@?CAn3y1JuAuOO~ z2=8_TADsWGAHV7di|eq5-+F|N!lUE}?#hvVat1a7ao?oFfmV{nz9w%3pid)9< z^GDf`?nPqV}^M(ZxA#rD<-qZ?`M#d@5-ag0TEqoo#6-BUe5 z>#y)HkFg;EX9n^!$M8)=_b-bvWR87)AWe~LJmCd2pQpY<)UbBzDpa&$vWvBs5HY1q zEp}W<8T}j9S~g>?WeX5O_koddP|*A`v6c|7E+II>#S|B{X;(FB1!_rH#O**ac3u9N zcr^w6Yap*Z&g^p@x@_q>0eAe+j6M~SA_f)g(d&rB6nZ0=i@NpPM64&Kv~Eouee@9_ zb`WyXJ##FWB`ieD^DMArz^bPP#2ZrF4e9PP4i9Q{I>7kWRp2DW*s_QnzG&$E6 zXxgpX46jM`L=;^jeQt#=(=5?)!()2rxAA0UXv-_Y%9m;SPLUFWM(4}w`c1_35KeX; z29h!hb8E6-B!JR_t9Z%@Y>R{p;CGy0aRE0p^4t?F+9?)Mj83_#kXM6aOcVseg6@@~ z!qpWUIF!^LjkYR>zmsKtjOOqd&Ea(aYwR_z@G~da9l5k}hW^!=e^|Fdc zS9s#AcObg=>80DSdSg6^bz3Zpq@HBFv)WvqKf;fkWVhtX*LE>V>32l7|3m%%Awu1< zLqw=I{UTJ;VM+5TXw;bT3zGj7GfYa2id@*gtB(C?f4=z?B2*JGc;;p`LVf*>kha%P z+8mWZLYuVd|E08RROHrvy0C=)d{Pr4)SUh@LOmVLUuZ&v`i6hngb4LDZ*F3PyL?Rn zsb*WyD_?WVX?AVEM^XH)(+Egk%YfAJYrgR`0@8LBZU1)Oc$&p`dBQB*7W9Of8_zJe z=JB14eAO9tFd(QeU)s!0$EXEy;h;X=dNfuLhW;MQJ-^}XZsZ!i<~MeW#$f(I9(b0e zJ27iQ7ZK*?l8)d*-O7JrbSry+-#-_?zMmxWsy5_fF~a`=U1Ir;vluk>??J})3wXbl zti85FEl>NM4eUZwB=U*9mM{99O_(wQ0~1Z48{F0W&(__8r7`_Pd~@P za@C1eGI)s_0n%_Mts61zrof*_18NO1$W!}U^uYzY;VOhb)ep*lew3EK(o1*KNhJTt zO*Unfiabw44xAC{?In8BxbFdbbQ!wM-NvC`FA7+ghu(LFB^rOzEoKaJloKW%f1X)8 zY>~BP)D~WNo|!wm$^Ug8pW}GU#P^+N^YD881vU_m`!BG|c+C5QT_1v32YSNcySD`e zZ01#eu!PRdm+=$B*`Y@-^QJ#As1seqHPq=gpylZF5cTXTcnKY!mSBtk!z&xmj6 ziF?H{{&Fzf))KlO{=(X~;EXBZ{m6$G7l=p1W8x9}I6aC3?W9A3EGpxS1?U&?j#C5F z`xs}SC#H9cC^o!f{5mPu6Zns%2aOUpoD-VGs?T`&oZbWv;NF$#N1&S#a#7GGJYe)m z4E8?-5z6{AJfP*j1q)o>Zk&bT?@e?#c;7T`!*(FQ_?8aX11R{>9r*I4xA}>`*vJm=aPvi$688=k$x^R4 zS~s&F9HYNk{Hq6az`q0dKNjqE2fhLPj{*V-|8w9+3j9AEtzWU99iz`K{uLjA4=Ovy zyI;bB-~4lY%q7-)&`r<)9Nl$}(O(;vt+;*>tXXBIFXFIy67Y=4O{HAQ%x^k2WXH#(9MruVo71pDjj$(WYKL> zxS%=lGK(HigGCZLE?)*X?SoT3sLXw14I=E38%KBN_g`kV&TqeB81D&uyJ#R^eVO%( zFkts}!tmB9#Uqa9ytT_Vr8wbe%hP=SWp;gTUWD)Zxf7bCR4ZaRCeYFs5`?Chf+5TX zZ}ppBW8vJ~2Z0sMS3xrrsNPIrj9mEnc$}Q`(zS+ac9zTrP4pbNCyo$J%JV>^4KA_c z0LRdVL$>tFL*w|SD{MmN`U@g*)n4GCtt>{1T&c%IMlS(D^b+rc6LQo)dI>xUW3i-)2p18EOw9IDmR_RPZCv&hb|2}35y=R5 zM~vLd0{aD&bmNCuVDEl~Xv_Z}Yi|P=Rnf(d1I|@M)?Jj97g<4F3|~-8DDo{(%tcW# zt)S3&!W4Ux%8JTdOf9wr#Zm{&ipriSE$PJqUsileO)X3Q8!|~6*IQ_%AHuala2U~dECa>wF5Fn~vVW3LoG8lChZa zKZ}Vw1f$KlGK$1#;T3bwo+7Eh8EnTCLq*s@i$ukOLdfvh+Ps-X{j`X34DFxhI)7pu zo}XOGh?6r0pZFiv;2fp1dtqDksbWY-cm0Ll{I*6Bk}?|kGYY#?3rV)(@9iD%;-sTA z>JF?c4Z`jMOQ=|(#znALi^c^Li_RJD(4#bZLNh!{qbCO-jh?U;woRQeIL#d@0vgsL zHsW^F!Y>>yTMe$pxT8eG!)=e>C>-4fJMw95S!iNE+&l27moP5xi?O9qs~uZ^QZn*N z7Sqtlk+*a)4V}_lyVHjpFqZ@&l}ovNg0F4MB7=TB0l|5prRX&3+A^zCjFU#gyMGjc z26Ma%eiDHOqojj$G1jdiu8c1fazd|+CvyU+d03Jp3{g%Um+|A0gw|k`O^$vF9Zr|2 z8#Kb8;$u)9ZkZ=;t8j}(FX9(&-xE|O24T2;TcgUIXv!Lms@<-p+dO6cQG17sHoI}| zPde1;cUV4_W5|??+o;20#N?zQQ$FtPhvjoNMod`_H#ei)vuieT4vT{TS$U2F!lTUal1b$HMwQ9`+GQ6bO3!#QGrk#u}_n-{8w+@!~ z)-gg%h;s9BiY?s(H{yZLJ?zABzB!P67hpclUu+LJ@K^qL5K9U;{|m1QVo?F#{lY&B zVgoF1{sI-}?I5S#?ow9#!c866;Z7Ny#M|Kb7$+{!5TCQ~vmID|`%HPeXCS{jn8n?g zMYpttZ}~t>et#%Fj38PWr1{n4NFmf1EiKdXsWoTO+K}dbGv61?9tg7Ig(?W zM;sqzW<&mSDVVar+t6T=t8!W{6rU796|q*Z%Mt2U$&T0I?4uZQg#H{@?~ z$)U^CTg0v#b0iV3iv^fV;=qw!I<6Ue(>j?;<_FRo=?YNY!xoR>k6GC8q`*6(lBSzy z9l&4I!Z>;J=XCRQ>>2wVTbgI}TbL}6--6?JAZM|K;dk)gEbO^tN}4)kN1AzDy`vd} zrvr0-OLHAZn1)-F6!$<@Hw94Vut2y1Y70}4yJ~7X@wFi=qWv`C4r)~p|15+>kJw=t zBL+Hu7;>*LMmUww-j7O%bE(hG*Q^+4BPpUyewXbx9VqnFaOuD<(8wtulD%Ko< z*wh|$ z-rSi*0Yrte2qy_}2d}3L5uMmM64bnh<~7ck`(Up?4rW)fsiLu=qfhX9(ge?iAmA)6 zyg_=-uLdinG(tHyXWgJ?QHGT$qC4rHV>e;#!(#fZ&zyw$zxrq7NxygGvCRw~J7`b( zkgap3nrZtYH8{%rZ@(J8J(TtANxLl4mSCX>H;0eu!`O9{?3_T<*^5mwd{rJD{ApmySJD~ocf@6y|Wv^w4)6Ctle zJm;a&&_kI~@r_2s6IvZuAcF{PTy9}%(rOipe}3!eWjkFd8US@*Y&9W5@;6?AAHQGu-iiUOx%dc>kr7KFzZxkQ^B?G?{9izD`8BBQ#ID zi?0l0DT7MTW-*ANxoZcRoc07PVlbuNE-YjbYL#)ls8w2T`Hh>xSyG?Xh74od~^h}1=Pp#=@A$PuaD)f2sXI=58u$J&3fz`zAu7Z7r&`- z&ET{_QOg8$=`iQxOTy9rm|KaWh_K^OUT@V5J+(`C-|L?pZlJy1%>&j~SwkxNQfLNS2XF-~Iof6n&_nUd1R<2TK z?)etW$JLvgUd>Evqny0JFLq_g{-=3j6pI6x62wlZwy?I5r$#et-;*eY%hV6R zmDB^j+sn$dGCJm;%Ewqg)jbe1i1wPY&U{`p>pij-^{U>V(N*|wX60FY#~Wl%x#n54 zIcCd!lA5(vr&bu$EcMm?tbz~4bL%&;!$lvX=uvWQkG6n zHcUP+h|ePE{K4|60XU}5xHh35{T(jXES`qsQv~S2n1SWpda|`n`PiW)z+Sa>%tb-1Ivq=W{KIGDGmyjYW4ZlFDu95Tb7}x7g3ghZj86h>J9H z&MtEtW@2-8p5f_s78U?y?y|F91fR3Bmjlk8=6zz>t=5v$cG`?N!1g*W9t}a(rX{EO zvRIZD@IfB`HkPFXbdTV+UhElJ4(uO_(&nCNf*6a|+Dbu=wq_ts)WmxPLJx3ajWMA;URFQ1f3-4DnXP4HVM9z;42BLC8&`gK!P?B^phZ7g8mX*FF`T^r%Sm( z-rg)hX9*6yE|l3R!DkYLNm{rB5fb!~V3Gu(5|Ei260E0~&M^FXU^KIxl$J!P+B&>xYw_`yFOW~iIpB{mh?M@nS@?;0G;*`n9 zU+x&zD}@>lwWk=gCu(FV*yh+%#HCC@ zAY9fQB%p?vsm2kT+cXh6IWV}P@vC6YlFaCj38ngL(sH}%47rB1{2iLKT=kn!8}>B0 zO(z4SI2sxYaruTgLa85wO63Z0th8h(FvJzsYvKyjl2HCyZ+1hMY&bhyincaQr5ym+ zn4})X8JN9U-;@~8)HTO!=!NQFUE;}7I*SC@&n8yT|85Y;F{O=mk5`a#wyIAc!E|$f z<;Mn|(}&r5U321O2Q=1xh)qVMD}+jf`;mUI;+flz0t06RY*AqqLT?(65lX$j6IB=Ge;Mq|Oj4-Uz)`6I+Kg@_S(D?~f3V zvzE+r1o$XTF7c=VQtlv4Zk;Z--jGWu^;?THLp{>qfDxIuYN)FM6{m?M7Ih8ryG^HF zl4dy4#_s`{rqPfK9F9BHh<2-ZBk69s#T4ZZzqb$&Vh}K^4V0}WaSK$!=nRqNh zxcVUk;U!R)-;E}{Wyt*m;Z}TKgN`l4@;Y90j9mSMQcKNXq z8b2)+*B*zErw&10C@OtogmfV?U3)SmIZ9Kd0J1E)Dp`gqg+Sk@sX{F3satILW|7+z z`=WHMa80f!SEhk@La94%kwq**BEz%t4Y|cY7in^dMO{YxZqt`5rPvra6dPhIfv(WRRyaxS z@ySBp6ise|F1O5(ODMIL*x>(FNMrP6<%Zm9psO^w#G;l-u`4vO$-3AILu@ibC{<@_ za%;WiRvL151HD6&ODyUQQtlW{Zi+6q%8*MawUZ{c4rvTCR2yQC0DUCFDZ@DNs6S2; zX1KUQR+~eYTWiQAl)91FV1{~cxw{RyDv8q6B^K2!#je%FX6j<=C`q1ZNQ6_TX>uEp zs3qPSa+`o|(&Q40nkeNiESKtL>2m80bqS@KCUO5kh+nE9DG-}vS)?quAFkD-H;aM~ z*CgfYk{S(3gi=2uHWb`~G=@Q&46*d_jZjT2v8c~TvA=Ut&{<;7*X8y52 zJu*?4VWK9tP?sBH$R(8e9ZkhJw3+o~9{CENUmI;7>0|1&`A>FDc7#$>GG zP&LGsEH*K_he^&q(Jmpgy70$z!F*IKp_{U@xCeAe}e&;r>I=$TFo4 z4P$usA*@Somc0E8Z*!AMDPT1$nro=!0D7rrQDRYFCVsbRahcR8S=T7v(1=j#V`HR7 z1!4T1Azm6~k_n$kPN)kD(W>$OH3`Du00h}r$NXAfgsn5OxK=D zNeh*gHl#XwhSDie$PvqQG3mljE#^EJ7iYC~s2shb_rEo*_MN-C3XSq>Sx zTb7Z$UU5?W0x$jO+Ou2gcdJ9FU+6`qYfqgdUk@^guYfFLcydIl0(2$NwVL|G(noDa z3EZaLrBeMOO|GY2rh)MYrPhz;{fA?vMGfN*yb~0q^;dX4Qq!-I3ddkLrnhst_B2Y3 z9v>|fj`JeZwWmpvZwFb-S{YBleweS2I~}v?gsL=aHHg^UrteCmnvn^bI8UJDhMt5{ zgGUQ92U%$H1QTDm?O&K!92zW5j9YbXFP?HE95!5}Q{TOb=ibP=+!iBm7vChcDKMIJ zoRkkyg@<}TCg#uz)O=!dn<6xA9J(?Ik{QYnN=?0q@4u0qj0t@s(r-&Grc*tccp%$A z3*F*aPTH4LtKy{0BPpyh4s?g>4~eT=h74RsHc+CJ=dMjDPFlGKt0U%*l;`*G%2YO? z{mwE>fPIwY*}Z%O8yI*NhDxoe=)-Rq!McT$qzwVh3+BflJDU2b1yr}pY0Kr2|SI2hI%FZyBz?WGPFZ=Lgc*=77(Z z@Ul^?OCZ)QP{NX({M}J3FQD&Byz@=0@*lFAwMu67U>R??30dusS;g+)A)~L7Rl{Qb z;Aqx0aW%fJC~TivjjoFHe)&Z*_4W+cg$&o_4fQCtMu*!IeeR3Ab~HO5@ZUwe+QBjc zE=KaU=~!z_TEu&&V|^C)x2Lmi0Y8`WC(>DO<9M&v33Nv&_A;8Vie|LRNn{m+ zF057rRmf`ep*FSHmqFM~Fp$Ea=L`BR$UqoQ*sZJsA2W{GGK7VQ8GJLr2lWupqsJ2iVmBc?C&*lVu^gg|B8=^e3iDyn=;i;P@VeSMthkKKl z79(0dke+&^!XgS*t8&DrFUA77p;4B<8N-7ubtJa21Al7*%W__PPm0~UQ7mC&Gz%@f zlfMmudKg@kQ9kOIGI|HdD>5nrQqL&OD13p_uvUpJ@D~*`2mzsRG za-)DDqx|S3ZoitquDsVo7T+$xh7de0l;1v)bscZ%DhAJGiLxWgBu+WZ6$G)ElZXUz zF=r;RmC7~Ne24aWdA=t`3c=?rwohdJ?le_NBOI*}Mrb3;A41=Uk~Z7~f_i)%aajl( zqHGhalKL*LGhEHWAi4o=AMa)9@i((L=QARCi0N{*XlIIqmNx4BAei&{ zx3sugq5dU zBDs{6=JxmGQi7=3G<@l)0s_=in36%`unzr%nzF?-^b>yVt!&0nSSwX@nW;5o%GByA z{JIXh4yU`$rn~UERUf=IoUN?gDLemFfa9>m-u1oOdksH)E4#j1u13lf5VJ=|(Bv~* zzofd3s)yd@eQsmP)*y}Ne>*7o@l@9__1U-iW4E!XK^!O=Ry@0de}5Yr?z~Oh=SVSd z5!3h&M0>OnRJXo`K!*;B2%{uHAxJGyv>a_HppOCuIuyZwwP8SrFFkixY^#C5AEQZt zZ-)l&+M2~|w|Kkj8Sp_V+4uT*>=B((glOT@<$H8W0a0|v9hA*7obQP;+b#`Ny0V-1ZrHCleHpH3*^=JcDx%S^ zMp9vjWT=?lVmWVP73n<1RPYMfVnyodlER<5odw1aUTfbOdJ5{{3{$;vJ4>@yNmKnS zpf)Tr%tK13fBcu5?qJ>ffx}Y|p;{l{-HW~enmT@zSD$eE9c+SgZ>?r;@@cZ}wsq1O z393o|ZE?mLNl*x0&FpWa{kt3t7q#(1pu*`${(;^p&yWsHM=1b*_McNNvI+=gHgnH zJ+SY)@!!WLYkldOpE+BGRwaVr9|tGzfg}oD3H^=niSO{FROhLq;(Ld%hjj|A_4)W= z_Klr2!QtM9DgO_7*)bp^(}t+Kg$!l-R-Ot2~9spXw{&N z;MJUJ|1k224p;N3cYVlLw9v(Z-1(m#jn{)D3|EcvIr4NKZ-;tbXUS@9w?dubXl=Kt zIwhGXnm-8_P8-Ho=CM)EH>LGn7Om$gzNBK<1WPK=f}peutJIUf{NF23F>m<1uL*3&ND zukh_JEmX34j6S^k%RgUh=`Y{B-piBxXR^unfe4AFI0>y9v=O|TCxt@Dzr?R|*Ljn@ zJ&A9f$vPVoK;O<}Q9fh%uaQt##}5_dW1THJMJ4~CMQ5Diw zs)Vrw4fo(R_b^)rVDwIn|8P(1`78f>ThCv02gXo;=)GQ}zFbheM}1S0w4wx3QM?r% zsZ$!g6@K?#cFUMoq{3fF7%QRHBiDi(w!yQi=ESSO)e<=nB<@ITsoIan-N!ok2iezA z{I$f|*YUgVXZHu>cjX7}XWiw5(asC}FWj^*kk7p*!+6gJa9b#Ew}$a?bXz2Ec^H2T zx08$I?fqeRNT$^hC?jW=$^?OM`TFcqu6h%(X%EJ`iBn84m54WlJmpdpKDcq}0nEWy z$lJ?ZxMddW+OJaHe$%BzLcNfHPtxG%NnCoWB+JKL_-(UT|Dbm7h{BrMy~7vJVlzg= zNm9iyF}0?SuB(gi>pGa~`cgbvU%;DVedq&V$|GwjVU}{YfOv!~hpn{-=&eimumU_} z$7nnjorfGzdl0{G34gwTCEGq-Bjs!m5OOH^k8}Nk=kTy4{5XkuRAZU0vlI#z@j$+< zj1PT~jSRACJjxpGdXPENR|v1LUIJ93%Vc}c)STb~kXN+#Z-CUt$BX*6I0cVc8? z;!Fh+S~X}R=;Kad-YJ(n#BNM1myzOc39TBm5%fv9I^HW!_AncsuBC7Ts_!n6Maa^M z@Qj|^J7moSQZItdTb%o0ykK&)k_?TfaH<3fUTe9u5kWN?8PKF35nh)Bh2Yhq+2Vc_ z+Psap4?o>yDuv+HEMw|R zf&A(ZKMj3|?lc&@L%kRt?iUQLyG21d1Cp>z479t-!_Q|rPaTz6vy4dnp-w?>+0!?^ zuGC4j-iEsEQ8qt(+Z)1f5JRQkU~Ui>Y6tE=ms#skt+-A? zs|IZZujU7vuJIZET=5XR%9nnH8_W@O=>|?L=XtN@y$YJ}gE$!1YvRFs)by0j6Am69 zKgiQ5)Vuf;KV7GYk(Sn8U}L`KadvZ$C9=sB2}n%_>n+6)j?R*risF2YM?b+L(sw*d zErvc5VM>>26oOYXR8lYRk&m~X_`D}r=U!J>=0Yqb&_~t!uyMl^EXrrt_&=}-BOPg7 z|Mfb>d-%*lo@^O5_I;8q>%Ul5`eq5O8nh84@6{YvI5E7JpMH`>Ci?j8SM=8I({^Z! zcHlthMmrFGFCt}&FAtsL>&v-wShsOLzB~~^P!?n5u}DzW!8%%7dDOyQPaUnTJR&mg zox{!r{QNTC_7oc)aA`gdn9H8Hs~Teg8hkjCv9u*#cY?lsk7HMYHvFiC<@DhPU3+Tf z=;5|@awL-(NDN^1>=pzPmX%_xlO?p{$L6xgz-f~tIEp@Ju`iPM_KSN8Ms~&61 z6Pzs4S#PAKh^BtfR_0w`q*jg8g;`o^ywgN%Fnc~6R4)!Wg2Ub0(`ZN}jQSt2y1N>0 zJ<#Z^rU@+AuT+mO%j|&?eZT&N-rp$^qZ3`63PyK?$1jT34#7Tn}#=%KUvp%Cs4o^YL!?$xIiUQ)y& zEHM(hR;+!h*$PjXhwY+qQG9O^>oIbusM-bgB9guB=P0PLRvb$!wnG6KgFjD#kU{UE zm*ZB?whY(mF(w2CC4)umaq{EQsR3R3Rf0H86J9}#qaoY@;Z3ACc0E-A8G}DhN)#`f z$09oA;r(@5&AGUedK8Q4;!3`89*c`SAnvo2t$?YUX`k@s419e^^)KS~@(`Du2I z{Rxff9sw~5*l6YXw*4 zvzUOd=JIRi<7LowbNPh%Y-mt#Nmn9P@MXA9d{)E*>m>EVAK{|E_z>CT#Be0pOIP+RQo^GGAH!DBq#*07HVJa`tNG>?1;hZmw z68oosqMOE!4cSA7Ez`WISQ-MG<#C~=Jkc`5-64}J1h3{sRpC@zV+8BnWtY>T&}x}4 zgJCe;UIl{wk8ZRKBvIxVVK9To+hPyuJk_l&c3Zf@i;G!sjP5{CW|+=>#M@LK7PDai zdtcyx7PG$2V})X?hUwO)h3ymxF_NV@6}1*r{n;o@;~;o7^CX1*`?Hb7mwx55QDYYp z@Q=?%R-_f?u|P8~9&hvff#iax$;&*;pYwe*+N?9}_O?pmbL@D)TT8gj%~FD9Es=40 z))GF$&GrSoS|;M9vZRa;D`DXQZ$83rD`D657_H&27Z5%f2i-Qd#PJD_P#nKL==5Tl z{@7yPNa@ec=0TPRk937{=gqUuS zc!l8A{5%%o|9P__UsCo@1NY-*N}%sO)CcaLmbDtV{{}Ybu)v<><-a1D*6Ea7qG-JY z@4lpE;67vtp6wqOWs3blz?NtG-fk*9%?2X1c(%X3fC}7^3cN`sQV3qHz?B_I|BggP z7vmfD5NKQ)*{O9wtrt}85%ezJ+oH5_d>JUB#0AhSJx^!xZq)bdESAH-RUvq_#&DoL4FayZ9{auz*}Kr9051r#_cMGO7>;-2EXvp`k;;9S2j(D+sOiAg zg}zIt#CR8aF=vI&heb(Dk4k9OppD?wN_JNuHS?>_ZI1AzUs1Lmf-bvnysoIv-0-q; zeLr!T@L;tk7*U|sPxZk%I@70S&$ZLI^Nni#tDHHa9ug(ArAZh|&~QgSWd*ZM2S)cw zVf;>z^lt?`)7FQ6MFGDM^w#4OUXQ%werc_I2f-)Gm*wNUn{>3pr+jbd=oF)T=_}cj z&hV$TNR=Y{EWB|hFKjOth@!_5R6}MM?z>##6@pi*BRL+($f4w2tLzg6{3V z_xWKKVX!TzxooJ7Ib_rS;1mDK9`$c zW+}tqL02x+q`D5d4q^kOL+pX1Zw@L?iF8@Y{eXDyVU+8*`cNJ(c^T^}12s~20cqTY zXqe$@pm!r|dHjc$*^NPmo}z5COgo<9eO_Tb#@#2KZJvPHTh)rYsH4~<<~~q3r4R&9 zJ(TkvliUHMKCCkPdyPp}yu$jYCy6XDCZX&h!?gNdk%^U{8iow?!S{=jpb-3rdgYdi z))6;>Fy8*lGl4N8?!6VvHo?1>b@*v@R0LgooVLHDU{|h>(}wBjmI>ppDp;;_=aZV# z?$!#Gc#kX;LG{gCW1!Vf;uV7bP$tn{!P*!UOww?W7%AF}X?sopK)DM4=)#YJVk z4vO#z8d3c7yiJkL;yv)>55DR9oSmU_(R8TMCf<0Hr91PaaTg2N@|Y6#h-M(FALxKx z_0U1B&!*NQH<3@1Nfm-uGfgJm3jeq5ISEc*hAUc(MbM2281MC`B#?x4s4Kh5TwjRJ zLleb5vE#x8k(!^X^xgk;RO03LvkX&(zQv|HUwc@yNt3jR>5u zUd=Rz&XZ}bKEV6Xmwx4z=B0w+iUCl!w_6Q>mVpm;!uAdy1I*RYxjw;jhK?>U3jgfe z?3;k?5AwVjon@V2vnz@`aBZt1 zKMg^m$T2=ezE?+w`xJSyj@I7l!5C`gS~e!2ESdkh79ZKGKfcJ6;hv`o;A#YLxN5?K z>7Csb2>=*n)FPP7YXSwi&hrBXwk3g* zw8TOre8hMDRtKN|4qkLm1_4TB3gF5IK<>MztRN-o0dyr2q=0!xB&kV&nmPceq)JAi zghMjA8U@MaH&w_GO5{q?l0e{eCG79A8>YsAfG<&ZnJ03=5sfZVi!iPfN(0Oil1rE+ zq$*)nWNDZcBo}8SX8YYrhX~#!fc0JhY_t7tVamQ+>+snoebjG()*GF zGF*EdrS~;Zt+IxurS}e*ZVqD&e#`D1LRrkCL?8t>1Ofw6aD#0!BF%lEi z5GOHF4GFkN9fJ3N?G4Ge+>B=J$8TSUkM1_#;)lc3|HR)x`mATtqd<%@$9)OJX+p#< zlN|ei_>K_nBGQ1)UB`Jdbl}A6g+it5vqYU=KsTfC^=*8*9VZWhzOhWwzasju>mUvn zSzpr6fip89uw2kB9~2YBi7wVj#B&oBsZNw6n@%&QuXg^1KOA9Td9hL^d7(nUkbbn0 zCO(mpjWCDwYfvc}4o5#jKlFjnHB~B~TE$}QmqqR&I8}*qN@}Ki&D`7C;WGcTils$pgs6r_$_1ydUw|mfFwfsS^F0<7`nSv>DaH^T zxSSWg$F6Y_1$nWCdQmE*GiUt)#gldr1&Sv&G-)C)!N6I&KvQZp8f&nShQN#mhEA?$ zc4(zo-f+Q zSY%v2A;}+R=S5haJdAuZi@pXA&JvXSYOTzg9GYulo@1$YkL?;15}kB)?IR zghG5pg3DE*Q1*97k^w`RvknS$pvLq)~iqyPl;7A;b}TdF2$||0CANPWQ;8s+KNb(+r&N0bn}S1S_E|#VP))_?(Ye z)J$S9hsdMAaADg=94JkBmj5bzlp*dC*t0J|$0%t^RQfIwCEt0bE9E^+Xii&wq@0HDOz5W81Eg%JY#0@|Nll77l?YzI!nr-PEqY>yt7FjQdbpGHs#HI(ADw* z*ui#Rn?@?-=Wttcf6Lpbz_ZotL8tDY=tJqMoa%5YWw1 zm7-l#Qgsogf+~S7%E_JSqD;6tE{f|lOwn$-fWYb_;ka_(ET(Xj=|eFD78#)-U#ekW z1fYr5ZD3RHEEAy%2hySpd(xZn*;;&|x>zFX30aFDd_}r~P_P5ONuBj0m_iR%N~Uj! z32_|Xl&+Q-d>~o`^{B(O%XsNVHa|#fKOZH0z#})YL2;tJL98cE8ZrAgiUUW%4_#&b zNqN4U`~iPt6B`{+y^MP{u}Q<4?bZtvs!mco{+Wj@d>2(m;T_7Z)OAh|KM+JW~>+!!fF&Z>Z#TkYyZed|DrIz%D*HS7 zIZC|C^zJ67TLM^qBNqCxyhPm&Fh3FIXX5{b(C>)ON|z&1qOU>Qp4Kgy+*Kgo&^sEO zr5-4}cUi@9-fifA7dHgg5mb*G(S%#QEQ_o44#t9!F}(JmIzvzR!H zZy)2!GO0EDB**eBe7D&h|7>^u`*xP>q?&}?m0?rte&gLk8|dDVXS=RDj?=>sg9$`> zo1+={j{Bfm9HqKHw`dxUQf2$|1q|I^0GRIbq)d7V(-qG+1X!L4pr#+b*bG^}#fYQ% zY~SSA;S@?90CqCb{6c!0X%HNG2l-FvVG=xjgt<%TVG>Qaeuqgo44v~X3B*t(XF28L zwrwAeFIAhTo}6x;ij|U(_~;GU7&%)50dQI_wl}5VZAzOg=>2km6Zrcdv{N8`=e zyUmkhKfi-d*vY~iC9sltvh@{E%#-6Q3G}wUT9Zw-kADj=wdRPl+NoRR$AI$voG)Vo z7LGxJ{M2fc!OyeQ#^2qEkKEi+$iLpn1_dC)j-Rl8ajPI5RdVt%O1eNCrx|l)>eV)W z*C#mqYT09==Im>)tht!JqHe2hiSiroqAKEFe!_aTe=~#5B#58gjW>SEBBH9UOxRB* z9DR!ro$w)Xz-=s%P`kxDQfrdIM}+WG!k@qay)RD|siHPrDK|~Z-7}f5-vu9%4L?hH zESr4Deva-PdGQ4{&4&acz0K*2(v16Ik*hn=7U@LKN+;sNiJm?{P88phgqbb2&d38! zv?>cuv`T#5D&0wvCu^qx|Em|R)4hlbFN(i7#@CB(`$%|E3V`c$YcG;f5C@K1GHj0N zBh6hhY?;D{{N!%d(|P$e>0WqNJp~tQ#w|kfPx5^DsR!U@-vI^x`ei-&7Y!h%o~o&Z zaZ_tDVNW>VUXdmw{vbF|0a25rXivs%Y@kzg=YnvvhkF?EG(=FXmY=}KzvM$ zHoC?@JBwtBI(wy7Wrf?^Kt$XC+mZ%av^zcoC4NbQ_Ypj6uai_IB% z)?dQyXn9J|XkNaL@!Lh;5v*SmtOM8j>t;xu93KD%q`z1t z)3Jg1W1p~!YVyMG)Iz5IR{0tk6EJC6hr7_Z`EQ)i)*aSvTcsJhnbCwjj?*SYVBMa-@ zwrXO!@mIfQ5$!GgM40mt{*GoSpw8;<KjL7+R4zRv)h0;@s;3?zWD~b$9Dwc?1NiLhj%MP&ch<%;y9R@Y0 zm8LWgI-fRVySZcv?CCcBkjl3nV5I{|7zS|5NX&F|&I=@!Mnfb8L1j&uytkbnFFeRO zo;$x_QSH~ty1r*EU;Tyjm0!PLy-a@K(tJf3FMTBu1&6O39w&U|7=hl_uhz))-vg%B zq)0>bNar~RS#+PFny=uU5%QJS?vcJiyOX4^thUGC+LP9ezj2Ty4Z4{a^zf5GiRlQe zp}?l0$0sk(!0Cmw^lW$43%}4qoidZ4%0y994amGFdNW**l^)9K^EaN z3Db}@`AUing=+DO)S9K56FE{eCrUwC_T0qRA4besGL|1b%(_SEF=zT%yWbdhzXowH z#!Yb1$S>KGO9FF_{d@N zqGs6Ft-Ljq|MexCalIaCmfG`?jzW#-$tcvUwd=7)^l}tyj-?AvnUT)@fHH)X9o zW~t^es`QwRBlz~O*~n{99~tiFsai7JD=h#H*LzfXj%Lh*E}@FcaIMHH;_=_GG2v9t z8JH)_GC%zg9lLG|zu{zuQLdf503BLFx#m-uQLTLHtmac$xtdR9!f2JL{L61Je!TxC z?*A?8AEk$#q?@Q83x?w&4CyXB_FHC)CAG$3${WCNts3&AajvI@U%58Gp-R7HT?6*D z=Wl<@ZVp&|J-_@d+nFSy4E0qa%+P>Z#2MST9R9pCQXl^09H^;~UiCO|6q5A8Ps`9K{sEBSTelJD zZOzqk$_GrX@rxJT!kXczO`HG<_Yfgwh`5jkv!s=#^={*-G@P!AoUU)K^p8gP2SqCf zy#qo%Rn?709b-w(Z}0SqR@Ic4qSa1dDN5Bs21Th)#=1)`P+936-9|lkK+h(TAAL%f z&}s=1;&jgR`IpZ%VL(BCi(9zqci*$*0K~j5^$4Er+wDz6 zGr$8rUWLiA7r1N}+U`wMd#uOnD2me6SqIH12wKF~eT~r`PWwUjLNwfwP0uTc(idF^&uM=BU?-kAYqpq|wLvV$?ohbqy9Om3iKemj_&? zz*@*>K;6aWEh*Ml=z9A=T5Yu`9TIN`#4I8Woj~NNKd`VH#Icx^s>ZL&D|jxeEv#Hc~d=^lrZFZlrj;|l}%njctH8@1a^e!8s^-XXQ- zK56$QgL%UbY)Jb?p}YF`9em)AEIPuX>`ipc++9=v18k`O=DeIBsjmNv&-{@MnX>j3 zRKmh=0ZIb}SQaC|OBMih02!_~;^=Dr&IGJvh0{^$%eSoVoDd9s-+nk8hhJ4E$TKO) zxb&~42`Z%4l*DuYpIBu7gr44`7#cJusN-&c>*mD{55Ni1uKH1f8sN}-(s%8uTf@_T z!U7VxO@>>9GBV|*VRkf%VfVj%2Ue2OVxh8iCa&T8aTGF3qcHT{g(#PJ)bEu%BxFaW zfZ(Hn;y&sqc^%G>K5D@|oexeSD8rR>@vS=$L*Jvj(z*WW%{wz($~AA}=^AI&t3-3z zx+5bThW4Yl6A7?o)Me}RHV^)p4T?a;QA8>EopMCH*p0goy5m_tV>0{Wq5QF*SwCku z@}(Jr5_1AwnX^8{UFQboEWJS^)ltRJ9l!He7IEvP!4&o_raAw;12CsV zv{F3i?sm8n8yZooIQH?#<(?3V70HA}6@KYM@KL}IMKzljbwYGbFP$BVQ<^UanoAt` z7_m9dnmd?(_A9=nNjZ8~cFzApWox|upDJ6I>yY{Vdu8i_4d#ETY+Z1nA=s!ty;8fB zXqBzYHF*E6vUR~m1^!EA>w*nls_gNqQQ0{@m0jyy**Pth{l3b^1w4|>{ZC+>lByM_ zL8g}`enk$p;8#BsP`KK!TJzn8yATbJMoM=jf|lX>9RY8CZxP$AZIXreDDz(z-Xmi? zyk{G{=J>^)9WZh9OC55N#jf#-rVa>Q7tee&#ESXT3K{PwUaJi%5pl^s9e8f3#kD6en&0#rCPvNM^jp&`SRb`z<|3a@jbt>dv5A|=~LOm*5VmL{!7`Vn1Q7mhs#?0&GQNB!}9{E zN9y;sf(So2PU@9F0~GwL36JKFpJaWUm7=d*AU75TKY`9x`SFAI%)Ff! zo6jpxx1-~s7mkCJExGnri4b^A%k`^tIoXW zI=r5QgLK_hb00P`fSds6MON^bXY9+P3)}I>L+boXXCnjPD}j^ z&8PYq6WgkOVxsxX)2wHbtPy5cufdeEUZ*E#hzha4LKSkx-YRKaG~arf*$3zqVo~=$ z+LCq&(x$;7D3vkj+oe2HwfqcTs>T8KH3`&CXe39Dp-s)veOx_iSiKLjFtW0p=h?rr z)HcapwH1_~n)DlpS%n+g2E(=77(WW8M` zsDm-vtGmJFX~GSzUqx=9w3d<;&ENfl+578mkfPQ<+>&-R(z)1#YJ`btTg0KCPr=4NfwaM2jyzC4Mx~@=G__w`f<*8nkS4WjspjBR@R(az} z@!oq|UU!DY4!C}-Ok}~xQ?GH_Oz0`p5`}|6HBO08<@P^O6l(Kl0KBVw=Kp(*m$iM3ntUYz(d5f1{4$l=gF?$7>+scz0+6Q5~+NNB^9H8K=Ys;==g)S=?fHoiBiOo&dJH0Kobr z0GV zj37#h{8rVI5~Y8W5(6QK*PX+To*(kFCE$dkHt7+=%eFGSu+il7sP2+UIR(zl~tE~tc>%%g{3}g{6{N0 z`G2J)*XeX`Gp~F{5}((JqFAVNUAY|PPN|#&%Kf8KVM3`up%htNRQ>Zf>{!%Rg;T4p zfg*>gN(98odZ_fNhdrOm=$e- ztSw6r+&l$fJxbT zYQZNamqJJJ$iG;x1PFyUOvMln!v)cv>cD64?;!qKaU2`aswa&X{Kc+K+^36~mlg%* zdF?Mak9mO^@e)&8G!dUBS1t7-*3{|oSqz`*)d1QlkTXCGpq}m_3t5aplADWu8P}d8 z(cJn!%*p;ZQZm#6NpEu#LnSfPYo*?e8?Ds2ZF%AUQ0m2$MlZFhtNZv!Ds}8Y%0?DD z7ok%WyVASZr;K6~TJ1Sf6#JU9x}0A}V6JGDcsaUtNt=91S{KlfIa6!S%95Uq@lwh! zMyrZN5N5Pqs%3a`7HbeXQh=-S){IdqQ6Yp@Z$?@f2HMW?808JtMGqp;P6S-66)!j~ zs(7S1Yr$!w)YaayErx7Ds~1xLNp`p{`v}NJEZ5ZzmTLQC$0$EO?-GlNixN4g3%n%% z*U;LoOTIgm@43V#-#Nbv4M8xUM6s(T4&>CD#suopn7z9QXLIaWPa~GVtX8v77@NjK zV?tBur1tFK+E#-C`OR+%kV{?E2SnQWoWI$E=v6&wYB^~Xz}V7Ye5cG3wO@Ui=5V@1 z?QeXUM_*=Pv2_v=y8no{$T^5LQu)k|t_!n^m@3+w7>L@dweA6&ffuF3eXb+Isvu52+ zg0UsX8aa=DcbVn1FT!j*76X%h<*ChV;B^Vowu9`=*riZn--iN&dg_;+9n#t?5Vuf^ z?JvZZ2wD!Q2Yo|A46kg)T3ypq{I_O&=fZE$vffHJ=alYL253MDns(FLV@UA+!v2R7 zsIq9(M+TV6)xKZUp%<1Ql!l)X)-@d*d5w-Xf6O0RT5`?S1RU0h^)HfW zUN9Wd0+ui}#u%9epQAjQmwloP7+#Dkkmtz<_iVhQXmMpCv;r=n`8-IPSJ-m~VD?^I zyNcxXH@IF=+>;OTSFSZ=&|($%gp7PmMu8@y%u7bOmyC*@{B?h2P{5}l{D{9Ytplk) zp>);FQ~X}wqXU$l&P3sl74Sy{Y9*3Z7U$UG9HsC{noy4O43!nK5_8nlQ&6dCU9ni0 z4_ux!!9c;4aO#6#MCq`^_9U&cWFyJ7!t@wM7-=%}G){q3hiQo(F%lJuwPM5#dd?B* zcqZ$K1Ws))G+JP2RADgY7>tBd>jz7X!VTd~PiY!e>SvK9c%~VNDk;%gEz!?I^kR_9 zp<3jZo}@7n^q} zjVt98fWu7lG00gSY(6K3*D@u3NGP&YKgC*(+msJsIISd?nuB0mpp^$Zztsyxc%bof zzE1M@nlSqGbMiPv=^0QGgOhBOC?^S$^W^D5+VLNRw0uL_QbSt4`Y5Eq5(VhuP@6d3 zu0{V{${R>Y4=FXZ$S$=y^PSZQktz745Lx%i7*b*99EG;oz3pC{uuJ)H#ryGGRg^wX z>S!@&Z=(K&-aN{r;csbI5xn4eK(}NBDJRzmLoIj#2CTKNCc))cq9K3HHQxzQvDpB) z%^*oxrjb%on%x2E!B@%~|DqI_NCJzx2!RewV4aYZBhpS)&O~Cm-W|UYNSr%=B$zfz zVZfanwi>m28EZ&|HLGIy_idH9fb~;(`*up#_N#^c)F0;Zc-+RVmA9Mc(t4UKa`Se) z;^7!_pFPFA=v6s9i+qk3v{P&WAI9*-?Ub+)!J4Z%=4jQGf--LnrJ)F{B^*<){Y|pI zfX|8oGgVWjdee1Wg*@kzuEh!CN+0k~E4|x4b;#K{gFaD`-?-DS0B=vbj&6uS?R}!e z0g+#9jR7dOcEj%{N*DajEVgz6I62#G8we?-q21Dk{OF9haI7>Z$-jCs>UsLE6gK-m zyZmBi=ihyDq}$X9Y;6t@SJw;2`u+(%MvS~TP>BlF_-7FRto!eVHGd1@)q#qw&5oFv zeDBTHu2^o0La|ZMqR#wspc3ZXrxgv4NYIqBq}yidaC8(Vp+XCRQ_B$)GLh}$gXc>zUlhp*m1EK*(`#1n$xQI7Wf z_8>UL-{0`ZgOslM#e3#q3NG<*;O_*hibJ0!5V#Pac^j_EB*H=nS5>*Z3#U8G!a0T< zn6n`x&veo<9Mr~iS8axC*SLjy%!@AI)MZDW>6>c?cq~8DEVn|=bko=TlmG?^s+MAZjHg#+8v^+7-`PIRp&1dZL{}lf7r1; z^)z_0*6t{P^0a_LSG;|&5%hl4 zspDOcoB#_WYqVz!u4y>F;3xGoa-)d>T=CS7O7t~35aw{xrgIG5ly^4_HMv(=jVhZ@ zHEG`&w}(I5QR!>Whq7==_@AZU&ip-mYeywKAo(2swj(-(rnAsD&73pzXyM%lX-Bwt zP2hNX^EMLQ?`UDUgK^2IkVEML;h|JQLvkDG%H>2 z$%0FhoO!wOB5SJ@|5iwn!*yQffNLN_=4rfPIxhxlB9r70EfaZ>y#vWKIch{Fsb*sY zPqAP>K!KK@t5C~tw~&$loaCEVfD6jZr7?!-jM??X=u-cu3zQexUsGm35p6~Oy`4p7 zD+=UA_Ccckh*#9I>+v)7$1|gx7;QE#kVRh7gbN|GkZcH}eNK1t3SlF<6K|5OXhI3- z?ik5BL=3Ms!K%0j(ItiHlCpm(BZeh~(qgDlq!BgoYN0GwES5Z>&K~?s{fwxteAs~! z&1-`7a1jCwpBtjYUQ;9~A@j5gu%OIf$yO=l-<0aD!h89r_##*bKg1+TUE{ZqHv$n# z4vJ=RQfqOR1<`1o(VZ0QIN2CbSj2^;(aEA|Kvg`QY{jxXUb~t2Ga4JYIwSzQ4R27? z0*ki;PN?OOsNtAESo9;F(hz)49ieF$ zocA|>nA&x?$t8~_ftGEo5Q%{4_%+?pnd+oZ_?4Ewv9#Ne1j0;cZ?xU6+6 zXryEPTHM(_6?e9c;x2ZFxQn$Rd4?jO;=_x$k}qEJB@v$|5b`oy z!)l0u%vVF^Gb}b@XJ@|?G#~7gwr$$CGe{&6die5&ffqJ&kkW2zx&Wpz0wj$UU|0qK zOg2sc(|7@_69lkj3J^O{fcTpQNSY+Tu*ua$^&tUlln#cPEkL|YfTV68qyAx}=8uFH ze!wF86XMQvv$#t-DDH+`5-Aib032@2mtwZiv}k=1o@;Z0?StU;Xs>vs=}Dl}J{tWa zovu^g0K%<&4k^vM=QkAJI2${eDhDMxOG~_dKeL5*aZ3 zbDrEqi62Ohq3QE4TFnbyLSvz^)#^H$uAr+A>l(GIwRJSv;9lj~w}&t9qKxWL@?CAh zxV#1N!2w(OuU(Wrc9N4i|9_CP1`dE;$s?qgEz5-ryp`7UGEWRsMnq=Kuch%sEq2%q z*!pM$S~%t>(BVDPiI;^b-Q!*?Xovm;FVZnMzYbHn z2IThp_wZ>Q4q*{>SMgZI zW6f&|6m2m)Dm5rnOfxGhOEW8VRWPLmk3`3V@}e}wyaSaCZ@jNOzLte~p=OeOHM7$H z`+4TGYTw_V*NdH*XP$ZHnP;AvdFK3hjcq{_23 zvzbhf5${INQDS9Deyj&r#*^n1Ux^Xj11+;xewZ-1%slP;AYSSDV5Lls74f0|{IGZ3 zOpb{ai~bF%YABk>o^?e~(D;*M6MUvoC*^>;BChl8U05jcIXkYvtexzcCxXdT(%f^D z>uRf9rw(`MuC1qnTYCn}vby5cj!NSHK;jbH&14_-rXm(>W5Lsjooy^}__DW=v~dz} zuU>~k;=~-TL(kw+1Jz;OHZ|(Vy7ffMr<6wA0omWxy3xamEctgu7Vv}8kJM$peYwIA zGDymLBE=B-r2M&_Xw)%lOg$x*}yW{`%{g>8#_hw^WSUwk`59LXq$p)qboCVVjWX0OViWfPkJ=euH5Lab1f?rduGqkCI(^O5S;Br?H#BEZ zG5$gubPo~oHM^KqGdCkD6o&$Wz~$!1aWcdq>NW6W%*IM3-p7DC<65j`Vs8b&sGflv zu$IMX8M2o{d}tWkQyy@L_Ldz>mEzTa)Ud?3e5nj?_`izRy`h-@e-y8kcgYtMf|IiT zGMe+~>?2Bmx$uNwx>5`oY%@=}uGiF(e?d>_(Bc1rZquOy{smpBLwo-VI$J?|3B$j@ zULE>Z6bs2~zPW1XBi0+%A+=jl__!5ukac2Gr6g#vL4sHCgLB2j#GM z(Zx_NP_Bv>&Ap_(P~Ac3gOajJ*UV7CXH}O}WT7o1)Dv?2?&ldi2He67$zJFJMn z4Xw073pE>Ba5rnE7TRmo>$cQFtte%1(HgDCHgxj&YmN*=@0pkJ2FCoDvnU}R%xEke zekKYa_^eK=bRMQ5l;kU2liFchf-iIxIVl}~eK$DkPs6+7TQEpUr_Iti2XSi|(d0R&8D3YgQX%<;QD zn<~c1q9)=*%=twRtJVBbJ_cEz_4$41_1r!7$xoY#sEFXtK1}e5j6GdVKG%lD~`2|*jA*f4M>1%A0{8^YrZVsb-x(I4|s^ntUrx!V#Z-!@O{iab1WRx|Nl@R1eUFiz%L zdLNNtPBE-IsS=OdyGVN=r0JYJ9dWGCYfEyr<_x@!szVm)!&-s;2tiQDSO0DxcRR&1 z-QOKvFTj_t4%*^WJFlb+#v2t{{?W+Y+5XLoJ%!%Z93Op#wDWHuP8RYg&f#ISPdmL<>(foO|8sd z|5W`pRwlJd&!)`7vb2S09F@&IH;QGk{*uN1D)?PhS@K>BQBp1Z&jxZ+f^b`o%~gG! z12vNDGZoL3kF^wy>-fofHfJ8btA=-TSQ!S40kV5b@m=`;jpw*FtwdtOF+0ZMQmS|Z zPV2p`Z>M%VZO&alm5I@y(;p4Edb0nJ^IHk0Uj-jWbXMudx&}5TWY~N8;av4tp2!c^ zHSai%THmL*qHtCM#1)0>x_6DO#c);yzzf55%{!m$%l()%uPZG@9YBZR$-(0lo=T+YbT?pvx%U@pfLcFQ7FL(Kjggz#p_}*PRRJ_yf%sGpqkxc zU|y&f7}am-X{z6%G$|eLnB!rr(Yl+(^uf3hj}0}4eUIx*6}V{f175g!9w2mXJIr|_ z!Xe?3iYNc_D`s-J+}>8aZpd6CTRbi%){ePJN}v~-+Sc)Wx#Mw>V3?UNZ#^zthL`eX zvvwjfI&AQCXp*}IoYuEJ9Z%t;GM3OWYpAwb4s0iedb6pspvl6wA*8<9Yo`K`ltpIL zDu(2)rOHgo`V)`^fMk`f)s-v{Ap8gkWaZt9tMa!<)IA4> z<`Ibcq5QkN00&?0UD}%Lk+VZ4w->byp*3WBdr=izvGo+KZT*b@ud9@QYsHTY`>g6m z`AP@j>KTxZ=NcNT1E=PAb)~rSLmfyMda~Fgd;W zY6o#JFpM%LMa`nz$g`mGN4dWfw5@Whtn4IgW{FqQP&rjtx!6@%=Xn|C7LMA36|j;B zCW3I~&;4YoTiiB;1{WtkAzlq^ky+z(0<5+0FBZY`lsUfOmqkAz2Ml;~GILbaoJH^; zD)*N~1LY4-3b!HaIT@WOqT+`=r+7|;0yEE)#d#e_2PeSH?#g4&6=x)hPXptI5#??W z?nQqd*|uQ=Pcy9WB8W$I7DEhmpON0qBG!Jtw<-`3&IGN>@y{>-N~#W*RKD>{@wU!l zL7<@`P7X*G9#`yC43;oGsm&>C?4v%=eKfZj{YcGBli^Tzb;X=ZSDtWOv*SeheX@9| zHmeyaRS~jE_LcgkHj=%&il&A!X>wdwu`D_6{goYf!T6VW_-GM1|CdFdDOmn5%i)9c zH}K#D7SjLVqIc=RET87U?jCYjH&NA^D3O4RexmgE>Gho^zmuJD2noaX0(V3d4_HDrd!pP#~huFRGZ9ue1eT=qlT;61#;rHPS`LdY5yIgda_56p6>O_pzX zK;++otoj)Tg0v&SHJNEH!V4J?vXr4@=Ftgb2&@+7v&U<2BRI6aLRa#bJOdz5VKlnD+gcU)bOOqZup>o0oB zaTRzs69IRy3XG$tqBLQr5Nye=aTGK;^k9#83R+|JVBZ8Ug>5bLaDNX49g%wQqpciU0Wy;Kj58$q8$f&}P!A=A4olJvZ(T!=JG4K?dp1)HsEI1NV< z(soK`V2uR%e5z<{$jy@Qlxq9&hDr)`CT(_& zpji0A_|OY{8DYD4JO`|k8Vv#Kw2Q|@!1QA*fK}hcmP9m2haTii5FK-nG9iM^4vuIb z=k^pYHFz1rKSV|I0*l+Ghxi4qu4M!hmY1cimuMMEMCe`()`=U)7kUYsf^?&C8IY`A zqLF9*8H|ls45#PKGHlJZ9*jpr>PnO14B85zXPY#~y9&wjMkPQN=d>YY;ALFGF#c?> zyy`D{zQss;vzKTSSrdrn#;Zo)D^ljh&LGCqoIz*g6TO8q?#piu#^Z6i9*gi}x-gi> z??V{9I3vgU|43hN;b@itEM%jZS}Tv`-3rRpm5l^IrpLoD2PdVe|Bd{iw`gANZs|d3 ziL4Q&9V+Fdcoz$Bfcbe&a@Zo_WfEGpW~b#B%QcT;60Z9%if8czX- zK(k;sRX;xN#V!E(yGgasjqm8+Q;+#L_IIjO7Z31;Im2kGZv}7>{BHpF;gM+ zzjb;-8FC9{mueyO1VK`LVeAr4%&jpK2}ikLy3Gj!&?r-2=Ym7I3i#C=f)(0X27ndX z8+T>9Vfg^ zPm@|Amd-2FHj`M_+vh1}6iSe!a1d*Byu=v2Q)O%OMDfm3!*7n*nb zY?U1zN*sDYr zUBfk0`*CwqS(N`8`%_O3BzOk5m0mdDXumIy|d+G zFTlo`G+TChLDUJUNyI?MF>baT^a74EG@UJdF9>_g#>32-)1|0p>?gVK%mo#Tg2FFU*l>KYLt>c#nT;ESS>VNSlB`l5(!{na5vkbO?& zBYncUBm_l^3f3hQhglK0FSKW>VmNx_%m2J6nz!IZbx^e0kr#TgGNi?lBt{dQ45TJ$ z#-wPNN+j;gl5I0YTy#yQh-Rr2Yye(*%)q=}6Soe^w=+aoRPih@jw*hh++_1JE*5$K<$#xI28RccaiMbA9F4&^-kz7p6{IZi7I}9`l_hZov4va_dk!jf_qoFVvw_X?;55K+%oY=A@!5G}C*B2x#5MTQYG<*x&TG~AdW zrwkN%o`e~ok8Rr9Bs6-cG3^u-#yxWyzzA@&JcJyvS-|5Y-cjAKhX-5gYbumbOgE>? zj7-tcaBRBF&J?b|=j7&0QMau>MNw@^0TsUpYdM3q|5+Qg!aZyUaD(j)QW)@T)1Q;( zLBbKnF(mjnl#h$t?K$ZgBw|4P~))s*bB`M%=iJ8Q39q+yz= z*Dl%UWs&~KuyzT;mz&bvNiW>Q=omMUvavAotp{(GROsE za`h`BvVERHDe$*TTQ&jEhzt0PKKX2aexyM7t|`%>KI7Mq<_r^&-Zq2`E#xWO0Vw7T zyaR9_=onXm5Qh!#8tmAP0f_DL<8YqN3;P5g#}er8u`-_SFcZ5Zy_+Ph?!H`hQ+>0j zD#3msKllTW<18@(3Frf1p_Ic!tv0OzMc+)rFCRIwINxWy^uIB%41gD-+LHv~Q3n!q zHU3DR9VQ}r=lzFRAGB$>y5z^nNKnJ!x?a zpDbIwCYpo{BV4NVS0>9>UK4erdMbQ=H<>!OjNgb0)8%jF!q-H2)MG08Z=yuDGCxXf zacPs~q1Uivw>ew>{hDa(82BwS?fN#Gi8@Mb0n)MQ&G0;{L7gG)58#(a}3{c-Ulk4BW>LCWK zxHr-DW5DvfDN>&=p9p;LSV5^B+w~C5lq|MV3SrK{^P_lkc1LvW=yY_m(E2%|bDYQV z%V%AwAKny3Cr37IXeqC~DQr<5tOGnemrXa8W&o+O|0-GUEf}paK&D$Nee9mfYlot7 zP`A*&2ncleyEiTLQ(&u|u`2fg0q1XipFj;9-+}kzZEdDgQ5(3GOMpjJb`^+l-MVXA z=jE_NcTMZO3gD`*T%o(xB@~8Gtygr9?T+qoL=a7?-sZYTICYPyneK7!7*F}&MP1%4 z1|yplpj7W2HTO0JDDc`xaHVRtL}mf)Tv;ueqmcsS>Rpm%nxg=I`&e_0Q-EyMeVR4# zg97C1&0n*gCjhE3-#&q|p%Tr|K?Qu*(@8MfMjckjOHc%I%-2C1?B#k&*FdlQX@uBj zfPJ#$ZPC_HFkYT{8*Ai#lYxv3nfMO2RBnuu&%YxY)qY|u%K=+BWDuAwrf*y6}h zBF$iE_knz8v}j?d_JLeFS~%OCejn=gFEeC&j`dpruWjc0xk>$!`u6oq{DrI@%$-k+ zr{gNFedA@&d%|9K#*dq$i8po2<31+TDY&#w z6S&gTJo3P|;WS$wG^sny<1u`Nr%66f`?A?3{DRXYr>A}S>=GpZG|2-mL9xq2F<;E- z?ki9ibaKSb(bSNvyQ1Nu5$2c((E1pr+O5Zsby6@L$7P-yBR2bw%LLO=i^%uIP_joR zyf5nTw7R=5|2$bdm`;kKT(0MAIp$Md1 zgJLjDJcQBa;oP$AG-Ls%YefEctD58s03T z2jCd~=qYd>?KvMBMNo*f?@$Krb#Owl)>45=qH~Gw$altyCS3x)Vp%zlAev>S+j^K+ zg0Sg73|5oOi{!`f^Aostm#;!PVAvMEBYzqzVhwQb!>zYWVOgACkOyMI=@v{w*o+0I zbKj9qj1w`Imk`TOcJtiW8vTxZeVk|(B6PBjPVdMi z2#+h1Je&kS-vNZBNu>SHN=4r3d0QSDFB*1>2c9|pA3~+Y(e!(?euqAd#gawX=5$); zgv|mJUX|cE^azg1U?cOF5we39TY|er$hWva6X!zh@P72O7$!ZfsSF#?uPrwZ=Fi*q7n5cJ< zGfP80(TecqHeCt@VAzbTtc5^*-}^f6s6Iznv&DX}!B znv?0q8}gM&qVa=qq0mGqwA^~8r7WEE$he@I0F4-%TFQ%)L_@3ID`1f)NFNx)n=NHr zmIx1QDId?m3B1m4$c!w}zDA?hA?L=TYIyNyS>jEDq2M)H^CQv5_R?$AD63<=-V*7V zxRZMN{z?6l2JFZYPrW7wek2mSLx%Is<{7wlj4Bk(yFFZoe{VQJ{_gq+3hXbsZc()k}=q|SE0Eo)D$6H zl?&PDex<0mrcWw{NtSEZm^foO_ke z;lK!0V>O_82IGdZ&oqVzZmpPg&Pig(N&V<=y!K3z-eI0L9hcBj2fH^}xC*|4le0Y) zX9{vZhWv=mmPHLn;=25Y1~z!K0@D5^^6n~B&`8F7jEky|iunZDA9x_|gaYENdnM&i zc1g-7l$67CH|B&sbeKLm@le_)c=*Ob-TMm2(mufhIHWxsKv)|Na(pq~6FiLPm|_uz z^iL@9S|b?iDkN%E_~8_vV`BIgzm$BbS%2r48XzjGtXfX8y*erZS4@>mau@2drFtk% zdZZrg5EZNAs5)M~N^VyU)$bSf^C$CJ_kV|(PM<8Ui0vsI_n4=R=ZbK>_8J}1F%5*1 zvoE#dZ|2;|dPPK1>b&ZCREJq5dVRb=A}`UKLk|Q}2ba(>JeF8eMG8Xl-81Bv{XK)D}CB)c19-(AkGM;|PF?|4k z&b`!*_~w^&G{JMkbU0%N=Jc@#Wp(<7B{8Zgd@P1h^>BbF6tb6_b47g3?VnOrp&!73 z(YD8BRW8OFPnv9=CmOb(E8gaKtV3Y1#1)m^9HgVH_}A8^aqtj=_}AP`Kb2W|!cj-R z`%Me^UrvBP5PuK;O}P8LJx?TgHyx#d)J@8vX})_sLNIG+nAJ>QAwV9n3ZAZc?;28a ze&Q__tOKqNxG?|&Q2bf^U%V|24MG2p(N|j*2RMzzKTv3jMj?0>#*+t@@#=Ouq&SEn z!mJfX-jGwK3AZbOAY|bTtcxqM9}D;YhN&h)GWQHj^OBDSN=Io#5JKXY@JSU z^%a>rEC?8Oyj&lm5KIl~$}ZEz3xK9}bC z!)bos$<9|`w{}t!{KKm#2A|UM$E0mdRxuk9gX$62ZNz1o_=nwe;h0Z-D=zS5auP2V z5;C`@sx*@*4QkI?gZb6m7F7Vv6rg*=W90Ey=EK?pME<+t04erY+>}UFO_;P37i8@{ z$?Rw*?^Eo-i}_=Sn&b+lkAsb%psI1=mJTF{+Js9Q5iofmPLNp-AA%zKT%qi^ZE$cs zr8zsMC*h!+czp_;3DKIOArTD!Rzr3G1Q*oLd(>XXm;q@NueNje{oDq(bE3-~~HdjV|B4TT2exVEnRL9SR zDB~x~lutyX?s1d=YBB0GsUS|5<9k71q&PumVZvG)pwH9@wW)X_J+&)oJ1ijsedSG2!be3S|qUIRSp%C?M2?^6pk33nKKp1%~6BcA^D=p=s<(Jf{@MWbcIjn{Ik7s zc|I;C|FKu@f!n@}F!atubN);raCsf$&$Fl!TpWR!gyY72&QyY)DOi(lSLD-r?VI>e=+^30o+K_#5#MkHL zvfdn=)_YS?#{MEiGqYUwm?NS)KERG2Bqx>{+w%7ty3@%z& z+#*6wlp?w9{XIH6_sC<`oaACW@(iy2DUFY*gFEqIO3&c1Psvb89o%Mirw`;`60cv- z5CrPj(o|y!)+_A(ek^vl)t>G1KN&z31|8!rWJyG{yTP4HQ5$C6_bQdqDN12XI9YqiCXhHx(C~KPA+of&P6f z6D#x|1aRC|fDL*NXK~#k09eWA*GXAV3JDwdij4a|R@q~oaMcGKBQq%eru@cGcDpkaPf9}MtGo9wOB6EB`LSQXDa z++=87MsKDhCd1rJt9)xbObQ=-6l9BIYX+MK>g|nyMbQB;MIJFYhA89V@mJh+x<@$4 zgAU83T!-$O+$wB!dUZ@&8{Ok*t$SRpbdS3wd1&o1KutWHYs?JT;NNa=EmHv0zQS;S zMgY{k-YmhT_+8=X?Gai4UGE=)1MJX(a#9t5zF@U~U~~X7jkWbblcYXgCtaXgBuLvf z>7c>~%fp{*y6$gKCsd$G8~x3pRJBonzOCBjRc#?#T}J_oTPBz)urDH}Ks{M8K7@@b z#}g_<9{~k>(;u*>)}l0P+Xw7bP`7b zEGjzXL?##=Z(<7xlnPZ1fJ=PUfa9>^1Gfhj#^c$|wUZIl12o9$>ESD|C$fvKm)%l( z@5wTFv1l7kc~K4J^NDh5YLu_+w^;N5JB5oyBS%fT`)&H<%oX2)#<5Xfo2QVQZs zRxK70HHZrsdG}0GTO#UdzNt6?nqxYEG?=5EFv+APqEQq=l$2Iswc(H-x`c8(jlaqy zCoU0TfhIX;iHHr-#`F*Ilw@|2rAtJc=tzW68_6*BU`61r1}{Ol}EXC3q%9F5E zG%+MhmKjU2-O_Hd^ez=Cp;T_-vA~YA(%&r=aSfCNum!Kjd=p2sfwD0k9^A&R8!96T z#pAG#W8vAsnQSQ=*lrgOMj#_1r6EJJ#MZRhG=h-tPo2A4L!%p5k(@*UPx)sVArf=*HlI>F?U$^xPDwq z+%+#gStRxZ8t$)_3%?TaQP)=k4^rcOde`L-RX%Rx8d>obc9nOpE)H5Pz6cB+{}t19 zPy0%itP%EziuZwujwXTNSn9?(In5UGRq^j@gfGxg6Q`%viFED!d%(iBAX{RNZ;IrV zbvPQ(r-*2w#!$S!@PnJQ#A)B6;(F`F@IXWHmvZ_Bkr4OKyE-@dUm^j>;P65G8OZN4 zGIPr>#lM7|gw<6a38O5j*3fP@qSnT>%d>m-#@tNFK20Qx4S1E^#3M#Yfna||)GEui? z-6*R5q zps9$0r~^6)!^h^*|H3-SOb3QnRFk(^ zT$is6AQSKcA<+yJa!eNJ2FkC=H+R7DyC&!E5R>3U?-cdmxOa-EaHIkMxSs{nW;qQ9 z=m73smBSUvw4I_s;8nS9r+6~zDlowVe}R?w#TT8?jW~y2#kV^}ePB6vi8{S>EVe^2 zxx%9@u#plJl+?^3T2p{}Y$UGBer@r!w$7g`Gxmr$!-TnV{2sBuu>K_(U5}<)UAZ z>!k*`XFalsTvm=Vhkp!`r^<0geoA*4y;n4i8wI&B)8F9K0m} zTrI<{nHxKPyC7|caGnI{n5=zI&5=HXoxv%w>SM7Tx*&TW65%1hAOg*>Ng>}pBsvCO zkgE=1D{?|}S#e0D*PcKpHnIFY{AwlP5w|k+u;>*=5$5=F6qj)f>jav!?mu$%VbP9~ zUO6m2A$QynToHsj|A^@2-SL-~uHfKyT#H}c8$yEHq#*YJx%?hhSv2eFhmce6B?98! zNDz9@fnHC!^IkK8efe)#B*8xY7tH)oMFPDh5E0fO1Rvl_?s#|HusTV3&j(_%qvcpP zPQ$izUa$K(rq%FWi$u(Q6|+Fa+{-b|h7Y%kkM+p;Jp~;F?t|erNOffO)txyRu$ofLk6ukb5Z*^B46=7{62NiLXx1R_i9~7+FiMdCW zulk7@x-ay)rpJa|4;m!f9m9URHb}m7Ok{^L1xj~)#!-3m7-pSQk4oEdSVdDQHFDcv zKMh_;3F&M8&utpV4?wz-#d(6`K)~6JA|VIo z^%s#F7&u8-M+mFUb*?O6;b8#PX;`eyb$sgy!pAUhjqq!6!-_#IsXBC4oRQN`iI`qz zn0f{+S;DNNMtYs=8RYR=zK&hWuaZ-F+}n|W#XOTdGycRL{&P$<1bU@6%<0|ci2s*$K>z|93m?9 zh=9&OK=Cec4DZB5Kk^fFA|7Costb)qf)L+gDy`1%#9a^HDbXreY z#V)2_$!5FuNd<*Spm&r9Ctx9#&6PTMbHGK}>5Qn;_#|6v_erdO0YCjLis7)FR&{(1 zb$F1dm>xSVy=O$-CxDJTC+c-LQLjVFQ(Nn=!?pf8yh-3q{_5LQk|cjPgVXffBw&I~ zXJS|lIidq@Rh^$2)6g30JMy*E!Hb?@xLn)F@?G^ z+s)d?<*040+eE6{y2uyBHeDtcRGpVj%0=Ic$GyZ4vYR+Vm)gYTx-hxG^ZYeA&tH?U zlC&JXCUg8Xd4O2k0lI11sBobVnz;D!1n8r#X{8WiI89raXf zJ?1Fe{V1YBj_4v-5qVK&{3sg7YA7)>z}oE?J#$ko5^;%wF3P1p;y|9RQLt3-f+&qz z+#jYLqa44ZdZ7`KVcKHS4x*RO&svbr>LPmJHB5Eh0O8CqWrpfIj)?Ra)qSF@Cn?xQ z^`WSNV?aqe5l76-BuOBQndh`N1 zPaRbS{1P>F`X`a%mx{&q^HJHX0xJeUKyTH@&RMV3Wv?yPF3LA5U@MkgkP9nB3v18= z;n71n#AXiUdcHy|t$BnEJT>WmHbv&2!|eTtwEQeu*h=X(YQ#Ri-!(5|2tYS>iiuZqPNc9&90+vmTK}OfmitZ z+7)+n@L4K8cWU#w+eJ3>F`{afqD{zDo!BR*FUVe%BC0vl@+-?aKdp6sTDzfGYFvg* z03vfLMYAsTi8XVClU!n9tzTQ#vg&a?`-fa}IFGo5Hqoy!6QMEUib#C6oD03d-W#m~ zrFSiR7le`gtfH#d-P(uRLsSV$RN1Ao(-_4SY!fP3UJ)&yOC>rOtXxmS^Z((H)g0+q zr_JG;)=JN}K)%$KfY~OwsfUz_K{rn5Ad6)~{V_tCHHQ7R;?^ zv0uFw-y!Zn;3CocFS?l__C(6@OTWf`2_-XL6D^xM9?;a;U;8gr`qE$hU*5SOU%CdX znDqw(yMNgJhq5?DEFYyD>3p)qN(b4AW$O-jDB~PI%Q^lA!Iw`r`8nRi978&Hh#Z!& zbXF-NvT*6%6e{B2O&R=)i0JjYP8O>z`XI3Qv|s#w_2t(7DF3R3>>@LRrs!WjEQuWP zi)bAjNK8Y_fg_7o{UX*^Hx#@fU;0f{4*2ACL}1o^A1q{)+7p#(y1yqd&BgIXxIF+s z913nUoME&cQNTA`VZ0nyFiiDv#a|yt9Q`7gev>t2gK3ul>T@|R3y&hWVi}mMV(?S%!kWjK?P^H zFA)@V?>XP>OHDuY>q3yk1>%PR_zuVPjajw?t{TLyzBgBCq3qOT4j^EHFUA&Yg14x$Gg zvG@;=?S>AbZZE^}Dku}!k@?C{?*o}_ASfsnQNFtB1Rx#B?2gV$8KoE92}+qK>11g} znPHu!gRLLyU|N;WuvOP{v;8&nQNi_4V6VlQtP>|=D8n^JM|b7vV5NdT>0tLs0_)Y> zgmMHQR5d!w8`xeXo@eXXG0*g_fkpgGAgVb$2W^YNHcZCI3hVTgT~ORr13+*tS~-EAdC_MRAyQ+DenWgLJqvO@}Li z9D7;i>Dmj=L(PfSiMwkNaa|xo35bFYP%tW}bDe@YS11@O<2?m)-6j~6kxJJBSM*3o zuTwVaev3Z2gQk3~fUKR^h_eVWRQ`5HgxBh!sFK>_*(8hjbf}E_6KC1Sa1PispQ{9E z>O}xjy5_paX<`(M^*R+05eLZyhOI~eT)8^HL@Xf&TS8oEz%eE2an`yDS==8&RIu~S z^HD0uNrqUQU#l3k#wcb`2()m}ADKE|RY8j@Qul~I1`{ERd(X@A{-46?EkOo(VK@_g z%!AL4-7mw($w=H7bE+S#=wF0Mv~mH&)q;$$gNXwOs9X{xfcArn7^qwTuLFp0k_{wG z^9GMB>k}MaW2f3wsY;Sh{4MG~dq4$uB}LaHvQYq{=k;WT7w^4#Ad#&Bnjy#KcdZ$&HdG?(N9eZNF$T_l0?l{loP6pZQD3`5P?)^DoIRoHoP7Tu5$Am|6AcaatL+7N zKtglAuS1+;bcpFGza2+m+QO^aWFJQ)Ceen@hA8#CB!zA@*8a6a* z9i0g7q+w?jpGL{}8PD&^$a^BRPUai>*;p)TjJjGmw3|76)K$E0Uh%hl;vRPM_6?N7 z?}_@s0|rvIjoocG$hr4K+uolc4Cd8(j%kbvg`3{f!R1h@40<|DbC>FI?k(_OMZ}VP zjIxPHK2}8p?w~LtZlH|4FCxQw>bSNZgbNLI=C7CC?~9h+dV1nf$7tSwqtPJk+MuJm z)+LnNsf&i)`n+Cm|lQ zH{DJ5?xC1+`k}=TK@1>TchflnHmW8X^hd^KypyI}TNmZJv&coIsH`H86}kbs{^7I` zCwzhtr*zEe)|M*#H~bD0`Js);-A>{>dKyp3O|L3aSnx#ii`nnRTZ32>#p)>juTtKX z8BCGR(zyQS{3%|u-q|O22O6LEPT%JZzD7H8&dKl*72UOxi$!=M@)AeUHb1@Tm$nUk zEand_c9=g}0}~w&vTg%q&&2!9(8ZXi7to3tDrQtXZNsJ)T;rFqQ6;9=2m;xY*s|>& zVB=h#=E`8ij2&p&13%+qR4=t@YwD- z8zMEhJ#(@ngW#s*+`;2O)qCdTMn+Qb)!lLUb}_R1GYI#a7H{Ocb{Kf`YtOxnFJt;_ zL0=8P-Eymi96aUg$!k;Y@rk>u5d5Yh@Rr|Q#}~qQgaGgRd8_b5lRi@#GQkwKFzcWT4i!@ZaW>jOp%_elg?Cp+|fywDbi`o7MLbv;+9>oxl^7O z=sC)E^PV=}FnuqalD8XK;VObn)ok)%og{5fdkfY-pq?;169Yu zvBlMhQljPDP(-P>usGjQKxch+>DZv=7|ni*jTi?R9CxFVS**V@dA&f$lplC)uLzi~ zP$W9YN>C7;2uOpF_e1y~Ou4etS5A*5eYf=_xHIU#u=_CLdL8P9yRT|SYmBw^P zrNIIfi6N4pKy#f^9#!G5sQ|7z!K2qVecFzO9*an&Sg1v=qLhAmAjwx9!#PT@2h!fJ zFf6VQC<(x%I2Pvy1=gG)Dj%KwfBfJ+|HAIpS8BmVyEk5k@&=xxzDjDbS#&U)0@m6( z*kRDYWTI-O>N?o^yUrimBjT3MpXq|~a22{Q>rio$JoH2(*9zwNLcz`eW?i9Rw)qN1JGG|I6wEqJ!EmI}V)__<-1Ya! zRw2g5hWG2@i;Bh=!*g}zhapC%p;=wIBE*;&aW$64YMgMu^ZE7weE>fgD+5D~Pa3jg zW#>@ia6`p6a!shQzU3uG#@^E%3~ZiF6|3d>P-7$OO&e&Sd*W*v^pkEf$I7}UqqWl= ziUQy`lz~+1CI(&Cc^&^YZ5FC)*L097MxC(YPqCysw%X(flkv&GLb=9dOj1uPJbKy+ zcSaJ-jqP>}efwq2n#Smcg*>aeg*o7MS{~oSTakR=s>iD*X{f{^=)ywT3%D+Ydl4l+ z3672uYMbl&En~Xuh;HsJ#>qgRNk*2%=S@a_qwu!j-1Cg9ZBY}^82#2#n>RE?8F=ftSvtw2U(0$0vE|E7UMfFGC%lm&JiR4qZ$0BBbnjpqpsrQkoj*E;!4R$E>rLL^ z9Q7c6UhlxHyVcCOm3X(G8p%>bQNth?k0cg;{Q#$jVavVnFz-^lY>R%v24dX{Kd*z+*=e$TKB~JgA=P7l{B=K{SkL z5KwvUIuX)_u%;}HTz!y`h}575q&mXKq@E>GgNYXT%obnd_lNKuf_v3q;$lKcAA_;X z3IGW*t`W|ldmxqt8WDvXq+)0#3LU9sWdKqGlUAXs5MHk#Om5Kka&?%onK$TD&Sh3W zSAz4Im!$!3AEwv))v^XHbXsHpp+U1|MFNj=#YQ4oydvW67kkj#h!lo$>PfTaaL34xe`L+B_kp~E3`l#S4pLnTGX5c#%z zA>8N;rmK&*0*R}Sa%Q-(ZVkHn=nJKrkDJ1cP2181HJpj76A+!L+@}U9cjQYUDLESs z2jG4YyE|}OC2PCJ{&ZOgItVS6Q(La$y~Uo!e3y% zqK~RV8Xv0#nkSw0PNyetw53{CnFF$osjNWZ!cu*&4xsxh=t(gYVeUk28I`^)XpB4( zVXR-1FZhsoL`pb$PNXsNas3_7!^e&_T=otbC=a|#$i6))IzF-0a8wkG(O!qlL`xF=z?E5y;*?PpsgLj zA|OjJ{T}i{XN?JA0CawBCiOCN1NO-7 zQRwc12#(gVGH9(F6J@M#h+HcRqKt9g3FZ714?1?v_m#Jh5>P%_RR5eL;OrnW-|^6q zZac12jX_&J6^U6|zyPzfI*l_1w48w=@hh17iPQpWPQdd8DN59awFxsQu@O8ijXW!p z>KN-iPMSED#?mVg?A=`{x`Jj(KDmSY%+bcEFsgXcw`Bn}RpKR8xH#290e;%2@R ziiU+r2@D(1S;^Nfd3B0Mnhx~lhN}1j_a)!-l^>Q1ANbaZxWbq|@1HvG|57*Km zB`v3l;*br^m$Kp!&%Fz%!o<@iRv^|Kj}8N~H88|aozk-nmRao-DxZ|)4(JXDvk|Y& ztW^0`x2#NfPR7R?W257q8$~5b10~CXcnYvdZQ0W9IXNiS_;{1JD+&STGg9dRumGMY z0P)dG#^P&tMQ)EZCWWnC^}mY%%T~#Vy2ke20Q9oq-h@ExZzEA?JED^1{NT&MMb&1}0S##G>0umAp-Sc|`YL2IN zfoUJIm_$?&@rlPPTgE|g)4cMTIAiTPdc)=R1nO~0MJ;nPPs#Cd#)xK=KbW2$uaslP zh*R%TcIh~6g82s87^d#L5V$@%WkIIniM->jGm5+SZxwr9VPHs zdps4V44lyxHMqsQ?v@!7fQ(A`lb*PPSm=R!)>XAKP%;D25GLxE=D0}Nj&4{$$pE}7 z``0tpGE6-s->zqD_|ja;mUgHjsql%`(G==~yC4q)1rV}20B-n;(`ZuQ>ytFXq^i*i zz_-`ZxdWgH>?Dt(GzoV@|Ey<>@lIIDlv#k5H8UuL9AF=5>vYM_n5s}dVTmHQt5ho7 z1H*&IW=qLj#G+jjjOG~!0LOg9GGhd#f;4H2`@Ck^TIqC$k5PNj4$=~?OUQZ{ z#UkcB%mu2@b0D;641k`jvP4hE|KMGxzp*Tsa5m?cI1#-Fy^HnJ*9|7Jy({P($*Qf=KAJm1O~Sv`C5OiJc6y z21`d?ZeWaW#q86#t(qzIFIbEL2fWI#g}PocR57<{K@)M9Oft!CY#lN|^*$i9TYBxr zNW+ZXa-QAT)esOZE9|K1sS3feQiH*UT+foIe<8UnXNv;)&_WS$lLoES9+(zEzz3(a zaY)O}tky6ckg$ZU3@{r>BthUO^_fXQj0NzB?9ZmF{Lo=EvGoC&EC_(Hh@OTl9yTIq ztY5(90Hnq;S86~d+Bl4PKL*!dwRB;pkz^ zX;|S$9@KSOsuc(AkbgBaHnt>=ng%;vy=H+84A&^>YGnN2N%~wIUiAAIU(U=b{Yro9 zY%D@F`z!kWPWe%cX|!J8XplEL;kIbEKN(-D%1*)5#^>5_P5#=*Xg5^ZWmvp1E@l+n ze9Lm95D z+|hWGOnv0-0SVsQ4N`dh`pc4dV|}ltf=!VOWi3?CieP?q6Ffp-=pX1G2jVrFf)O)Y zBRL82M6k-Lj7O6`QdT`}y5kF?1vx*49~>Zv#3N-`UJ1ub`4bx8)2tBgWB8VHi|Tx1 z+#G)bD-XxiAe3HckDnmNHa7N4`5=%ce0YvWF$OCpy}T=KZQaMy!tcC24UfXuUV(#3 z`>plfl#aifb7S#Q1kYgGt6S>Rmwb$Slkw#PG3APkZen~fmg*-Z>u#_)`!_6E!H255 z3}0u=ur_R#`Av+if~m@orE`3MJkkUsRMm!3nx$tHoVlZXTK<{SUjwGE{}i67pb+Nq zVQ0(eRv4RZnEU`@KU=OKH2Ogc7@C%Urlf>Zq!peiQ$D1vAWTkE318CvvgD4t&68f2 z!HzrcdtICk6sLLXl8sOaJ{rR zjbTApo57WV%JuU5W=59+4t)@ZR+W1LarsVvT$udPX*2@iqSM$Sl-~0j+Ql56f2rLB z8Q0txR;Oa2UkPx7C#;OdS9~9&@KWLg*|WKEcrDe4cHyH2&!QoL=MC~eb7ONuJBR$c zxzXv(MzcxH(ceJ-sO^L1)5o5flvBAiku^8DS~jbBGM-4xtX$KN8jMOp52OrJO778> z9DvF^px^-u7Dg%(jZi4PAE1n=e2u9z%I0@M@ZR7q(iJ*iF-C8F@NG@w1eH(rlZRUv z>!)U_U>GNTn36P#$}kmc9eQFF*g8xlINz5zRtZqM^osP?(w$(e-+hG6QNDU%{xcwY zP&9t{5p;TBCtM9VL>`5u9SSY_tFt(_pK2$v5AAU^x(!Z+fW`c2O zG*!peN^D+kUbDHB`$S^8by+1I9vs=yn9|zcs8e$;Kg2_P{HL|(L!p>-YtyJZfTF@Y z8jyVr+I^#?v5_Ni8#>2SpXy}I*&qCI|Gv-l?zt;C+LCP7kV(L%IEU=m3gg09s&l+{ z)4Q@?>jxE{&rfAje;Lm*p*p9#&B^E!*TAj(0N0v5pid0{i?!bt)Ph*h;n8xFs_29V zVkKDRlSq2yAZ?K5-1g+j?^_w8qleys>@dhU+@T-f!;e@tOdK{1A6#*|hDl3nW5lr3 zb<_*lu6&zp!qezYHtcPK7fibhn1(O7^sl%=1E*$-R75mWgzgdj$b+4ln#y&>D%YvI z4&Alst||78oYC62ELN}nftA(m@d90|snCX}X~TAy=>+>1F?ShGFBa7}bm@znP_ zy0brRKbmSe!sz+Ztj60i(`6iJSanNoa~ZAGf4o&cK+b4u43||dV-3TWTk51QWupjC$Nmz8ae^_z{w$^hvEomqfKTm|4-8nq&##xD&Y z1_%!|92qOyK5k6wtX}wZ5_O^k$`j~Aua*7w#SsP507X)eiz+gN$z zabvr-?LPUA#+OU@-=Hoc!L&;tAT(yem|5_^b~-}3hZaP8iCW2sS@MZ?FedJ_l0(`V z2N~whlzZA4pKDo?&$MU+GL60o0b@*-YZUMZ2bMn?Sd=fHZf|_tuqIz-w>LIPO`HE6 zEEqD09Dn_W@3G?Beo&AKc#$!{1Agu9tA49wp5hIC5S1HTn#M5-wk3waUO?fU!yCxE z?TyiqZ#AG@E^hk!VTspY&_vh!k<8r(02rl`f_gvV_So>z6|VW zjJ7WtKox}BP3kbaW|~sP4MQC|0daAuo=og$j7-^C4;RV@0+OrVv32Hz z>3jK`bOWe%Z3AyG&(LC(iM64^!S-Lqj>>ucxrn)XEKZ2xJdJGE$rxd{6(^tRWUOtd zjFUqO+dbK?B3$-os6{tNl{L^jZL~JMWM9@ zO)S4&pB^T_3XJB+g&(SN_fa*05lF=7HXkZpsgAS3b=l0J@y*emNKV;-YxeFlu=~uDv%WO8=EwKpj?e z+WtW$<883zH55f#RJ6?NY>W%O0Sv^LeySsjI~!kU5zXNYI+2Bqk&JTs8nEogf;LU5 z)P*)eXX{dwOzeX7`JGYF`5qvXtKK#vod*c%w^e%d#O?fvE4d1Aw>U~pM`FXcDEVa< zqdnpkPGPYsmyWAJDbMtk7rGdmM6~5d*sYYuRF%D{ag=l<8ymN+$&qwK4dVBU?6*kh z=6BoT&?AwWW$Pc4gbXyOR^{exGCSG$ts(uA?Aq1%tigIo&g+VK`BxFLqARL3KSI{& zW*lXRy(njNGyeLx-bR$3=BSZNuu`Yg_k{u!;o*T@(w7QH+fQ44Os377MGW zD8|@JV!?_viijnaL1RIq(Zm{KuhnYpk_5cmDw-|zba zW_D)IoVm|4bEe!oGu|J;i_{O=BsR;nlQ<_#Hh@yO4ZyF&Z!FrTtfB^xV^c;BwzZR0 zE`z_W)r@t2)d@mCX620PdV*mxL1{gKl(1~NQAMxqudpiYM!52pVR>8T+X}UP*S`F< zeG*h;r0m=$|rS;gJBRlx-c8mkY^Uj#S`rk#w8sD&CjV0Dnoty+Sjo(Fj)Ci8 z+=~<$gTMCS(F^ZqPdJZzXqR!9@c!XXN``%CR0c}Fx+gxlh&R_gkF(}i!cU3gKBHl% zcQSsOKQ_>nNJw}Uzu=n4MdUSdl5hqVN^)q@_BC#MQ}J(43jT%eUI;=mzM!%vdiRD# zr2kB&r|wFmPp9-DJbk5kBrpa7C}Ti9NJJzC*W^XTZOnhNik(o+xPHwt{zneGpL9*9 zd-QNi^xjsI#dK1<+os|l{-Fvu2COpI$jHltFF)H3e!Lp0a+`}8oSYBO}ihhVR z&v{;+mwoSLF$dHx4IgZE=N1iN2%X78d@e_u0S45a0!WsSYyL(Jwh3wL*B2>0M+ zxcCN$e~JEa76qcjpQlUDifrlGsg)5?i;GFm95;AyDATiO_VfEn8&BLnPs^Khq>Na= zUXrnmz1>$S>%NWkj8ZCEcI;5YbB;vP^&xk0mjV@YNHm)lrIdG%W*efE+9i>K#;u;m z-FC2Fqm&Te?FcY;&$D~e(dA=NO_)HtX~FmG9lKJ~uu^41?Mk=!v{LkJQRrpJD9~!b z3pdIW#`petVi6tp*|&X&bezn|4TrWU9R5DSx0q6!N?%Fp|xY>V=7&juX?NudY z`}sa@+qcHKU3*ZwKz3MTg{@=ZUGbq)S2ne)5>hc#;RYSIqoU$xw0?`U(mNc@bluLb zcU64Nc3!`+u!w?W)ZM_$-4w5iJP^Yu3dFGIuZV=;RtQ6#*kEVxbW^PEcGj<(@~$5O z&2#=j-lzoajiM4v{*70Hv2aMymr?9OH!KwKN`Q~SmMO9lY>|~d`66!?>B}y6SL(FQtr%W{^ip^Ada?bCtQQNK%6h>&IeP!iD+byQqk@|Kmsx3i z2qKnrW}SMV?RI7}dMH)=KWdUkZS~H?X%Ex}jLh`j@-+lz3|`01_kg|V#QyA|)Us|l z&5g<${&7DRApEMOgEO=|QMs_!cx@-vxTg|mh&{b)U{9sEdwjoBRcNW=Jpbt5G>iYj zNB`rX%Q#-d9sclrx>p`Ak@*V<`mjN>gdh$<7?at9!?<7bwhGr+Tg35|g+T77Ll^0m zWIMe%H{Cf&vN(d%9L*lZC?Pe!-~s5?X;T79b9j^o)wClB#xNuGb`oz6n(?cXta~r4 zpbX^cF=gjpRNIZ>x8~QHhij(yL_GE}+k?AsKOKq`jTTP>0?qXLNp`fC;%Bg)WPc*R zMjd#Y3EIM4yxKE+__c-~of~aRp`*Q?VJ#IWui1mE@-)%s!Pv|WtYvQ{^tJZrdd!v_ zvaHz<4L;MJE$OXP?ul?H!=f1;3gO262tXHd01odu^nT|*T~4Bz*NEAa9(_}Rea$>S zRyJ0t<=&pX6RT9|(ep$V9Eae43V*Z&}aeKQk>@y9eku6E7b>Mown?wK3L(WP|7Z+E!)yZ zsf^%reU$RAwM8)69)CTb3b1}?%l_%3lnX{UQOXs#q1n(6d=fez;pRD2P2BKY_=ifM zS&ClehUdi$pm#qplo!m=_PV*A%d0!2rL7z~Bswf7w?q2rA?q<9uS4R!54{xi*vz3vNP73U*+93uM?X$7^gV0sksH^f0;B{e9ZITvsA>2ll zK=xNWH&~Gd2+Wwfgl!pw{>sKq3{t9AxpI(nYu?-iM7LqSXj|h|{5^uA!|yWFU<~!% zWqyN|J?<^p^}%Q$Z=Yv5gOw_kYn>;%5KZg4^r;*^o`%6WL71B+wd~=>+Q%qmYo6d; z2QQ0d%mK%~?oM!DH={{gE z_dEArHTJVjL(zXV+0QNvRjRv(vFAgT3Khc$_Go;tVb9{{Rok&E)Dv3;?cRxDto|^i zY9LaetHM$)&Wtj91mwJfHTVY9$IvwnhkP)L*&CBLpFtn<@h~N@dao&XlZ5m|%+r=2 zU1HFc)#5EuAbz>+Nye-vbWcis_VX~MZ2Ur}DKEWM8kR9)LwWulD3IQGr$Htm^I!^} z?H0jZ=Jb0R56<}KG!o)Gdn%3%ftSg}8}q<}>v?jLr?8CgDPgR4&Pcoj>i>`1Vs0Ni zeC7mpL47jbYEDSljo#h(N9m@Y#cz`dzr&Np2e`G3oxSn-#UD_8G|LGQY02suU&2=8 z-^iq*Ie5!-vhtk3cZ)3Jsj$1jhn*a*jBsyG6ARChw}1Lw%rsJ(vmPHR!_6a4j|of+ zYI^T|+__>3yvKg|P#MGR5nlU6FZAXEWcc=b`_Oy|Z40O4(i8|^NQURo)!qo8(K1<- zlk^h?RQ{yPIPnD{{_zOB&Z7t@K3*(*>M>hH(}(8SzwoJ* zM{s2hmWRbGT<@Gv7C%C%>mJIsj8NVw2{Hbn^RmxQ_F{z6zy|?X6b+x6>VxkCieT1t zWc&iuBwVZk=uO9Wvi2jD!G^R_EOn&f8A;-(t&Mk5^T2oJ00=uw{86coW}L3-<2H4? zmD?yDhIL=!FPeW*hWG4l^i0&C0Pp_Fco5%FFdaKs<55a8LxzQo9)*uhk%o4;Olykx z)uWV&>do8lH_3SF%c_r7Y8!6PVVy=RcQkX=IrMq@_buvQgDHJcg|&}UEakS1rOzKM z^*_bCMihSC*W7p+w|vdwNz#%$`+n3S{#HsZD@CJTQ-M>_R&hi6( z(Oa+GsK&@>wAjPl<^G%2W)84((J$eR^ZSLSgaD?nefdw)B*UwW@j`h=S#!Gbc*Exd&=z^ zIacZ6UW$ZK>$HWLfkWB#aY{8aE6dZSVp->KMtLvhIbK<9?z^RmTSn+~AGg-A+t;Oz zWyi;367l91_H?`weUdCV^X)J6b zn;WnA(>mXtc%@G99jCd>haa%4c%_1&Twe5>la%)jm;104la$tU8EfVw<&E}eQGLzv zr50@!9?wOo!c%ck8a%Y8!PfNr`2Dt~rzTXi?asXg({pBmXHp8K$iaP~r^eq)>f~NO zb!za2O>D?y%=g#49#{&`m2;sCdV|5+*rLfwVA!N>PXnN===6EICq?&cg$FC#P*?CTsUgkuP za~GhND2ML?jBe%F#3`t$-+QsGQ?QU8GLBuGqJ$AV6{h0NCANbHPsNK2gio8QbS}QR zEPZj{{aIP|+f=2uA>U<;=!ZAztlE^c5A-yPD4-J7lqtflW0Z<$y-X+O#ynYh153ciL2%{h*} zYL7S7riW>nK#^?P#~9kC8T!Ktdn8?k8ML$#HCs# zmEsw-=NX0Wq0Hr7P8sh+v3&_j<#%QE-Eg`Lbtw(pCRU(^jDb*u)&F1&O0hTf`!)0t z&XS(5k(qe%#qdCwrUp=q&;wL}(ETCV%y*Vj&RWzeo)V+)u*BM%#-mmzmf8TT)aa1Z z6L^~Sn5ERNhK%tQ&)zgVTRU6Q2y3(d6w#XTeP_0H7TSC5FWA*tO2hb~wMFh>G;E2C z&1s(4-~d(k=7|yeicWtrF*>sU^^kc6x3Gafw#-T8d6Q~iXlb>M?VhdFrwV#^w≫Z_(ig^IEUoFvV_^=-EYV*%)zznrkmCg1XQ$NvRu- zfOiu1zZLNh+GW!C$Hi>@|K!I*9MW5StAu55)4>qoiOY&aAvGFhk$!Md)KNu91R>jt z#ALIBNlNqA5P>!1*-uH4xyEd7w_@zIIZChm;l)A@v*~k`v(&6Q%~fiZ)2n2nXEN$Q zZasXA&#C7sRo*Z)!G}x<{utWiG$vn7ThkNc+t`vShTxKqBDPv5$m;J+k9ff=Jg#Yq zXLskKE-q>2uyx}#^iIYt4k4pTCwjB@$HD3*;eTeSMB{Y&hUwz6)RDL&v>-~jrEz+%O+9LvtDal5+ltjc6Pqf=(U}Q!y^QLE?iTk zrMQq(@sF?Vew)5d|L*p5WQhc(3QPH{@$`rN=vzvB^iTHz(w-AcT(2+T6FKbru$zA4 zel6gra4n}q9JUhJgU)e3okl>P7GQ1K9Obt_gkh5B;kV0;#+1=`p*RvxGsgCRy( z(2}hAIr0iXj+m=aw1l+(M;Xe6BVag<&*- z^Flrcf8qu{qxu)+@j)RDn?xAdV4i?}P-#WCdGMx4`h0zPx5U}}1E}1T{!S@#4j;UO zw76rXAHv~Kv=K!)vYriEqY}+CwsEIBEfUuf^ zD6ahAbDje|4uxe@MoxJ}rlzr|A#M*<-g5$b9+KvPoe=E=cG-si1^fPZ_Vr?bU9=ia zv7bw@(@`@wO0a2efG3He-jbk0dlb}i*tj%+v!cthqgSC!Wqe>8A1bM#(taCD^alIR`X~|0G8hUnA&*ONS zDAe)lUBzmKn|az+1SSTp9LtI<0mu!YCUj4OhN4EN0@&>mWSXntVe3uBrJx0YOmB}5 zHWSbJ#To%}7Q%8Np&t$)hl!*Uaje1;#p`{&oB%ljF+f*C!Qf;Iy+!*cO38ecfn=76Qj8)O-KEmbV9Mf3CoX)$D!vF@|-Z1YmZt0-m3)+|+O z87yzG^GlT|ClJZXQvH2myxb)h#9le{VR5@S*5Ol}^U((xhwd4~K@79{?B@t#4;bLf zoWvV2v4tT;4E~DcJ%M~M9D8?gka(6ss|m;v){xw&ki6svM~Fo4B2gkT)6>LTZFz}+ z=XfN8A{*3uBdNGAoSPZkgOQi=;53olvsEzXvq*N!gGqzoH1Ru3EjPCxV zs%oCiGooo~RK~_tj;O9&rUaDH#}zq;o1Qe!dHe{_c`vlFUzgFR91B><ZgY09BY|i zJ-}xfu{R_8KM%wTe48ZeBTO{pX;p0#msRCsk|N*YQ6|Z`#!NBSNak~mq}rp}Bn`Uj z7QP?d(8LvrwGGWVA`+5m&JmH2!si@!q^L`av`l*yDmW)Ob zx@TcEwsN&n$&@00;ai!oZ`kz*_+}PSzpchbgkjC;6aUvEW4~_|TXYevm_@{v;ulv_ zeQuZYT^MHkWo?+7w8Kr-)5Xo!MRA_Ph9;RM@XeF$QlBQ_IjM;gFyzCorlJQZ3tMah zo<-AVwglWoCgdCG^5XOZlDEaaWh#aYF#1JrV!8WG1~weiqL%R?yl_pw!VOI9+gH>UrHyzmBtZ(a<_nNh`UWVeWfR2vSOL-O_fzFZ9Xux`&cTBc+lXG_*#Kcu)w zH|h>rynO?|q!yXb);y}23gaI@b;k0>0D9^`0@g0Mf3Yg zdV*8n32TZ^!l@s`d#3SLjq(XjzQ7KCj?RyRhKHh^rFM$MQuL>i7aEw`X(J>Q(W^lF z7D+3jA4aX%$cwv(-C6oNrG_OoGXsoAdS}^2zhIq?5FfM`f~_>Oq(GT3SFm=vt}74 zfAR0J(QYHic59Z&X>v_ z#JMO~!NORYuI9C~mzn~+_M=a%EH$7jvtQwsAit(Y+`gaUX6JvQ>d<(0XbTp%(_d$m zwqgOI)p*uyt5UvlCC~ZjFYr2wrXE_FJ$)io8h{vkR)PpOGT|thI+94_$iOpIwnS<5 z0BCR{E)sPjGT|J(8l&WMd2%$>uX%E$3aUb>U>@j>M`>jN>N%VSiUaac1b)7)>DC#c z{w`c;XAfB-Z8wmvIF=3Arc|u(#DgP^ z!!o{bDnLD<{C=E3d9j(J+&F7AHCy@` z5xrB$$f~G){B?9RjrvUAnBU^+RY*^I ziF!iXG(sTVrlhbXxVZTqY)XY$C1rh%;`KQ#w>}Rq$^7;Jlu-H2&6;M6^*F}_PagkS{JmMwl z3FRBZ19XXW<+<-Wxw$}t>eT9g%5BeFPazYi#fZFNMsHuCZ01 zylI#t%GHmF$E*w2MU?DrD& zgz}D|0%b)N7CU69gVpFKtp)}|!fG^ECH6Etn$15a6WG^K*@OeYzR_m>q_T!L*(|Ux zPoX;Y-kSyXlZQBBU*QM=iJJuAx`=(&VG?;2_E#y@OR!f_1cCi>o|k4^3)e;LKa}bV z#~%At3RF+nCkz(Y|5}t|@9R0(0sCPR`(Zlvm5lS*{6k8aB1U+=Jfu|9YH#FuOR(!~ z6kwO8P#tWKjRNdWgB-y&ry>c!E(_O1ux}nBkyn9zmQuY0>}vycu;=raY<|JbPTX9&aSp9Eat5jb&;$bgQPl(s+FA!gf^N483y}utjc~q%VB+YF;yMI(ES7bXpC6A#Z zmt5}%t7*M}_3kC$h}X=h!n`ii$Ks+c z&-jSN)$2y~!SUpUa^U!tCX{6fS{>_6=-u&?;HsN~gpvzU{p_U|A@pg1K>XHY=6()U zd;4CX}Pu#WZ}oI=35pnx zB@Uj12Oqp6!q@;2dZMilS3zS{~#=PfM;GdRl=sK6gkImnEPdv4lUUgnrZ#0exo*)uFd95ztSFa)iFi zCh_b&;kpQYZ7%XE=*v*5mq1?xMG(+mp_v$7 zfZp7NjlKxzhu_PC{%81Qt)~@N4t)qgf6O6K$UOmlN5(Zt=sPn3eM1V>p>NCt^ue7S zp?`COc=os9x(IzKF7hhqpNJ{gf2tj=r5x>~L%)Yo0s6ymU4(uP7kL%*aW7F%(6{I$ zpkH(e(4Xtbc3lGWjql_^zZQN8{caulb_D%wheXBh2=)wME5mgW`a3iMd*xj7M=?z+5c;Vof~fUNcwU-u6tpnvj-1N6^6 zk+#0QBlJ%{7trUxbrJg0T;x@?ekY|Wpv6qzy5L+|wx_1xCC zk+%L9vh{7)=wAT+{9AdbzJOoW`g9$7TDmpfbVw9)OF(~NjwAFx&Job>q);9DJ#(b3 zk9357*J=U%A-FC=KbwoZ3i?r$>Lt)0jnJWQEY*j?brJf~QhnjjXN!sCf9xH%^*E)0 z2A7M{$=0`KyV3!@{kJ^mkHasar%#r7tsh3vZ*)lH|C@k*`)o((cg_~jFQ!l(`X#fa zt#9QBJxdYLuY~I&^nGfUcf znHy;6aG-DH(dWy9;%){qmoxcxp2y-sN}hqA{w(D(vA2@pl|z_E0gHA z`dFY}lR|a$13nh$*9&t*zt&Rm`1Rqsh`t*ac@_G9ifP?{DjJPxcDX4|}RRO*K zG)L%bP7~0Vpimup(=-A7gHT84OD2nFe;uxi(5KVX?3E+=lVVy|AoQbA1Yu&5cwX3E z1=mIBdrS3&cdQXFQBTl63lY%Y$OQCLLs*eJsQ7PO&Vzmh{IcSw=oMeC1{HMN=)*yt{B+M1LdnN(jm`qf^dp3wjLErEXZdq6+`&HImd0q#-yESSFW{9Oj{&*je34}30&A9PJJ-L$BgPRFx9?_pO$|6rnk zj=rI*-@^~zC`uWa_R1OAO#P$76!{sa-EWws7e}aOovxVM&WEyi(k^a~Hk&%D7IfgZto zjVh!*41Lrvnah6l?bE79V%>iP3a*hboHitxY;P1B(+fHl=*CP^e z*7u(g2@m?ERAZeVV5b{4pGgLD6$w`(5^jg? z7Q0uLRby8l;6*3g><5a^>y&v!!hIVZ^Y+k|aJye}HCF2(PMlbLm9=}QRCs+E*PfRV zX`lEI+L6PChe}9%!b4v&lHx%42!8XM-(VWb(kGq`#F#eVP8vPA{f;fR1r7sfLBmMz zKoJ*YJI~{Qs22Q_NcTK4wi3x3Z_;m6h-382bWi@mZe~<=1gAeVhoj0P&XOtPF3_(a z6Xl5nf7x<~94**W2HG~A{}1$ah*_;tayCuRkU`3u`*em}=1 z{iRektX;uY{e{yzwwz;s`~_?~tYD=cE7dEt*YmlXCGtUyi~gDXn&skI*8Z_lzSH@$ z$QIWmMLgzda0D*C>BlqNpphkR{TcKu1LZq`pb-z?i+%*3cOMeMUZ0Aj!0f?e=oUwu z2O4>p;c_N>0)}z-$&w4&n zDshV%kvO-XxYrEd0jHxL+D}F#n09d4!(>j$aM9UV_7k>)x%(Snc%PB3EnF5k!wg5^ zTqQEe_HS@v=u?uQja=H6IGOz*>u!p@NHH(qi}Dl2<%NgI8(}3wZ~uk<;Rpa&OI-b# zb%go;joOiYgoXaCl&ja|4WNq>okP~Br?@DZm%}u67n)VOQ*7-b; zW&DF1R$>?{{aksgl-t0%bi=7OyOuVPMLoxU*kS|Ol@&6!7OPet@|9?pMdmd^bRY4*nprDOa! zs&^iyn&g6Bzj7kLQe3zJHG~^P;oU>KhxQ2Vd30WAm(b3kokBZydN0vC`*&Y#gDevn zdot%#SkoV{7cxogg|zY(JONiX7fljvx?*md_P#ofY{Sv8_(b=}*i07E64?7F$QNzXbjct%D?CR~?$ zK{T-!1iEm4C${x~`5D>A7gbOzi1CydUD@YKON3iKku=~v=*#RHnQ*_*T=>SCG-P{B z9?J&Vs6VM1icNh5=l!%+6*^M**F`(jO1ZlxZ`!85aF0mbEB8w-^ z#yYsG)n2DvlMtfbH|lRt!LWNW(Ova5xYc5t-PQ8(l#6*zZ|tW=677(_f0Eigv83;x z9AQlk=bLa8DhFMxYY$#hlQS1S`P&mTBLaK7c8>*nMB@qapk<+o&yw~8d#0z1c`R<`m;+QE?mN!T=`JH#o)FD?*tu?9PDPy=3?jircM zxyP%sX;TA60uMdjnoQ0XeTyW&esrC_MUtQUNg(cqzi1ZwG_Gsp(z2^br}d0O-F7mK3bi3BHEVAA~`4>%kCCcs}GX>E} z~}_m&i;z@}*kY@uYy-^JCM@gX0G68~;X$hJM@6NoMCWY|T@e5H+k zK`Gr^j+@o+EPbpz9gC7Ne^Ldda=1<9Pps|s5+3ZXPDbDT7|%`vBw$iZL`2cfO}pPe zzVV_(x1_aXK!t46`OjB;1f`afdpROqOi` zBS!q%!|Qf#-Q}4W=lP<0=&!4)bh}VpETp9BEesUw>&r9s^JSAus)G#&eOOjWwQlbg zd-zl(8Z)_QJiQjMe>b0g1l5)i7)N;otV3YthlmdHqiBo=bJH)|CDNCY5j_121ZFm; z^b>Zm$)(hCzP%~Ln-ru;fp+8~CoZsW7YnVfmeXP+p?tI9x?vwMkD98N)=$z$mn z;yz&R7WAwmE92;bamaG34{-&~0^#bUnC)K)J8Kt<9=Rj|?%n=efZM6u>4AM~BQ7p@ z(#27eco!oWg1w;=kAnAl!T&9snN<_dfxeVPVxU^Cb=kgO>!oxvg0=DQ;yG(K7a;xNWy%U$aC70F9>s-c2 zE{D0wWxoQsjB}aG?d5X0bk^+xmxbweh0Dg!o!c(m&$IIt)HnJL`6@p#H@8Y+-lHf< z%sB)~DkCV~iTop5q(nW6k`kK`D5+e%g;VJ>oq{-(k93I-$s;9xVZF?11+x>8X_Ckj zPDEaoL{2KJ6FEr|InPxhXBJ3gvdcu8pjtLcXWcFkS(t8Di2S6C1CfTBWkjPqw>dvA zEhvPV*mm+rlmp?HOm5ngU!p37ki;kCkrJihmrNGfBpT)K8+9f->JmR7NSAnf6E({6 z&QxYeDl?p@%$8JM@zkkIkyLJVmCE%6Qn}k@D*HmUq|#Zp3se@S+Z8G^Uw5Q(>g$5a zK*G|giG9975@T_Ic|HOqm8B`(Nn+ZUQsNPLB$Y$qmsIxoQc(FW1@T6?LzigaAd!L{ zbYx%M`ia4<824zngu13$&P;(A&x&D>S3fcAdE+&m%2Y{ZnyXZvD3Hp_E>n5PBbQ2N z-7ZjBm~L0741?}m^J@4>6I9OsB0n)Ig-{zCMIK4a!}YmT4u#j5%3JHCL`RB}5@`sO zR32X^s9aA$oXRqkkaR2}Q7Pe$U3)~Oj}w)FoJudv--*jclFLN1&SkpfGRsviGYaG~ z+hs1jpjtLdXWcGvS(t8DxLjV^k;|acg3E`W15Bqz){jC6=S$>~IKKzKY?f(j^Gmd( z5R%wI9w|`|e#vFEwSvpy6vVk4txG&vlPA#|8v}{U5GO9%NiJq277Z-PBIx-xpC6~^+UEs1X-L7!?q@*L4b4m&>-y<-cxcu%j$xI^$ zoVO!Ta#@e!og~(+mJ($sN^X@wVmdbO@u{T~ zms=&5>z%mVExEj3ROd2AayiUZF8dY8Wt_`gj)!W=rL%4qxGYS!D_pumcV4?(Qbce$ zYFU0}?tChl=|)kKnM(+iTy~^*C-zS+l@g69N=ocNpycw)rGm>O3gTS;qf3k>kCga* zso*lziOV#}gk$>gdWU%3#QBAMLkDwFFAWOBF5OxA&F*(#lN zyTD{&x?N%N>wkR(lRJIXenndU>&tw7)xf@u2u!C&wt2B+#@hksB?y#UmZ5kjiP?*! z#6RSbT#klcaye*`;Ib_R@n(5ImuTi7u^xNo`0TR3)9kXpm|ap}-t4l!m|gz;T<0=X za+&5TmnRD3^0LcZ&VXvkrL%4qxGYS!D_oX>Zr&<6muvo!TrOFdpBXcSP%E2A9?8t# z3v#&}4X-nocR!I5(G(>mE+bHKdFB(raWN{QmwgvY0sPFx0ZE-}4y zBD0Ys^S!@yGSelQS+0_qQ6QPwE|Z!5ESF4Y-7b(>m~L0dd>^{=$b6A4$t*D+XgW2s zVH83@-=CKUbTbApfs)KR^8}e56vWA#O9@HhUk8b?a|M|p zPGq)|WZIm_jFMz-e5#Y_HeJpy;kq`ztPtIjkBT$mrex@L^0R?d~w^2fp@Fh{HWBCk0W{MM;TP2z6oygoR z$=r2cCo@KpIm}fu`xQuLoXcc}LA5@^bkgktnT6?gh0O2nNir|Dz*x4|JxS)AkAbFB zm-!ck5YQiyM*@8veo5w_>G>tTrx228PaY|80Dej4w&|QqpG6eJ$$VXxnBpMuFFW5D zuP>dbOp{ceaH8_Eq*A-9Q#nb(IgfMULc5&F1@d>8$z0r(cDejcE|t!@U7)fs-L6ns z1-kRv<=#w5<^8GoiRm;=5_5r~BrzcflvJLXl3$`eB_t}hQIwRZgg{B<>r+L$e1d2} z8vLR^j@ zkCaGJn=mBzz|bE`6N14CGv5is?jVBS~h3TRNHP63{Hph6_eE zgA3$0ve{hR6(hU;W-gh|x?Lc%Fx{?@835gR4fFVKlFZk}=cgu)LWr8De^vGZcZ6%!cCxndK;mlgTI{Nhl6Fri>M2hB%SgPLgSJA~Q;oIpSBH zOt+8a6ces%Q%o+9pG+?xB-2^93uG3i+Z8ge|KdpI=wBq6 z7e?i$ril*p9*UCG_#se|xou>A2`@@WWHO495=9Uw$;=)p$h?MVL8hG&lEeuIiLjA^ z%t=mU&XZ)$bRsiZl3DF%oy-tPW;<8Ov=vBZl*?p({ZlTP&bnP7voPJRkl6^j^UQ48 zHA!aBi2T%iN+ASvdGbhVK89bC`T9rsCB{$)No0SRC(#Fil1%$Yg3M+V#9QWGN=Onl zNL1=r`=KB+#fi+VlFao^WbT$^#$VORjFDsxbCt|~1(F%(GMS~ITAyP&>2`t4!gRYr z=KL#;WY)MM$y_`fXgbX?pHm0{J&rsQ=s)0>WR4h?U*al-kc6E)QsOxLlFYrs1ewbz zh?7}Cmzd=sp$rpbraF(ru)3>putfwgK`4pG>VSmYP&MY=oi3oXL zCS*2R^(~-(P=Wngb{AXOXeS-^f76a(eG`v|$(JHAeY%Z*QSo#kX8^n4>vnqqKOE#1 zHqwhDIA-caOOm^gw6*^!+5xe{=)XnV#3N8NvFRSg5GC6b?OZY+q>#DdY!HgnOU4V9 z^8;HKr8bEXQt}LriS&!MV&O>#2tRJG$JXgIgn0L(5bRc=4ci{3-t-Gu^5aJMz4B39 z!hX8NbZj!`2c;MX|G+}+YMtJ92lAQ`f$d%9XfUQ3-y1|B9;P2e40gbH7~e)%=5WNC z&J4((zCKUqVftFc@br}tmKjRvEd$wkyIRiYZ^UL+r2OM}IuBEZL;5}gSc$G`ov>*> z86~mTmadfwm$V@H05Mb8)8p5^3iu+xgc(L(a zRbO$PD=NW3Y-C>FRjpl$Z)^rRYpe)K?}~kQKUQAcP4za!mt~c^sTJu~*WhkyIRm1j zx~WyFRGMhyr_kVpi|~X?`o`jQdOo|5Zdo_AMz}ZME^dDinQ%P(@GT>d*OK^lU?@Al z_XDG<|3Sfv^j*P!;up5VfurB>v{%p;v>oa9Sm|iBYXx~Fs>eot#XF*~PYUt-t=Qx{ zHCpwH_e{gnW4G7OAPnqk-d{H)7(Dvm>P{$GE4CnGH)II?TuwWbhj@^n%{OQ&-vH&Xmbzk=3T*wF531?c2y(M77Ul5wzf% zNF1KHgEoRjCMMB7(8$C^w81knaXH__Y4%uSZ6^+a_PguJVezyABTL*__ErzIcIygs z#Du9CcPs&LsHAkRf_o?=-sYW0%F@-nwlOb9YU=w%qv{gmu1Rd=BC9*v%{$<5k2&S^qtD^$X}T zp1ae1^0*3=E_kO?rs`+G>E`ut#v8gtimHI%f z*w}5f=)LKBS6r!zw);CJnBBCPj5tY8v5=p^L`jZQ?8c^Eqgk&H)OZ6<+xYbZH8A+g zW2m9%Bd?6`ql1Q))#QhG;JA+2RrqlouyAMSybkd*(~MkT@?=WZwx8NN!h_em08ilt z7bTZ!?IVpxSgMK$zmmc=N!OdlQ&0JtlrXw`uowMQYYA~&M>Cwf!D{u#X7}tV{N#+= zkqKFJh8R7`)GQH}8j zV2frtGeeALT%2wM5ee&e|uu>cfNM z23VRX1fhgrlxH7tym8a3lS<<6^zcwBSTF$(zx4`K8=%&UjCi;BdHZjSx~gBQB@ zT>H$YE4wgIt=3TciQ{gcQz(k z5K&ePiBQg2ycYy8G)lB%c!hH*Fb?UM%03*Vw()kOOn}Y0V#1>(#t=uya^JA7?B_vh zxsv^4z^8FtnfqY1g=ZjbEx{vUl!jggNk45gm31AgerU)($j%N{tG;pVAf6Ma-;DH) z#Q9HqatnoXd^(=bg4mCA%oV(u-gLMW60oX6)GGDd4)7XdKOa8zUw7hgMll(ZjzVwI z=m&jsD6WS-$R3Zjyjn2RIFrUchH;01p zbB^#Z7((wpz{(6&>wEJmj$Bso8VJu~Uh&|W<29f49jZU)if2}9u5T6#=Pq{mnT9^8=Kl{=PqxZ@qhoq&L1 z%>6?(GX4TAKgj5J8E%`{ii^l7M8-a;|6QBdX3Oc&9h}j#Ls$xNMvpDQG@!%0KsW=1 zGe|gtg)>ArLxnR;IKzeWp>RGD&Ioei6HFt;&r!k|Eu1*vj1kUQ;fxc`c;QSC&P3tF z3ulsWCJSeZaHa}pnsBBI=VR^^G0o_}+J2#Em7daj0maejT2M!a4rxK*-h3zti`kA+@frS}cGLNZD57_;biT=Kqf|e` z+c(+JQEK^Wass@hkx0cm2vK-_UTgote>&ST3bUz&zp;~})GCI4zp;CxRPUaFLdL#W zPL`u-%&+-1|BaW~Bl-v(xPeM0lZhGx3w@PJOedn)y`TKb(`xf=lnBST;emc7RAzX^ zu>qsi$_6ctC631A;u}f{ZU{42e`Nec$aJ=EwA!-#M^io~p=`InYc*dj9zl3T#TI@f_+5Uo}y;Ejns?i>V5JSB#j>y*zG$|rdCHr8sa>eFdTE51Oac~6!Sv<#8JTNyQ% zNmT2x5&=#$<5`i`!)iqVqp7KBK}|@E&V1pZ(W#fl%IWOTShcL->~HMyShZfs1)?r! zU!hfdj#KMa>MsJYdzWf4%Kw1M^?>s8-gKSy8V7*qUS~7Lp`Nu4XB)<;m4ZJD=jFs% zReahSkSVHyo!XE+lgh(Kw|*tB%kpRxag)m9cAY8X)oOp$-n}Q#O$e5vVaLTUV^Cel$yXcE%=3W;cPu5qyZX236c@NurJ4} zm9*NT%0=IyP`?#6b{V0Th9rcvG)}^NpPsqaFRbJQwU%M?4c2G^RxW1VV4WwZ&AmJF z3Q33I0w(C7RWO{#N`*=IneCXMRxYvrU0S};Ov8R=zf4fQI+hl{!>q#~M9V*xh@W{O z(;$n3P9PinP*6rI0?4VNh`&Seyry~|5%D9elylJObk=I3+S)KQow13ke~HMJTw}n2 zmh8KUYR}FQKOuiW%_scgd6sN~%>+o9^pB3>!udH==yD>d$98cKC4@3?9waH|5CuKB z#T3zQPVqM#;wi%zmA$)^FUFs6*!b za4M7t&kChfG-ET0LOHVl!?y$&RZ>(4;N|E4Gix&iD;2R<*uW`hYC%`n{3%#C8`Yd` zouXPoE;XlOVs^^AB2=Nzi8pgJ4)D`V4=n*i_J(rnrsv27N zEm<#~A<-!+QjiP~MS?Z@gUX&xMGE%)iH)49Hi-X+=s*_m7j1YCObxVUQVo;f`MO25iPA*J|!`P&Hie6j0nxE0TycrFE)tR z0_KIVO4C8Z)T^xNbkw5tKeEo#)h31pS6T2pwV|QtRjxMP_M=e8Pc)@dHTkTHKbaQ% zh$;z!a9$~j6o1;0kL5*yq#B)A>0qbGdQQ5=m@ePnq}OTY9t80 zY1M`DrDGiVdhV)=6eFgV-LJ5OkJa}L|6XQUAFK7_ZxH%KpuBaP;dkf)l>+5+6DxW48%R?mV95oxc81#1vzV+sqS8_A z$Jy^*Gu1jJ>yRJ?T)f2E&s58K{@M(R5MXIf+Nq@JKd`n7)G|FU|3Eshh&GJMP2C18 zD?by=vO`1#yf5nDcB{Cu4o94#)snf=f_sZvfG5zXh(LwRENUOOUfxYFv5O1T_AD$x zwU(?+*-@c>_>p~-ptf!K+GoO)l888AH4`NX7}pd<%(Ymz=oewYSChE8MVk2UMP30P zf6t1|QmY#}eb4I6Qp=Y8i!etq$f)o}K&5?vg9cczK7xkhpk1&EP`*~3`3F_lDE%BegCr)uX~%E=UhL39IJ)Ak6O)d-34 z415SR!WxIMH*YKf#d+<)nW3UL0r~eiq4tw((QK@6?D>HgtBl`T3PuieayB2fY5{+q zBlGFQtVtNvtv|=!N>XduijpQ|!-c)crqjywqda05l}XW-Jc5+ z>v4ve=BafIU!)0JHzti#m0^kV)Y7J}PxHLB;19tf(~?wAdg7puPg6Hlk^MAJEnygP znq|yWE0&nlK&Z?*$4UdITDR(p2gs}Fg19W(__5mD(CZwTEeks` zU-dM!IVX@Eeu_Pwk7g5fidFhV^=a6KvIa~)5`ww*$Lkd3kG7Y>&67vKmN!HR2-1K* zPYN?+CmGYn75C%!tx7HoNnil~6? z#Dp1RDq2g2C+MGgR8B_=^o1Y`7Ko28&>}27C{cb>G=^t|0j}BJk$2|o<^pxPN7L{A zC>aqe7PM`#mgnu**oA6s_gie;LQEoyC9|^&)mrhf9B2TMDuMVUkmD6F>jV`L44`%L z1{g4tLQsnRVuS%-@ZGuswT#FlTTVpNBN65Z-a>=>h_o19=8gI=BjN+PY{DL>W*~bO zw@7`>@ariydyyLIxsGCBXyohwb@cJK?8YLsL*;~TsWh0`q={mhVo0;>#zuV0IxJSJ z**a1pUO+yipaQO{!vPb6lJV9!A~EPDaR4=RSTfGmLhCDo;%}IZq5aSJhJC=)@RH91 zI9Qrr({I>520Pm|fc?bOdWN~*F>|t7#rGpHMoI`m`y*SDX9(2tqtQvNDf&CsAz5wY z`{8k+7KPRVf`ts78p~HvwBUiq*@k4buHkBJ_EWN2(OOC-$D}Gc4I;)O6hK|G7&mxW zs?=r`m*8dD^b;&}i5ghFHIavM(A3QShi!NI!6I_m$)Pk2Pq5@A=y^IEW2cwEq6Hsg zx0k54w-Rd+F`DV0qof71AWTESv}XL30>BBr7$6Se3;3fZC-Dg0zS0*8mZ9IWp-a_r z6{7`_QFKN-&d#+_eo+Vu-K3YNgk29pMi@P4w!pxgBe=4?k6F`)n2w8|6^IBVc(AP8aV%1ERiR2S3FN zsJq9R$1)5mZyaX<%hb0G*@xMXWoo4|X@~jKVx?9yUf_Ps@4{iWdYKv!@xov59uz^q zqc`HiWU6=3bR4}F93}{vEd~N+57T=jfrPX&kEH_oz+e~{GbR4aDlAtk`~EzE)}k=M z)GU{dkz%UAn%_0<_Xz0rGwZfoZSMXPTd`dAfpciNS_aOK%hl>|{zVpWDy>kP)c6TG zK@sXjG+$sSO0Q{6zyHNSS|(l|nLWHaRcDh|s3Q$6|6|R!8oAmIrANGIfZFZWI;m6#Dg#X=qb>1L z&N4^JS^Rw1gU@i}Ys>+Wp3>f>v;jSI9fM`sfIF3ubCPEVMB6i3Clw8En^Y=<1n50d zv|pqY@QaoLeXPasrUq*v(U$fU1h`F*P?IdewX?)EIH&wgkt*n2?qUg2gFHS_ zi4G1HF~MBN|B&{cfl(D-+%POGdnLr&t650lh5%XmQeCMFp(hZ!Vj>_Qy-EjZ0RgYGjryKSiW{b zK3L6({4MbY%)fwXmk~_6y6~{;G7K`pa`DtVvH9MMEUmLB!}&)A`2iPHax^3oIKCjN z>DXV=JUB{Y2YWSkLQEmO3+2hjNhp754GO*#rOM`!Czhyad~FY3RL$}5MUcTNHxCXH zvfxPdv=U-UCIbY=M02ktAa7m)1;XmnA}BnK8G;z)qg{{^ofZDNa?+hfc)hI;)@kYlqt0Q)kG4-%1U8P_L~}8m00_NJ?hi=iuUi*7+%DQRxY4^SuxM zw}O*ZT2i{S8W#Ph0MDhGr?bg3L7_;MaqtF>6ueh{B-Yr*HDKunTTYg?THzN+n+MMg zP_5FegDr-$z~H={g`LfiS|N!EXt{V@ypGQO>?&S&M{cP`Bqn79O4x^Sfiyxr0}fbZ z`y*q+*xkAe1U0l{wjowO<1&3P8`Fawmubjs*Vv#YjKae~IBl z$aHSNt`%_R2+l9^m@AKY@|Z7=1@c%Zk45rWoGVe4<5ziIB9Eo=SSF9(lVOkM5zBP`|Z86!hzzzN*`Ro>_e8s1? zYNYy_m8Hl9u6Oh2o~N5+ko>^uf>_P~I{;|JsJXPc^yLCqMaSzyY2N}@ykpQ@id^U# z;h6dt%~CgT|dNa;N5(lqNo`ucJJoz;}o<5^+}*K{4&=b(MihNrd-VjFsWo3v`?nl z{ysT>bwxY2r&7URT`>hW$XSFfJV;DB&4*s%Kiy=aT#82R_H#1z`qkCa(dr2u{MGeY zW&Vm1i^0zEq=yL=&oS%C>U%y&P@e|4$w{9FKCHB#vDJR!tO47Imbmg1N#Dd~opExA)S?C9bd*vdf3#CW%mj@+ZOWqxmQ{>LyiqG=aZn0Gc@% zJb?h>cwV8tOa$Y%H0B62kL6c7BPd@8^O&9yOz$pr^$Qax1k|Z*6Fik@ZoT)=nWb1M z;uA{QmtiMiRDXJJnQL+hT_1P2XnaJ&{As(?&?8Pc%jI9IYsg%7sAFO!p z5D$KkL|jRvy@hU^=B4GX4n>u*gM^%|6z)O@8YGEPp%V6PHL{An8d9UuY0lY54g$Q^_q@u^>` zuF3~!%Avq8f3ZMTTLPEkQrsmr()yJ+iK=>Uh=~)H_!?aElIh5e_mq?Vj!#{}T|6$u zcV~l|=O?B?!)l&ye>YvJEVzxh0nxtVxcYciqPA*~4b=3VI?joLVonKCUP@u5i3WBN z|9F$$^he-k@V2X5#e5Yfpw^GZvVwdeYF<7#=fEqp7Q1)e8*!K$(F}fbmwIxo`p}Knk^ua*dPL zfBgYvTZ4u5=ntsi8tmPV9#3`FxIE^t@rw2kclHriaXck?4ZJmYPF~|`&28-d8duYV z_w#zG-|OyfuH$c()l0>LzFX^x@vj|% zQ!c*u@W2t)IQ8&1!UJXGHGU#*R_mT@dg3^HGzfNn@OLfg(OOr&AZ0F)fNxMz;dQPG zWk2pN{rqnT*Ia#N&c4g*KD3X)&?+QWTls;`&+ZFzGs)ml6SmO#b*}du)4EZW z^{)P+RMd;AG~R|X$B!00vp0!P{FtMl)W6qb%{So#daxe5dr(`%2JAmYr%>z$sIgEA zwcO!yXSo5uM;lx}7uFwRTB+v~i&YOp5mu`2D5Wmu_L`8o5 z(!*A6L=RJ^)ksQJaR^iIHGHkdPPi|* z!?2d8tB#2S3OK$ImpYu=D&_(;R?sh-V4eOTI<(0ZZ7m|zDeM(^#-)CPX6fAQ^12&q ze~3~ndO}=^y?i;<-i%WrVH$K7qm6O{tyH}cwQvuW%%4G&rv5FO`uNSR@Nmw#3?KPR z7ZQ<39fE-z2j&$nW}Gr4X)pjCTwxFda*Mc z=9d3*XSl>k+!=CimCjIhD@HzahNP{om_n*EppPC@z2TY+eVcjcV-Hv2?`(iaAenyJ z`mA#lqLRP6iYDw|1O0&azk0}Ls>?j@A*!P=rs^T_|F<5JuZpwUQtl(cb=AYJga^!R zO*~%gBfrXMXL>5J0IH9G_gwT5V_Tq)AOZ0!tJuxu<0bto7Ycf@C^M1Usj==Kbu!PP;XTuD z>G3O0UVo}Q99#{9GkB?G`qwcSy!Ob`{m*^M_`?4P|Au$rJ8q`&Knuz-3cpl zDTC_mbVcSo>3t&Xz^C~w#m>DigNE)z%iWei({{qrRL!8RJ6+XskIO(d=p65CzX*QY z!8x%;MmjQfH_M>>yWsSX*hUGvToG@ty9Z1hQf>~<5wxi0bP4EE$i!a7F#eXQdRNW~ z42x#)<$E+`mn$;+IQHtosyH)f<1TD0xH9PQE?1tU-+VvaJ+8CKnT(uK)k6x6wfINXkix?=C2z$sw!$gV zc*Z^56#wZ)Gw#y|j=}rmQr(*uqHm?zTkwcW_3u`1!F$xhy_XYH+?mh}fjNg0Q)~y` zK(Jb1SNM_YUZ&ps*BAvyB(LLHFJ&Wt0kvMy?RbFDeVKus{v1}?k*Vs6*o~W`z>G6O z4u|omJ8qK2sd1?l5>nrXHT8Fz%e)7g!0V2mNDV`3GfwSvpVajb7nz8-P93QE6MoEydlm8sZ*>T>eYEwy^gRtvH&U0 zY~aes0|e?)xsTGMH-2GRrfJk$c#BINrTNSUpM=y=12!hB;w{v<3=_6rCBm3V6H=U0Ri>ka(w?T?rZFL;O#&Z?z;wWDrnn}Lu%@ebyM}t7 zV$Bxhns{Xy776wTD$^0x3PElujF}XSO9c+D`C+7MKp0F3oj=pr+4F z=CX{iwySsh1{BCe+b7uB!HH!!BG^H~SZM7#a};By1mk;ng9uCr5f)2D2%Wt|b3G}j zQ}D`MFEVa2RHncqtaL?d{{~JhLxx~47sgBr#xqo=qZDb1{iAxD#)J@on;1l3I$*Zc zTr+LrS36FHJQ>^8!fX@Oi1Z(z)%VBA2#Mwx>78W=&n zOb5&$%{E$4Z$n|M-9mzUN4-w5N(%DpU{p65;BtZ;B8-LBzCT+rW>PSIh&PD9ln`N= zRD{sk%Qf3rL7k3QE?S)6&QQ=1Rzvk_uL2|1ZlWNsP8P;Y3&uaGOh*Z+{j++T#*`4D zH5fqzCIn_1&9;?#wG-6q6sx1)*262y&_j^ht4z^N1-XOpWm25eb~eH*7&9ptH&MV* zLTLws5r6oYQB#~Dn(Z(_&49vKy`$BueP6v!u_g%e8(_pTd@aat3SS|$AOEcQj^2d+ z55`aN3K5tRBCM2(5JG#kW;p`ObWhpRHmbZ z(w?i{lBY2xL}&*_5P=DS+Cj73pkD2U>UD~>U2q%Wm1Wo`$emTD=%#|)MKPYnq~QB5 z-oTeB!S_7{8zqFcHD^dNtCtCZ`le=kQg9zZW31kb>eYU%UZ+^;f}9haScVKi&Lxb6 z)XuElrZFY>X2BaoU_ywnRw_d1?Bw;DZKll%cQ#&`t$|lonS!F9;Uu?x5kZIb>5tsNME7d|ceO>Blw|;-3BN)#!|)b2?lWG?t&ARm zS;dNzh{Iq|G&_LeQnJQWU3n2nxf8}s=0#0H3PMlU4Fmnf*av*1!E}b*p;?DrMVj-y zAoWrX^3bh#^KAq#@Z)b+$BkRb5n1($9^;WcE~Qe85=F9zA2+E?ESuVye#xTVxNkGL z`{8Dw+}P1uck-8hIaE>N5b#eIW;zj^>5Dlj6>(4+6xFy$YA>vwo| z*m37iLqbTQZFog2mgzl<26CjV>m6U0TpwwGI*eoSJH%hemX9UDc!9{llPb_yg+5< zz@Kzt2PoDU*bOuj)wDP`lH~%fU1T(MJ%(-K$H_GDm@8-VuSTf?sn7kYvn9SDjp`o* z5GM9X=OIiQ3&RJjOn(+tM4WxY?}f>nqvd;#QK6pk>Yca1EpL-iG&Rx4SGhl6A%#wY z34cElJ{73xQ^sS_J1assj{SksfA(?bx9!UTZx@uj3wkb_a+*|mJ-N6|+~M()TrfdS#v;gd%!+~uK) z)SrD6<@nnbnddmaLs;*X&+r4wb+wS;1DB?E$IuIOGRbgfUnxYM9iP$DBqKa|7I48; zEq7RXrrlN8nEus*>iv@0L9~M`8h%n z4Gs1*16Z2QnVUrK8*c{X&>*|$XB2rH4*fiz(f;?1h`P6iFel5OMT@}Bo1{ySm)Al5 z;|xVr@zF&q`1;5|{jrW^k7=%8Sk6C2(v;(_u$&td5-*FMRgHTJZic(;%9(wVWagPP zk~Us;xwChZci+g7^x8i-fmT-Dz3+{r692fulIwvNMBxM9{!$9Y?oD_Gu*f_K5lm-M zPK9iqB0zn)Mm#Qt0h1f6H+f~t!%KgH09~><7-kT#7vN{@*bO1*;s=7uZ$ z0w8!l!WG2MCdAXARc#!6fvUDKLi20_7~dNQhEj^!Ciq-)wU>>cQ|DZvL8KGhpO2V) z-eu=`PrrNmakgkk>?7#P30Hx)4(J5msZRkx*6KXZ4*b$8*(eC6@1ijF zZvT`{wlKnyXMunf5mM+3V=K>^r;{N0zF}@JYRK1LL7unK6I_eEgt=w+WPIhVmg-ba z2D`2Xdq0%CHmHg~SrA$FI@|%dHQZD2Q%XAtFNEh)vQD|&2{{FTO%g7v0qR!`&7py! z2=~KJRL-v$n&TQAeCQJ~G~eq4d#&IbNPNvOd97@Bvq z2x4d;9gGVk^lG}`8j2->;m&U2-FS)N7NgUZ)%E_dxqc zFTj_Zh%gZ}-p7({wa<(2uQ_s4hT22QBLwO6qa#!c9!P8v?cru!*Z3o=L zqanc={TZS*ebhnb9Wp!NEda1l-RKTbn4SG7nIg`)?7ZhN$blx%UD%wM&g~k@e>nL9 zrG03GXIX+Dt~Q2k4`LA7>UVn3d~Vo2?yn8oK*jQ9!&WPhZ?|MIYz=gRyH>I?Y$5vH zQ=A#UY}g{fnGIXenSLsBZx%>%y^Rr8HA52o=@_Myxfjc}SdxAFW$=Qt@ z$lO4ID%Ezsnnc~|qiFqa4iVdSp5IY#-a9;=y=>bK^by+@v`L6O2QV1!YmdQaA&|M( zamP;M)@}C{KBjMr;CiPpzn6^LKtVyQ!i%%0K9Xv=d#eHUc{R!`s-6aW+5-H{xOM1& zS(ICY?6(GsaVs>Kjaz7=r!0~8QEw?}4lT&DxfDF@rvE%c(ScuO% zDj1gY;2@bx?NCV1Egaj8pKxO!&!whHW}c~o)LiQAe)8`795hfA8&KV0V99zi5SruD zjHb7upn97PxYf!8N#+$Sbf>?v(B&K2URdaE z=*|n>$uBK*Lt})!T1yAmV+kq?U0x3=d7_hP@)cL!R@1SxjZeXv6N~nZr?Vv#-4|GX zhGoJkS0O7K&ey|$-M^Nf^~U`s3*EP{>im@#x+?#;ls;HfBbQuPvCutxJZ?_%=hZ%Ff_ixfx*{+cF5zKk9_dKGZhH5e(zA4d^s@<$0w!ns;7-q@t3! z-C#+IeP4xyEnq&Az$Xh#e@kSUkoq;dHWE@N@Gf;i>S*4iPDmZ5j=U9y#rTx_AGbZe zG)#7Kzt$Vtv-QwJ*ThU<1mwhPTPrxeH~n-SJEdDS+#TAR4qSKTFE&jl%!}F1 zS5AR|=h~L<0y-Lm<rQ#oan65OKdPLLxW~TzDDNHDTcIJs!SqH2czgQM zjC5Dw(9_*S7++=?k4)e8ZuC#OtBB)JU*WN%FI{CGZ3NTwcGe{BkNZ-$8{knnz~fyR zNK9YuZuH9y@F>)mzP}4O?7np426!yzhQwN3CqFFr?mkrhrmJ}9IGx~|DK-_0+paX| zCXO}@?jv&a>_cmB;_yMCu5|4t4$o!;DkfJS3b}Qd^}BkC+BWu->T4#LruRcl z;_lg#e0Ra)?EsH@0Un{9sMlTai0&!X=k6)hx3HtGzTf1B<=)zZGT%e>jnoOgDLu3t zZ8}o*doEArKIBh_f1y(kReSlPH6nsaU(k-}PwyZFafkGv!}m~|`*ngZ{UgnBc?Sx~ zKy7aSNVK!|BUPKBO#-C71QW4OvuW53SI*F?0Un6~9(g*@whUD3!}gNhyqJp)U9Dk^ zgPyeeADxbLrb-M*s za3|#s^|YN7%=@~gaJ#!xsRyW$0|K#pH*}x#t!PKxAD~9Ibdy?L*-dJ-Nr1=un#A3y z8$D(o#REKQ26*IXM-?8rir8UDKpA)8Po0tE8(?%B4(_Hax~+(2|0X{y_u8(s+GouETp}R&cWmEoFk=G~D;UE_CV<4vbDiLR_lTMA@+oZmCD` z8|vYEQ!B*%MHede80TQ$>q7k>yP`r}0WJlkubaN$HZ~@Rhmya?(S;5@cE#9d z(f=U(LHsGJ`{(mQ9PLc=pSlW^oTzD*#thIh^EhI;Ckp9tCTAV+L*o-PP@w59!+{t|D2=b-qBA1{+}xZ)ZC7#8s~H=GG#V`?T)2Q(`)5?ejX6nX5B^ zrf;#v^llII{qe2oy{BmYT{L7(Oh;Wep3#g0x%TR^=@v1(lPXq3RZX!prqxTuD&L8& zK6Ql`%l3?8qK5Nq*YuumCDPi#S_XSptFKBMMI0wPe)WM7?)V?R@#!#%gd^7%2Hh^2 z$~~szS8I$sp-KAP+e2F*Z`oE~T{nspds73J%1wT3o=Y+c3bNW#oKvtEpnFzJ|FAZtB(sFX^v&0__7*WOru}J4TAkS_?-<=dm1#%^F4J3~ zxdIdgG=Gz;&(4`?#r#>Qmmy5Q(}%h$ObjmT=el|S?u19fx|6(T1pv+8tzP&@i7tC1(<0qh54 zP{(h)%bL?`P9rkk5lK{n@jn%sZ@OnRmy-Clx20N6qhvxqoiIfrE+L(2kB?RPdkT}Q zEcBItYAz}1-xfO4@7D~x@3f^&PNR6yVnU>BEZ@f=9#XF}kaKRpnT79RGm6Y+#0AZ0 zCNggD`p9JzO5V!GM?1@Q;J3bFi!T^VI*RC-vjE~S zm+8E{Wk_~ReZBLnkYT#ZE=-YEUUZ#Sm$hA}*9Oe3X*yEri&QixB^n_sKz)bL|8 zP8S|A3~#Y}a0cRZ=RIE3vOoS)bulQE>uv{X$m}`J?m`?t#Od%-uNg%Pt3#Y9%h$s> zU5-<;FKw>AN;i@3V6vRUhttT{jQqZtjK-Cy2bh*$bK$jDQ47GNk3cPDJ; z04Z?AIj)XVSIR-5a7kIdk;!x;$SCN@+MgnF7`Yo}b%Bas?ZOgH+rHsQqPzQr%#~_T&b2NTJiLWZZ1am-6AHAtKt<9Xar$pq6Iz zrE$SVgkxM^niFgkj5egUoRgGKO~t7vu?a(z1`c*-@=|)R;ZFPr(2zpM&`=Oag3Cx`+Ds*Eq@;%!^Y&AvOlLp&7@%^@{d)xy-D9ZUya zHwxzBr8=P8oOsQjc!{&`*N4myBfleMF!@3ZkE8QoY90dBobO8mLX5bIGxd6MY0OEz zSWuT>O?h_Hpl6jX_{^Bmyp~o)KueiBgdT<%wj%~D#Wcz|?)9Svrr~ykB~yFTC|UiQ zRI<8dNDT_yR5-+udw8ijN|}~-I=6ZmNfX7R_qqo8YYw2Jrco;PeW0LN>Mn$XoV^;F zJO|^M((*rq`x{^m1AuD-{o^q5@y}-{x49a5>61k3-^6Ti*GF{h zO{1*2Q??DTe}kfUw)UsIp_lW{4uQzHA*=8^rFk5 zm|8aMMLFF@zMO5fD-pgSQ?8Y;Jc$FTzT3!Y9+5@7-V8p_oBFto;<*RbWGPLrdO~db zV@_cC2h^l>Zlj#zvq6;MMpbnmL}59NqVIIVVhZIriSnq;e|3GLGB4a>EPo?eY&~E- zp&}ncBcd&y`&j?e z3%m1hWWn@at){BqcLzd)9>So(aHsQ~VF)twR_j88!(a?NU1&xaH0We$Q9=Jh?++j z#cKW?tHpj(y14Rsa_3j!c5=>T1sniS!n1q7m1ooYOMo(SC)yo>f?n!KSMdzb4EGCU zVr?h!OcUs=mzzB(CekRL^Fv-4B9%8$IL4f%snwY}Mj90&>aYh1Ff~bB`pi7q6?)N{ zNTZlzW*52~X_O1^g%vKcc*;dqS87Tt{IWMy%nh5+r#F3=8>&3pmB!>Y^1r^fGT4SB zLQ9taRv%iO+X%0@fzyz;hq|gCre_wX=*%s9gBW_{;N^L|X%A&MDr9GX)zytHTj?u)yRhyGPDhK z%x4rTk$|O(LIZEidoj0C6GK*MPj=d9o60^F%kI#H*5@<4j(u(ET0Wz&IiJ^A$e$Oz zmgjI+DwyAB=J=#5jmU4rm)VQ8C{(LSI11j>6z4ekzj56Wnpt3+CP-}Cx#FjUy zGcEI=kC*98XFNuMc0WQ_KuZG<^F&=Orth{-2_|N6J(Q*jjdJZ|fNoNzXBodS+qPhX zAEtMSz+o>?BAITE?n2!Q7==T|@d_LY!c5w7W4%ACfKe>Z7-$VGLS6jIod8CO4Y8_r zyDvIG0hIO32NYQlU46#~}0`n1*~E=}|%G z=4l5iSO~M0?yad|A;VpBZy6;e>Ndm-{zYCa&v5ZIVU$9To~cv)Wm-##{9`x;CFXjy ze9b%2FNKUE(TP|mLnquyr2p^@Kp0Y0JdvkbGrC;}g1y^@!V9Cwxkf(YyUfs}19f{+1~{h=rj zqD0Cp@EJMG$50|)2_`}ly?e9jYm5pV*h!SPs1aj7P5c)Hs#l@pZcQH+H9Yp?Rl#yDno+R^s{=J*M`0*W)yXdYD4S6t^J+ms-0o^Cqp<-zf8MB2~i!6LYltL@?v>j zs?Q5C4J=Qz8b|x9se# zsB3YceUe0DiyOYMCP|8=n!za-2Nq$N;kTxv#W4-8)|x^~7=^1f@S<#3UdYq(O?zL; zsubfaEKt6Am|OiA0V3)S+DKCcSok3&moOqrehWK;O5j=fUaklp?o7|FVv3tu<}1ft z;tr+?p7IX;Q35LJ{SKv-FnrO2p;G{G?IHec#6LC7>mw5uZ~NvHTN3^G#Jkk8By8Ny zcWDrw;TPDF02e+86Ia)Z==*ae()ChC?h@ri{c7&UjnMRrXEKyo z%?IUl#0bslt;STLG$u*z#?-nro2d8cv(iR%?rhK`>YF_mGQG#d69Wh=;@Bp%skBka z(X`3rGDhz39@4!6nNwvYFY;TSH43-fbKZhoW3iAe12ty3YGT4Q4o4Y^ zEO(_^o@^gb!?z4~;g&+G<~=g@)1xVQ~6EiGQIt@?j-5d@#b0 zQ402r==dLim22uK!=JJ?;G(hYPu{1jZ)2pq*MthbZ4@pxwg4nm#B5_!>HQm;i1f;; z`+6}3W&@|2(crg@$P&AyZg@_t8nZW7R_Z8^e%gs@|H#E^EaTnWic8&txx^SLRpUY6p{Ao zqFTlKcB?6EX7tt#>1tV+h4_XPTn^9HiR9&Hd?M8=hnBLY5%nr(6sq1i2BL$S^EEwP z`3)j@KW(IbnBHoVqDsYILSuQqM?F1jUEd_p@p5o)Wz(d-adpWmkDU6}rBdZFj^ZzJeu{N3ACs@M(#83&|l@z+X)trjB*#Got9T|mgP8)z@{&qlUN-s*+qjIJx@(58kVD8 zJawuF4Yz7UpI1a{uhWR;RYZ-fs6&5Ngs|V&p^S>C$X^;$OeLeDqc3bkB_q^vuP*hk zgogQdUHY~XrZeZ7(2hz*$wEz{MYsgzhO_4>HR7N@F6V|6UWTGz-g=bRZ{&#ym3MCr zv^T(U*Bn2w>PH=OZ$|9KyVTZil!(eKU0hwT!_$9^@xV!A9B*)KTI@$fT&_ib`!TnE zQh#z~3~49pgV{5r&T;a_4oJ)0Hjb)QHWD258`1>eI!ZRA-zuX{e~zcal~Js*@$`Vx zzi&j*RbY)%8j-(>kw0f8?rXeCZN;3W5nrrB{Q%2#(3TutGRSuu)1oRyxbHg#a)G|6 zqp*^Ajbhq^h32{A#Pkf;nErh==t&ir&BZmy6N|ie!8M7z`5G25SX zi$=v7Why?XDKfB`!En=14>xuMbb*$nCMZgCss~MpiPZ?+%=PI`EEF)HHs!2}Op|Jp zzbYDUuLjh-Ds$! zWskAljH?0t3GTwgLnw{?A;ZzCgZj&>QV)M)~HGES4ROnHEB4%`|Ht+>PG(D(cHw)?oV*9 z4pHidiRBvkz80OVZul#8sHJqob{P!|B7GLFifDO9a!W#CylpuJ6)&c?zJ`0c)u*Hy zh9{`FPVl#jrIZ?IMs;FoUJaOr_Hnem1`1Ivj&9dLA%3e$VKq^Rud7n!nkekTcxqV_ z!csgX*JN*Qb(&lgCgfT*+Ro|O>e9uUDAa{I6jBTP+SH(;wG2CaY%Y<9`@ZRE&u=J^ z&sT$5*D@mW#c8k%HPux6;gjPq*X$(sluMxRYZ-;}J2j!dn09Eu^`?}AhOTNYcV)U< z3(78Ci*nS4buequ+qF@S5mo4Yes-)vLu+GOFB!g2j16q&^&Frt`etpE^g=8ht_{Dy znpnynhY}r%qayrV6GwI8m~%Di8V3!%UX8wvGxEIccdL@Y?yBuO)6UHakU0nUpMX8& z1(@eSs1)12A5T}~jNG0xI>Gm#qA+1og6Tj>7E9{%`g)=N5oRmTae z>CRQBp79X6j-O`68{Y8ze(=N4torUe+4Y4;XmXe1;n$3;Ns)D6EGAc`a&=%!hgGJg zb&Mj#C%p+Wv4DMe$HejsL{mdI(QXZ%5P&NdNS422Em}~=2rpEVX&|-OQO}=MR7upq z`{$n>a&D_a*XtM&#UH*QV6JG>KF4op2mW2CjzCply(g2U^|h&NU3emvm!}SOjpzzf z%PSSAqpUp4%gkT=92?;rgxOG^Lg4u{)>+UIF959Isa0uXU3e{>73qFmqe#T53djsS zn?E)nkzA}x%g$V#{0T-_Olj!kHt6F<=RqyhDE}+1$>uax>T!*O2)cN`_2IN&o zE7FjYY4_CpysgU8o_a$ zauRS0Ri|qWjPN@3_#MRFVm|dF>4cgkLLxPJR86zFtkL{9;mPuHnsOpyW(rZSD{`-& z8Z|`k$>pby8XBb?gWjee8^VHoRhf1)gsrUfHeGHA8`!EcWov{{e|K3b)yRkmWB(Ld z49}edVnVw8Rj3=FjwMy-%SNzVdCSwdFw3@K$FtI z^5w^Gx-rstGx`4-HqMvDtFZBE1)hbCbJ~A|jT;lARoM6gf{-8o;DTYpW*=cssDSJ_;5b(tYVg5JngQ- zm8!1%8)4Q7<0d|f5?4Xe7xxWj^_tGv{ne?C9XQpov7ak7D7EivnbOKIWO;uo@vh

($Gacy=abUMm0Qt>I9a0XsQmPd0axrAWj1U-M#6M^J`GxFm;dloz% zh|5+n<}b+2qSF3%jhHU~zXHm+y#LRDat{~bL3Vgx(Ki~m0& z*aI1=aB_)Y$B}zgu;(%57c(KlRPH9erdia8^DLgceinFeUI-|!4LI;T{ETmtHRf3~ zIc`lGHw?k#p7)G*-z@lQF!_z_H10hkEFSDeA;Ug(fKhjVA!8WbT$-He~;HB>Z!WU+}oIW z!5Awe%KkFbTOzZL=**+@EAg5{bmkDQF9bau$OLLO(0K*bd*2Yz4zg$}bo{WOz~ibX z94d5tzobH0Ou%!imqN#X(O}O;fS(0DsnGFW8e~s2BOz*d3#nG{yNWG`{jw1OG3w&xKxFx&~Z|*S3}1qaw=r=OaSW3HR9>UFpRwtI-V@NUI`s9 zuj##sOpn%~=86YU#55f`9#&5X)vgRrh9q>n;8Ti47-XJ8fW8_ze*1|CWk{hg1Yd2Pv)VCyA#l8)Aeg@T!q{6pn?zUU00GqUa&+b1$4W@w zQ>SR}nSOl7736sfRS~a8I1#mFA7=c2MOQA?VDEN-k=KjSl^i(!iH3V-I3;jA)hU7F zg9V_2E4zdGRWnmTle$|=&aar6>KYte!6{}Yt4^@<3;wgVY`?*f|1dMh9w}9L9%L0& z{M*c=XB9K^2QW~&=VoSxkoq@fRc0n?62C)D-XEFQ%VuWSBRY|U=FvlEH!Q1InHIwI z1uK(ZxWG*zsNOnSvgcN&kf6L`W%5a?<<83l|FSXZ;Q;>Ohwj2Cslpblfe6x-p90#VeH2fr|#wWr`i+S}Tw z8OA~4EHN)YJUbtV5}XGdDnPu|%K_p`W#q*7^?)bay6T{c75s&U1b0%9|7U=Bd!32h z&2fQ#`Ot{Y+ZfbnIm$f2va#8~cLX(>zXs4$gm^T5aD;g7i}#h@JqG6ry+7-pp7Zy` zeqF#2^s4<@!c^Q%k7ZW&EBhLq;9f%en;TJ4gY~=TJ08H)q6DT#?APe~%6O5eD{z6={)L+_Or4XHhgXgdFLN(Lg!5<9dB1AG&I^L+ z57rV~r4zV$BY7uAs(%@<&lwpDm32Ify{I7H6a{(3fRWDJp3M0FGGIM4*gHz{deMM! zcz9I}_q56+;o*)tnb?Ab*7sEukTzjn(7$TJ?%$JwyQ3xVS4@~g^A5iM^gKODG$NaB z)&%xx89JZau>B1A4;%Ikr=t_Cl#%m4rb-K+QkC{b?%XNBfHL`bwAzvIwh~hRFytQm zw<{v5iO${oAv1f~h*h~KMy!O+ugX)}+a6VvTe!Yp#7^H;g~s_#LG`|-MSE_A6xn#rNg8QeMs384J!+kGpauzpb#ITQL^x4aNd@?oPOn z8wyq@0PO6NyC=KOeJ8`!JQudk^qje)g!4Vb_ymzoKJQB~X8$ly89?OVoh_)|?U^6b z_Z?wYe&aC>MVQ3^*cB!=ozwY^=T_4m(}|8o&SVnS90B}797b7 z4(%8O+bNObEmd}WCdhKO(GZCdt0xc@Io=4ru@;S!`#KOq-hi(;TR#jdyj6T5nO?=k z>%j4KnE;SQvaE|QmhULbV5`jw%iyf3L9ztS--b4KGU~s}k>$_V)?pl2t|Q3<%Ug9b z8v@LQZ6NeJPHq?Dm9NTL$s}vSVK}hd*@#ggMAxBQl2T9E+ZGm$$?~cLFZBN_J<&$|dj#Oyb1ffZ;ETQG_((TUe^L;9 zuq9Cvf~Q=gKf1sjh(+%8E=I2GDwG>v=)QQ3%&tbRa2*3ahhxCwQddeSIPUMhMzH{g zsZek<<(kYFZIm}@E${U^^ifyrZJxbDW4a=0BK@k8*m5tFR%yELUZo9P4NtN0NI<_( zUROT&CWpR*7ij|A^ru6G{k!jw)eZjpdN-(4H=I=d=?=wrGwg^0H-z`3XHl>`oLYAK zJ2b4D5gz(5UEsdPI&a_6bei7{zOi!|qJ^mdQcjZ*qv5AgWk zHL`ku$Emwgs6X#=p;R#7PSz_s4^4kpO=qXxrSE!R|K$Auqn=^~ac1`#?E#}=p&Bwa zrlGC^PbqB!`3^I+kTyzy+i3ejdJc#jcJz=OvEXNY4tiZ z$QK@{?~_-keJ_+~&6Pk)=^>tO%X9QLl3>*@zg(gNx4A?GBF1Qnu`v@Qb6K;;5IcJfI8ifCJ64m^24(KyhZzZqfWon z3BF%%35xIIGz#v6(vQSf=eUs#yd~`-G{7S=z@x}5n$ib6u3uK9mM>d?$L`B?lzAMz zDeYpX;}Z4j2bRNchiVLD6_cr-~t)A=j^#D{ws!s6zqI!QY9*QGjh1b9RSc;vfIZ9hg;96PTIe_uMI>DzFgW_=93?Y~C5KSq=I{hG2n z`G;uCNrB*0-QnNW>2}v^RAHbI6Veo%(Ae2^gpY8ar)~p{$YNPF)=UW-WfPv4bA&3N z#h35oz8)NLjg}5H@&)~VPM3S@IXX2E0*|=`TL)vDub|7 z`N>skKFBB^R4@Q|`y5RlWJH%L20F;aQ<0>xF|lHD;IKR^8o+mBbwH~)z3yD08-rlo zFQemuOdYO1%vpM8pQWh5$Z7KxsyG-LT5*N04Kbpr@^IrdN*-*41l89(-QBLxH-pi} zD(M7o6WyP^5oc-FV6?HjXC(VI5;YGEc-~|jDCU*m?i^QW)lf{@)Y&)RE=`A9Y_-@t zGq&7Y($3Shr>?MK6Q40|s1cOHyghZg{k87n_IqdO=OIRsEM3yhf7KF^JFU{VJmrG! zo)*#E?ldYj6s0(;6TA;~*Y<8YO>Kvw6yIye(wNwQ;akl(D8(z0-AgZXDGD^~swvjU z7co(vB7awqLEZlq(_KnPFo0-UyMdO&e*H9+Og74AdGoRq!MMytC_;;pjqIU6oKodL zh`s!<+$%28o@Df(zB<7>NsNN&ZFY)+h9R=M0}{B_@uv=Wy>NFx^GjTUNa{8Wt>f-V z&HJ(Zu-rK=(YM18-hBf-9MtM8o!VXWF4QQvO+$*;b~k`vVI}FJIk}I)fOz6@`tw6lEw7gJ)z2g z08aJIcJ72hI_pPDwsR-w)No9Q?p&bz!x7Ck?F5zh#K;*mUK9E!U!aDcAf|gH%nQoQ z=aEcr>8`V$-s$$WyY0>8u~m=;&D+Jth{tBxdoGzW%+{yT^|dCBK$`+`kI(T-4oB;%*GXb;~cdZf#~lq1;O-u zt1;~v+IZQ+j?)65Wa)M8JoRuH1z&H-5bg7N=Nv_hG$O;2YfGeeS)tNL$imN2y^%() z#)*LH81IAqf>I-^ruNNr=`(C`Vz%yX^8zsBv(N-A0V2oM&029|pW<;T-eva(^OeQl zZ@;ol(y{PbsrH(7u63O9?1r!Pr;t41cm3GM&>>(S{4G??He1ei@U4+_|Pf-mvd0a`N(+x%T0(y>uS!8i1W=GZMBED` zE;w^Cz6|w#icz>|K$YHofS`yM*$nZ*3x@;n6-yPC3=w=Q!F)jq)^MtF;OkL`ZGgkR zAmTjurNZLj`G!?mep#PXHU&2Vn2cW)f~M z{1J3Ml7+y1rC=-+Z``9HsYY0+YSSU+q?pm%`Ygc()+9a%r-MlpRaI zs#*v!KhZ(){^?KY3D|U6w}(vt&Pl`u^kVwH;51fHW^h7l_?;z{BS;3Q7RYwm0V_S(Y$*l z*5JxhS~bon)aHHoa8ZogU~`-KspUJON3_iV6qG<#GZ1DTCMpVg_O<1FCS3q=SImYbF}x~ zUx>laY)4~sJ*ji7+TDU@cFz9-$L2cM%CB;Tf7x?7+@a0mjnZMuA9GG@HRY_7IMn7mrr-&%Bl+)9(Fr&T zW!$6s6L9h{>M8Y@fRjOwp3t}a?3_VcCKv@n3;&^{w>$&bl?;hJPj}GM35L69GpGk)Ta%W3d0|4n<(PkMIw;?aHCsRhfvwRJ%#dCmO|a<;D^YnpW3UaBoITh~=#E zfTm4EtB80&yC$N(@+BfdgTzCi!XYe=^Db5W(kNrk-X?N-e%3#{v-A)9r)@Ox zOU%*_Jf?YH;^5=YaB6;O#FU$ZMH5QAk8K8*nrC=uhp1bz2NMC;7bK){y@;c7$vvt# z2@=yiYB>pu65|GaHVGxWkWLFH8HFQnLyu4ge<4-ew;ZO83iX`Hpj(qrMTs{l=T}Ch zT=_(As&T_XFE>}zd_bMP0{6lXXxvvux#Uq;V{>1ID)??}992XM?X^`YM>O3H7;HF@ zr|O$*q)qpGjKcQiGuZ%>EgEkjPDUxYV`}HJtuZ}qWk6Hm&*~@$)b4~mfqx`^GODn} zRq8Yuho}49q0y7!%}csN^CzSK?6{_Q$^kmLaYUJihMd2D$u+t)89_Dk?@}oOm#^+p zBf>#93yWMrKuX$m`i{^L@MW--gfo0c?$I?e@+a5DQXIunb;62Q@nxo+uvs#bvAO`i zAs4qtFWlWR8ZIY2Yr#r@$tl#bas{0OG9ORF)i|4k(pQwXiol*n1r-F%b|&!xP59by zI?ARIeGQ@Wq|tIbqsv0qz~f#jJ5_2+K?h5D^4_95UmFF(CtLwbbRZU6U%|o#XZRKJ zePj3?18-BGZ_q_s-KNRkz@4}4Ds97i)O=ahCS5=d?BQ)Q-$FZ6?ofqq zq5F{Q)bd;0=o+>WSwUWIzo!2UegnGi5?2XQd>1#UA36>+J4P(1<0zU6f9_L`bh0Kb z_dPN3Dw2;+ESm0ROsecAbjCh3%Vs|bNWtO+L!REGTi+UQI8Iz7*LP?b8R@isw-H8_ zzcaEqPNq|>@6g)cy-c0HL&q+AnZ|!-REsFe4j)L&b0#P!j$OnoK;%tI`woUGC5;yB zF+Aa&u{MPw{$@E<yXGGP!?iTa)o?a)p$kE}m z{Dw^YbGc>!_x~X&I`DZH9BODG$WAqWwJ_ouuzafkL9)^ouTD+hFs4M|)g1+ovY}%A z>&{cjsc6Ep&r|)WM*cjjp?#>B$35r>4ME3F#4+-$Ycydh^b&cImP~~qymW!CPDN{Y zdYwYPN54RSDEd8CSoJPZ>+g|X<`RASJq$wa8}#${MqFj5^b3w*a{w(#MhGyU4 zEYUQ`Iu?G1X((X(D|BQU4oB}fN9J@RG-Sg$@ImNiy>e zL?inxz0CC|G7!b$lNOe@LORWxVT5PT#i=1yaP8BycLq)+7dTB9XCS7x@@2vmO5u*8 zm#OFvu+-n4p?7{T@|I0zKL~SYONGvWf837r^zNHL14OdA5g;jnGv@>%YXR_#r%jSyYmXw{Sm`Y$@4VyN26%_>e_Cqan@6F z1%v^*^EQ+UhCJ|LhKyQPecS2Na3A~3(Yn;s>ImSb?+pg2CJAhuZ7}_&M)rrCq>!0# z=sZ3_g=fNUm=~%3OjOE)3)FQcWNCSdrp+{pmZ~6b5OZG4XzjH;$-;QvhZ6+CsJRKx zX_PRQukIy!I1{Is7oMgPKVd5H?P*H*2^L_1~4wQ<&_Gm7E(p~DtDzj1A8rJ5c?pqBqc8Wo&ng>+Km*-=oVo+k@z*)}auzJj%5$`K7J{gYp5Wfkr{Z&N*AYVHat^&oJ3&=VyLK zw!fbuV>X_rE|GV(kt;dVQW4l5#kyxETsj`1O#8|bfqCYN6G~muq->dIBZGjgmc8N# z{9R*vfBH*cJoQ(R5h%KfznBC7tmM`}yTKxjHqSQRi24~y<+g;fs||gg_<&MbsxQyb zt=aI(-2atK?1#mENyT8Wopi(0kuZ~a=-Xzp7OXEGFp-MgQn(8H(a{!jGzZm(0eq=be`d&xr z?_Uru+4!hxzR$z+RJev(%Je@)dFJBWe*IGvJJ%>(V%0H4iF2(@Y-{hKyxzMS<$TttR<=Po)H4k?8;2B!9)o>@g z!%iVk%c{RVzwsOuMfzhQ|F-;a4zcKo96ID%%ZbR{ZY!zyG=`*@o?jQz*?C6G@bJS* zF12aXyBbrl6wIv zrS3_pxBw&Awxjgk0;6c;56~VO9L|bjCW8isbwT3q5Z1Zz6ir=#`v%6HqN5AYBQpI( zPZk(<^ziv2w>L}~X0>=Vy}daVR6CDR#f64lpt;8KoM9g@_-=-DrpM38Xz!|a(ht#4 z;5v>TqN)76`8Tau2!$U0n@%i*DUSP-vMoYU^8ZO?78#{;738)DF7HTmNg9{e8}Sbf zUSt%^ks`Xf$bFoK5eAa3hh@(C*$J7mCY_Ku>#ie8tFO*khs*_cXjA5_@9`V!a~7~U zQ}??XE_2pxuqkLV&t@asC1uX)(UiVEH@r`PJgVKCmfXyQKA|yr6Ovj=Ep|t;+*?tTV z#CTz5dto+spy3<BN8TJMv&?7$;0%w<13B6Hcp`-zsKIc?a_bJ@If zcqxX~wMXg3QZ)NHM=5w2I7A(!lFOirI|r!sGSoxxUo?Cf+T68cG;35LV;9alh^m$5D^-<#5&*%l@#XNBrk zzA20dJ*Y4>Y1=nofS@e3yW$_K;p%2rUFr9C(y|ps-sFFF>L#LCf(efwiTgM^_k)8h z&nDI(j~mJ>VmYsUPJSHmJM*iVV8F}1dL((u)oT05Xolv+=% z&vO~n)LJI&xuHEYK9#KML)Lb|2kGc)41WW6QPwqhzPF1?tU+Vyc8D6SK@X~bhz6`d zF_-M7xoeD~;lGQO5l5A8z;tBE+UdWWuCFoN;SD>2Yy3#(v5XSMEvmY zmByY=hwbsu61NZLYdRY-96$7(6u$DTVL-X5o4$$ywfr9*q<7Y1I&23Lnr~HjNjyDO zeGJVN{$hl+0Cg8xqO8(_z#?x9W_ zP@v4)Y3c^l%7tyTegnF6mVNZs2H5jI_ma60{Ni_z56|Sb=*XOBjhI-}HO~yi#`w!j zQ2@)6of{T8!pwDvRxz&vp3hvlK$dUsZpyP6TKjP~HQ8*$v~|NN2{d(u zjl$gwS)~#^g}+6a9_ki-P60V3^vNnPOR)c_A-}iU=y+Ele%*QfOY*yrJbamGAS+ymqyE zzaQVv@B90F{`h`*JlL5zbLPyWK^k!}1BdRcJ zU*5?Js~{I2*(sD+_mAK%iE65uRA_e-zfmP~=qz}|b^>OO6k^41y9lBE#GfutMjdP&zD6ix=BMe4+>9BLlynv*05GN{w(0M}=cK_7Uw3N7S>- zE9Wn5(M3m=(s`%36b@DOB2jgT=k4~<<$Tc=U2FGvv;ZnVdING*CvkPXBgCiUDPVwq zWCBoZOo`UM>GT-73hI_#L><+qGMg;BHVAsOZ!6w7k)|fwJX7pUm~6{|0bqu>fx-uE zMc>=Fm5<)4i*30;_9qhRI1WXL#Z`RVQ>1fdOoow_eEC*vHlM5zb0GD7>`AF=GTY9z z+pr~bZX0bjTX^4Xy5{cw=l~>ufp}-f`Gc7#0jP_;{Z7EZd|H9(iL%Na=WIejeQf>Y z5rbmOkclA3QK4TEr&u0Qk*qc#3E7NliLDOOENJE56K&eHjEYc+czWhW$)URVbB{$N za=uQx;)oFI_Dz(76#9LnHPt5tmG zc1&-NSMf93F`fNb&g<{MbhxUVciMr$zHrBuZWcSHLKH=uU8++-4}6U>|BJmclfs&==93 zjt8a*5~`Noi9+ZC_7cf##cq@kRZHJf#T~nKP1}@X!bF}v%3N+XA1*>l^tkVlTt%*2 z&EMLMdE@idym&VR=Y|cWkwc+DFLmB2{ z6|}J&-Qa+_+;a!W)iwy$mC%f3U;8@r1C^c_ZJ z#wvd6J6&Rvr&VUUai_aB5sOIhl86UGlXM!YsqTd6lvG6W&EIShTxv`fpbrg zaq7MXK|vc9G)@Rjtnj@vE-c7vxcm?|)acr!<}SZ#8)0;ZOfK?!iv6Avc$|3^iZglR z_`S~LJ#RJM8Zwjc(Xc_zx{UyhKj$@gAL4I<(qk3#R7%x;PmSMG3(r3&5&qqjQoXiW zYrP3x?Nt1xCi}*_@QL(r)=)jgILaa@XwaBp|)Kp`VqZ5dFP#>K9+B ztE;O_+fcLq2^r^+apG0P_G*yC)o&r-TJWkIXQw3aqdZb?FkyS#>w!2~o zvzk(@tBGzmAf5_1z+2X==GVG9m#55h08te7A)Mx&)2vp7*H989^}z-4kLR|dl*q0d zrKFhJV&3UjJQuk}7~a_xb&c8*!Jzp>aP2k(2}_3{Vyo3y1wjHwkh`wt)t2r5UZ5C} z{+|Vk6Y#a$_J1JgCLl<;?(ZtYU1Yc#(Nu~Q1SuaUfj88oeJ=93yZD3Wi{huI1A|gz zQHpgnTbqfB-p!(si1~Lc8bc|NN6vhnaa`9ev}U?&ZReU)6Q6fnmtgKR=%oa_2}wPI zNx2mNK|MF*F6YOOLqQ9P<)J5Z?c-`;>R|0z{PZgf{df+ZbqsM9x?(eXu1R<)&yZN* zDol+X>WjT;`MN1!WS;Dq~{;EuZ{@|%7bC+pb5>Lg>b2i-OG#VjZToK zN{f*_yq*GM4Ce9yqQ*{Y)x^FPJ3oW8j?jQqq&%xpJZTz^WJ&?F>Y zX5iWTKw<2?1G10z@+N&&F^>;91#8(vigLnGccx-UC#4mxOPTq6vZ&k;nEY@SCQYU$ zd4%#y^*4#gm)gbY8;tyxozjJ;Z$@KG-aa8?z^KC&zXt`SKEJ0~U4qc(z={hR@T6mM*^@bIe&EuIqT|_%k{JV;t zOC7mzG^jrupzabi;B$St@C4^|+0I_1nOyN78?rJ;G-OnNe%z;Pr=vO7S&H5oep;9K zaG;B?_fKuk^1#Hi0TYavU(!nb^F`Vk{}6Ys@ee|0seh0`&cv_HUCQU4*0m2_%6FW` zS5N#Cq{}TM{MWd8cf}SX*5zGP0%xFSPHRdtVAQFY z$e^G>g^_=_yq_!-2+K=_v$jIuEr{Mm)1CK|Z6dfhy4o$mi>gI>2rWtwp%tYfw7d&K13hIb z-L)wQ78MQjEKmu5;#|gEXLN5gQKj;R=fW^{4SyVYEy0gR@XV~WA=K2*U@_!WT9au`R$3z_@J|}sW<&*{D<_xw5Ch{&S*fk#V)_uz4QaYF#p1p$^;;7ZeZ7-_88 z$=^P&vngw^qlZ~q?7yU~ty#}EoyQ`+W*NVHUe~d?zo<|Nm46vX)lA<;WZtgW&wE_Z zHEZtgj|5zB4@ofiGLAXd*5Kn37j#3K*EDb3mOwvW>T6WO=ww`6I8|2HT;w$ubPu-l z_ic*5LxHXkAjewt^R0YnEfl$$G@et7wX7M8{4 z0uGBlqk?FP85*188=EF@=t?sz8IhI|K+~ycGX&VzADAwX>jG4lcTQ|Gu?YrFnt!`b zZNJm-V1)1F4KC|i=u)sBg*jk7Pr8hA7c|oF%euq|18o47Ezk$rfH=5_ln3JZ8KPf{ zvWRBr8fb=jciKm^Ez(Rv+n&6PMOuxEH@%{Z3ah#JfuM2a(gRq=|KvjnUgiC-=w8s& zMDw**pg*IHPF|61q`xZLsLNH^M(Dy9f_`LcTRZ*S;&Qs*}PiK{>8^n?G@{XpTko4Su9{d1=)J9GU_DHsP#J~W|K z+FD>7y{U7!Ng?c6x2b<&{KdYW$n_K)kbP*ts6#>r>}@pVHx^1DdXXn< zI?|jss4!_9W~#=d8zNTKC9x$sa({0}!VWY! z5y=C^eAsR1d!!j+l4|@{&2WHsWk-p*vT-diC`{8BWli%Jr65hw4rifUY8Yz}EMFNwihyn#P#n3w?ikUA~=LYE(;+?eKj;82h)L~jiDg+68gqa(9l8+ z4veFqxtSV#B!Pk!LAQ_bsf=Mx3R}a}=n*Ltw3*f5i-Rd>KTov=>2WmwD~@?;aKad8 zVfR>Y0vBEt!^;FkrKgHp-LwaXY!~n3&4SsBn*4qI-C&l`>VprxBuc$-@D^h`HdB5| z{N9;dYb@x4!_-u-w(DkoB$&O~IKNG0LSCKOnEx6!o>RSLlc%)d&udt`CMTVLsA1Dn zV_yHN+lao#@HxN6!AH~L;$MsBO4#CG7s3bPcufYjjzH0GVqajZFS#fx2;f=~C@ao3{M2RNbvJ1s?`JfOMVYI&|&?#;uf{}6Sc|1n%}#4^%SbzEref1IMrLQ znhfam%)1WAa6t0PRu=()&_f8}HHa%a_G7Y}=gd9_b9+n3I{;?t3d1f_&I7YU3kd}7 zD|2?_r0+=}-;5o(@D1>6N~>)AYsdi4?zGAi_h1VBw2!yU7RvbKd)UFKn(`kLunBbx z|KHR~e|gQ%0yekyXZ}`wW^eMFH!8^3HeZMzW1K2UpDEzm>$8|9E7VAui78Ty*$xHY z=vYaxg{V`H$s>~F`P8RER``DAyBX_boa+&+z6MrT`C7co4SHs6{G0^(h@gTotCRD%r-Uci!xMLPOkT4?E7(TNY9-_P?%OfF6is5;{7*}w<_?I8av+{iLlYD6? z>(_h1+fhMClvyU8I8v1=KwR_%526TA4FKg@Ou2@~JD=aU0S%c-W5EhQ(95*-n)7;% zSUXKt3Gdj5nVTi-jfOS7q$Sih`g#jKU;J2{p{2gd=8TgO^E(BfA!MF?4DptdPEE=ZfbG{3v8#SKEBCGA6ebhE3f-{ z)NM}~i*-j!lo{fiJE+xzuENk1Fe~J8R;y>Rb*IE$9tn?X-!=a77=n_DyPN8Q9+eq( zS@-};+D)}0Skh(TL&3s!i+T~7T0tdrw;+uKk-I~QIMEB)J*E}UHM1A*r%39u-9^Tr zhU>m_N7qj^wv~6Z#JP^iZUhY6`4RO~uNVMPGndDQv-?8@4NQ8myGOR-<-pmg*VTqb6m$?$bnkhRji-h7`u3_)3aAj*04eI&^ za$MP#*AM0-^ub<{=bl6u0ljd}nQebcfVR7mf2PUXSn!J+L)Hl<>Qahff{8C|&U(9P zLdN{tAJIMY%HCC{F8#s-b6C5-TeZ!b>*yX8mdg!QDDGL_81hHkoIvGnx zPRhz)(NzFn8LUY7AAP)w-&JN+CiIzn&N!8}!(5)s*lHJlE|Rs=S1+oBs9703xSG$6 zWO1=-p=>~-nP#>q1U!?}{FL{v&E>yEvJ{O*;qeyMrtJslOlV&^4>M_>rjR&aK$m)w z0{G}F=H0aNtn+-lg*De?;e6l1Zm^6Wa}uy@9rz=k6UCyNXuo<5yS$I?>xs3j{Qz!* zgHzh48}jd?*u#EKeStgd;M8K3Q?n2pkiBxTt6QazMsu14IMz(%*nvc{yQj=(=I7Ro zW-7O;6X~6jQqauLuYzVOzqa-Cu3Sv~nta7LH3@k*`RCE>4V6=68gQyz49n*amrZnz1l%gc6D(desu{pq%s6GHrBb*EuUsh0yTM6NSOVxp6>t z1<8^*TjS=U$6-8^m1J4P^%cxlWaLR#dMa5<(;CvqTyNX zSc|wRvU0+3)@n6?Z$X(X%PD}hAT;^3bv5hwm+fepi(kE^9eXjjW%2eLOt6lba1yV$ zlD8TQLhm7k-2BqVV#_aP4Syt_J=~GXnwEDHWp&Y09gMCY1@~Om3vcQXGZ>yv(|uXVC>=_1^=K(E&d_J zMVwTuyKhGadCTO5yq?Bk_!b?s1)4`Flakxfd|3z9QnT)PzPAHQPOMpXRgT?0P)DhA z=5L)<3_|Fg74iq!lx>YzBAV%%vpg%F_w2}Wn&-)efl*(RWu6-N9s%?0yw&?Uq79U{ zXUTcvPvq@&jGo0G?8MrH`ZL5PYdoLaiB0`0B)T(uSeLaa2OF-BY~tfPv&i0umq$0i zab*ksnphBML;j4Wx;R$e5U#yUx;E9ds;*_1gqz{=L!H^P$+DSfbv9i~9L2E!F>%gD zfA^fR(ceGwH&Xi~9!lKa+q-fW8vdy4sA(d4@asveP4ehxEJRC{V7*bo3!+U_5rt_~Z8S{d@z>=1 z4y*+iB9`#S?d+9iZ_&`8kN24zi=`iHc9VADTca`QYpeO{ds*uTx;!hIRO`MX*(hBS z0hvfSj9w;LUPT;?tp75u5i$H@3Zb7=oX%B??!6Mt4Y68FN`E4Pu6cm^jCOz|X|+jL zYZoqEdCjnO6@Gd@o=F0i9)mk>UFH+-W78ij$ZQ(w%-e{~71P>?w?>gRQYOSEAv!&Q zcjcLMxxh5hnK_eIQ5DD-3kd)QOTcl^K8Eo8^h`dY3wvENejq>Eh25)sT_k(tJ(!og z4qn9Dbp3mee5@<`@UK0xt}A=mz3PvyhP-RR6YkA(dS`Y9`=CpNwX`Hq; z%OF((?BKtp`bx82(Ad$Ww1sjDEgV)SmJ2Bu?d#Pek@Yn;J~x>)3C)^&Tl6?=emCTm zfM9jH`d~6k4%T;EQXh$%d^4pZk9M%n-3X`UZHaIt*BJ}qZr9a;fySv>;5`(S=%wI| zrSOaB28r7fzfY`~e8{bJW&e7{fgh)LVJ^}-y(LqGQ(8ohXHjl3oVrS>os)I@O|Zk0bWYSU+y1$SdT&Kq@}FOys8>!UZu~Kyk&d^m@03SPa3IKV~pzNz)if8 zcuowH**Z+r+2$pm%Wb#QUO8B7wd8SQLsNK+id|PAW2{#x2E(aNy5?V`YyD2T+Lxs3 zc#>QicR#Bh`xC0iFkbbT6IGA4A3Uy6H*&|&B#&jVxPE2i&Yo<721~4MJ=woBH8=VF zy`WFk%;AsqVo!?EfL(zl7!8|xvBxx71|HoTIttXV?!8&8ChG2MqBiBXekN4{}oN zC-Ik^Y@ntFHg}wi57f;?PXIAT?>yOl_I~8S0-xNpyv?_u&S?-fKPKT8HQxH5n!wgc z^;l!__~&V?n>%Y{eNiE($T2tNPW zX{G+Wog4glV{TUSM#=t>Hx^|L_|*QaB)sKETaqxjHccz5xqewzZasRzP#24BdpG2Y zh&waJIqEufb0?4_h!XPoE_Sa41G8>pa`iw@SXJ)5OY0BxL{`xpG|-b&HRWFZhl_P? zi=fLBaw`YXmA`6`Zz#@<)cTyUIY(6neGn8xAUDncrD9$bOUS^@eldV0jxzL zN+!;OXu(aJifa;tsE(&!A-0i8=m#W}hKYpc-XHSlfh;-^RS6^v#q9j=60V&|2}6<4 znQgl`i@%J7Zd6X-22#f$bD@AIK!BLlTMD^Ys&6+DYYSd?+YJ1YfRiSh-JF72>?~F zzv?EdZhO^juDT6W_jg6&A5z_&s=H2gzf#?gRM)GzZ>sL|s{6Qf+iUx)fMmFyYO*!# zsmwbX+`f`-AK~iI$i(efvtz`>^HzgV;D83RHlVGn@o#TqHk>2XUyR(F!D57ASab}L zzV-e~63%sjiD`p>Lc-SABsq;io%Zib;~2er{D=pP;p5{YFgnQu#O=YXF(%v9jfb!e z8k%MIJ;avK^qM!6JxciQDY`h=UC`p>KskeVeEZ0uZr)&jK5EJiT|0-GEvIa85yhy z#WwLXkFy6fSSBZBk~@sQCEOl-OD5}2lWyZD*if2mM?JybaA#4nFL&yCBB zOcsF%6zc#1?jq^BwX;>g zyQ=$!>OQBskEw1y)$OLb?NqlJ+$-8PGsKX67&{MM`xkf+PdkM{m;~r=O%i4vy4MmS zKy(#_qMW$f!n2-a4f@2ygh#mwaW0PglnO{-pAp8kSDqdzJTcg=2=aYwGGiTU`8+7Q zo7a{?Ibf5yi|70rrX#k!|Np|S!< zV**H7zKW@HVBc2~ zHB3dFbvNq504jlfxf1o_ZQ`KZY6_H~B!F5R?MOIuKn!v0uvAUMi(+cT+kL zKqatmf<%p0QLFDptqGtK*!Pe`y?IM==)fJ+u%L2Z0GHstxI<0&*H1F@eHcEqh@z1w zZ<%4?1Nq$bYGayew80>TJrV8eJEDV(Zzp5J51UhiOHT5aCxtcTqqAA#9@i*2Mr%SX zw&v+-YaG(YX>h45+in{{8<3WkaC&-vaTUfso>qCho-6rxW5E|R{?|hzF3*Mr92CcY zh8vyPWjZNGua|<={*JAS!`y*Yv#;?%-u!76@4h250+L6?C4DCgg!>mp-c0klaC9F^ zi6LyIbx+@-pY9MQWLn!mxTsr9E^lhnn({D_#O28svA);-mShay@-#ECNP*=W_!F;r zn#HhK8MOYy*)uF*P&@^{_g4XBKX#x=5ES-^p7>N?-KMnUpN&(qu}iQa0^BPPO~5U2 zx~;i}p>cqnf1+OCQHAbe;~+k9lD;wj=ovQ1eeBusdYrQ5zmGkYyj#J>$<$4#sVcXM ztt~^_jlwmnVawM9hkUD#pJCcpksP}EHj<#*up~~e!1fnyLfbx+=^gV`eDgv9Fv*Dl z_Y02Fo>%=EXlmARTlts~EYUbbpkVt9Pf83!xANs9SZmGZ5&R$`J1y%>J9}8@$BBI_ zV`ge>jBmC8;vHTbX?%1uMK@1u02*p5`2EkaaQ8@mvY0@!2;W2gWHJ6^m2+jXFDt0J zq6!cl$ge;cWc0Q0lZgnBQGB6(G7)|VIR@{_?jI~AG$(J7*laWYFKxGzDnc=6RQ>lc+`f~k5keeiO{$op!mDz zYZERiK1W*9#2!Z^VF03Su6hj3WEw+f6<`}K048mxrzjhf`q_Q_k`ezO{Zum zEQlTxh#u^#+auB^sOi%MLQVi7-S@LVaK(`qqFebkNCMZMlm!r-r+i9) zz)0U*l|T*=fO3KY6a}Kk_}nsm7qtNBVnCP`K*;e8+rz6zvnE}NRhX)O+$+<)Ci0ad zQzTs&Kof)2xQ9o-fYc=cuo!)(sztS(YU*NQHf3BIKqHW^qME-*sVf3tp(4zZse{$j zrT*000%!#CyML;1S4o z<2zB6hg5Pkel(vS%`G~bZ?C|Uy^ZMk{D`%FL;>=BCF%X~ge+dIAMIKIjX=J9m7LJp zLGGF#FQ~1MuMnjK@@0PaqA1^Pm7c&SR_g+I1oGL59?t)DB!3Z4vY7nkioo-kWwAa` z$@_hj`7c!4i<0*6UmnTRv z??^H+Dwza7nJxh`1oCAGGApss$A5T<8Qm!|b&5=VG*fak#h=<4NKGJLQ<1t%yovC0 zz=??R!II4N-J&j^sALBF$)pF!5XkqvK%-+*D8AIt>3+nl03v~WizL0rReA^f4LCA@ zMj&6FO0R_CK`zTrZcG4=K)%N%xwDVUS_0iITPP=hM2gvSu!m4t_x zHb>2v8k_v(vm~7vyZG#vv6G!u#=m(P7Y#<2@nbKuR<0&xLX9#+3m4{TNEAswj`q?q z`72g6d={H?+fZnn+ozjPtwTD9nri%*pdKjZbL;q!S6Gt!yYWw9fvy<%TjXXKz9SbB z&N@(fGOWAFgN zJVui%7PU4Rd@?6#Ps*Y}2nuGv#52ARrdW;u*p=J9k zK^Tdvp>6uWOjtL_a4a9s%U)#>5xGEi%Il9w;*R17V|WtXAUyjjYt>%dDfC}U1gQ(y z(#kxBtAQEEaJ*+7m@>LPE*iVB*}sl=`xoo%7FQ*)#WFg!#@N=}67k@CCRygmu({rl z6-|;CDuMN{SRZ0cw%>XH4=AVclWsdpd_XFCXP;pYS5rAwNsq~XSjDcT-S0Y_OR5Lh zFk#gi_lM3=@lN0;-8x-x6rYlk6or8vldbSgZW_xHn>YalOMWE$I^0Pm)7OqyU+0gH zWtK)aU#BHn=03oG1KfJ^4gT&})?Tyqb-sEm+p63~JasDZ)Zc#Xbv|_*`@miD8a1I} zm9DTwY+o#44&hSATtNVMY|B-T_G^h^7A|5OB0Y7$4JwNH=Wl4>n5_2-R6MbVmepDM zasCav5#%Rh#I0ZB3twYB++#%o+WsZmeflROMVPyt{UO*Uz!_lZ@ml}uZ_#tx} zinShLQu#C@iOJGW0)*XBlOYo!r1~AKqxQ-m>rraCps|rX5K`Mv6kGe(`13iedBpuP zy~*Jq4@R*tLD%IqJ~xLo>zE|zk@<`CE2xTn`YJKjhipYLwI|0>F>y2xTN?<@8^=%O z;0kXifGJ=5Hh54H(Zj$Lx~*z*yh*VlA9SODT{Dwy1;J5BoK54}sWB7QwM_P@08&+` zSU?xH=?;RnVm>@pj2pTj2^)GS*`bvv8Di`Wc1WK|+hQD_`8u->|3r;)e5l445-2ku z8(sn#BC!iUCc}0Lp$t^4Oyc@5VP?j{2pz={F3K*<-oV6ECc2-=_UBmM{0$b}pruOP z@zYq|=MC0%_&1WcV&45PnG2>%#juS6lq04pIwZP)`wWV8t%MS%Gfz=rtRpG4c%zXi z*gFPcETzaMZ>Henpt1ayH`rUjUHKDlvfja6SI>Ww^$XT`M)GsxS%N#J*Ann9v?}Jr zGPq$?2_hU>l_0{gRVgAIUzH-lNmYYIxO>%L3bzfZ%5^NOZ)_V{m0R;Q+_0)55ss`X z65-gYViAt7Di-0SsuB_IUR8oHPVC(}XYxj4!K*L@K;{nOoZgWAR3xW2X+IUo=?U3S zSvWmO`zZ_62EqZ~HEg-y!taOA;_d+UKoYk*CtsQHLJ^i`t)b|zi5b4Qo69?~cn>+A zI1!q*P=r2AK*;GS&COd`-`UQ)ri7rL+}x(Ch`P%&WeOr(o}wuLxID9p2+dPk)Rgy` zz*?}|%dp`SrycYae`x~PmxF8HY2LzI;VhW~2dkB9okrJB^Zb%lc}hz+Jv@dB0N_I- zwQz8x?KS^MVXxtUa2!9uN%PDUq&$+8XFZX+g!?=*E)yIryayqZ4Ea_=PE#j&z>U(N zrIndfU)-JxpGj4PCzDh4DC%RK8uhWFM$OAoqdp_{P^!;}GnDEJ;sT{wGDVGAQlv&L z%Tl9O5&x)rtuD&zi9C$M$PAIPK0*a=7(?W7iEJ`)md40CMU)hf!dWWhy)l4*jWu8L zpWV#*__9^?M;M#ToB|hP<>PHK{BgAme-=|B;y;Uni})oYWq8RL8D3Q+@zx(87o%cw z0!6@6m{Y=s<+3L25(LA$3dhzBj>>S_b5ePpd^pX67Uc7CaiTu^X?{2t+E3qdel3>` zj-dv2c~_7F#(PEU;Qk)9jnnJRX~joQWVQ!n`xgj3%9uaYAX+JJmPq>*IY_&rSOh;M z2f-Qg%T{#9X$om#-mi*U@xv3@QyL|ar%b|4PlTVG#D+8)9WFL@EM;Gj98snhx8fTo z;R*rbeUn({$2&lhdu*fO5YM-{Jl2r{>*OO2)#)Dyrk zVPeYk5p@R&37c4-mh9ob6k##ZMG&xem2~)`f0W;$!mKbg#;T2K_t`Q70WWdT?A0Fqfj zVC}7T{ODvBsaMe~_qFHs-)3!^NQ#bV3CA5bAY0b7RB=b1;QiiV3Hr7u8!oIk zUTMqo-(iV?u%j)ne22C2hpiE9`T2L4EjXS>PGQaAbeY1U^prF8&6wdl3t)X08U7UE zqcd4}Taq5Ar;1+<=4+p%WnG0~Y2^mw=2F z{y7NhhUmcGklcblPiw)OB(0SyaOh+#z={*i}06WopmzssymWy6tL zL&NMF;x@7%e)M>kH8|UWkkma1% znBYe-i-Aoa6sc%3J=j=DXjK&V_ClHWbecv`9q90+uH>onh~gEJ0QAhPwd9;RqBWo3 zWldXI1#ca?0 zbxN1#pUCKwN@Co}DQ#{S72#8XfSyM-3^M;fnvBa*y+S>{A+3hUtXsH;XBMy+cN_sk znEFP>O*w9jncpEmO8HdMi$unvuAo~iD@aRUBJPdOZjEG@yQ@rKH!Ly;JH`k%;}l?qp|LRnXn0xzft+502y?1LHV=*E zvkNiPw&CjvF$uKhKNhk!?vZK%y#BV>MJ4=+N=VBS)7)H9NwuxeQ*kd@&H?fa!1Tc^ zi6G?=8P7Ck28PfWmAb!kVO8;0hP$Vz7%TiuLhn5?u~8vgtXL8+P_5NwtW$X%Xwn>k zptb>~XfnEok%E$!7fI3?(?uFkMbR^`A~!8vh?vullEhC!FB~aFJ~`mm3R+XUyq}7t z^}iGOS*>`&dn`u-chP(7;pl6f_arzy_|6Pk*QYQk_p|0oxsMlzQAKohuoX`&Vx32| z5OQA;j@2X_M%(z=#VS0NdfsCrZ1)LkHrk`=&zgxksZwsPQ!IT zOn`OMSW^Tc$eTB)PzQ-^Lo|u>*gMiZXbws9J}io|$ErGtm%Y#0>nVsoWcVaC zz1d?S86M65m=4_{QV=oRj3hxG<@RT4J0v3Ri1fxt{ogLq?-KvGa~t8T$q7*g}dx zRLo%Fk)c2Jk-H|d|D8Ti#s8@fJby zywhyfY{cKh{Qr=Y=U>lejqOb&n@z%%g8g#1fElzB7Le;FB;0%%%ca?n>rF|nH`B~& z%J2OMTXz4q2=-zjPW~GOyEAW7gmWTKpTk`GDINAFIKAEXPwBvy&S4SmB81N{OoiB} zf)}2m7^!H}KHjVt*^7$fq=vju?NucSGF&Qp0%ng~u~dR*ieFPLN+A?LW~E52Ln(Hu zkcCbaQZm@Bf=UOAj7b3t~baHJa1{VH4A+6laOTnAE~y2iT}A z%#!rff(jb~B~mkjy-%4vbX}ucDb1BdF!`&Hv7Jhtn=6q_{tAh8vod9hitVo+)+xX) znxbO+D=Rjom09Z4WAayB955)wMJo0}r&}!w?OH1f#nK5AZOLGni`Y0&N@l6(DwAYM zN{eN!RW8wbNhuOt92}Eek{lZ&@l-C65~&oAA&ST_NAMpHdnCJXxUreIQ=Ks@UcjCZ5n85Q_3`5BJ< z5c2aGB|^y0Uhy=~n^YgG!(7am68_Z`tG~EihYd(9h@%aLw+ctyF zs_4K5+mQnPH6bi0;FspJho1X!tvDp0izbSp^EwfrvkT=uNCx_#OJ-;K2`7-2;z7i+ z2#Met4oX+Mkz63yf+d3eO9?QUbp${S?Z2$$%RXV-`Z;8hhS+`@;HgcktRYkBN^a?l zeHh`?${AXX7iGqgOHqjg?h%27YCu>&NG~d$!7D$-CSJ)5Uh^qy;ZC2uFF}4UMv2Dq zi@tZ2*^J6M`(42S>m<1SydjrMKSWL_t9c?tz+)IAJ*G^2+Z9E%q^uEV8+Zw)HN%U& zA$$~e7`~1K3@3gqS4#;B^UGv&+L(c@5);o|!0Kymm2%Gl6zZNeeBlBX)$(T`V(A4W zEW_};Tg}~Q8Y(}M^GzxD5&nCny#8k_(S2z(5jKU!BEdnqX3N~<8~sNllz4@p_%^49 zs)O^)6#Qz}$OJ=UBb=n_{iai_PGedv?vt9T#Qy8wKYmD3EYkD`y5I@QKxTI#% z4qMGDK4a#`2O&}a!jN&u6{i-Q+D8egiW43zI#HmiE+SyEBnkQ%+wsMFaEkU7Egw!1 z<-}DjDnN6Ia1iy{E1ZZn!e%^cBHC(dX=kW~F=_70%q{9nsYHz~2UUNUbHD&;5dR*R*_xAO3>1 ze5m|w5pUfhUGul(Lc2q+Qw-Y$kdEEyGslWe4P$o+djRx_W@EZ#ro_Rr+0+a;mXF`& zLl$C3Iqhw}Y9TT>F*mS4 z-IvUznZ26le979Ho)>&n%pc7hm*DbRX3XTvzhv#)iV^Y=i)M&T@}W~Nsx}LcxF!aR zCu#`qf|W%FC_q=!u+*SklI67$fSmwkZH@Hbph&XzEk7nO>6#>em(y(qK&smsgFvaf=TE#0C zu_iHk(&J5*d!%cf_8@C>Lf~^ zS?T-y9FJJcS~WrG<(_$UpUIeeVQC&)nZD)x!Ns`5;WP6Ii`f(P+pa`E?S7UYTa34c z9WuDGgcSzY=W~~^IHrkwjVy9pZO*@2!eDIF#eN;l=Jz7Uuex?(?1(#&VWO*h?)Dj>6Fj-%RAMbqJ{P9&R%1Ce8 zfsavdGIR_Y&8Mtlaa~m)eG)@SrF$VJvq@0ENigo%0OZUTIpkkTm+5gq$E3Yn$4?`9 zLluV28k1vQ9gqHoeNOe+`VD)M+_=?vGz8P8Yge;&x+#ZFG{AGs_5AE=dS<$eH!Nju z5Z1e;tXVU%Y)L#i0~FO_I);q$_QL(Vyp%<1V3721DSKA&&IGLLf^{wuEF(bn3(-@4LT&A%G%cAol;31&s)pVH6{D`k+tZ?2sc;< z`%?&~tYi6_(mK9n9a})|=rVS%rgT4FP=-ym(*3KW)-zpj^c~BjPp=DDCOtl(O{%wp za~+J6_9=%sDwv&im$N1fYv!-Ak>KjRl z{~5fOyv%*&EOvko&;g#SbQtXPd{s-R^{xZ^8hq>NMGp+u^!Lu_9;6G8>hE3IT?ZG2 z0C6W?Nvng+sTpS|8|((2K{h;N0~^vjrE*gg>>U425d*zt4V`Kj2&=0$ud1o>Y zV_pF#u?|o1P|t#Nlor+xd$){6UsUX$Y6M{$xv(aca}S;&VnQ1)C@PlGFY?DWu{VTq z6{j!+Ge{a&S+HL2$MEt z$ZX^h+PgC!?X_@kgrIAWigW{zVnb z(ofzebQQz;=ecPM>uFIfYg|J*{3|ODsHRS+`>n%AbJ+0a7oMksaatvqEOBZ864zvI zb%CGR!rGA8nXs*FNU$k8SQ?nnVFvd)(t=;(KZf3+vEa2xyRuaH68Sw?DnykL6^ zI03dlBH8|RbIEqo%|ha{ja;z(WSvwhZagdGh~>9u`PuD%Vf%pp#P))_** z!E0{tvD^M`!Q-F&{pCCMXz#gJVG(FL99W!?Hi2?rmJl|9p1(d0Pja-8X2E9L_{)OL z7S*+mA1?T77|q)sU@iFUYL<1E-JqvnN(PPczp)$CcF*7J20i{0b_uuaVUDhMj0gGf zeuZvykp(De0IE#%Y5-QK_JdZ6tIPm=1@3qMo;?$JW^1J1S`dAQ%s52e8U~6rfxmQ+ zbqy89f-vpQ*n+XJ@*sOQ_)Q*ph(+*8dttpJJ&%91mt`eP+ag-cdisfII(k120`fXA zJS%Y)hzTI@CxqY{|#&YQ*FJrV;7BIM{fn5$WnV0Mv-* ze`3#Ax>o$hMx6R6A9Ij(`DZI?etLvk4zVO^!G{iEX8WmJwqVak`1(UE`pz6ft>rt! zx(8Z|7XRWy(6Bs)*6Mbcy&wG(T8nM~qOl64pZ14bok+Q`<^8n!%whIiu=47eyT@O~ zng7!G>+uWgpVTj$T1?vmjt3vJ+2-7e=0blj*n$UM$jOlLAQ}eKgDs0Z5LAO7QyA6l1ytO^b!*8WRMO;K+ba?V7RCk*^v0ja!3G@cjXq8KT$mIy@P$e_17C=k z@%rIzSr$&@26{vH(+S-`Z{&VDnsa*WiyC50o%ns9$yZz+!)FK#@a%y;|7pYexcTd` zg(>{G8fMj)6+W#7H)5pKvjr0&rV>0?UCAen1&`|JBn;1MUHG&{7iV_pDjM2OVf?oh z;s$tU>@Iy(80iW*cOX|-Ukcex9+x*#1t_8CawbwtdgyNH$uL|TO#Hx`9ux|$p(k87 zaqR2kg(T`-9`jWL3h50KX;J8FA{Z$sAT}5Y0M)=rD-1(!-S-o_aBA1Yh?v6e9#TRP2sU*^QZj2^Sox9YKm-TP}H%4!G|l?oLMbEcsI zsUZP3OSsyOhHpXDsWvZ8E4PYFu!b`Z+m9ljK!P#>8iFkolYL|;fAJX1Y7QI3OOCM) zjdp+-9u?g;L#U#Pt@R*YdyIvp*C378@y$t+xN?&vW0UXI)fjNpV=oO9Jr*AsKzV7W z0P~<~v+K^>h?=|tDs&GV{6!5F>&8%?`72DqRE6@_f5nvpp?Zj+iM^rIC@yU{YHba` zCuC!oLmng(E*7T05+QMq0UJDu<6%)R*!U(F_?Gd&$B8;U9qOM6|B7M0o+7XS$1U&4vVa+X%G(sk z`BjR=A@nJ1(b2};F!||S#qkP7U<=E9kqJ7pr3&#G zReK(VpwcF)$>;)AhS}bLZ$HkW6Y?chtl~l1I#A%IpEAZd)-g(!PRptVvALpe;-!qR!*IPG_dW|l6VN>0 zK8^cY-)X4=c-nCfxp>+^E;Z~x>A}+ucu+#E0hlNheSw@baL30orS=(_ zQae*kX^B)*TBeH_#rmqmu>MLZK-=6+#V`+dOBDM>K`g^Q9AWIKJoaal_>A5a;($FB zK#YvBgo{2vx3+Ov1cdA@k<68Cc#>ieHc*)Q-y0zkm9B#;)(`>pKl=Dqgyaj2--c5F zG-=c9B)ST;An|!g^_j<46pbM)%ds9I+{XF7Utx%b%7A@aY%4;UGg;q7lz8HS{32y9 z#L06cJ@PpI8=hE;9(LCwkE?W-_XzG%9i$T4KC6crr>$k!w;U%`4%yuR?=D_(pg(r_ zmgk;f&D`C9hXf}3_1)-i;0+zBq}$I>buo_V5@v?OtRgx7P?4^|SdrTA@kLT&+;^zN z732*Q%;}bSGHtqe338txJ_jId*ruV?u@k0fM-vJjP+#Ir&$4z6x`IABqOE7hQ+(iA z_HgJ?nW5r{(LBYMoyAT72G);f*@${Q07yB<9!FFh058uWQ5ua+j`Q z6TyJ4aW8^@Q!*Y3!dUWHVhQmsU1N@4v&fhu)S`f{0pXcXx`vx%t!2yY;gFfXKyELF z&*ha00D|ig{ErGqn7Y9LGtlye(ccviQW1m#0asqmGTG{ZBC<5A?ntZj2#o|MBpJt{ zPbie@9rc1ynLGx)NSO{fCNvFvH&-#2@1ups5bRmwqvW?h8AFKfybi-8C-!5uj?)uo ze@@|pFS2%x7Nt-V&?G^pZ0UwiQh32d9KBxyZKx#AOs4ZRd?0%ajz@@oB;a1hr5}Z$ zCiw~(T8d>F-Zd^13jurw2?OoAOoUMk1EK!qnz{hM6t)y4Q*Ue2lFxRVN{TygNVyvt z-X$aNc!@R8Xm0cFm+sO~e0L-sQfWus6!lZg2gn05!o@_Rok#S z&_10&;`tCh2#Y9s!G|Y3sFx#was|$x$i>o1O?rqR7OSMFmJZc-uc1zoK&Zu}e3BFy`f33#CPL##QZ)|iq0M5($Gg%Znej?#qZm~ckEgW9 zu8XRgwDSoQbgPJqbaBPLm7>tUzn~bp=!}-W##>%yF}=IV%9|WR$Rk&lxGjh*NIjBf zyU@u_7~^b%K!x;^dDRGqui%XLD$4&iv6W7{1p| zyTyB6V-bxHb;Zy_tD1i!4|?tQUHOF&eJ8Q>OcP7b_r&*FEL+Y-H5gDB8a2=xwp*^D z%EEzMOsX=XE798^lsTDD z1XisXk{SKISiREH8y4OWC)crt9!P~E8GhLzWE*ZNSOg>;C!WJ@JLswp@xG*;Yu#IEtZU!?9bZCY_iL8k%ZvVG?NiPn9p>=I-jvHq z^Td0j>GL#D4u@F{vJ{KubxOKdDfz7!e!V0abLMyc=bt#xhDN=L~Ef}HmCP;)wy zhy;(~iIS=<7_w_cdn$$-7pV~x`$6eiJ4@H{u5`_xNY}BET+~Th3D22^s8uaI5M}2s z^7Xe_bVd+SM4eUFB3vY}Jt-p6>9U4>uSBvvL@p-Nb2Q)jh^|$U8Q>ZpLY5tb0FR?n zEw|UPXiE$MFfWVsyLiSSKNJC5Q(D-js$;FKg=!kJOL|O(ozg?wesn!B!|_HfFRO!` zCu*38?FY_FswYT1iMJ}Yu@?ZM^>l&6yb&t^T5~khGOb57g!2dXQLZXT{HaUEeB7(8F3NL`Asgz&85mV`mKa(CM$XjUfVnRj` z#qT0VlF2fvl-q;#F_Dyxo)DnGw0u5G!io$MC>Fy7{&KKB+VltbWQ;RdkrOInxpkh; z57u|qe0h%V57sAW-Z{_fYxF}jk>~hh8ogC>?ku0E(SM~Ge3o~pr}t{6ci`*l>EG16 za+Y@q0Y1*>p9|5aG`VpGeW?smi>3sxx%owsTBeJ_ z3a#EX{}5VTK=}V$XuWcpra}9waPAUXqY)&bHBYOzX%77M521BIt8bxh=F$gT()3UT zMqQ$jh@Mu3wQoJ_Ojh}&S;2pkG~3($ZfWK=nb-NKjTCe54N{72l3`0S!m1$a?iXZb z|5=bF`32cZzaVp5JSAG+s=9XR!iuQa%%odb>AYcmeSP;!;1ndAf}A1QnhM9#Oq2tP zAhyf4g1Z#HOT_IZ;(d%mwoxB7yoJ!+0uKu&5^tEA^M&-kTi{_+R|-7s7m`LQZb4!l zattgn0A65qWbE4f)oGoIB#~{!{!weRS{#+woAHJ~?GL3XA#l+ZV+m z#TMQ!8Yfv;1`V?a@)#-s`6eyp(CD!~dQuLTCr|NrbozFh29UKFQ0OzNvmYqc0$t%rj5&qf8&}E+&xyo1LT>lf6wLK6GYn zz!VsL^oP)WX^qHwi(=~(Cq&#+$S)P|dWsQOzVL2QL=doUd!ob-7WmqGf#1L{^vX*T z_(Z+FS@<*+X?q(X-k-mSbefq#uS_i#1tL&OnxCBt2QnMV9R`77~TF;74`xQ=1c zwSIG4ge?ta*wC6>B+&Me06V!tru_>Z$h4OwLOa^0Um(nPUE=*3=q>KCG6#d|T8@Y? z8Lh~0453^=)t)7?6V_Yk0+(Wbj{umHt10AIgEWv@8-J2pb>dFQ?X<#>D17ZL1#Ol| z5XCVYXxM2WL%kQa6Q^GXfXLu}5Sp3HC&=5rmW#(k^{g#Kz9#c1H9LV)L&)0j<2)u* z-@Gm5O#nPf&M>c}0KKHdyiZ$lcSPQ?U-{Fa`j}^`2?MdeVFoj|M>dcS;uAySf@-Lg zd5}?|^3!m4%f><^g$lSw$UBI;ze+j89Hw`AWFUo~4%NrTs^U{FAZShZ1J-mT=M}pP z8DlT+iN(B=L2qj$R(QnLXO0rwa{ppJ#-MKL>!69E8xscW8BFRefXbE z^?DxNP|q|&kMed6_4kLx5)zu}C#nxE{H(od`1pqU7n)745qaQgJn0gMhEYb!`_&p= z&!}&$>0QIy8}*-R=CS8j`UKtHU(k0ZZXU_Ugy_3PO+yIcv+x@Xu^>p% zIFh1tHHGFIg_v&e7KQ5 zMis2|q0wEmg*j?F30Aoimn4cy7tRWf(h?D$oR$ZXSpS8b_{&M;KyP;e@b9yUCE^M7 zNK$U{VPsg|k0xH3v`-yIGodHEa@b9x%@+{pGM z-2cVeyMRSiegDHSGD>F{XGR$XWOUFOMFj-`Qvn499TXKy4U81S3{w%!TX_LR%@I&b zYnzc$S@|t1EmOQ9Uhxu!<}J&ln_+gbzNKa=?`Q43XPBeD|M&ep|DVqz=gd0$v)0;s zuf6ttZ#g0u?V6GlOBawoHrI%ts}y6-#oZO9zS9Fn1Y`Wc5%IIjXSjG$O-|F38NXsc zFoc8_`Ss;9Jop`i-%za;N2b%TKkrRK1AEmL>Sxjl*YJaQg=?V#CA*AoUSQGw${@`h z3tQl?3}{^o2B;PWKPkJ6TP?iHh#|(&;a*>{%l=BIz|$9a0$m_3)Ix{TLm{z$@FnYL zR;-$5x3WQIWuWfHBH3U3Etr*=mB4VhA_r6ZqHhuY=a&>uqkSM4;;Dazv zvb(n<3M61e&}44PgPG)Q>7m5FA6g!l`AQS=Jmz5BRYA9M<+o4@yF79pSdimGAO>T6 zFX4gm^!N!r#YP+GPA52&6~j6UuuMjx8oIU1k@(`?620g9YP#O18fu4%-HWvpGG z(%$Xr_0ON-uKv+7_IRLT?<}hT3?|+bO2jK=ybp7m6!CEM&CA&Pfl82WJH?88`iZlw zCQu2~ymppd4OF^no;<^XgOsSCcmyCD~WJ&~C|QXm|> z<FIw(=BXRtC@)Alr58VoVNe!GaV; zyBI=Ze)Hg;Nu3SpG@L#98p?JRRIy)p+wG*!;T6G#(Uh=BzvBe6SmB_fo3UrC%8+&x zaX9EFM)EFC{EsH;@}T684sRyd8#l0D{1uz##}mvuMDf?`t!6Dlkn@W-vYsKzK;@z5 zcrPbD#KcNM8oV+lfA~HwW4QJNMbKz}LOjD~(9`9WzX(yXdvqrX3LN*!Av~DNE62_T zcevFX4XzncMA{hR6O1gkt%93~cCn(i%Cyj~)m%>en9q<{+1fSu3HLm9KX=c|=_7gO zp4H6WPU+mC^f(V4?t{<}U`pn_4Q&biL_3WRUdad_^BJ4fPHCg`7lHNtkF&CNibYd@ zjP1oU#7LoGohtC&Q}WAx8jq!VGdtCp4A7!Am(5Vc6%kteQZUbH)Xw&# zbSKJuPl}Bw(>*E8i1G|5Y<@?jMUe5$IXHSa*(=5AV@u&roC?;sNPA=#`>>}BI zgCJt*NqVrn2;F31Ai}4o(E}pL&f)k|_)oDO3N=e=U;aCon>j+q;-|y;b77?hVY|~e z=eGzJjW;CvU}qf-rud>uZ>!4@%ha_;8uOZ6Ut7kmcUIcuug7mkHjbVz()$p%3fHy` zXX=vMj`~VU<^zW1CAc&oH9J0e3QzxDcwi@1>`g;)F%pl`|1t1sNPHSfZAko4s^m@; zze;)Hv(;fx!ZVq9)#X6<&y~t&L@N97C#CWkk;?w^8O+xhpb+YtMKz?h?1`AnT0!Kg zEjuG7%gt_-aF#9fC<@N!RTt9pJ^V)M^I2P@=vzG0&q&cXMEE!9yHn5UyIcuXlv;S( zss9L{3M(7ob|oKVLfsLuo?jcPmB|am_5IfKa(D{m@Yu}uNFQ#F(}UTTaHYBanvW|d z%pe`Db6;Nf3OI5_@1#9Dfce^0`Qs ziKV?4skTRN@gjGsNc`s_W#WUi|3%R~H<>32>UoGy556e+f+ouopd$HO(8HaM7>?C* zdVEuQWS1Lz7tx*CKs@G-!E#{V!ni#=C8l@A z+sY2?!(y!k7wIZ34VjH7ZBfRPinNsxPqIIXwOtH9-m0&Ux_)IW9!1(ypRx^ImG=IW zLbz!ZjgIp%ywPE=)v#~6Dgm)F?LI?J-c#aXd!odmDnT7#66F;?a6XvHhQi7sBb5FM z-DN!_+xBT{FSZ~8!}M)6D2h~9jl~P!V~f?n8-5AJpabd^x z$wGlmx6qRc!y)c!z(vf{g5;hdj(MmnWr;D)H9;KjMFeUe(ztpiZM@6W{@sNFVJTb| zg4+00D}GMGHD@%DOu0?9-6UK&!8KXRHKCQHeEjYLLYb$n#;86lAJs{W~H$=cRuC{FJ{CsMVeAC)~%b;rP(GgqGQc(-qiqNnCZo) zbyLFqM}!M~wZr9${?TyuNjK$^wOf5_Lg2ChXqPd`W(5q_4^ZTqUDrOnmwgEO?EFkAKF*v(iHN!V1PW5i$Dq!QQwKGt zE87x-R>ii<>`07adFUorxh}z%{f3{>UXgrSILM_=0@pl?wDH9!&lnRC+Wg*x_35qz zw-ye-Z8{A`W7Lno-I_gzFpY-dWleWwYUc&Ky#*sN#U$Vz&AfWFnrhJep|kXEfLkG;bEmr#~Xkc?1iDSZatI3`V7zU2}8(*6B zRATM<6Pr*2i_UJtE_G59;;v8!`WDeIVc0e#4N10YNP~I%vHPs!XD_ z=BDs1^Cx1@FLhj~Jg_f&D&4&2GrwL+M@>N&_HZv{3_aKM!i*e5SM^dHE#y%p({CWA z>HFdQGJNhJj6GJ#4-0*94qXa@{pBG0gUojx58p?Tr@v@zp20Gr4FA7@sEJkj=C57J z>y1j(8@01WLl4W!2Fm$asZ?Z^G*D`0QK{D!3yNsl>W@10EAYJ9tYlcd(_Dbp!hLt0`Z;*Pst_Lx zLHf{5!{oh|-+ToQ1}{sl;RRVt@1^JH2QnI4-!r~+WMfJJ&a$SQ_HCPjtIy*FX9O4S z(>MxmY33fou>Bz%ubbbiA`M?)a;rkgC1V4(uOquT8flLpMDVk5@Vby$c$tK}eUf68~^-B|4u3yYM4a_)XODZQFs@LB(7b zq#15VLAF_1Atau5`3$Eg`Ky&Enc%i>FQu0}a$-1L7DO8{GN#+C6}9jQ&~-W$GK|KJ zK=<%-D>0*UEKK&};+<~#qa86r;LS@E4DSU>9vB$^ZncmM}3_V2H;Mtzk~y*CBKvPrCa89#&d=&SVhp3Y|V zRa!qZpcQT03D26r*7a37wTQ+~EOE!SX_MB<-&^9zzUix2y`N?EeU*oMP3OII90HPN z=x9G0#2KFhb9hpteTVpp6A$>w_~|qqk3mz^5ax;?me)^d8TKs4V=5X@d}wK2&3Vw7 z4kn9(-r=VC+Turp*eCsz=oT@Oh(RrbahxrHlNlJKRIzD(}g(Xlp;ZV47?z6gN zrf=smnJ!r|c36qP_X^5;fS|s~5VTY+NEXL?1O>PS8Mz=^2MTwujIsyFxJok0?~(Dq z$V_SDicH>imeOD8(1A?)UKuqHkiq&Z5s`Ij8M0{J6OYQ)E*a(9+0Fint^0%0%4^I$ znL?ReH{Oj6C})ohP;4DY#=ZIl+>1qqzCJ+dWR1Xw|Mv_U{21y7YqIB9Eiord-PN1BtmsdQ9@g6BzS#Q zN@0B?L-9xGabXmvaVg77QT&5@w4jpC&qp#x(>oR$(8{mO@ZMO^n1ZTgi9h!)SjFZG zM@8RhPfupd3D6rtTg~DZ-O!t9pN3y}!GeMs)Z-M2R*q*{zH2V%;u18Xi}0N!FMe#e zd9IYm?*Y?!K|M4)GUE^XvxI@@C>SJjlv?6IC$eSg7fQ~kq!AwOF*D|KB2jfa8x-sr z%M7;=W8S}NP-y~*=2uW;+V_a><7^K4E|iG`7ko!+DO10gIO6hNY=H#{YeO#S0!ntohk(IDE!(?d?Dwa8Q+JnNTuDl$qA_>)piPjT;Yp?dzcL$IU&sd z$-!=QH-a0YGtNdA3~~jp3kt4*0|iqAnf4^XjqAEbaKi<<_{u4lo3pY(ihsT@bfgR0 zT?b`hpzMwSR)UH49|6?)lJ^*TBmD@KV?$U$$WVDAa^S8xw<4n zzA64`NxP2P4mY2GZOm3l;^w%CTN2U3Z@Ysc5#^Rf3O~s$4lL*tS5y2BN#a%}H%NkR z_g1sc_mZlGr+D(Fh8i(mEr~qZbI}SU)=fNFk3(mL_&y?uTxIIUgBbq0B=V@y6o4t_ zXG!9v0*9VCh-!(Ea(jqbd*>e zq0ssZlEkf1tPLAVTt%r8wg)SUUn@oNaEA%1{YiwQ(iDv$XH!gf;w6B0s362I5d^Z$ zN&KL*@Y~pNjUa@<748HY*dUFD>xCd;p5y3fD3iTTgdi?g@jJYS;L1&OEBJwC*(CUK zqxM309M5R7-xNe%G*UUy6rLoQaTl;g&|+2!8ZU!C2wMEtM01zYktBxVJeXLzi}HF& z*1saj!YR}dIiK?4lB5g&7D>E8wuDON!o58&$@Zy|EIjO0>{qAI;osqfWD3U`EoH`Z zmb^uAnH2^vow ztKb(OK>XaP^9)fbb;e3EIoGR`+cppIA1cCb;_e?I?B9qG?w#B*lLZ0OaHMR#+}W;eO28z3Yc2N(`gIfz zI^lXy1dQn_ewwt0B|?8jQY@2X$V}m!EVpHd_*Hx(JWdFw09d3X+&I+k#tA0-F&WZ6 zR=gPVMeR-*Z67cBhu@JOH;MDj@GWMUBw_H3!iEP)MloOVee91`7y|i!q^P2{*EB-`gl3LUnCVJh86Lk&WA#{T`{W zEWD{fkgsrqT5xsk6S&r)qj-Y&1BkY2>IWD)iYJJ_f*4j!?P)_t@dWXDKB&>v)H*eE z6i-lbRa<6UH8u7O9mUgKihQcCK^7%}c%u)z@#0sV2G;aJywL|DR%MaqsU6g{W zjbk=Na0q|pg^ZUbjCeyf@e*%_g<9M{g$%WHe~ztrRIw%oBl*$A{NlQH#CoypIL7-a z-WlU7gu-u+6F&~23c=DygVFw{q|oSl{IBEKKaVPH0+;Un5w{bIwZLe-cT0LYHKcBn8J{_)vq=br}L$Ba= zVS`bBjyC!mjAr@N%BMv>W2&;DqQMw0pLY3-ugYd^Mkw7fU9*{2pGHK##DA*Oj4Q8w zi}5dXrZ3=E#wo`RS1|gx^rrmCZ~izg;*MZz`Jfq6g5N^%(#==c2P3c+nN!iHrTvy- zRqs+c=7zJzK;j4gnw!wM1k~wm%ugT)jrj&A36M0qMSigdi!YYHtA#dLk`KV{GVdZ8V}2IL z1(@d6)KQ;(Zp}4)W5HgNICF|fIm)QtkHZA^WGKO@{44_~Nh9bex9K=w z49f-tziaePM=gMN9ll+_|ID*DK{aohh9Pmx|KJy*Lj~UQ;xuL-rF3bOqJ5H$9Hq3* zPY_5n-;DM!9-j6*rBA;L4krBNGe`Dj{0r_S6;HExrrZCVN)m9I3wBt+MZ#Totu-uJ zfC-ZY@sEIW7+T+k6;tsW{Plk4F>i#tD*h#O9iMp9YP`?0zeZuTLS+Q&@VFA&8Bs>( zy}!3pTTXBB#9;o6qL}vO_xPlUNLKQ=(#|ge^DU_*xPQHo(vrQ=sB!ZiJ-ElA&3ggq ze8LAqwRNGLT6$f{lC#IV^00&=JJvDb+-6MQ56(`;`CvFXu}P*9(!Lnq5EDL`JS&v6 zUg$|_L6kLlY)B>+8rHtSCS)p>fbNZGe%t;qf5i0Qk9eF2!PaFet$NcDiH@T1;>RcA znyU>#M*KwJ#r)^iAYcA7WXPw8tU8~6hO2R|6@3q@^5w{QyG|l1l3Dw(dJOp z8L36(d?cwJhbL|5M29M-7M0PMOsaEhr!xL?GYxK}I(K*S+D~80YY2_NS^Q^NgbZBC ze{NpMf9~#-#j)kr_|FZUDh1~>VwDBfRuTF<=e4_2fneQ3hOQN?*GSd{LXVr0b**5X z&eej_6qvN-PpEl-Sw~~ba$zn{doi^_DXo3ZgJM|nCoQ(1!uur7W1tsH;_243i}V0y zpuWfd15^j_@@-x(gXpi*3w*#3FDfF&nC1ALScGwA6p0!T!Y_&dmY94I!aPF|^wso) zlyDn;z)MWFq{Q#UOObZ^5^VE8eQb`Bbn_`3Y(N&yPvI5!ZqAb5^cC_O)gtLPiJ$fp zA{k8apCC-4As+{81B-%3Yp+c)m-$y?%!kAQ7%T}hg-6m4==3fBh`kL+ju=ieSx9lD z0yvUf_Wgy-J^GKc*=rPXsi^ zJWOJcYNR_l;27B;)_MLwrp!-dq{F{m2;eBhlcuF!8~+SB8fXFKB<72NoB? z&K5MgHJ`sw{b#gH=kF%-ZafhssuStNSS-;)6n^Juqy8fS;8Q8F{N1Sip1!%9cX$j@ zDH3zVi!tU4AsMUhO!kiiANx>xqSr%f&eE~-%VfDOLLDPj9ub_)FL9bl--~`j6`Hx! z^HUxSChcT=8*YD}{z1 z6E841BJXyQo6FF?BDnSr8}_6Uoc}w02a~T6+GxKbLYpl7cn*+xBg1?_lI=%jXg)2i zKQ77UosxWnm}sR)lm312o#$aZ9p6D3X<`II1W}rzw4DZ+mROgSH z@9`%RGZUXk9Gy7k0D@~fOknxhX!P~?oUO}7rK$a#oy=DHYWAIA=5b20=9v>La~!72 z3s113ahOKO$;aEqDUSx!e&pn*0Au>wRBT#vy`JtN)`vgJLY`7AsfVjYtz3(!pM@!! zKad2zD;niE!}6M>d}9cOjnZP2jF=ZBjSMT3TtY_t^lG;3DP=l$eX^gUX`x;<_iZ74=nNH$9wqkB~WzYm{j@o z`**{zcA%qH_XzbCu(lzGLh}2;sUfq$R!*aSZ2fqpsfmJs1C6|8oJNgW#VW=tfnjoe z)i|25HN|fSKZL^29Bm2|^SvhfK2ROyI0w4X)5=KiVQkdXO20=)vh+uWbaM&;;c64{ zgbpw$*x7D=vl#U2M_(cQcgN1!ly^E)THrfYZNu7<#K5A~FpT~Fv|{&8W9A&CgFTJ- z8H2_81uRkC-Sj-pmPUT4IDka z>=`9EivNZRrCbG4yowf3;Pzx%TY)GnCS$u@Ekp5ev5?ksz6utIB2p)w`Wo@n)>N^P6P2g<{xB>( zE!BE?^)1}}fn14Kf}coS4^t%wK~OGS-l3+LsrunL*9D3~Aifw$sd zzM>9qrNMF)-6FBY5G92#FhaUoOZoDly&_t5Nk2F98koKHgO$^0-PxiK(iXE(lN4*v zx+MwvqIm1OKVqpW4$(|4%A{)~jPVN(v(=N7oKU%%Fb0j7zJ+mb%UHC>PvSzW*al|D zy^U3Dw#iCc*V;|K{(3_dU%ZAQu(gUWUc=e=VxbzkJ-8uJ#1-cY;aFCAjEVas0Hb+$ zI_=j=zX}_wMy~OjU|y4Fo0Q5pre6>zGp!Pdka2(=nyj=*bR0s)Ab+VZJo+g94BH1T z=aJi^ORIPr9@efu^;k&+Dh+;xBwhNA)4HiyWYj)%h}mcS0%8$NS(CpS#%bI*%RAQ+|G^=OQv|eV0XS_f(~Ihs%%6#CD$^ z3lj86MKL?x_)&)}(I)Q`<~>+E_3`_d_cY}RmsvMQDM&2b{k}8^AN(Agz4d)E2--2R zp)JqSeunf7ZN1iKgJVXm!}$k&Ds9WN5uZxi`if8I9nNF)skklANqj19YZRZ3Bo^&{ z-?(O9#Nv9x@^xA-c5#}rRH=Cni$Sv&Y0_%glxLNp{50UiLOQLyCoIztibkigP#V=D z*GV`H=99*KlCcP<0pEC5=@a(OFm7xIvFeT*miBf0H+GceARxD~VSmGyXKcNhzMeff zUFoeUtzd6X$1=_hcD|*=JiLPaIbG@K7jPI|M40@hf6}X1hZ#zOCO(}_n4!GYJcrv% zSwA|V1s5~J$7tEG1wJkNC%}AY6FZUWdcMQ+-2BgO#q9nfoE{KmWQR{Vc{i_5^4k4jWzquJ%z%I7Wa z|IWW1e@@AHXvZ9G&mYcVanCDlJ3Kj*?0M^KZqG5V3VZ&tT-fus;;BDb&K5u4V9$EB zJ>P|!_O$0xw>_7->={PO?RmA^o>#l zv}jrb3c@Ps5LZiwNES_HEwflw2gdL-+n95%(!H4_g_}59WTR_=w3t)a$+=3afb{h= zrZF0Q9eyCip_$F`RXb`M^O>haHM4A^L_^h2gNkT4n76T%c}kk*zrpOac}k!^H+TLG z-(mKpWcEkqVQb2Up-eMhIq0(zcElp5U`{u2M=gwB9OFQT2$9{_IbUz#^?xw%iZ#Lv zo9%4@2fCFX79`BK%x%8qWWIk?@Pe>N9v_0Wvy~uMY(ywoV!8`M$5NcbuCSpz6%qCb zh27i`b~UFU=xY^hRld?oll2?>9M7np+`4IyDLzZQ^VV-Bf6t^-Z^u!oFiuylrIf@S zbX36h;|i2jnt30ylLfH-PaU4N|C;0f*7k=mwovKbch$H<(M4J({&udZ-@o{jVfkg4 z3?gv3<1MUbU;1QgT~W;PrpqD{i^6Orv+v^A?WlV4sJD;=xO?K!am-Mt4A<0NU{4h) zvBT1IU&1PIo}Iz?dOE$MIf#)s4C2Nj^2WEbBn>T3;|Dn+F!~zl)Xt_+`8|0=gIC}! z%aYkT_D7-8$uFYWPu-BzUXDGr|F8~=luMeKe$02V(&piwPacYPOgLJQN2@F=vF(Tj2FP;CG!T&&DDy`WnbvG`ZR z^7j!b?373w%bG1w1~;v>-sb+~Y9~Of+b1u<8edJVm92U~c`vlx7)rT7{B@;VHxzxO z+)Nu%E+*g!+LNcfGnzfMROzExd4|2SR2i!IY(Kk!-#xfm)5jIYl{o6A`gzY7l~R9j zNJ(5XL@?lQm!llsH33PI+O-G%CUuQSsesl99t~^5` zI$%*O*jw5BWy+xDpX~=Nu_U#Z_Y9ls!`I7{`buiII;Ck8cU!Xp^EjZq z$_>PjQQECcQvQ>rV~vZIk6XC8?{IV91rs|_tOVJl)f8Jc6Uty*$4v%oEaigmA*{x) zM5#)4W*mw}j#8%NZn|54UHih4-n665Pc+1}&UMEv7{b*K+aISlEV+*C!`|gslrt2A zw=sB%{2Q3T0+uVC^IcKH&L$ag@>)LCOZ^w>$73g~j>CMU8oq7}9YoXBt$b7fMk2R_rCe`JJJ(#5cb)zKhw~m5SAW=kV6Lk^y%XR~nYv zc!|}n9?4FuR637ym0057Q|@OnmMgkN=l4B=O8#T%iJMv{g! zk~E~Hq#-STXHUF{wfZOr6)$2?-1m3(<%>#N`%@#x4;}M*h5U?uR=pEjuIe3yRd)xL ztUgKtQ_2CczN9>wzw{_X^~08q08X#R7PwP$&te4p41GztvEKljH7xoVe_`H85>Us} z$>b~Xf?ze{(av3DY z&(Kk@>1PNnHX5NMmdX-8mcL2~v=A2rS#7l93xbpyBSa8l$39)9L_`o56lIfOKNJ+EgE68oJuY%-@T1JUTIuZPHVblSsjHRrNoyJxP)@b7?UqeT1 zkDOIN8G@Dl8|6n#9gC011^E%yD?h?|#mBJfH2E>Q`iT4(U0owSVj_DMGrp`?8gzhZ zvYsz1tpiDiRHy$L5=bc#lc<|b|clc%KO zwiVd~MFlP!yfjaQ@sLt+j;do6Y{7)I(%cN+H(v>~T6m#FKu0DLi6e_Kk zZ{@Y~M0*<^`?fd#3YFxgaGh#5) zuT^Y)YnzG->_`NTKd+GL?8xf$kW7%;wc-sIfyt^XWlvU?mr%Q+_ILK|TIF2t+TR7A zl%4KxJ6uTA2QK7p7vGdUZdnzzP1(}dlo3HL|Hq@dx7MU{WywNz<2B{Eh6=C3{cUH~ zLbjw-iRk#=z(dig6DrBIICi)^94!Ia_g$lbCA+=J5ky_Dn=*l2Dpf+0T#Esa1367( z4rJXGZ!pl+zAn-4X!#pgX-8IEV?p1Ub*ga;Tgj9VHtBWcwS@mEJFG@fvnpPrv|>-L z!(MIF?nUdc*&NT^>l8LI=X>Q%y4I2^=e2uguI}U%!dAZhP%r8Z`m?>vnwF zWalEh?I^&X#PWofC&t1F%-({wf!6W*vFIx8hk+BD_yMrEa)zNw8v)v1z?ah>r}hj! z7HQjoC_bCgfp2O`#^Ngv;P73X)$1d|D zbFUTYD}HC6y`hA7?_|Hdp(NzvTMFin=^HMwNPDTZ7b?EPLcpTKLcpTKLcpTKLO`W& zO6>l*=Tc+O%`*;hE;>u0Q>dywH}~3gZLGC}9~zRkk>`t#&Nop>+*=to)i2fgTU}PR z1vz)BZ)+Wm{5rC0hVMmQdKKREnQMoN*i>A=+vCQ;MM>2+QD;K`zt5*_gZ@>H*jw|z z+KY5S@J6oSmDE(9aZhmO{g%?MooKAL23w4=E*8yo1Wc8D{lJ$EcuTQ1hW0ya)7a#< zupgXk8hvV5dJY+R4w*akb$h_je`y9MQ+N=gAm9AzL_>JKzjQRI&fhC2hIPH3MD$`d zB_evrDM$8U5xcuQcKaJ*2c1%jaA^`EHrSbT1`$Qx#aIFBEog0)cImIuYj!1kY@zDy(oK)w}ITW*oIPJ)KcOZ&z*+Tr~(M9|Y zm_+;>Mdr=dtg%IUjd>ytlxUIYH0&jI$UuaO$22+~XaD*eec-?R+1(9FyEp`-f^%is zzqE7hdg92$5hGKaf2TO_7MTbAa!+F25Q+6}7TH2z0!w%YZN-8!Z1&q|N>uJ=8{Sr; zj3SP$(AW@hWIw&F^t9$&Rq>K`b+_&yZY(a+cJJfn_4qr27oM%yo9`$A_cJ{9j?%~R zXu_drJ1n(eo^N5Dcj1w{^_4i0L7MbbFJEN-zdBG6K{=pyEB|qT+R>Prmr!49ABk3QkeO*MQ-->aVl~0VfTdx6Y(7 z_mVniL~^4e$&D%flanZlY&)U{gOKCw@{GI+V{lcEj$uSQoIw9%le9G$1OPC zU>6<(N`|L2+PR1zPV^>ZlQ$z9~_SP51sxOm-p5-PvawJF`u`2Ce1bV;4f=jq`ze~HI{lHf;@ zoSC-Si6c2j$$;OUkS}v|Y+Yl97d_d*aMJ;_;WD@Ix* zj@+^Gi>`id?xmm!&F*w*im&{`-eRcSz-34N>i>EOK7)X8ehG-py2Pfiyw%+D5+6ya zxb|a)ky3nz53DfNd4qGyq1+l)K|FSnY|&gu+(AOXV`MMR&WaJG*r~@Yj>Sg}%ZI@v zk*5dhe$YkLCpTh8-&5j7k=w#-YKw&lwG(483UnU5E}z~sM6xWQ^CbRhS;EXou_=WI zeDNu@w~@ZRl(0{Hdnv(haxCb>ds~UVHsP_!9J4mzO*ZCzOpqqL%$)BlU3++#;+K&+ zudDr`WaX9m`n{F!m6LsL_(Sh?H4-oHdk{amsb|;TSIpk;vBsNlj<9bu>$M4o=mdP2 zLmhcr-RK<{i4EVdu!r6`$(HBZ;$LAzLZQi3f?M0`ioV2&2w0(JM0TR85yux)1c(r{ zaxHIH4(POUEek=5^wWqNZbfm2wYs^@u8Svb?DQtZG%?i8B6O}~VXbS%S$Gi(vE|v# zB|>spZ7YBxbyv;{wIWdIHK^6Y&9jGB4AqRoZ4q@9=ULhZIOi`Rn&sjtW1nP8s0%G` z;pN=KS9rVR(A>yUu&i)!R&^t!oU{;6IcaV==~gKxt*#RVbtOt~(0v`Wo2E&5Cb-A8>O1ac@h!U{g77iLaE;GWpz$r$K}WPpDBx_5vIF0PSmPv?9*S zqS!BxW&}E={y<9MzgS_qA=FX8dVQ?))cBlbGd{*iQT*$^l)`@+4RNjxBo*dfC>hYm zQMfN4rM@yX_VC+4r04j(bn;jB(^o-Wd+MIVYzEb?c~KT%A5df*3@J4ku` z0uB!{_vuDm1|&~O-}+<}_xi<^^Wz=a#~k%X2N$iR;iL7CyXF~H}C(My9=@TC3ge=(%G*Tm0iJk_QI!1+koR;Nwp6mrD~^=={OSY>yd2V zr%EfAawnkNekeECxkf5?!iaY$cN@x${a;b;&o*4SR+qSPJIJD^WZt4S_stNtWScTj zlNGc5)HbC|qsi&crteTv>A8Q0(p^&!v;Ec%rN6f(y*ul>3v1$PW0-RnR_$kX-~Qe% zrO;dR*mJDw9#mWz&#}RKlr|l$&yn|{#WR_hm>qo#%g*3a!cBrq_W;n6z8KSU?BzYm zZB6spY*mFaPV>R6?f+CLJ-ju~&fFeVsZ=-8Y?#J02XR5h;AyPmLFE_CV^g=cJcQL% znkISd>BGtyO~jP#y{nY&jYyZ7#}vDd#yf|-enN>QWiOmirfIBCZy)lxqHUx}>&`}; z!kLAw#<8MP$}^gevbWzjr99=M&I;0a!&cR ziKfeA+siH~Bea?u!`O` zmzBpgn)8FVH@%{4@z&T=x9|H|$!_$-)!ZhIqU6m*7}+t=JGPtC!+FyDKd7cO`sia}@(C*i%nRn>3Zu-jrNhmHZ2;ZrW?_yuzbp@nq^RnOcNeT=KTlKH)m}_`c@^-d=bh0^Czy2uO|bmWZ)|p zeEt)gLJV%b>d7D&ABn+I!9efHz$O{!1%s!4Vi&<6qS(bCTWc36RlbHwl+0JnPi$XX zU32zL3ZmQbRd%tdu4SimNZfRptE};q7$&jQazUJ(mvdQtxL-HT)-~+sKNY)OKvc;T zAt5rEbbw^N3^VG!#xt5Y<%Q>X<&RvbDw@&iXukdCyw39|`=&J&R! z5x7x@g!SNv<}C1U#Tt?Qid)#t@3}Bl+9XM+Oq(;`vteVQ=UhNFUazoqe=7kt0aF={ zL&ButjQgHSc60VC!B)J?4A&LAgsG&Zs-<22j-_9RxtLyd>-f%h_v@IZMiqX?{+JIP zPh5wv4_C8m*D*!@0N8Lf%=jJaas%mzv-PrXK)r9dAeC*UON6wom%bInaPJKW+Ovv% z3s`#rQ^hJ%Eyy21n4#J)GNR8acf3y5-XE_lHEv5SE4iseC4BvoL~-|MyK&x8G@{Je z*M?A6>$oeZXFLksbU8 zDI^f8e4iu{GT$RFG5swdGGAoFbUJ@F@|I$;s_F)zGwW*p^9vK9%WbO_<|?-k(xlf|bh4&X%mC-@bT}jr~`Niu84H$=1Gpk?XEX z-?EF>S;@pr$1MnC(0ls6uU2qh2}Bec#Kwbk=VBmbvAP z6iAh-o7AdCAqX4mtB=%-Ud9rT$(CXHcO05mHp?~8~;Hbtnfx2{OV*|ymjVw z4}fh|!_rySzluM*15`x5)2(EHK&lE?xkSps_4ipeSc7Z`cCv*UT{qiVuA<6Jc1wgb zlksP9`4pLnMrVzCaj6sre^8ZRl?|UZf{QAmm1>P|pUGv#qhU4eTpY5syU%dpDo=k< z!lbdidPbCM!x-dYXxuxLv^ieQv|H3C#*)onhIE|fca{5Ara3maSQYlNFPt+ zX^Et{I|#h;1z8^`}H@vN{o& za(EFt-&hxFdjRYQHLUe1W@`dr$&1`+^vy{wOr>I-TE$%_+1SZ2zqL(JLcS|xyPN3R z*aS?Km%m7u%*#F}*|*7nHJz@rCO%W>nMMKV4x#&jL_!{D|E%FEsLISW$xD`*&uc_w z>Km)KMqFR$)_#S6s_geK36=IcsYX<$nL&WAYJi3bsH%;5Q$l4M^C3w67v`Ay5F#G2 zkmWw4>uCF&E31OtR>NNSoaH}*RQ_;*Th9Rk)ygwVy?Tm{V=G~aw$E8>1*ky{s2@&n zS*k?#ktkPzIYF)g4d(*3P0{tW352Tt^OXo$b+$brlCrA~ks4e;Z5q3+nhR1Tqo0Jy zWZYOS+`tEbMSPy`j#-X?sxr?gp)&K5tJxhLbexn=^^S!N_0t(~zeJXw&fNYpE?DK$ z{UusfKW`%lTksWHnllv!OaTet$fJ)$zpD z6ufy*09eHBd2Wd>3#iJ$S|wC^hH1xHjUMrQbslTeN!QA56%bX@*d# zXN|NqNZWJlenmsoimpAD%er3Cb<^ydOP$GJn}De5kuV97^+?DuQII1HNRrgK>=A>m zT?;;l5g`LgtNP@qD7xz?;5Tzvr9tO!JIYm3wTGf5Oty#m9~DVnvdzyLJ9UmsJ6=!G zu0Ja4{~ozNVm;ImJAXvvz;j0AKqD6y+=?Qgs*)Ecp|ZfvIKqw^kppGVGw&9<7R=wI zYhe>GmEH+zy{}cVEurvKlV?NkR?n;SmW{c$tGM2(f|Vq>$bz-7ibf`yv(HV?`-A7) zmecnEP=^}Yri!&`2E7M9$A&l4b+R4i!c^rxRU%{#l^zyZ8Mt0&9Z)s9LFJ+mbJqX|voZrV~(A{4>?@uREB_A_~!%F*Lw7 z9^{$^c{#~%s3X(s#AUl;FvkVTl`g${6_%KeR#K|Ao64Bt%w%^a=swxiC)m$3w7d6C?mav2_ zE-u;H1N%5s<R<719YM71WMiIlqlKd94b)SKwZednbU+k zDl}^c@R8}kwHQj?hq2po43#F#Mh^YGmusSm#8P!6PVE)V^sr|T`G2Oew*z(dPyq`t zlr(||Y#6gGme2rUmuY)NC*-Q-wZPHVU7q6FgMWS*?yvU4_W9$w4qP!&J5+ zNY_f!wm;hxr0W)1Av8CX44}b3_rUc^wf1opBI^QM>8vr?c~XU6FyDjN-`K->m^|%s zjl^T=6}6;K@1bsDRK&ki+_|?}pxSz(N+qhTKuz7lPPT$oubILc2kWAG2?UBpINZ{e zQk4@&p?tMtXvOcfk`3yb4dywn5e|5HT^J(r}Yn!!^4^+1z767VVu(O`(3G^4+Xf zs_`z?12cx2$jNMSYh8OYub{WeFw*E>Wm5xS^t)Q?Lb_H?az{C1Cs!lbGr`Lxl18|Z zP2B~ubsHd`Xh2@y!I3KS-Yt=`jDErX2!zbf=c1t9n#eY^(G6@TP^wz7Qlez77$#8f z7o$h_*hDtKiq>X1m!)c{9F!>8Qu(l)t+XNyzI=uqvg+DPM4)H9jz~nHh*wlO^PU0o z8}*DkHU7Ju%Tbk^&m>B^0UU_T>+GwqqR8Huz}^kfwXqABDk*9tOeRJ8_FPt4hqhP# z1lFc4`lte;a#N=zMCRBJ+t}!~&`&qPt>1=i_v?3Ft>1!eRDDE+ZOoA}2=sZHjj`!kXda7WvuwH^_N`p9 zszz~S5X0r(ns=mZ8l7s9^cyA@$qcox8*`_#M09$U? zwQTnZ7u?7*rLIXBmWxoCd5)Q^uzdXhzmTqFPq|~<^8v`e)W{YB*}J_iBqqKAdG=#2 zQ)4JOND|yG`KCn6CfP?H=d#$R;hxTpV@KNS!Zfu#+4c6i_L|C`taS%n+jfGDYC7_^ zWFe;`zhP5Dp!&=Xx{xUCIJfHSwupQ-l;EUxk2=Atm*|s9qn#zt>zn99H0!co2}QqO z09D!KBSA8o{@pAZlB^F})9tfWStVQ3n>TauD!<_?xk$fJvYFbHQ4!@&x|JUM0F<8^ z)oHUR&vO$naz4TZMGvGXA-EosyEMl@gS+2+#1&Bad81?{{rs+vM3ZF29?WKaH`cAi z9065z1pOscb_CNuVn26;ndOhAj$p7&AXJ7KAQ93qFMY_ybV6*E2080Ly|rp_}d4x8LZ(;<{%wWK>at zweY6*^jsv=-VeAIDn>RnqtXw=`0%Hl(deui)4&F!lfsa-BLo*!_ajttk+$CL12MkP zE)1i-87?ju9NNScP<21TBvf`kK1UF?w9+q9bA2@RJ=)j=M%90akQmv2dUTWMs@{b- z&HT~K8jc?B17Oi=*w62azUjnpI6u>9w^6+JKG#w;AlgGh<$&l5@3URuFp3YKVAsN7 z6at~DW#S}4)-uf?jAjQuIu2nypKuF1^&S_d8W2s8P&pv_(R-}RXApKall4y41+s6u z=$Z!$n94yXNtluOb<_p1E_u3;#9^625;ccQB3)ICXa%474;QT}{tn4Q7XOd`BZ~j} zu1ML7kF$MUQR54ks(F+uVX^@i{U5e32jj(K9(Tv{uZ{N$OH&Iw$@X*Dq( z-iEWiAYdws87^VcV#W#BwFoq2ibgTxU?fpkBty!$VD0M7zPS?s&vVcNSQ9z-^pdE-B1UFWKeG^!2S+bQB@$vNr)`j zivjU&?bj`GbGlnnih!xSR*r;8uhkx~toCRR3~qp3ew#~EDL6^3;DNVA4>!La`Tg@_ zY-<$eGz3hgWS&~d=(k0Aw?qRr<}ubMT4%Ox;L=pBkLeO7YoucvSS-vW;*XK;s4WvH zRcW6sQL?l@y+O2DY7BnXrpqOi?EB-+EI0=GzQqNreC=GxLi*a8x2T5|6>(#PTkuMO zQYB7-M9JKn{Fdlc^;v@U8@+jBdQbiF>!>D!L%6HNm2jGN3gPcHbNFjR(9d+ zzkQR9?G6Qe8x(ls&HHm_sak>gZ?eq?;RxOy&i*0UNgW$xM+n(w!A0eqizOH7od0}- zr9BMUfy3RA|M(3q+vch9N{O-wjaR%Os;AhIaOv9~bwh^=s4Bx&OQ_7SFa%){yLFM8 zM;~Q_dgu~tWn7%f@vM;;>3CL@v5$J_qGESGA|;{PN9#}+j@BUhq3;>beXnw_Y2#oM$BC&DxoiX>8#OjrMU&h31|~TNt~)tw@Jcf+M3s~ z@K^|pOJh&Q>N>Z3oeNa8PBu$~Y@NKwrpCbM4ef~bZ~0KSykP>V8Wh+nk#bO=i$JCw z!o=K&2IOz0TxN);@svwsh%lbxrDA~d*C@SJ^XCw1uMe>an92xtOPDkQYbpEoC0$hf zpdmudqU39Zm5oKiFAoLni`Teh6^lwWi_NdGfP{I6K>2hsgWP*p{(MlzG;aPU>pDf{_vKdbN6K|&9f*8?kEs{3H+hv1+p zH>V{BS#BVj?a4tEHFgk-Ptb+i)^Jr+kn?H?7P9dbE;FyAY4g=UcjTuFs4DVbt0O;b z4f`WO7u9sOM3EaWZNs`H>iUb}lv){HCi7M%nx~y&F#q>eN`olIwN#1v;T}g@C z`coq{*tUubQ>Beptmu!*ygax{IE4eN&?mc^>=w32KvhjX9|@IBzOh)^M#7dRi|Gkp z0Z};uUkQ=Ebtv;}*90D2`a-fsZ@5-Sa0<%^=%85)_T|=YiGp>$JWsEJbH&x8i=WHZ|-do7xYxkhRD!q}T1l2GQtdqRJp|w?r^eQIRg%k|7>Eq~ zt`FIa|HH$z%lsQUZMX|nJ4$d-wNv9H7uimIxI~oVpj4FNC;PY+xKYd%P^D;sgvt~> zUCiQ+pkUSartVjOO(0aUO;X49>0&CyQJUqwNn>lf2gq=!W&F&x3_#Y$UDk#4GWYh( z`aIEj(Y{s06;-*(RLMk^k^;fx>|~65zZ>t4VuC=bykeR}O0Q@U$UZ}1G)eJn)DWG` zwv5YD6|LbCC5u+sGEpi6r(kxoD$Xr1OF&hsrmIzrUxwMuG-T?yI9dZ0Y;$sHszRA5 zA+k^&bh77%B2j;jWgiViS4tpMvC2}%YLt`J0THnv)~#BQK&iSR<0MLULvAc(gVKO% z-GJJ@lxwH5uN;Yz_O*H``w%G2u3qf>G+jjZ6a(*u;6k^q`=-%2Q-I*1GPFsOhcvXm zUtlT2z{A>$%^#*q>hJ=jVz&lu;+RA4+1fmMII&x!VaLYU7uc0yx~|?Yu)s%jAx#Rv za%WB)OMFDvHzcs9+mbdqvM~&MQ!`&~tB6Ceyg2s8qdF_IH}ebj-`ztMw#a93a1#3q zEWMYo7LU3(&*q#%*cebkg4GbtUhW$q_63}MU;iBs-^)2HiQNDL@5L-|xUNgvMTpem z%6t##Qs8OJ31-J=c=~Jh#t@W_-b?&Kde(P$bK6|yB@4$(#G>$Kl&cyi@x_uyl;AN% z@VGJ@!x}GjXMQ74)d`fl8Aqs<5+z%Hw+lt@vQ2lEKLSQq$mN82%2_QDVM5OGLf(_q zY>8pNj?lG~h;UED8i@!Oh}MM-y+}=B40~dvu4Vg$Tu>)ZSgC|{60i>uMD!p}MziXX zx>p7cX`hgU zbA6~%DijQwd+NGTGH5Pz&04_w4zYzQ26Uz;F)#}T{+Nj{b{wZr7j=19*}94^)G zD>%64vxq~b+T@GI;lV$(a0&2?{}IVW&gb`=FPe_)@1Th@K7#dlT-UkRJTBa5C<#Wra%CSn*I@RU zpt{@1q|#@SnQV*vXCCjc$9~q;Q=4c}2DPIF19weC3~D3;Srf(1quM=6GrB8F$b?DH z6^eN3e_A5sylC}Y*832~Qm%FJ6d5OzaiL%^z>~pw$zXsmfH8ADy6+3Su;3@qG!U>s zp0KYaY>VPsD}t>AhL^=eCbzAanbL zS$xe%+uq>Z1A_5B1QZpoVkcJVpr~D*G`?9@2guo|(&r&4nVPR7?(niHNKA z$_%cE%AWis6KPL}XNd0qirz^0D;?OU*{*~SkO4)?=pUKUFbb}j(Sdawr?ZP33RVaF zZn|i>ZnmQvqwT<69j9w60$SApXG~`&$6;6Ky7sR;rR&vdI&{s}`qM+*3d1e#Bc9Sd z)+7P&ouR$i$vb`)R`ZlDIBK(9re1dPR-E#i@hq^h`(-n%l7ffzhV^6*-s*7rVRxAR z;b-|qR7;vC5Y3~(d={Mxh>!w`w0^3uW3XKUY}hckH%f~>)_45a0Pv=O9@AZ-STGjS%DgH#SuB}jQ9 z6m}e8w=pGGwswRdVJ{qZ8#|M0LFzxX9rzdCbXB$qPm0kcRMjo~WHSwq@6Ibe-)4D7@)vp>RZ{M93COV4kR*HMX|w`3Yzn zPT>$&i;_bQN{DPxzB8qvI@W9nVZTn$_4b~^!k*D}mFPCIbaC_%iEblG*QH#(hFd0_s|6!J)rMs9b6B&jiui z4fS%tijs{Ow@ka>myrV>wyae}XW< zu6am-!L8V^JY5G{4u`pV-yHUrgvo(|!#N`1_zZS+5IdQti|Zv|HbcobV1A_#e^5GI zR8Oj($(c6<$=NoD?VE=#W$9F1yC%00u;O$oJ3Liq>-^GtW@0$;X|A1X zP?~G!l^{yHWawa#v^R9{3G~z+4J3a3n)o0{MN%qzd791^cHlppRq6QqS^272JvW~H zI1QG#D1fb;j}3v5&+3AD3RoLM$vA4IK7>Ee>-JNeS%POo{Uoyl5z#MM)?~~X@J&KL zv}8M<)mdx;r7Gt}iIU|!`zevuv`I*li@Oh(V1vlu>AJwC{uHp}(|}tzKEpAPO`opo z7IgMqvsck#T9fKr9DxsxlEp_b#=SE*fmKe|nZq7C%A>w$9M@K5b2ceg+T7T2e7T?| zrv>XiL)RuSluIi~C#E=!$$1txHsHXH$|EdyhAt>DLVTWm&*zdO>dC%uj zN4PpKWOH>~Jx`vg2~r)|^Bk8gN)KO$IMK|aX6o7oCJC;a@8Mcm#d2rrx@d9+vvo6d z0fCuPw;O8J$5gS;DOkZ^R*zuT=_1(1dxG8lfB5?HfSU66|GHe!IX6XDp;9WcB^An= zgb+eZ_Ixfgm@*h<>@qYXS7a=YU6#QRLdc$FXl5)~##kCM7}-XaA^Y!nzn}NH_nfZp z?+-fX-1qDCJn!v!Kkxk{*RkIJpy{`gf2(8)Ra8pmJ{Ia!N(p|(+_t-a9lO4~!Odmu zQ49l_((K;B`53tx#4MA2{7+R@OhAeqo|l8|OSy`3fvqNH6QMi};v(SSc*zH< zBK-XpN*GQ(#6mrKx!hc*uMA7aOCAm!pUCl%0jnyJsQcHkELiC$GboOdh)K*A34HBe zKwBmBUz*UfL}*bi{~83-Ct%ema-kx5aRL^}IV?=tZaYkcBPAW-%>-Nl8oL$(CrVY? zF66(ZIhRVf^T&Deei&$5B3B5BqC{RFNb^)|o2~9|XE-=fs?j)uSR+cYHbYi6!IOt6 z%T^J@vfo8r*c1WIlO*FH2NA{{)02f6r3#ZpwEUB*v|l>Lm9-~jHRUx&C@aeAjRC5S zy>~0ZzDZI|?}NmeQCeAI{bB&Toh120=TWHKQlVZSzX^B~G5C4&ZNNw;R35cj7opDhU+c<5$gsTr5CgbYE-V#-op~+ZT zidpEi-2s@P%zn>F#>#SdIpii|WhvpmrG=F$1FaA7G)s)Ptt@tJs4|Pn(lMS~ulmz5 z&0-y)>vXAxu526lYPw{cw&|d@cFba8MD36nPg)xPq$>I21VpJUOH>e7g78l&NF+kA zW&G+huB@uERI=OeA+!~>B?bmh#)Jy^jy2g8p!0W9O`SI?`<-OeZ8`woeGXEM$iRaF2ydrjU6QGG7%kwhc6zAsH+Dv!AP0xi@s1A^Cgv zrwA06O6@fi>TEAqh@qwpqfmDI7+h-$*dIeNQ{yenNO|_V&}9}%GCq-#QqT4`BiWFJLNg**QBwSEDBe6Cj znLX*`g@9dpA#gccR*Sr4>oY^SU4eOXqKnmA2n z0n&Dt$DCsg&fV|P2O7G_>H~SJis?+u{2$N<`ezSiK9XUhtMP&62zjCs6?a$dkbPAK zHvWK)P&yZx7G0{ablU?re~_y6TtYu5l=|5Ng|bqj)SqOPVi^SCpH!vf{%)>kmF^HT zOA7GLq)?km5wD?8XJcXEEG)`76e_P&sQwfxH5RU7DBX!Ri&G`zXKwgaU33doN}VPm z{z;|Ooou7seHSc_)~06@3yCE_)a94mXsDg-4-R;5FG>MkL!suOQD!1g09*CTwgflrpg?9Y2=2q`%5zm22IocelE8~$@JkqkCO&T3!I9Zg zhjJ0v(fC*hZPFxfhq95_MnyzHh*|P0=RY4~`w)EQU~j~Z{V=uz{D41HIG@h*W^)(F zHcO2h-l2rUSsmaJ{-#Szhe~s#V22X+v8V%do`W9^=`fmoe8E2YMZ;$Haoaq|XCI5% z$LMINkcJ<-&4Y$%`0)|@I5rxFv5&=bVJ`bv#6D(5!zuQ0{#KHgy;3!Kb3 z7h>k($3phexg(^oj~CNm3;S5WKE`x}JM81wG;o}UAFr^F=^df%Jp71ftPE!#%d^;? z?g(q~W8j`S++Jm2-Q@OaD(l=?yi^&3viuj7bU66T#@t~$I?9ZF>jL%Cr7$<156&W> z7-YE{O|vOwir=_Eqp`S_@Kn0w;pECfVY7(t%8?cw;Ay%P+@g<}E5O!cas^y*@DDS) zW;D-iWuAcx@eo49Jj2Hh3sqnt7uUeA}Bh4^v7 zY0=#7TJ8JaTUb`76tVJU88pgeUVZ&Y7_|W1^Ym>vulS^Z^Oxo_;*Jufrw1;}j&_S;8 z9SmBA=MS~ArJSXu{0)vSL_72)9(kiXU(9HmI?W-*JjOVxJycpQ1vd|y&TUJs;*33K z{VJ2*o+M~8WTB8CGUNuhEXDyHnpSuM?|+iqYnk+EExBr%7HEk8iWX=DtXYJr75d?{ z*tjQ9X9e!aCX{9XafLvM0mR2JeKDd+-aGm1aNOS6Fu)XlQlaJxbN*qEYn5Hgn5-?F0 zTQ{fmt$ZJR`^ON!3SrH-Gz~0M3&Z6x>sI07nv;(#@t;H}%|LIKK#76g_+~WB$cg#v7O{&7s!V`!vzH^FVgl^z za2nalgJGhr2 z1vfBFV$G92B8F0PVfCNm*>9pb zx)ce6DpfRg>BN73fxo1t3{(AHKy>_*imo~aS9`vrRFFH7&~Pmd#S|^qI-=uMVIC1J z|D>YbjS!6YjV3(an%sXO;w^IjKodIB;$#tAS&Mt$K7lCFBHA{w9=4$CS_HA{q;RKq zT#wzw*f<`EX$P=Q3a-CvJXc~)W3GgzER=0a22PZP;f-l(acUs0)*pKh>aIskJ^?GQ zg*^?0r1fYQ-~Gdss0IfS<|MN)X}e39CNHAk{(978@V8u(W}#dYWgQ7Gm9BzPtRry? zRqeQ``43F~2~Wlf;V_M{a~CjS>=uXcrQW(VcbTDU>fnJtAI65g`37teJimd#8>Aji z-lSO=X5W!vQE+sF6zq{Yj%oHO?CMT8W22HcGUxQQ~9}`2;Q8 zF?0X;n>kZ8TB(X~1SyqJN~xNQ{x7AXg;ITkB}aJZZg2|^{kybgHyYY#7GtB?zJ_cF z3eTv$1($zD4=04`$;5 z_Ij-1cH_=KT)|)6;HF2^qChym84E@Nir60=1?RV5JKkUmZmaHEsOaAA5l&${FnY{a zTCZ2gg2zO$eC_f`Okv+`0MoZfA)ZAyRY3H;dvZ^j3y9?cT8GY22QeAjHL&51({lSZ zpyQ);wQj=rOlcYE8!zl2|{bk{NVK%lvN}hlr_+U0PV6R6jZa4VVgIforDuFyvhLDgKEK$sc*L6jh zVtg=rK47oMD{l9^RTo!CWXsiI>PK{Rt`)$EZBjTXfHjb3!ZrLQq)&lG0hS4s5ML@2 z619;kuA^EE@%%b$*)C-g@2Dskm?cFve|JrxOmSy}%O>N_0Ai&s50AxI&YH#pP?skS z15y7w{Tev!klcuMd=#wqF!;bWAA`@dKr6KeQk#u;Yl{>szz4J8GJ9nyb_O4g-mg*S z{P{QR!Y(XU6L}%wvL};l#z2Xk(A4Q>00Dv^5`Ze&Ye5NEpJjM*Ujgt%PRaGv$G;asTY z%=A?)ZrJh%Jl`#~C*CE3xAjKk_54G_d#$Do?+Pt%PEGQu*t$XAy_YQLWY#w)`@mBq zgJ8*UYby>cuxcI9PZip1tcs#|dOG8S+2F`tS%I>zNmY<1)Ox`}rRTDb2y+G>%$}#% zD=R&ReMA*Z_<&V#*F~}cTn+TnEH)tIvx^pWS;n{`-dY8@yi9FmpLwfx4nMsBTXUp2 zl!#gFAYz}?wtm$MM2X99a3QKG*KE94L&WPhd@vhMuvZqZ12tg#KB-DDmy?Zh%w3Z4 z-auB`nR%I`gqy)&o>SN>i`&>5B5r))^YHV~c)#>D>5$V7rXR%NkNo{uBevu#aZ8CK zTQJm%qDQuXi#^Drm&OB-Er{^qtDSvYTj1?V=VY$P12{TQt_sOnII4L2oFWWY9U0en ziWo1!2eaWv_R3Z!`f6M}_eJ5UMJi$OfQu~!z`_MRfP5g0M=<`yv&C(Gy$&)Pg5 z{m8JZXBB0Xm@n|KiTP=pn2&@Vd$2c`(GrVH(phM9Ncy>bb;RK+DYkfOIX!2v=LPK9 zq@T(Ds7wTY8b_b-+z!j744+pA@57RxKl{bHDyk6W??2HVt3CF)#j2=k)kUjie+E__ zmO{g-Aq3B6omU^18s0zOoOgql(?_wmp3~$B8ZnGFp_;0uC!B^>NATFAs+>xVI;}KfgT$$CA4PmwBZjgk${PX{59QZ4{C*9VbL+EnO_xKL65Y8=2hYIg^S&B zVf8n8mN=%LieN{+pvBfl5lLCmU*%$p1xZ=zuliJ>ZTRIC0+arhk&CXYM!gWIx`aAx zv~~)DembR;X#G@zD%%gZz!Cu!+YkB~`L=sq^li} zPvFoOAv7}VI#5v+GNN4hYHMA+lkoK~QlMXDE<;&d$F(Xe5MpuNq00Oc3*Gu(;LR`6 zD5vu5=d|60ID~yyr|8usHb{KsDioT!j_L`(HKU zrLtE=o`9Na7bO%I)h@WA^)#8Fsz)v5>zNaW2C9o@w15Uu(LCowP7^y(Mz<#yihjdw zw*=Fa=okSLCAy;%KTRemDAyva0-+kJg~bX~LlX9nf##Oji88t~N8tSLQn;Ui>)1#Q zixV(R0IZjWI0Ky~)BFgSPD_E5FYz5<$!V#*?(ktKJS`dP%1DF$DP97MGrhc2^hXwR zS^}0LOG}JoU&yM}b)61F+!?8}pTu=&qShfn=+K08I3lUm(QP;cC1-Gifnc@Nupt6g zi(nlj8g1%&7GoTE2u7Wi+{<0v=deG$7tB2?RjJ9I7xrRv?`%$FKQlA9?rZ1d7awyL zvfq~Uf|F-)K{b!jFfI8MN7~gk zShL^*4Y3)}#T-zz4dZ!PkJR1Y&x(09IF~OOSTVnmkHtKh@ngNm(tM;O7F^C_y$|oq zt7((+JWVx;GfS&~Ng8LPyH3WAp8RE`gO2Lu@yo^kzy4b=xT`K9N2b2YU`B2xpx<` z!J*7p_;^7I4(r^J*ITyR9aXox-y;C`Dy6f`%dpAVisO}xUEd3aUz7qX=tr_^01cO? zL&im^s%}#UIB-$&4qQ6DgI!K0la_i43&fA#*yAvtOG*R^X2X5-*F`^(1;eS)3YR3G zX+)*(jNdSn?~=|#k;TaF2cW^Lcf<0?_~u3VOgf4i@vf5e><7@ zAByn5i{HO^D*y+?2jzW>cz-T_UoPGsD(`~ucR^?t@5jaaB=LR#-*d7%fb$=CpvwsX zjuJnv5P|&!dA9i7&+Xq8IPO}kp2gHLBH)*mGoR;)m<;67xcw$OPLg2Q2$=g z@iI=ya5pMX)R%=AR(CI3#gZ>rUNM%L*`-+$dqMp_rIy5Ur2~xmQ;KZ%V3%S=Q<%BJ z-b=1MmQ2g&9IyNZtT33kJ!5V*nzH5g;`q?1yTJR36hx$j9iZDCtjc{K;)0W)Ub366 z%q~c{s`z?$I)ME%{Q00KYM!wZK3rjaaC9N|<77Gqw!DhJwA!h{JQA1;{Kf0~4pNpC zLp!c*$}s$cuktS?-Qng{$wTMf6W(8yB8azG@U}lFJHxrBk^@Y>COPV=>`+N~AtWSL zlbqqoHOWP{D+?Z8lLB;MabUcTrly2yo;zz%y<;KzIu3s7+AveY#aj&@j|<-9%H!gG zNRfH=I?6n;T_v+@G^Iqf0*vqA?a;D7^3=76gZ>4QyRLjRU((xX&0s#~h7n9n$xt=g z&e6>BrSVTHBQs_&94x>EyYBS!CWcV`+Zb`vc{tuSv{p;b*Ke#x6*P!%h^1Axn!+PSqUe$^$jHVvO zVfM?Rbcw zG+uRTdV>XbX9larRY3Pp@^s22_9Beykr!Q|!9!g5;MoWU<8PEL zc_Le4-=bmrW3?(<@z3P~%>N;L^Nys3W_YuT~W+$9UFeSSk}Q$S(*y9-fZGxsp@= zqR{LW7NHzqGR}TrBeX=|7$e!5p%0kR4$(!gU@natBt4P3VX-*$1dGKx7CLRWGs`$E z7B8M)#`Ufb0mVwjmF-Baeo`@}ozrqvTVK8-we|Vzmo3YfYf%;Cr&2}cUwA&nN+oyX zIo>eW=B5qdG zT>oeh$JbjYu&+4L&;E$sjt5sHjfYn?>PxX(g^Pc9Yg3{M?nlA-CslBd5xg5l8LrK& z`W>lkd_*@eeDV@YHVN0W$3HgN6vSj`%0`2K;8rs%8;Q>`{?1ESUU|Ug=aN)4l=QJH zWseg&!P)0{baeV)c==pvt z{_OX(-B-{}JQC0q>`SEJMjdfL z+?>MJmZqi@GXGH&^C3KJ8vmp!x4*T6AtktvwUDc;8MRCl(#5Fdr9#+Ng6bal5pI`A z&3zd{tL`YRx@~X`@@oVH{0QH?Ms3HEwrt()k%BJp=WA?6zplxf(NQ;T#ZT6X?{bse zMCY04Foe#B5pN`~s>z%(Er;7PHlRb>_|n?Knm3Z0ktgCTtybCK^B?*&g>TR>El-Cs zZ>3nLIiy)ECfbpVF1T6-)A+tOEP5+N2L6Sbne=o>COsy~Ez-zXiyK&4HN2?1Z7`;D0!Y`A!t6 z(*>-dM%N1uH_@%03rF8eu0)$ow0yp??OY9QeOuavT3W{fJP8@i2tTLfuQV0y5~5{O ziy_m~G_)hG+i1H&OB;Qixi}%Z>S^F_FV}#zA5iQ4gW<#nsUc~dLG*0#K!|CMhCb$+ z4gGp8ecfx6_58@;_hyyWn~0V#30Py+(9XVULz}6k9d?yGOLj`IuDO~vi_x|Yxj9?I zn0Lj7Fs%bNoKL_AJ>u|L|g0Y=j&_1ORe z|AZDgxv4`gO4z@tGtIm5^(VJyS+rvFuKAbMT19lm;F^~(K0&OGG=QTAN>K-Jme7113#!#L0dqFGH?MooncK?EdCy4uSnI{U+JYd2fYP#Ad$g7hq%Sj0EQz(~Cu z9`av`MK4y}{<)};7sHYW|5H`B6Bpr{UT)yINDZ_`h;e6eZZGhvE>{m}tqx~02H6V8 z)(UXC2p=)f{L}KoRC;1weTNMdHI-UVJtRXK-o?DF#M)4y+0!T!FI-b!&1P*Jn zcD+rsHS0Qoicw#R(=~ieAPUYfBgPg^I4Z ziq4th7(;Z*!cMMOyr2^cJL}-(lMPX^;;B}}PQ+QG=uS+3oaTzTsuk-mn8d)t&ePVm zGHQZ~?!TT^x+N6PfkdaA+QJn}5OiXFLi5wGBLqtdJ7lM=PDM9TMVCQz_kQQvDLa8U z-4H=1b^@J%`Q?#~tb^oNbt36Qdep#CKNF1R>i8gW-Ia}qqODA)A;mFukB8OTxN1xnl* zID$}}oMoS=Mw6^6uZ*>(Y#tY&uR?6fHLsj)vS1U}ygtukjc?TciB_WaDx!QMTAjz$ zQZDl1M9G54gCa9Ik49JfmBH=TEB|$Li)yRl{Ii=?xhupOK%B}Eo1Al|;1ow}>hpLz z-tP1St9IThrb1%caf)l_HH8{%&NNFfiG2lgPbI;CCyw>o^;1zj>1tJ~h^Qin%12E# zM^O3jAO=sQ;%)x}JeZ7GV>_y{7fy05YO0~>0$P)x+fTytN^%3I$>Xi@aqkL&mE}hE zCn2G-Z1f`%Wzw8SWuYJuljarhs?9(-q)-00R+7*zo~-N}hS1+$xpyjxXKPNfgV!*sXOD9Qg47CZ5==p)z?S1lu=;;eaKAAsswOI`@ko`E$w1r{ zs256;uKDDnM34k!H3io-OGpr_DLjuu>||`X?~H~0Rb(%}V_YX?h=C)r1wsrlY{1Jd z{V;Y(W390Z?_`Z#GR3Y3F)70goGC{ziD8C@$M8I;V^Xlw%df3e-*tp+H`%ue2OJat zksrH{!eci%r2S-7c<+u@(Xk|Y@KM_`lq;A-8ES<=V5FC!QIuZAwIkZf6+m1Maygf# zV4V+BN!BWv}7R?x?;%F_@Am5vh)ZTs-Xw`ztPa5njBZ20Ze+YBe0~JJlLU-A@aH{ zu8!2EQ5Ndc=)ET%)=+n2)c7A#pB9~ETvfuI>oTKYd3E_qBFpavxfiel!h1NeUuh`& z93o2Ue+%T#|~~WV~{~MyEopPJ0gUUbe?@HBh5d z>qzM4C3m$y0IR&@Ap8Ar&Pz_xMMpxb8ge_`{d}=tK@K_O{OWcNhetlLpZ{JIGowUV*~fK#As}M$;q1L+bAuWWSF%dq=@YOLEv)ul zmEFBC3{KaSTl?;@iPSr-e(8I-N3Cl<3{1ZAK)>BK0K4v*l)SbZBNU1)Ldq9V)lc^0 zh#4h{55Xf;RzQRgF<>`0e$C5&p-TLS#ME|0n1z*@#2F=F!k}|}d4UfjgI=6XCQrBg zb1364TWJC}x4!J%=HNg9 zWoy*Uy>iXTte2GQmI zzB>T+HIRM$ws2t@SgaP7yTv+!6ZGW(OPrGls!6Fh0Tn4VU<-GGb>#=Z;y`)hw9P0C ztvzcelIfYZALHqnw;!X}Ge(E~VU2Z*p4;JiRcOC8_CW6HrZ$u zrR5p63Dg72%Uqm z(0TQ-6oIy%K*efN-W$byPdwZXmOJS%S`9tSMJG&xUt!S=?OlIyrI4PuBEaef`VM?_F!FN>V{gi<N9cn+7AEMQ_hXI};w!%pb0(BxZ+XmFyGIycAFJETq9659{)DBP!+AL{60@sKl{f^@%DQsal{i>PpG8pRMsF@YS3t`Xbm&rY z=h@xdI=jxWDgp}w!IX=QIP8RgiHnU&mXNpgn8D_Cf{JZqH@_uZQBCUS37AOzSqNhb zyau#ESdC6F%?eXS7MlTF6*UbcZOd zXW0X5T49<5M&U)3T`Uy(Zy0t)iAJS6T9r^PL(WH}?m>H4(_Z$0KceISzl9vCX}5|5 zRJ2<=7P4_sT!_{ldbd*|@`y*o2e!AvGs#Z3D;=AE7udw6*d{i=E`SQ{(I6*S;QCaC zn^B@s=Y>|C&lf-76F9`FO#n7*sN-^xRdM~K9B3;Uoq_(CffaJJmvHY*;j4) zyJ}^QnFswlVfq`|!2C{%ICl|7I1nG_!Z{XZSp=+1ls#%?&gHU{RdGDaJOspU z1($i&$_1R3$yJCoAdXdAA9xspr9Hisg_=x)apxQx>iR^@0}yrE9BMuI8Tfv!U}6^> zUFAk0C=N#UK=v|Sk$rqi3wtgpzRYaH9!l&y0I`q5RWfY-5IfyomK6?MV-Iac<4NFq zy2?HMX7e=F_;Fz(O+_}WnN2MG%7GRwSYA|xN!{e;q$q9)nSKVxldj|adm$Ok6-C*c zqj6si-SywNDYuTk(j3PlQmGQ}V4PTjN&0S!6grPDKM+xGUu}FNQnTGh)EF0oz zE%6_-SXspbn478dEJoy6!+V&jRV;r5AR`?I4f|`sgXV{1vOX5^jdHf9M+8awn^~UN_F&<;n8|}lsP>XyKhl}6YkWRLV z!?y2m;>->6txG6$|4h#CpN^mzB^pO9nV~S_grl}^dJ;IhBP1>aI>pQN{0O3HK4uDt zXg(TGCkKu1mCX-^vk3D|=8`n9Sz6d`7~K<1K*K)l8tY&se)@5Q)Odt*1X6f}JqTGl z8i!u*H-g=LZ~?$HTY2ePd6%b=XSXsMi{$7=(4en8%b#GHj>|#;6CIcTrY6BddrXk@ zAaLr3bF5Rjq)O^`Zi#?YB3u6*Lb~b@;u!?f`=Lk2AeJJ-TZa__AsWJhuLIiAZBb*9#(HMIENV zss7lQtqtUjnSb_VE?YCKut`9~K*apX&~ktr(zbq}$TsRRnfaQm)RZuCJtK+8QJqqm zf=DCQW9KciQKaRbRH;s_z^@|rodA< zTR_Azg|>K099#UpY9QLj8}%V~Aoe6Ca!JaS@*I{UU_K=2!bEsC5ECe=J~SF62augP z7zYW1J#bEtJNZrET4)@}6G98& z*TznOsR@X9SQjcz#&+&>g3`|A35!~PFj~}ZbrpTMMIAPtlu@;Fr@1ngcCP(+NFI!a z=YAcv;mH?f^aXN;{ZL2YR4nMv-`d3S62(!~9L88 zn)v!RHpB%s#CyJ>d~9gKeC!ec-A(f6ehfIHMB{=LiWC$s*sF1H-Ne$oHaHKF-6$XL z#6jQ?RHc4xiz*Sk_!_&8vr(mpRN(RpNAl4ZY{VmL~i6amTRFgUXO$p!g#G7 zOFnJJGgQ7wEf_WwyEO#UjD{2om>3PIHWspnqM+UWaDS-W+4pM{q%|oo1VEUSZ@;D% znD3&jB0wL11(r7q{h@h=CEm6HN zipz0P8y{JqT*xGB9|bjrqnu7PA#S)F?8^|^+;A2EksJP_$Tj1;4|9Fs#&Frq|0^y> zV;@}wOytJCuaaPNRooF0>tj)G0>Lyn;VxhzCwhM+7WIz6GWOV;Wk;~@NE;nJv^wUE zBpqwiz^pfUTLjKx$WmT|w}1+-VdzL&jKnWWvGZnUx*B2EmvVLgB#zY>Ha~$ChOHn; z>{9)<2D5A){;7n~v{?ZHBigLbasHT%YoC4T=&iG_!5S`4AxbmGUthrLQnnQ*CPBkj zj=^osULtl%!?hj*q^4|Q`#((jm5E$w&7f|OU=oA66B8jW2@8PH3sRHhx@1d2dr{Bm zdJ?PKf*?tC{l(8AnTSmf|_Txn_O4MLuEFj zdoi==18fg@_?qe#c40e@54T~DA$FDRTs53Z>0@NC)!53B$#TI`o4AJ^OJJP7Wcw61 zjgozuU#w;k$^m#X>M$FjaRSHp_3%Ab`4|Xh3-fAXFQ&WZB@iV zZg>9;uM7HfL%A-Rf$?F2RE(-*4kgDvd@Rl$wRKa{Tc1c!&ERvQfQrHAjzg*UyaJ(a zg;fNU8*}G~Ahhm=1CQY1Sd7~cu7<|j93{Afx4CTyc#lJGv!jKEW=XZ)Wrw-r?TiaHxDB`Cyco}E~ zQd6R+r&f=MG}9?rf=EoK-~tY|-c`5G3Eoatk}z8&VV5b`-~~FBPQuv!Hc6PnST#vl zuYVFu#N(KB#|%&~MGo@s$0McD<)BuVRsF>Bv2X(nohsKg@HQfssH33KGOX5nr()GQ zAzM_Um>U1-YopQ$fn!NQ&E8QsKOT!r3SKFoio!$~Y2V3#qCY0$^~ZM@uMOobB197B^|ldFWD~D-y~$K0x#G}W zGb_g@Eb${zg4fMJaaSBH;&@P#@l7uqam6G~Wr_djMNKx_QCvAu;n14m0QhpI+<>C^ zLdeXWi82qAD=qV{I2)NIHZpVK$XDkF=XWc|3{RvV1I{SX*qnC^iJpwGIi7K34Ili6 zZbG&m9;D!6_nsW4ae(Z46HGWjYkTsw-MW_8E_^RHmU3}&kgvpPfb(kK%dX9c0<9 zg?9daJpPAnbUFH}aa+m?V&S&j?g3A5(Rk3rvPvrG*Y)7)XqtlZ0wtP)wUrj2h2eo8{D7Y1j*@q)es)4pWr;-)UMs`O$`~Tj6vFy~NEE_u zU16FT2dW-?bcWq#T%OOZQ&4Z3g--VY z49hHCvfeZ~cO8ET&vCgYEtY)E5>ln0^mbP{}!q~O|a7Gw&KsR?Q8Mjy4~YefcHS2(#8LgbFO|sZ9X|n`tcBi-N`e~fXp-+rHtRF0N!$Nh}mo;$kf9ACo1MRM&=Kxb;9#cc`74#R0_{!i#BOp_fo zHu`mKd3-gNX_nv-mMOU{bX|-FwfcWBV=;~m5JKaY&k+dWmVbcm^U*q#$6L4}m%h*l zHYby7PE}XGC6bHRbPLi2ndlbGA~JTRR~g?I&fq**c87%^x1yrAP#ByyfKK}K=SprU zL;364pa5;Rb_wIxtmK*6CJFo?*U-K3@c7w=4C`=j}l=<}bdEN`Yr2zJkS zctZ=Xk`Ucn+ayGmO+wUfO|7-Zc&y>ai{bh*c@`yec5fK59IbEh6GdUgbX00(L!3jz zD(m~WB{h>J%h4Rpe8S9OBj1(?)E54O42U&N6#gMC$qfvjfL_4ce-+7kKZcx9qA9ew z0xAlveM>mM0t@Yuf1%t;xeG<&glPFDt;8g?XKe>`E(a0BY zVP{S^gL11;65d_bd^OGi6G}O5fE$ZT0^vrUU0gHDzWJ-svwQf^BG8qf8dvp-fC^Xj zDc*R-gw1*gRWjrtcTQg*!iek`6OMz6{3c$*Lztg|^SJl{^FibP6$*^-|DJ^F!Cpk` zcHW0aYvjCXO%Z|HEZDA$s`Q-Bo?Y0pNv~snQrl%5>v(1cuDeoRlTA{f6;uJ2DcW$uUL ziUdr|{ZwiqPPJNd58kiCeLRi1C}kZbhddGxv5s++H_a01IFZ&9FVz@b?SRjPq z_GnBkJUgdDxA7l%wO(%Pkc?sWzxa%utX8Kl+9xsgUBObr{U;=|Axe$j`b^ec5(gkALgBq7e^jHU=Q$ z(Z6*wj$sDy%drOYCWfohf~%6oIk8`4HoUC^qxYaU{T}Z$)(yQ5;aT!VigrXF>U!#T zV6?xvrU+?QY&PZwh-mM{2eV-Zdu7qy6rhfFG)0@QI5ZokD1pW@jOR%9%A!3qfJM8d z?(9{_-yv7m9o+_xcgO=shuA*QeW%>oS-xr!pJg`ot_?@`$wniOQ5;FhVuPDzL;czm zospfeW4ibXl;0(L>f-yr=)1V?vdu1eAo2DWS$bj@#%=GP7IM6$&Ayh1TZuo5+cW&1 z$L+qqI&KM?EIq6Q+RZSY+u19N+XjEi(!^}krsAJa_zxReW<_F%P~ZmsQfK95wF3A2 zgaSA4!ECs~URmOv^HVD@OryYhCD3w)@m$1SnF4eCNP$dA@^Sj_4-$g~U0){?cJIc@ z&y`6OIbCIs?BhICgu)XGFsHZss*-w?CPPzvSyH#qJ-M{_zU&R>_Q=(UZfqag=5?v4;#Oof?yae!8&7oHw3-cRH7Vz=JEN+vE?QNsw;9SlkQ+eZUU?r0nA`_4a4_gjHzVd8o5r9?-S-Mm{-tbc_KfCv(MF+Yi5GY2UU+k^^3b{VSilterE5 zk@15)j?H5h%OzzA9#V<{#a|bFr5bQ)zwB%81tt5H0+cRPotTKn=@0LTyU7P0kR6>v z^VLdE^-?LlP@{C57bQu=E1U&5d=7RT!d_L$0l5w(@e)CghvWM=H$JB(o#v?`U7;cE zv9`DWw9>MGt$4R369;;oA(!h7yNZAF#RI!my#^#BzIs=}F#n;>_e zT!m~=wuXFaH6rK7%@_SnNqqK*+>kWR5u9wg-?`84R@r9bx~eLT4{As!R3%b=$ItEG zIO*Ptxw4l%vF7$62cgO_G%Q1ZwXi|p**;C3{7{|O89UL{UKhL@`Z(auoMgEva_-QMjpok>X&Cx z361T@DyoQHBFWv5QofDXHJx-|!R~S`<1scLJ zMj~8|C|$uxICetrM|_3C{zd+ReBmc8d}#k>xT^T>5T9t!`nVF`hz3~gkN*PKf026< zUr`@AQ^gZEC^!fFV&OY&Hjb&R;(J7Vr^!e~S0=t~ze_&y&J%<(>+LGT^ovSkSIiKi ze~kBGRw6{iY1AO%1PnYSPbLjs^nqKa&_Yf=ZV`^DebPlGyhM{YD_n>wc?Bj;x#MEE zf+hAlkvQk`FfyBuS@%+iUdS?Dns@vFR$G%MSe z%I6`}**X5Gg$~Q-+KMXm$`hR^hT|)edaD{D-`}}#@HaVx_~gFioVosv>V1)G;lt?e za8mI(6Q3wtKR6Lzm$S(C^ayPIU0y+au6>zn6*8^d<%#0KrK;R5NrCAYi|0F8)Tih8pt*~5^$ytyYMjB`=zkc_T$R0aF$>`FRqPn? zD&W_bIcKe%3l1r2vevqmtcr1fCPUsy6l3FAr2PC4gq>AVu)avabo|Bn;Xw-@reI@9 z#TTSWK}qn{c;o2fG)|yc>o)&KXnal{qx+>P{CrN{Lh6S0g>L6@ugZjcl%YFdk&$CI z##B(r2qPIeR3mCuAf2BeN;hXeto#exsJh5Qq%AmUYiFnZYQFgLD!vE}UtoFSJK!bx zlwY!sP+504 zugtMX#=3p4oJw*uNfvecP&tx(ZZg*G+5%CLwLG4eCojMw>YX9u ztn5%NtK{Ryj;TlSlBXJ0dMsnZ%c|)66TPVPt;-Vdr?mPb*lCGe_` zH%?^Z@dI)q3?)qkub(zC;`5PX)cs`|rF=Ra8;*kz%@=-1Mzs1h~N ze`n9B7Pm$9KHtSEeScVZMUEi#M)8{O14o{UB$$?KNrGuR6%oQ3oU{|x;1E8T4SU%u zvj*Gn;s3M-XZJV6n~Nyjfh}#qyT;i+yn)p%?rCYce9B;{y#Fr)b*b+Nu z$ITkP5*1&zmal~I;SfVbtp5vt2FJhU^}54;koC7*MfY+lhPd8fRV3}zCQYGgg$x-agORo3gJF<`GBr8ZYn8g!0SNH<`Fg&rI6!E9K=UYQp9b-rS9;0)i}VFzTk3CZXFP_UM+R;Ga)q%AIydy*ejDd^_fa)fktXiB}^v<@r+`x zOltFIFg?oP32f7()B1HJg;mch6QEp?G6GO2Ovyal`ReQ{LeU7oz^AHgzC$vFy$^j# z#q+NsES{^@;xIrnO3)%q(EDhaJ=R)cg`NM;i&adIh)Hz*TND#hiU%5z4Qs4gUi+4H z{tpmsv8a@H9-x+xVd2I~dFx*l_Y2|{m2&UD#En}feRMtrmrnDo`nnodx;I zf06vg3N?8EkyD4)RYU&a0cotSiW(1FK`Gt!iPGV97o=;SAnDcRYEs_^szmnCkiNc8 zq;ql4jn1^3I=nrLn&L8JVVwVOVz9^?x|S)G^}Ip5$kG1=?a!GOI;uSeI^%B zc?jqS=bvK%o&y#>w0M{As`%;?pRjnDcZu(uD@MHvs64Bnel(1F^aU=B*uU7q$F^yg zxtpk(J;`MaS}4)-8ir>t-MW(qf8w>py12zE&M?6_<3HpqS)}1?bH|1=Ld#hLIj6No z&L0!f z|FlqqDZ&S{=ils=S--1=%;tJP_-oAO$RDBqYqb5&!(hW}xf|tlLO*!-8Y4J(zD0#R zvvJE!RRo8Ss61+ur{AOq@`d3K(_z;eyuu=XDBO4><191F!eRZWv%~J`a;}$d;l<9* zxEm_oMB){loz6Fim)+r|+dU8Nzm+4ZEEsCrBbhdodL&(j!svJMXakoyintEKt*w$f zIJ`&6W9M2VA2b^e7pNqU6`?6c3kyi{6h!Ghq(R1e#m0=sOnT3%L7Igs8>_)}71d;- z$|kDJ>x{|+*r7bSDrqq5gWQJ_CAl9tK;=H7YUAcu_|O45a!tiIllX)KwCEb+YoYsS zW`Y)^8}71Tvc(-A_rC+!j(+tk@W&MdxKBKA+s%P7~^e_{8ky`Td0Zf%qx_3X$aE&n+jR>h7qLO5+32! z&Mi_cOc>Yi|5WL+Z#M!wFBly!3>p}xkAmi_=|J$3^mRD#v)H5p8uaN3lRG#9_ zkm`?H$UapLPbCz3k|~>Ly29}0G`x@vttoj5cyaZDcmvAt6`@fVEVD_cRWb@mhA6Xb zPm_$Zh|+DH0#6NwuDX<-(8kFyNEg}@);bx0`0t4999R+gJtte@fQ9&r-&FiX#4ieQ z%ioCq5~9j%oTMI9e$omq);D1b16TT3H zIu|6IFi}l-JWoYfq9I(GM}!rdV7(|a5th3cx>Aha2|lMv$d@!h&A0ay=Mw|5{kaz@ z6ikCPGS-I@M)wN$!t*7Ytd%O;pZt-a^Oxk~=4De2DVIs`w?xPA0;crgE^Z zv6>UdQ}~fIYb)c?wpYK^aMrZtbk%a&orIT_4I#R(zh!Po9f)%^;88bBSC9VWKxeyR z)K7h5;l;Y<@{1}dy@^oNwRK7KXYh(GBg-d=f8cwh0Gz_Iz#OL&wBU%@;;|!ZEpgl=zyvW8I1P8V0%>#B6tq z{^V=*4aUta58VxJ&dbJFNYUZCldDoPf=Gp9wLh0gb4zd*#&3+ed`I=C3bU)K!6zVZ zw1p5WOr>1m!BxNqv!M)oWggs{BPtIrh6IUndhUn_a}poSp1JImd2oA=kO$YV8pg_d zG)%2#_^!rb45ELI|MP_-57?{m4tr$_N3I`+8r2Qet13V5;Xh|7KW{v|w`X-jJ9~!& zl)pcE0Ic>fL{2*}Qqe!f14n<+#XThG-XCO9c!mG-DEx~LpBmsRWNa}5Sk+5o3{+Zj z71&)VLquBKLFBv3_g6#(=?w%i;nCZe6uleztGWy4`#yv_R4gO!-xM<$Nr=voutoJ zbktH{o{YuQjlD7*ofwOajtwIXKHgt{Y0)Hs#nrNShNo%504Q#NO0y+Y(_FMc&Bp%w zgwj3n!EETlUYXKS`0#%!J%p6z!uT?jDhkZNSUerrD^vPI&Zm@)IEWU&Gy_Wi+(=bKHb~wnhV~u#Y$JA5!3TC-QCL=!yNpYvP8(-Me;p@FcVycLRu}upc*WQUSdIVD{j~8*sJl~P9gL8PLOIFJY4aeFK4wL z9e#W#G_P&=(jfyS@1Hf0+Uh|)G5NAg7WL7A(kWE0cQEyznfe=dkosMQq59=busFaFr+X6yj{*$tjf=vV%Q_Hm2y!;w;m`5- z%w1QpO&QnPTUO|Bt<#0pn|otZc5Oc{dl^~B;8@%5e`@`|$r4GCgb!xJ7wnZ~|3FCa zHMlk4>Mzu&AEE@Q%MhM^?3JlsJ&V+D7>?>s9t<_>8d^AWMV2tUIc+@J7r6CEst&V1 zQ#8t`YjBKsmLN2u{T9(COe|x|?c`VW=XI0ovBJs|ZK}Y`GLHHfwYm>$ps4<$Mz$P*l&#~;4DfA^{DP`y@0^5!1eR_aJ6Rn}=S(G)98T!cZ z5v4+zj5%8H0;*R3+AQPX-crQ)J_P7DGd$2 zls-8FA-^>?EO`wLKFxOZv#6HH+8~qBezT3xgCvv(pqwxGIT3OLFy}k;gD2qzBP0eH zY7>2~pg$0V^tpXY(>wiaLw~}C{{E&!IMs{|C-#L-jSQ`;g*0T5aY>2CY+!x4Sp3Vb z?Wx`n)-^KpCdqlC(s>1A!5h#=k<8ZzZWLL+0UvNp5qo7>4;xhl?=;tgcE;e6A|;H8 zK|BYrSC-Fl8>!%R!`nA>yW`=0FpkXdjLaAE*}WVAP2<(_Q#T0t$@pM4Ok}T2{^$)V z`Ij{E+bdyOGKgnW_R8c3ZXo%OLa^Y^`wS|E8onp_S9n44fkUB~@`rmX@>6(WF&X8b zY*M~}QeIV>?yXOR;_u~P?;ch>FZ&kfgh#cI*D)V3H#Yc8EAC|xMHQ{}dJ)?u_+T~! zu~!z`+W7E)Rb^;&Fo`=~hOP1Y?rIh_~f&sx;N~`o_ z$x;ipg&C^oN@~N&FvA!sG9~|yvUh=ts#^btabyyOJu@gEDk$ox;{_uxNg@f#*l1`} zTBxWfUNFh1%rKF`gkl^pN^IFVR-E#jsI2Iu#gLApNzlc@(!yJ!X>Icol@}_N_xr51 z_hzGY{=b)x&fa^i=eC~pJkNU8+Iz39I4gbR<+dL8XaXy-U~%~ky163c(ZzzKa#npC z0?<2mQz#f4#0|u6&SF3J3PG5 z{%m&~)+V@A0dqu79Xkf5F#ayBxr!+~`{E7F4FY#em2@7G^}FIH?VqXQx^XwouT6twjo|RCK`e7Pp`aP8Xx^#^7RTG@=ryf zeR1NJBI%M88uCxQLL+Wa=&64wfJboRj{Jr$NuhiH!EPBV#T$kuv+S|bbiqDq4hbfGjpzj^8oRYp?U zkqrvo*En%Yd+Cytwr7J-nvd{@r0a9z7gU&1LWx{Um!!1S8|c=2yy3eE%$FqLS$sl` zNoTiDz=I<64f7E*CTOgikwLsuCUhfSI^~>32u{sP;#g9awc!_J4;Sq04ZBn#NXBxK zV~6*$x1qLd{SDN{w54{>dR93B0mzfYPEC;J2xQi{j#*L02r?OTX^-Spv zjhcExqaG;DV!s4I`TG*t-igxd&P@+2_WQ_DvaG+KyF*Lenr7qU3tf1BFr=mu6)h*| zA&kC(6a3Dk(pfj0VeVs0jG~0>ZXcb;1GPB*r6B20nt zXD?s`Q0?hX?BXOT-s!glriiBs`JQJb+pRvlo0{kezkj{oaG#?#$r^C>k;Q(=CH_`9 zYF`&XCRr`~!=0`yYq&RnkQF3H$yBQaPXoUGs{o7QTaGA|UJD=vLE8loB;Y7H@7FHu z-8-dlOE|BU(+D*qv|}zic&9XINMr51xN+`?b0=|h)E02j@XnUs5 zLQrZWy!ncp1=f0$2c67BRT&49r~SeXg2dn=LE;vWxT|ElbC%zFzhu{TE4@yplJT_1 zg|~DG>or-5G&D7_+b2ssJ8u+-pEQAY$(9F!$d*o)x*E!tu%`j%EaDZS3y7j zY;hIyGjD}Jp9d&N-i*pNl2;1Jfk#zx+;zkPAX4Js?_8U#bmpxR#6kgex)F5T%dCBJ zNF(%;r4iD5q5zTTIjiW>N4&T$yR$h{B;$kxcSp4U1BRJK?{=zU@pPcTYZ!g~H@iZr}>p1JRmB0HuE z%vI;vyLU-p!Rh>xRNw~%%lDmU4Fr`bpkmImYj?p8cg|+LQlwj^?0PpQCb`3&Yt zC*CH(ZW@5NuYts!CB@5$1oinw>d9unP-QtT^pr|*4MXU59fNexT~l)neNAUhuU{5L zDEYTDyhWKPqd%39H-J}D`>9I)9AI|$wj=09^gtsHh)R<({CQMLio57=C0Vl_88zm0 zJxH$^A%&&ro$GttQwplo*K!0pi#j{mHPsrM=&?-~o!x}u0O58{8Rzn|pK$TQK@`nu z6>p;^9#zTRAs*2U08kqL<3vY<-sZvvBl_(}A)4FuF?Q+w&!Tao49>e&q-Aje+M zzf$8*Rq{UpMjakY9U@b^ln(b+WwE#JmHLgH0SM^caUCEO@Ha&v5B9i=8U<>{u@lzC6w5OqIgy4Vo~SBuvF=p$yyg%4igDXip5Pu571q z3GF3iEIZArr%Ho6%7SvjX?7luKHc9WE+Z6*j_WBlYZOyZWg#~uk0FY(EL4(8t;wO* zz;N?%^!nlJ64NkFydyK`G^zWPfCss%i-B;uFB(ABL%w~3^;p1-dbt@?RO5+B^d!w# z&x^@I6yq66AoB8$dFMreCaSbf_J#|oAt_bKEkClG??Y^e(i#&5aMf1AsWG4ZC~PGL z@OoRJYp;#t$*%zh!X;eKEk@%;RdPPS$W(YQld-C%(%u`J#p>>p28|z0AiCI)0=&eQ zFOpnat#s;55DdF0lwwH3F*-_KM;04-KkVhJA6VM`Qsn#;fw1KVE@3uk09s?=P1Pi% zD!C9~q~=((ybWBsfTKpDO0^#Xlkfh49lc))H)Lk9%iuU895hJYd=S((g|5BXLYxby z1fSIF`Q&JP(ov;a6@kgVQ*3D}_~d7?qEsoe-_xLhpjmny8@l!u2{!Xju}@Q_=*|PT z)z~H%o;0sZN28M5@edZWj?N@j*2+4Uo8%@%76 zF7>UUYj3zP-&aqH)>u#m?i!poir14u!%-EX_y~c?4*_SKJ80XM88)fEo^UK`69<3U z@`=|5Y&Z@TK#;K~+0WBqsxcZ(n~r7_(F{~*mc~q#^du8BlCgp$6@cX7@4LXbY9_XP zJUQ-ydEI+h@J!B#p8L?FG07S6_u(-7((q4`U4PtJ;_r0qYHXkAxiHhR-fwc;&*tLQ zXlQbV@%UP{VTLryfVA|B8B%}CAx{TNmC7-2coM*jm8VEyX1YxDY`Tv!ZF$-*HgKji zpr^GF{?5&wn_W`M*diDvS@OlVA z3ZGo^wER*=V4{a?)|>tyJ3mvpZKRQ)biPyx1{15A>O*N$8NbQyWVzX!$q6Py%~UIY zb)2Q8Nl}LE2U%X4)H65=B9k!`p%S9+!|QQrm}uuWOu|*$?%1Z#AuUXG_jGLnk9{QZowtZWn#ExR{OOStcgPN6FvL6(I;7B zqqxNb0)q7ScJZ57VsDukS8FalNNRFjo9Lxzi3{&V=Q`()O8|FjXEt`Fu^_Ktu}lG+p_>pLiU)VQg!GQ zAY@6hra+KiwzHBsQn>Sipq~F-7e5{_UIiWaL{(vrz=^v~88AM*MIJj5n@Y5ja(y>> z@BzE~AJ+?z*z%F*3YQ?wnyE^VT#{tXO!N?Q@LjYW=8zHe7I)cQ#|IfyFt7j8#eNe9 z?@e;A=43I8%ua0aB~h{kyvCz!O1ji9D+o~3y9s=gXIueAL>7g^LjaZs6iKLiS=8`y0Q85q|Ol`N|yv|+9j3~5jzR6 zk)uD)7)7j>QF>~szBZ}UQN(c zC9f1<^&ChCHeUmyD%mjgAlFSy7RpJT>F|^`$`6OwRFRpWC@URu&iFuRblFmtumJsP zRe*9F3J`g$MFGlP%GNHBx<|%vAPgR<<&vw*p7u#&s(Vi>}YO6<#KRd2wA>&%ZWhvdnt;^ zQWV4U6hrbvPih(qUL^H3IJU7{7fHiAm7#P>NyuLLCHCkdq+ey1hz&&B1w{oGD{fWSWu z%e!A|2vx~%>}LgwrMnGBma=abOFf-Mf?@uDyZE_-UZjx+qa;mmRJPue8Ua4zB8E%~m`h4Se7V%BfGvA$}M&LG$%Q z&sIf&6)4Y96i9GT;H&Q4u&7LQ!I*`6JTLQnJBOJe~1Mw zk-}qryy?U-o#Z3vHYT2mQn_zCjMEtfR?0wB6Hi+rStC}HiI-U~0BE5rbU7}GQWP%z z&#_HQq<(jTpob5dD33)sSvBOft_bmSkNoPj6M=-F3YX|Q=LvcUxy0b;&t^Ruqv{mN zGe+_>7L8f z5^BV_I^rRA`-7N2H1WQ|pi{}A#Gupp9Wi`F@ja&%n%*%=OOmX%DgcX>Y*sHoW7>cw zX`r!t6wnwsO94&Lfja%X$r`MqUV%-J6BXDL9W0`}2AX=IdCgLZ_;* z-drIHP^ehm0$93daq`PYaF1g;kcREUNiY_%^jHN7ma~kP%gYtCw^g*9R0SRN=u!g#ly#_}yn zXwv8>pKrl!s*LEvo7f!>OFbjTf(Y_tTaxP%HHSjECm@@;jh^&-SPF6e_y+n~sUowE zo#-|@Tv){OS^$SIu>5nR9$8k09?0Ph7nRddsaANSsEp=t<58Np6OHk66rRhQjmiBE zC19%y>?DZS3iw#W2l8%`T>=`xDj~a(@D<6tLpVPIrDFNSXRO^asmm=RRF-?N0)CoC zAq;ad|Kg2~(Kp%$Me;_MK7vL!yxuA{H9A3Qbb_~zD_mX#a_VG>WF?>!Z@s9Tgi1}Y z1}dXQk3gwtwAS8aYpMo!gyRyORQZmMfYn->Y)#joPHIr;^2tvz0d1+BxTd9J-dh^P zS(RVSr(M|HZ*>ZFqM4p1l(r5-cHANGOr6cs%3~EI7LN~Wu6D}RcLwEK8r=&D=Q5s# z$*EwjNmy*H*MnZsK&iOB9Q`Tpj39rtGt7F?Vo`dXXbD2Jfe;P0+Wp}kfr&eLR=4JA z``p{e>92hXrymEezp8jW@=Q(CF^VlrwPwm2urv-OCC6ROtJMIK6hZvu$3M|2$?><6 zJo_3ci5$qW=BmFC;XzFVC4$h}zG{xinh4pl|6hqPRS`k{p$?6#WmDhk)S35G>fZ|C z2O%6SdlPrk_zO7727K#^znc)rF)2^4|QA7tB_&AR6xr?t!xYif-s zh8{+ekV^Z#+ld%o5UW9tY$xPo*Y}f^c=~T8o~EE&L-A?+saSp< z)~yLPL4j>Xp$6Nm!BVmO1;O3};B*DpPp>tfWina-EROzQeWim`BhU!%hTp8dwt2XjlY| z4*{c;fuz@J>M{^gJVm7$(La{f*gk}GJP8@?C>g0-Zq{FDf)>OZQIrsd;NLLk;y#7RU=lsE~giNT3Etj;_z zs4xnXMswI9^T3G07@Cl-@de-kz1$s+xP7a7VjoiK14Sc?80hEaK03> zm>?IL!wL>H@sk-17^R92HH*^XLrM$wp>*@104{vk=0i$zuAp?wp>R>U<&e_0i)c%t zdElmhh<07W`SyRroMY?qrLH3dyBP%vhZ&m`qBF`k9cTpL#R{q*1JpzLQokVHrtzXp zEO50nv>Oxw!&~*KX1L3&BTz8(GjHoI%BU+f+r_d`R1_jC#Bx)X0w<=tS=^DjF&@y z>P*98&o{)dD5H!b0AYHQwa`Ju@*jSP6mIFT0@|bpovMLSvHYa~ZFpT!ZPtUPDWDeR ziKWc~_TR6w<4;OmobxqGw9F^35Vi9ZrU59^kCk+lEQzPrzr&!|VeN8X19?wVv zy3RY2)nQ^>v)Oibp*iH-Li6ms=7CcD5f)q^g@r266=b&X22iMMeu<4Kkfu5dI9vJD zwa$KSY0euXY0b*kDwv`GL#DGG@vOmOtRlGQ!tuBFJ65NlD6t9;8V`ON_fXNh zjuJdSMQX_50~z`*AF+@|Uiqd|o&A!QNcWYEltR6!oTpprwgdx}WM0D7JS`0#{>7i2 z{mu-mvI;YaiMeHG)ST)LUK+Biw+1iq<2Q*hS%m7=+!cZ-vZ{OAeG^BD=h2!QBArY87 z8#qbs=nvMy_p1ZeSC(~U<6TmS$p;h?x)MN9v)KZdG|&lV@=wo+1gQ??)Edns>NFnp zgaW-14+4`HZWXy4@8x=eBjZe=(hTFO9J{hifR`Ba?xGn>BRQDsqe&S-JLVfOBXIlg z-8dwyCzIAn-8$~)eB_q**v)ID9>GUcatE>UP7iT%%du3aqdc*yIDI{Dg^!ugNjK4a z2FCQ_TFf|^jw-b~`NJkf%>pMA6{G}B1KAo*HmcO_BrtiZ zf|G*_!N;nMDmfZbKI+u|Bse*$nay@fT?giCAf+gF#67@!uZTd-Ugo`LFKX?*ZEo~l z5l~3@asZ+CzI96jM^o?Rl#1S~LOBVq$zH1Q@DYmAdjuw5c$aQrg@>Q_G!j*9ZD06_ z{}=3mVkyR2CgOGA*_&=0Z#SYYu_}EI^A<~8#dsU$46@3rjzN=d>8Y2+xY$OZaxJ`5 zu{`e*2OVE7Km+u$S7@MAERPbP2?|w<9<)*e9Y*DHkbspG*l;~;l?F@2^6`u8#S$2t zPb-e1;%yh%Cn%1u(~50W?7B$uD)TUm88Ldw^%^V{%d;-B-s|wNZ-Z7GOU1Wv5>j_X zwgf$eMh%pT<(mX(%S%E#4n3%>fl{%2_ySjCS)mx~Q(8eW);DReR4!K%Y)1UW(R{4` zY4rad>uq8C{$i-VSpWYR>W8uSpON}ZZRV`y7k{G>vg^xyyiacx4$lV)3q?$!VtE+= zwef!V@YC;I!0V$W%OUT`f8R#gbo@VVV?#=%A)S@nh0ARd zlh;s~JISFu)Z`d^+0pVbvWJSlO;n=TuccDIrR3BO*NZfq)!NG;A94bh@wd70lFRtk zt)X!)@N}-$m4K*<8XcIa2w1uO4Cyy$ z$Kk9k3;=s%G=5b~^q_^rsVxl0f$J{AxEAPmJPtGbe0tU$B1iqD$Qy;oMDRM1i#E2= z5y;yB1g=iMs#gTt7{aP8jKx7=8`8?QL9k6Am>asLT(BjI*RkzPY%`3Xbywui2=(#P z6aH@A64#SM>8Wpkyxt1(k_JLtT~E>j;X>W1kF)$2r0|eWr*P#KRtKnNnkH(TFNvB0 zeB8s&ZI@eou0k6*L3rTV@As`SSx?@XnOIX-#wcypPUUdpJt$fS*?3 zY2;c}3{`F!p-P(mIBVP>h0a?T&)cx>Fnv~4XT4+z=wJs~ZwaTdAw^hkDh^fQ8Qja$ zspy7|s1O}NxZaR?tUHtXj2f2#Vq%9I{~?V(TX!t;Mpsk43FhiCpy zrP;%I{HuT@XbVMvOtf3?NZDLT=nq$6&R^9x&6DoOEcTRtgO4P#I#T~Bn;q`^5in3TGv*@>lx zwP+hza-GY5fwQSD(Dx(BmB^(7;-WiwKUS#{RTup&=okU&BZ1w8gi+{Q7LHRY!Ku_T z`9kdk6jyIf7W^Xw-bsd1gZBZT(cS9GbxRhUJJ%CO7s4Rq!T;XbT$ z89If~;h zfN~orV9pJtncT*L>EvAI!Uqtgbd85;AXv0=#b=*Z(3r12tM%boF2y#76EHhdc%yfu z3Xx!h={VChoi5RIm;r%^FA^j@NfRunUj$EAVG3a@ZM-1b$cxn8Llb?k(gdM!BNWXY z5?$=%$w_O!R9_LO1fz94P@f`Hg}VP^s!?#2EJ2mp9cmvaVVZ|!TB@i0HDsND0Ysvt zM84{5%O(o6T07xgm7}FC$3I@_BEFP}PgT0;TeM2gw+;>%EUp_I6e$W0(kRi&!uiqZ z>WgZ8&Hh`zeBlo6!uimO2*u0(7IdiqEz&@9^q@3`Yk^_>9U)G*S79kB8=(dVir#}D zkokS%wU6;E)B8U2`=M!vd-$2(|0-^`xxj=vfD&~AZK1>%Lx6JGgv%C;l&u37PW@1+ z_rd^ND~fegJ5`JHRPv60k)XZ#niob9u+9|eT6ke*n%)a7C|A4?x))wpM_x~Zwc>^0 zL`$)uDq&_8C#SEB$FQ^SANfl!^bt6>WNvah0Cn1k#=4EWpH*Ga?>^y*Z%{C3qXF6? zgI@lvD&<|lcX&|*>E#aXx4eyKhH%r|MmRgm=CNJ>2^`k-zj=7Nj$FEevKFNY5s$I@ zkEKxOt>vQ9_}Cpi0^P=k@JqwO=34t%p3B%buW2@ziw9GL$grPP9Cl4}sJZYalqs&` z#?}>e*t$ZWeSo6-m^U)eB#k zj*X^LcL8XP@7i^Y0adI(rEzjy6w=fHy@c>dc^!|x%>w@}V_7|j63!3pJpx_E=od_ZwZ;MX6bPtbZLum9ZHcMKaE4Jvo(8l8wYWT%>|=@?lxwkevR*{i*GIDHcsc4*Ib2Zymgas z2}3DNC!4|tkYQJ}1(Bm<1JxrsQpJaU7>2!#^Qt@S^x-GDq;Nh;lU@E+higXw!8v)_ zVxd!=pVGA$G6+Zv8HV_Fc+z5z#m#9TyS9m2SHVWx?kr@^c=q8NvY=pN6t2~}2%M`n zYGg?ya~o@MPNVGGcoR@*FEpG7?QMXliY01x1T5oppkHS`qP{gCZ#pf*Q#FPIfN`UJ zx+OD}@4AYd)%iD8CcFdAK^7cbm*U<)kZsYB1)qym3 ziWo?{qkG)OmllAa%U)D#mnkOVBjQLr*0tbVH^}nAv64DK436&5vZZZC)fh3V63EUi zBHgVga2~uGaK;`AHb?Y92GINQ>k`|lRp;>2{KC}Hm1)bWK*T;ZTctNG@jn{6cfu>DTfbK7FDuZ*deWOY! zRq))X=eeQ{&v#VN^tL?jelI>d^!mE6BUAi>}=>xrZErF zx$KpQTf#CbagQevR){z??JVSkS&FBa{SP#E`3lRnx*Fv%L;nLgw|y0(#;Quhs-13Q zC(xx7YO6+hE7{yG&2OAMxA9%4ng5Y;=mrBWg)XE~?5w9CdSeCFl&FI8DB?xFS(7vL zK+LaBWLYCnhJ06=E0<=#Yi$95hqOod-{4`=F-kLgCd3H}H zZ52`IGC1jAquaP5?ynnq12uQ#6aocF?ACKVRVOV@>d2yHp-}*bKAtItoYz|t`gnV* zl<0mTWqR7puu)~LQ%BCYfAn8T*-8c868SE{%z_nb;{6Rd-MB!3SGC?f)OlGb&?2Gn zM2ShHM_6zvjgNtBv@R<#tlSGvGukD58t5? z#7>R30XQ;~1OHW1G`hNWIPXR~bb}R6v(XZI#I|aqm=WgSS_zNt(DxR#8M-ogWZgz6 zJh*O40Xbc&O_yRy{JaMV$X+rfgm}0V7yd z+HY&|;7Kiph{t;2lKX~n+)t)Jcj$fqx;8X|F?mBFogl(S!2_`6aW8 z(no*C;CdX4WXA4-C!uDrg|a7{98cFlL#ISVNyq4W<*s3b%3Ks2)h| zoP+A~_^6XA{H^9;YFpk6pTrfPir(7ZDO*s62tf}rL^ZE3ng=idtN z6NHu7gGRdzX?Nskf{fhmBB$2;)cIFDbdLHf;Q^8sxl|1TH*UxaJT|F>kc@q{Ab z|AZp^KNV7{et~%gT?+qyW*fP8$q!^F0Vq`{R+kC~2mpUULUDk~0|eiBBxOi?w^6foY_ktg#E0m zFkB~bxsaF_>9XVt#XL91=%g4KB*7dKMqW_A5rZg9zA#Ehrb3Y6MLIHX>Bu;bll$rD zlq+;>Iy#kt4lmNtS*W9PJ(&uHOpK09l_0~5R5DHkqF!z3xTl?MAA0X_%Dnj$xlQw8 zb@Y6K9xqbqfn2@2jg~k$|Le%9txTXB^QxWHVVKy5VPf@P5ZE@XtvqV`96J1ZArCLo z$ukV()Ny=2`3l{z5rNk|t&R#_$11GbSp8>6oXh@styA1vaoaz~XfsK%ON7WKezO~W z<(_=u^1=4SrS<$Kacj9t6bW7w(?1o??Vk2I#-;b&##teFTEbqhg}(Y58DKHO*~;Mv zJ$oQH(|zBw;6Ozx2SY1|dg9=4^QSaE`KWZ71Fs3JKHErcbWsTcbZ4+CLh!7@ zwZgMHfb%FJ5KdPKZ66hJ%z72mCNLXxm==LaAbLz!k&~k~si-3aDxb@XhE|6QR07eX z-X)k?AU_v3L12dCS`{}+U=oNPGgM$kaZKEktV$NBHXW)>pc0H8_3+bN+yssa%v6Et z&|$_1Oajqk{!3t{sF?EwW-94ll{Z$P5{w>o5l~MLK^D>0Qp=$bZXB|()Cy9NY8S!$Eu!ldTF{>~8#c)NV4=gMpnB4hFUc z8cm(#Mj7@ae9FlFvs3D6owD>2)_H^NRcE@n?MK9};bJ8pE0vFpINcuTZ0}B~n=@E} z^zp~ALLb|4dOs**P%t{ZBHgP(R=4Z%u2i&4Df00|@2NKc*|v*fAU76I{Hn4=)A+Eh zc2V_=HeHlJou6581Pz@7)1`1=0ulauM+S}UM{omNr$C1=DPE)- zB|c--J}Kf((}O}Ub*fg-Dm&$}Sj@qD?9|3JJLuk$&>60=z{x9S<6e?l2X`CZ@u>j1u4v?-nAT1fSpcChEfiiND$YZzjo|4A0p}VmGNaIV)v0J)1LRmN2#MjQTW{Ve>>_-3} zLDH|^Ee#LUL9l(hr7k`A`j2_~*U0eshgyJvr7pC$(aBms+eu51{F%KY?TxPwq|~n1 zQDQ%Wr;mz-y&^++{Q;rmeDJd42e&7v(Z&^kZx2|$*2K$%hM-@~e0O0fz0jp&IXkmQvN$QopiSBuaSmBZ#G;(u?mEwN$&6hAfQtuC4{Rp=ToWlkALaX?0 zP=$_J*>Zl9`sV*CNI&K4ki;6SK&sRsZCcKp?@6J3m(oa_VQ&Nn|C)_~SonmcR_OpY zFBi-4w*ruN`D6Y0dNoH7Q6ad{r$g8x+Rlq~ZNG&3>%2*N>G(zy%BPU(bTqaK8oWr* z7{Qkl=q5c8`N^qoB5Xz6i3~2R*AdyioISf$3hlfUNNP;si{C#pXDxYgKXQarbSg#? z*p;7{=kaCQgEa1v+()VRYh*&<9&Gs z!M1%O*$fy3j(s8xR!4y{j1w&MQ|$SZ+Lzt>sT4K;%RVCCIEg6@w-WnFZX$ldM4GfU zY6RKMup*dEYZ{cg1@=RUdsFxMXGSSJ#6WPqH<1i8a$uJ58D8G?1C-nN zZg0^VS!<2VTSNO;;$d3#CWyGL!&fTh{s+Q!RTx&9XMe?$gnsW)lAz%rLZL!e5TZcR zQz&Txy9Bj?>^P(uky9XOeEi=wG$b#sp&Au674N)G-z_i?vztE$X7lR;GejZ zLTv)GgslRmGv`ZzIjAj$)aOQ?v+*t??)E_vd#Ru?a$GkE7EF1PNS5pyac2DPU6FOr z@o%J7QH_$QXqCb%p4xBSgQ~oLk|hyHm3C? zv+Wiwgy4@kDVYynYvtz}3W?!1;932Xs>)O`~ zSD?@Y6}IMUISam~k(n0?cH|gqTMGq2O2R6?jyW@2=_$Zd5s(*}oxJZv31PMc0hYS5 zB2!Syrl-|$XI?BCY(+S68!tvs?wPN-az1zEjco&y%U<9%-n&p8yPh2+#;&>TrXyC> z@;eR)OfC?Ga-aA@AIn!Ak3;VRwzVmxi1s zm-xt`K&<{;gz;ETvh|t3s%1P4A$1D%khSp9Nx|E{oUfbB%+~{w)(FtiDp~ar!GIU( z#tDZWkGh2LQgnDHm$TJan2WFgP*r?BDLzw-CJh)(*w%g0KMl5gcK27<#q`PUB9u1O z8ei=?pT!uo1p&jjR0pG*WEt;kEhl^7!^AkX z%#lH}SSPkp54{;>Zt3@KJpxOn2DigvCRd=6$KjSdW)-;C-WHMYE?Wt2*L8RaK|KG% z4SO(bF@8lr#?R?@S`(>aAoK+_l=7uFmi4vNwf|!bZ(+F_9a!?i!$gbd3Lir1Vkf?q=5#6L)8bk$sI`cjPN&$^{nF6*GO8$BAWy1$)2P8{7mi>(s6zs z>C-UjNw|O~sM*R!V5jH?gS0afHyDbo^cJ7sS(n3ngjug)HUqOx`LYYW2_~=OnArj| zRl{t^VO<-fzOx!N%mCC`6rPmD$fG!BsK89uFlB+EzOfffP$OR+2gk?z+v#BG=V<~6 zHYLhntN~kjM?AsaZ;<*p^Ua+DkWijG_G=3c3+sMu*_r=uUi3ubWkj*i_WSpAnCJ>q zOQ*i@Ct^ml}kEQd!ROXg= zS_8Y=j$R)*_5@OhV7s3u79wf=&uuf&W1Y_ek6=rWlqcAzBT{5^f)M-e#Sj~sEFycO zc60A>@JcE#MS0p2Z1oXopdn=i+j2zeVM*0$%Tb&C1pD>~8k4?)wL6O1Os$q~>E=Gc zh8&e_wrqu6ChaX`d@g1fc5{+O#p1gFadqaBJ>Wd4Bxo-@J@=?IdC@;#A9zW$f;qFmX3N9+l)Wj$2qeBH1Mk$3g95d)y)H zFZFejEA#~dY}4aw>XaVul#gapPed8l-(A$Dy$wAdd`#-+EE4Lt>upj;Xv|#R|NZEo z^}oNq|M&b||4XB_{(nAB?f+7RYdX{_dNk3K3zwllh&`%9{Mfc*_)KKQ6KwA>Y^T3* z1#3Dcg-hjJC<1XrM6l3CY!O_sf{kfJRi##yiXNSds<i|5>Xzp>Td$-tpGw@%zzS?gkRSynNzw-KM$C^{-!gI^II9x^i z(pBW^#4IPIh}-BAh9>(uy}^&!%5^=i9cya*9dYM#zjds+W?I$F;VNzt*u_miUvNT- zivQ^RBQb-G9{eJrbiO@pG+IDkgMg>_VQJ2IZzpS@^a1g&I?zLz4y(qFr0 zGzO|7RMK7qq%m*EO7@TM@xh1)P!r=L?X_L!WcA;}m#r(=AKyzoEkm^0Y}D2}neC)B zz%XJZyZfZn^R_WsZ9Zy`fG>@ZIXIUM*y|G8Q!fhW5y-zsfijJC(n?lwQX1GXnWM{P zA?(menA+UKtlcRoEU>YvV)SDjJhtzk&t+)B`Bt*!K)2Ls=#@YT$!A-Bl!h4USF%GtVjJ*=m8|7QjGYU_ z*y#hv*6nP74Ba=bWK(1ikTnAJs731vWVEhnC3{UZAWg$!s^g1;e%jsp~C2vTi>~Bb>20<)f3`#bF8jSQh5M(Scn$ zT?JpzD2k7ad_j94CA-SLpa<+7Yd#Kl#5L#c!EOdw2E4`~zVnCIfu84Lhb*T&{XjiH zF;DeBP{mKu{124l#D!TdMpO4FWOffKMO>9jM?Z!9fQ| z3;+l16(^RE17aB|HRM2@01i1&DPTelC@%wq?4^@6@dwA_ozur2p$z8f4?}53GUez@ zGtNN)jdx>31wZ1=iRBCN7(8}_vhZp=2`~04>l#!;`;-A8v?s4g3!T7^siFM^Wa#;7 zA{ZRPAEw_)4(o7TLnr_DOZrsJ$WeU|^^HddVz0>zU)N-GN^)FN-ei2Ogny+2`#|Eu z8tnKPsc&4sINV7X2L+uAZ@(gFVoS~Bxbt})ytoNuY>gBDU&{V_ok9n@jUjZ1ld&07 zjmy~gzijMTX?`-@&*`)*fxZT_1umbg?9hf>>G;Hw_xy%qZ|9Pb7s+Rb`|d2+{tCfQ zELl&h*Aq+Jbgx1VJAbid&Gr{d2e6;dN_`_})AETW{|x}@#FFRy^60QOp8)I6v7zUr z2~PSHR;Oh*PbwM1zlw!?j4$v{Dha7+0$sRsO*Y_%`&6mq`_5gYbo%A@D4l+tr@QYB zxr`Tu=36tdwQqK!mwaMp-6y^n@8|dd?E1h<&}H+a68{|}{hBJ#(!-P7vxi(I@u#@0 zS4n<|!M`N{F|Ja3hx;H1hkzg)LKCC@EEl7jPK;=XF$wXHQ$#$(uBvyRmwNQQ@Qx`E zQXKp*B=(5}{oG(k?2dka=bjGevW^=Z-3uC>1rf&jlXK_Dc zt~Vx^rT&bFl%&OlD8vP8K3n%QB2scLtNK~$Id-a68-v;yCEnU_F8}kzE)kr{&_W&8Wh`6LB;B6$=gn#O+G%S2ujV<% zANl!gta1h$5A*ZjP&$(Av0z2JK#2i2O=(dAO2gk~=bA8~o}bJ5|04D8o27}Gg4!fS zR0oOLZxc;VjtycD{UXJ6Up}yHVuOV0QiHLkK8jrKQ7zj&awx8!!5#PnqDX`p+8sTmv7MQQhXtlv`lj|(lVXmS#{CsA(0JAF2WkW7tFe=lk z8&R8`&t{<3xk(Uh52C84eA^G6lF@HV>Ha0&3zbv z^bme?irOdqW=lBvjd6izPX~v)%-RI8u%DihJjhk>J%@LdeIHI~X5k-bygOv%jQ*m> z^U*~5^Q1Ug=tZ$jW8y_jO}CzAb1zC^&V9!o@06UemG{cz#pg*LN8#bTZWBwk5n;?N z`Rnh;D4PCoq7y8Xdsb;df?5KqwHytI`lik~oM&UToz3He8N9q8TJAFUW;+c=E zFByC6Vy-*JN}rv>{g<1N`i-2|k6pPa^=Y@5^}2)%Bg@GqT#{}xEOWBem!xi!a)HO6 zEADs~jqUNbcEJv1JD_cR_o*ds47MeEOWl^t2PtXae)?`3^)v}UJx$l%d?!132`VUZ zGRtM$5h``ETP{oe4I7S2)>!E@KW`>16vZXLBC5e-B0#z zOA&EEs)c{2u44E7PTxp-lI8pkqYYWbUin>$3(K?Cj_@-VtcBRFEj%rDMK7_k-+q_I z8-9vnU$o%+zgu(J-G4}UC}TAosP++d{6{IG({@NolRiryK1U7rTg~44L+Tf?M=6h@ z7s_4HkJZe;l!E|mIr;3uAJVOc&*3kBBBR{TJ%g%Z5uvC4DOn9ia@iAqN_QG&_F}bv zN;ij`BwhYS!(lbW2#!DxR6nbRf)@ai?&FUfa#muS&6oqDY~c@Kx-+tC$OK zUCq9^Dn$*75;)I1LlFeuQW^q!YUg=vypqaO&#q=s*QEZPVo*c{>9<0OAw*{3HJE+u zDpqn0%}CHNa)7bznlv{8-cGD5%Izu{3+OlTz^;wydpP*sP+>7nP@zy6f^ zwjY_)U9d}8x#6;Dkg%YzEN(%D)Rk;PJ5wJ6Ea!oCrqLl)Uf5|mWs8UxIk54Q&c*C} zlhlpvYiH^mlgZ~1@_Kr_mI4X@cyw2M!<((mGiX(+$;tW{Ot=ZWk|i5VcN$#bT#%ql zMWy%vhJm$fX9{8U22&8p@SVXlEF^gcWXR^dg20TBp~%pk-QM05Wyo2@(%YMQTJkk2 zDS!d5b?w2cXcen!4_=X7Ij=6IfWi{0vg()($Uf}ZftC;>&g!3J4u4Z0)2|-k z^Y`1iaTUw)Hw}r%4bzW#WIs{Bpe^Y)tztfZQ)qX@2XZQZD?Qmt1{83C`q9#>3u_EA zb+c~fEr4?(lhW-Ah;JeB$b!5J3%Wy$rvBC~3M6r81DUBn+BW60>)gRKG%(lM z1MjI|3xV?2*qt3ry$v`n?O+-hR*sY?dGSXSXp&3rYWHiR*^*!0iM`dqG@$cl)J`U>X#>3FYHl5gX{}^4|ecy#q`G1Fn7kcqey!%&+X808`>fBJ5baTN#>@ zGpq+_fg;I0VUeSf!uS=E^K|hH}~g-=1?Ea zI}i9_lyt^xnkwz4#@!rtwW#ZSmmc$-d^fIN0sZeS`*WRE!RuBXMLh@K;kZGbJGmrflWa=R;TF=AQMl{@)&-zNHfrjin zHbrW!qS1<+O)~Yj|@DfZI2v>os&%6x~jfH(NdMfTad>h zO{SriVvPn3YjBsDCX>}trj<6J6dv;om2T2XWt48oXCG1NX05atrSOl-C>_2#&+gzkzuV-Y__Jr!(KLk&Wxvpnmh9g23#FJayI&}^M6Q%c;i8P+P$MWB@Z92K za-OYuY?#G#k7j~23sBW0OmKT1E4QHA_wY8!wIg)h{&^m|VEKz~r_CBwD%9?mH%)f_6=Le;jEef-AEWv_gMW-_ zu8-zq?Um^meG;m#qvSoZKw8AGVGe}Uhn8Y!4wTv44J|@(vU~d(#Y)eRbtbzvpCLP> z?|hd7JlWlQ`x%~*xwo7lvvo&G5S7f9;sL(%Hs8t8LrtNW_bd-J4U;HlCRXtvi7C*w zP}AT!%eZQ2K^_>g_hvFwG|;O}iQYsJ7G4^DOVXx9m-22?M_Y=a>XgU_3LKe~OBXaB z8XIOBK=YwYI+i-wvtg!QNgFv+`QlJ;!!TW`N2CjHxd7Nt0N7KPT0@;%r2={}gSrp~ zf2ee_@UEuV-j=7aWM`aoZ4c)5XFarH*Mt?jk6p&gPf^7I4|}+)X%>B%y1uKa+tB~h z3f=kL>Iz-ga8uO(SfP7!H@i37l&GxG4RI(dbhy35SLo2Ye1#6nvf2tAQYHB|(1!s( zS=72b_k6p{;!XHOS52FBxmAr9#kyR`4(PydO|`Zz*SajX;QzWVN6dHZ;>>T-G4BrM zZ5QSC#n49$@zE^vx`(hzmgE}wQvu8AW*R#r^aU)(xmF=W#t-7B@qyxC6$c{@_TgWl zy2lIb`);OXK`C!`4#1kLCE`H#n=GTdX}%?!O3bMzXeHKqAmvT=ZFkeCISL$BpLsp4 z(xQ&z28*(ZExRYK`J=tLxCIZ|VEP;BW_xI-qR>~xF?x+S_WLJ}g-A#&BUgwL`++oJE`hsc#U;B)H^S3Mr{x`f&NGAp!Y8QB9= z9&c~9Va?xSTu7)%q*UTWXVwGZ{Ol&PWypb;H`)7dy0$VFw0^)x-*Xc2<*VqWruCE4{vI8gBJN9skKz32~lwIclMK&OSU!uvio%U$&s&Q~W=O!l{|8dtcLV!_ArOuD+)1pmOSE zd@5#3OU0Y)v%VPmH@?ZjtfoG^#%%l2JXIRKh$UG~Bg5J+f~w$1AxA5>a4dX!q;d;; z#%hW-l)uUF(I9&lPR~5udJrGw!2AJOImq<`0La?=nI0v;>VBr4bUf706v5dnOf-jW zt_P%{ziGgTN{B=y+v}@HI6LhZ=c6*aIjp$8PAS_|U$2yHs&9Cc&FXJT9YoAw2j9aO zx7Twr=IMXJ0~LH2-eG&}SLwayz*PeomUF##f^NCOW4UZJ1q8 zKX}a8I zixoR^fzXknY~)@XN#w7fq_q9yQwQ*4>35at5mZ9A5&&EXh@XQPz7ax#{s*OI+bK|z zzAoaB(|;i{k`G8}SdTPi_MBL^)>XK^}$<1O*!ql-aoSk zz8Y;kMxi-5BuYS!n<=0lo++S{XEH!rKlo3Ksid9Zh3RbMFw^jkA=B}M&QwX7&K@3S z>S{=u&ejft1QF9e`0p^&)^?r3?(gB31m33mKgb?o8e=e&uVQcCY6|c5)GE;#2rl~` zjWgTelIS^e8r_2lUA&5&y45taSE2yI_ik?9`zTs*TIs&M)N$5TY}hDMY{$-1NrdRY zsqE2FrlH;6%ccH24T$J+e)_4|_B*WjqkGwhqf8}+5%;o5v8KU>V=LL?v8J@>*YDvG zu5mfNQy;l<<(>{_dZ0zmiQ+m0qD3fsoI>1vE1$p3blI@r3HJMKrpO*!Ddj~5>qsxa ziS|4~qNidFyJfU#RMd6_AYmX+vBu!LY@1LdmRN!HLD6W_k#>gcyV$}prv4rM?xKc- z{yyb{4P#7B|A?SN$90c6Q2t$t6dzy8^^_K{m_$>zK8wZD5*FCNper#!HcmNbsT(Uy z8%}igW9CVw@Ow9sfT$`(6}`2p7dGmF^}LSK!3v_ZxT)YM^%8Zm;+P*r4s0rrk3Me-q0SC6RCqhZqOrF7O>&tfLx^^Qwq9WL8eqy zj^)Vz9mP2}>XCf~tdx-JG~_xU)G5e5LOyID&JzW)tVgadVCM+AK|^i;!hQw0o{;l6 z@|9aT=O#UJV*#5q-ZXHU3}jMfBfyRL|Nk-eE^tv6UHmvKtdh#}EOK#CP*haBps1i2 zQlL<)f#T~86&1r2FC``#d4mL$bpcV(gNllGDlb$TV2Yrkcq=STD=jpwHMKBrR3`uL znP+!hdEejf_ur55JkQLWnKNh3oH=u5=8QElLrlapBK#(@WnywV5c5B^;>HMP-@gX;eKJQ>uCkUiARFYr?Az@5b%8hZ{4p!vPAojcKwcTEixtx`e?#=#Xx14W8^j zG=baT-592TzZF1p!z5>wCY_c8Vu02H!IN5>Or`xa3~|dw6eyVr)L0kjl}@(+-rvUp z&U45XCUBlZ8kYmy;Ar$0bkte{`=!&MaH(@-paq!ck1i%)KL+k9fLAHNJP$TAe|d-k z1SaEPkQqqE`3@MEkjusdgf9D&jki>|j zZ)9aI;G3(tUF+~&9-B_rUXxx{#ix_|>r&eR36`olKy3{)Rn-RX#_`C9FT}O6$3T|p ztwornvPtQb@H%WytCDEr>ymfVPJK@Lm!+iB$=5N6gk+NOb*K^<>C{Rmb?ugeGMFu+ zKtK<)r8QetnAkEJaS@p`Qzv!rmTyWQ`#;j3Go_DL(jV1HuBwVp>02E{dto{~(@DMh zAPKno^!F`U3)0x%vdMJ`V6EQ%R{a{h$+)&UiOFaZTjfRRGM$e~gj2d~PL{)PazN=g_i1@vYZv|L0MVqnUeMQ7iD8Tni~{r(2*f)|lS5$s8cndBBBb?SLl1Q}=k)skcM>T$!k z@2bb+fzm5*6R(`fl8;r7x5H>ggfzKzAgCz&oWL35V+~l2gx+%;q_qDxB$sYPNZnk0 zn92yK^25s=CxEIC4yN{zQpavL1(wRiS#FIOz;70~6U>o>22IJNcOs?!eK-eQ+&wWa zfYSWq`_LS?#&=CM77K$qY-`bmmJOUmmm^_sc$mI!7%FVaF+<7GXPDGa^)#Jghe@4X z5e3#rxP;Lo;PYjCs!;v$GTJyy>SWJ7kG}fer4{`!OzO?118KPArVR|`LHM1o&?0%O zISpQRtS;z61BXlFI28?-21HIx009lY_qv`G+q>1>_&E-{lf*YHV88{G1J#@y>k!B_ zv0pyJ=9Ic6l4`ner}o{Ah|scxS>zcdz0xrsEovogBjHx zLfn4tqu>x?ok@@7GVNb0{$vUfmAarhlzhJHG>YtainhUfpI|>!9a5Z!1d0&fDc*xWg!{cU_iy z5{u`0*L8U6UGH|m-#qUf$XW0DGk>^VJ3SGr<9}2i~lE=%_hrv!`vsY}RT6F3$pGruZg8dRG zEeDY1sHk@TG#t%bebKMHLP@*-EowJXYU5-2Y%}WVi}B;9i7m$uBLBoLm4J#OQNU_%M_Dje;f}vz#W3lG@tNriG)VZUd%Ta?9Y{{;CtViwz4~?iUUtr7KKX-b3Op z@#z;)9b-``Y?E=Gb34(sQ4nqQd&zdRG(c6Wr-7p-50y(6jUNqBW7N}<(UQBWPEYy# zU9YEM^Cb`W;#G=NiZV`U1tw}Z8>+t=rtdV#o9aeOANbbqJ&%oZE!gvb&5$SGMc65( zzi`6`i|4vH==3Ev`_40B;rb6!=F;XdlDD%><}ts}GMmTPJ=guO$gDh#O)Om+BlUTS zgLGM+;!`tL8kGFoj)^Y=Qm3~+&!y;HvN%g@2cJ=8gPKRi-5XMQ%TAY7%5b8tI4@y* zc+lM@wBZC$Jk;T&{|n9f(wm~$whejC6`vn_+>jbfgU}XOq(B`Eo@0+IiZNXnD|K$3 zDB4q8Y?>1-wHoY~ z1^F=dY)jrToDXnxTT2$@X_kUG%J}zPBvU7~R|>j~lY$MW)T^uLbhPA?Y!h4QHwcsO zQyS$Z@06GFQgF&oymU=F#Z($3cSRcI1!{m`4Il5Zo`udC5)4X%cX?_`7+O05H1GKQI+)NIH|j< z-%1uuSeA}PU6tFTZRV0RUh?i1h$u+%d3PWmkwU|(!c0KcG0H*exHQ0v26l0*f;Kdz--w3K<EVyN?q_Yf` zV*!h=FoDGyKNMg=3K&lkEMWO7sa*`#`fisqDO=OX7^$V34f0^BBq{X7A~t4(b7@fw zWQfB`+8TpB2^v#5%4`kf`zl82q0+9Te>v9663cuAVs)R039HXaik=A3S-6rGP6W~3 zRfhChB)OVJ+a_Wqvd99+bB4QFbY&t|28%7Bf_<~8#UzB5T0&Xf*JM-3B&qYD3WPrI z2RIfOTIPX*zyyn{aNnrd1j$=FLyr`Gx(4^hYf#Q0Lyv=D(6C=K3_KY7BNa`O2B}W0 zq`Q-(UbgR&$7I%$R?@4JA=)m&8Z;R-y}FWCPnLQo-{j)ZwX}=^vU*;0Xj!zWf?kO8 z$X4c3oDR6yoe&Z=FqX&oJ(ksK!IpQGdO?)uAh9unuQN4t>RrxBcZkb6EzS4Pb|npp zg`|DDl9t3`HNu{!OqPkfsQqH4_Tk5A@4_^x5Its6_jU2-KL9nLf^P73Xk z&v0JQbOE<()gxsc2nlfl7_*djojH>#n_Q}llUlj!N?2*Z=>;YOer17z5{u{2{W#!K zyNaBrN&}K}Aod$>9Ie2kJn2?E6fC3T+D8rgs))FI*ujliWhHiU``=?98rTtfF9lDe zc_~daKI}!Q!6z`QhFe+>G_@fh?Q^Br6j3OOJ%OB|D$U^zZtrd00>jbV25N}v_-v|~ z3SIo%a{6nk)MnsCWh4@^*hFBBF%=dE)KC4z^|^kSnT@l*XL1sbT|vxzoMHLZ@+!^zMO zp7Eg)dDU@LIx_C`pwxj`Q2wV(lbn+SS3D>8-8BqR6?zo(!@NOwHNXx&fe!x0sN2+{ z$}&0{8)CSw{45W7Rcy!4&Sd_nhLP_;SJ9aqy^;LAQK1c|LQ@;2E-f_#yn29}#MB=` zm<#O6GUm~gm6DrkY7R-$rHHADixlAzA=A4S`5P0;u(la|9kX;>L6b+EM9%gXtxTUa zYUP2bP-vNtXk{R8-XE8OoRqE>otI7J)1_Xjuod*jbg9iN5r9yl;tNf!9Ba(FCfXrQ z?91Zm(BU6VbvKW2oMZW@6{L%oIwr?h@<`fa$|J$pL&-xul|h+P1m=Q(H2}6S%Txsz zvXz64kFK`F6)nw|aFfp;d(!_@I6`e~ZhwV8*m5`?L0GQ6#BmGql*mT{{dy55mg-8n1WrMr%HAfo14xyi&|d_3>;X zmuw2i4+i z($A9G*}>FAAJ1ZKVg+58g?(0<74$ov$yy5?bQz|$4KZear$~X5-!of`vlr;1X(5X( z?dqifqF+ZFCz;Z(GpFZjiS)6S^gfpKvBsWA4{dV@cKc_{mNX9j?=w4W7)gb*B_H?b zeT^#VCn`C64qcy(77WRur?aJ=ZjbjOnMov-)q_<+%VOt1D%-2u(1f=o+217xdU)37 zEp2Xhghty?ks6$wgn=zoAC6hUb?}iQX4udN!e2D7IHuleb!*k^6?Eur%%V!O>B`%Z zw_l>b-KcNp4E05DVB9@}{a&`eg$9M&Eb03~PJ;~8;~lBBpE-K*c{Z6q$a6_xg1u}~ zM2SsM;+;T)WBCY}qkOUVYr#8Gw>js)?ARfdgkr=VA5leom?V)Rxnk6hE}MF63gV3K zVVqFJ)28-{cyhcl*H2!t6}4=rO8r*|sLp$suGRv|T0V7|BYC=C{kRe77You~d50#> z0qOH{C~1z=W5j-#Mr=h_k4Ncpb~yeT0;V+vP7}a4-%*s#3gBW^?o;s2QYp=w1H@MI(ZxbOr*XJME_(eu6TRg1T&g%k+;G3(TC(aSL)$biWIDYN0)+YxHCpU zi=9Jr=0a<(FqMe;qA{CjuGF{V6_MQD+XtCE*Z!aZiOOQM)vTs_b0zoW!x+RG)TQ&7 z!8^l>cYDPXJ{yzR*(P+XF7tf|;qo*SY|3X}BR^YY{hP7gKXPWN`neHOYw( zKSukxeztfu?Rgi-HZ*~q>r_)#)?swKjW68zlMp)jcxv!G&X>!*gHXtXnm^@<-!p36 z1s)p5pGCNn=VwYhRyW1jDA#ZBZPpq8*=@yvMvxF=SpsthR7MvYoBq}~d9X%d(W|*d zLX7#vLj4YW zx9FSd)nl5Rr0eq}ZNKrIO_XeFjdiWSda)VnfiDtr;A-lXD7iZ=R$CKtn))P-OO$$R zk0Cfh|1%%|@!-XMUaM|#4Yr$YiC7dLw~J0EO5V+Dp?@+-bTH!7CrX~JjfxJNpoLKa zJP1k&LY2d)(`&xuX*TM3KR4=B<8t~T4d=r2xAeZ}>`(gNvBN9QP%iFB+4H5%Y%c1# zK+-z1>1Bw%%wo;Sg*9i~0?E5ATXPPvaUi1m_d;Xpd%ExA$e{0sWfeHUlCyDv)I}I} zhGcz$&$A1p*9Uh?pNJDdO^52C*TJw8o?bCX@3L1Icf52njw{BUiXnUrq+;BO&_9mQ zA2aq@=MEXA_x)RSl19HL^$RtdJuaKg9+%B#kIQDW$7QqGCTb74H~(_9`ky!^PgwkSY&$v!1ST9Lbr5%H1xbhq%6i583Cw zSQ=NaZp9zD}>NH*l9h3RQ!Cx5j?6FkrHBZdD_kIYjhgK2GJPze!ws%x{ z6jJxC;CDY`FpN_SsnH5nV*fVLBANrWqo5Bh#F~Gsfr=MO+Me;2D4zt2n*Y_AmNrAN zk(4RMeKACoSDs*?#|xoLB^fAZkEYGU7zLr+B1NHCbQYByERPGj3rg^u)?7X>0rN+B ziYUz364^5~hBAOWF13C#2+t73W{C0(107w2abfZ^`gM`?PSaTMa=A8xVirqnn!2Ei zmuWI+DL!1cCr`xg*f4J|z?#lvdSuYP#ZrGqZ$%U!GCpY){ka(S2JFCaTOtLu)FSE- z=QA>%v*z65mPqX_6&&XzD6G6Yl= zu)sCdyetsNVvd+VbC+V@MaC*xxfFJlkPIqaDs|N85UY&I86Z=^D!Q{2LMbYPS|%el z+7iq5rJ_|7o{U)RQkjisw|K;w$7^TAKNs9wo-+Q|Asl6Xk&HQLLI&OBT$3!h+MvQH zvsDUmP065FQlvJT3`?vAu_$u}$L3%sOp4TAGiQT!-SRW2G6gvoucqHPRbd7>eIRw{ zZlXOWJL9y0`7%&{s=7zV8M?)O(pk*e$_RJ~G)4mb>(5RW50kkg-XH~6t0 z=c>iKWf)ncwhSXlUx;C3hMGnjFr@!=sN|7BdZrrao5{tcdE2n%9ltW|8l`jc&J(i& z&XMSvLOOF|2gK>}XHf4Nm9wX@EKeA{S5M$G0yww}dK?z{m3ytB$*ZK!lYNkIU}%JJ;2OHQ3R^S0yhxKH zwR`2#?JB68lhn#HM!i#l1HOPCRszhTAf8e;4?pYw7@BLD4uxQYT@Pd5ic{*p!szWB z8294yX=je)6%-{fFjhQmiG$Vpu(L3!rM)3!18NA2>UJZ~O6L_i;);662>c^?ip)8ynuf$^*Tw?g0qrJIOf7RhN^h+*A_Y-TVSsr%Jo?AoR@}xf6 zi;O&Ja#dUdVT*1O&kTaQ>Xxp@!DzE?Ehy}e zYwm5l7syOuu@~qdG=228p06kk6m5-;CYK&@!P;DEw+{1I^mb-6-Y=A&Pp_|o&D<-O zh8>r*ZzaH#7+Q8y(bgifpnO4##g>wB>ZqT&^F^yeg3sa!4J-*sEsf}-V1^FO!N%kJ z7@I6^MBWvVVx1HQx~3%cf9aj3+ey^3J|Xg4XEvook_uYh9Mu}mp*nTC zQRSb08ZTNO>X46Z{Jhyf9L7vJYkH|Mhvb+;YRw^q=8!sbNHIcmsTCSs(6Pn)bwL-F zXv6g0YdKSXa-Jq1az<<;f%`^aZV1R-b{(#CzIALKdC~{1-RWV3vJHnfE?mJog8mgj zOVziO&u=5>X};vH{Yv?)Q68PHR|#e*c!^eTkX&9eHXEhEYU-5_XzK~dgQl&QoCgGg zlH58z5Jp8{H17Lecn511DSJ(3ysWgb*1@U)LUQTT^xA5Y)BRARK(kv>1~0GOgo@mo>uz($ z%iua!Nnvq3s-(bdz<3rZUMTc8&p#Usp3%wIaeMN@AE{=e6ymWMh;p0i&QMk)FfEsc7eI~4%%!;nm`@}sT{IerJm9yEnIH=9sMMGhVmWY&J+?WBT`i`{ zN*)NP?d!%B-?l{ThB0hDC3eGvfQijf?_K&EPFwOO@?w5^Ap8~>0;Vo))J}Q1O?ZNE zHIbY)N&SZwFqv62PQdI9drdNC83aFqhVB zlDc&)vNW3mTEKXHH^wE;;Y+SJZ&1&@TIK&(y|h35vr(4o7MDOm`rg5nF-Vk*U0|p#5PXEt zUw~w%`~GMB>Hde3i!Zj0X!8_iCmZt=wn#22`+hWS3)b+x z@`Q+{8iSqv6io4v6m1fgrpV|jmeH?&ugK{BC{M`fBCB>N_d&sI?!pWk!Nx;7VH+}J zn`LydbyB}P(iBSFe9!ddjH1=YzN_*#V8j3m>I`|_^+1n_{~4n7>X$^r{Nqp43o+*j z%%ki=sZC!~P2vIa(6m`CR^i|QsjTfySlEc`Lh^Y0?h;un*uyyJ@-uc4^yEpp*!kpU z+Vyml`(-)vyfJDvyI|L(c{6|G#qp~vTzxhtfUjU-QGectqPBu`GybI|Tcu9!OW#+@ ztblmqUs(k)qgT97Uu;F^>#?4$ZIyZtu0|+GOWPIO!~UnOxwdKE(U3B?*~X1+Vf|3` zh`4`3gMO4VZy~GHV7a~umQmi%%F4!wZIZL|;iN|86%xI-4HD30J#F8H5xI!y{5Glm z@M2Sm*qZ`l3gDG-(+?vwOFPE5yi}y&=Hp=FETp{?iOIonF4N=RK)~QF#)C@_-Y#`Z z=3Ibxfxw$}6bH}=L)Eo~%IGC`Rj*lps;Q;#pug1lyE))dt2}CyM}_hz#zQDy-&jMi zDhr4d<&mH~V)^0LH6;p91;pGpTl>+|?NZ04rdFiRJFph@%O#4~A$7tDQg|p=AGw4P z-^$7Jb7_1hzLBhL<|+jI_NVt7AYJoOJvLc$Rdeuu)By?>f8^n#+(u|y#`lKtI&0D~ zG0dfcuFN=Z;uB*zF8y3S7eOzKeA7qqdXT~Op9f-)fV7rw(04=2 z(oCJI82wL!gyxY@nSqreG-5p+*on=tQF-+1PAs8BD}5f+sYdJxXrQ~K&dEHQmd7el z7*vfsdQRLTHmWhaQp%gZkb&OiMCoWFD? z&P^<|u1`?i{4s`r_Y)gcB`r^kE_>!v#V*OqE^XtC-0Ra_=m`*0Y1UA?-BLhu{a^PR zZu1TYAkG-^?`S*_Hf@?{P!D|t`$g}M;I>H(uK5!PU^9;klFHdtNDQCf@4twPoX)!# z4FPlKHNt1MAnjEXX=|W3d(t<%v1>hcJ^i*D{uL4}G-N+$DeK9n2+GUiJQ`6Xxg<~T z42G(=oeyQTKDE~N_A_+O7Y63nG`x>A_|$!fP~Q(PRQa=!dn=xT5#9%Z4UT=18Y4S} z!viM0+X&em0@;TqWD}a&(9I&)@Ze7F-y&@2-;+l@KEyQefCc3YwC%<97;zvsQn;O8 zE#PTjWla@NA#1I5z{7c@2e9UZ1vno7wd?8Vhj7qwPJ|kdZfr?T2PMd0W|FdtdF1#J zrW6ZI;}!sbOTb4M2(IQ)%tyfGrX{;lD_n{h7kMM&vid85OT9HNck`$Wz?z2^;A#bz zKR%MWBtKPfS+xNQpGoBzJcm8`X*|22z44HJu>U;^+i4 zWLg)@gO{hGfYjil_kbAUlyUJ`9Xu_o!|s{}-6+)Ob|=u&;2VZ27}VVm6B+k!SkOH=vl`i&$3`(KL7zo> zzG`79I*cLU^la<$3ze}B_#}vVBz}R09-Qr>{pr57$%CeyN+^6@j+#Gi-_BC>sz1O)tbWw@i7hsamkJA?oRRQWZl9 zFcS4B2CvsyVzr2^Ur%pxY&}tCG1$olEvm4S4`S^%(4k^5n?pYRUW^vj-U`}F2U z(O-@&m>+tSyE-@3SEz)+@%?ui)2D>P19rwYV!}Ydgg4)3*?>hRH!D(bO|Zn|zk!NB zmD>9@ZRbZ+Vq*^og9nZ7I4(bCp$8|b6Ci*xR%)?V;)Ne`}D<_^*>gxdri!VJg}^aO9g!F zt845<1GmN3433^Hu!-35v)<2P78{dq@rD zs8S3-5gU~GU@_i9%W%2dg#%ItO$#AcjGyL+-L1*tWOopQl*?M`aqzi#jt3t{Z=_KN zp@Mp>rIdqG=T6?w#S~)ig2p^lB;eh6XO+^?o8P9h2U!lRrQZOe@wb3vaE2Sm>vPGc z)j%Z_!0f@C6~cR>9=m2dj7 zRBGGap$$*SCaE1Nxp)3?8k}Ffz|A;dEZ^Q1qdt9$Jj*1HWFr)Bp0{nk#Zwmz>{!6b z;9B}qIjJS7q3&wVNrygzd0FMulm11viST<- zeTc_D1>k{i!`4}iMN$LyO;!{Wed?z{LsMTba2 zT+RmaJ%rQkhu11|-q^3@CX+Lf02n3AP-eWRc*Z;Ls50Y?b2rU+by(0aH%9ph!3W$X z9Blk$rI_*N^Q;8QPn31ib8G45A#CTqxR%;|fgWQ*CIiUq*g&s;A$4nZRpe&$U#Vz7 zT%Vh!`s5%P-&Aj1pA)7m@)37<106vYs=I6H_7|+yD2Ra)MTkAOf!ZCG`gC}z6fjCJ zx}EEuBV0GkZ&`uiF*~;v%{wgh>N4mCT9p?z?ld!Q9*LIccg#sY(w_AX5BhxxW`!Ep z;3IVUu++U@A_Bn*;%t12R!E@#9o}chBlJ#Fh?&uNd8{6Uzqa$6ol^J13pb~*R%lQ| z`*li-6$4LYRia5LUK8?Z`VovyE!NTMBN%5~kW3k!su2rI_fd}ZSVy;yNZmUzyP3GP za)G;X{ctTS1JU;P#0V_50AoxZP%im&^EQ=NgKS(IQS`2fqE`@ibpsj7aX7ArDA;&^ zxzZ?9TOrwZHRVx@bddKiw2FU{!evIpJ>0PFC>mwpI_hy0jS^<5Lp>sGHqx6%r9RCf zlmeVg1#qj6GUacB&eNESV@&xwAP!tydlcR&V%7;RPA)||hL+7n3b66MIBw-`!fx|v znZm`}oK0L@fs__5o~qylF6Llkr*!7xEHf8ZTPK}uNy@>-zhN92l3L{epFu%?$W&2* zqn?Q-G{e)t##7ObyQs`b##7zoT5lrRxb0_(X&dllvfApc1&v9^{Nqf zF--nkWz<{p#!yj=yv*o|5e5B}ygyFC`XIM{e= znJ|v`b5d;UDb`63SdwzE@sN_#*_1THI_cqcq&W_%oe)%O*H@a;F_VD94Ht%~9D*xOW6ns+Z@8^IO_8{MHVR@K8 zIV`Bt`p>HT7sJA^c2uJ}w}3_)T84{zcAP}fAsgxBNq9JEHA+#ax}1`nJu)$aWrolj#bB|=cEZ7;XxA#uYP z-Vq5qArFzawSR)Pd%W|(9R|t^*v}^hdbTqh5{hHTUM-{Z?9n4fEa4BrZc z10&a}&sQ}wU8_|L{RC_K{xq&y^6158Rlc3*3KEyIyI95*lNi&{Qro0q1XRHUAQh*d-Iry#;60)44y+raN~Q@ zqtjALj{{5!W^~qGH<}n7?>O3>NFC3h@ek+H>u03SVJDDM8P;@&H!H_{z}o1&NNN1A zi>zXeQwkC#rhvj0F>@}LK0!{Jih1<`o#TJ9MiR7}|Oks&QQ|m7j&ktk0$2_-T_zZs*`P$RUp+ z&Y^~yJW4nR4J+(x`skb#lH8#wTOC$oKu8Uqcpg><9qj!@m@}S25L?yOVoWqRo*g;i zWy9MxdZVyeRtMdd(-(6@z~e}z20ZWPpTz>f!>zq{cLXs_I9i$eIqU)DEkcZDoR_>D z7d5fiCzAHiy7RDTgEkpJ}pB41W)zyp+?~ zgsch~qHltWpU+nL-*!iBaLvPA6cQ2lO=!?}a%L6Mqy|?Z7It_0ORSC{y_`K4O=t*M z7{PTim-*Z#5!H&WkJhweQ^a>)!q8r%%%GQ*x3qz;d+aS=Mf6;&{YF7+kzz z+ZScgAf(;xnMwHfIJ_%#Qb&h>*j9^0sj1lq$*pgt37z z-lyZR|Ki1qz|{~iOxK76Wiv!6+H+lGqkQJSfgO{tH_F3e zeGqxT`mpL7>5XRHYhZ1QVHdS&2DQIy?@Yyi z*xS>a-@?pb%LxWAj}q}5RfwqQP4wBfkeSg1bnRPkQ>-Pn z7_sNFsrhBer)|8F=YO#I%#a92t^l^6l2AY+E`u*)H_?*I;LD@}`uMWc+93rna9My0 z;4VX7II)TTxQw+3<7V8tDGjm9D6mGn)~MnQ)-4tajcEQG3hEl53z31{ngzl;Q+ZAS zZM!19sj73PCf{M(nO}c;0PyW!Ay!@I|-ne8f4(wo&of(zr~5i9XzJV&Ypcm;#Qu6@sxek zdE(Pq?X3i>{l_Tb6HVc+rf|+UHMl2c^D0=;E%1=D zqtFUCEqy$MCVwyay5)nYEIec5H}MFIP4dtq7t*%xB_F#&Gf8W|mzvuyq`TisZQY6l zfZ_<7w}j$Y+`asMDAAsoNC$`H$Tb@v>7G}X`r+&q>rvjz3eK?6yn~}he?aoKZWO>zQ#O~+VlyxNpg zltLTBl>q8=O^QtZZ8G=@eheLNn_gV&OfQ~)-~|+(psT!Y2La~G-<(waJ4fixMCfY~ z99+u5vL>Kfd3L(OvE7gB8Y;;plLdQSCSoYn} zUtuytWZ?$N&E)lyG{EkPnf5t<+BZ`bFQi330n^$7+W3={qOu)Ip0yAUHhn3)R`O|i zbSTaf8^Wnwyv#O?4-1Aar|!dV%$uj1p}cO1;Y*rn*$qBZ?i zi&6Qpj(o0TP(rpNuS-2z?;Y|S+@PM`ymfPE0#5d~Qle@7b!nteh*i82lYzWbgNI`> zu9CB#LLgysEDccj&F=>I&1j-Uf@ZVQBjZEMY8KMi8xVSR1@!(6n33y|Mp1ZEK*)kk z^zjYJ$Ik}DL&y3Wvwjw+8Hj@I?75(J5b+$v`d#5Bvili4ta(A=?*8qCWA#=*v0 zQ*gMeYDfcp^|Lh4&c_p{kIBQXI@qE|MX3u1t+{|S1o9aU2m%!F}mC{O7KwQ9r5R3}cvc z)u~D{^+u5l!wR46W4qx9alY?BWo!EQmK5RIHNaZ6*}`~+Y^D~!VAnzQ7JBs;sG2of zD2AU`w$S^(NS>}M{jETX1js`H;zehFk(^Y;Tj)nlU%G|<`9*5oYxq$YTy?9CQXV7t zk$NI_;&DU3{k~Q?<-Cy7)L$j1cKHYb|3b&n8M{xyjt1<^2TP*maAu%z3+4SPb#K;b zGFlf>@!C;3{j1cgnN1-NRpA+dg`_fK&f!odB46*>|>5mW5 zUkug56DcxypsF4b`L58I$x0=Uj*2xE3z8~z0Bb>UAirG z?5G3o;!q@#1Y%bq$>U+k$5Hy0~<{22oBc6I^VcqN}V`14B((ZRz+5 zQSE$W0PexjA{;CH*|s&g+<}Jm z@V*%L#$5+(z3*wZ&_{I`J++)xj!yU6LVwj^ACK~_S?i^QuMNcW^{lX#IFmh&4gN0Y zl^D$?A0@@65In=MX2l1okmx%k@;J|tuV+QHRPnjMOm7#&8P^O{PM~xs;r7+I@)MJ= zngv?i&WZbL?j;4fwQ~r~3Tvktgp;0&19@q*3X!KGgPtvE5oT~5@DClij}Gz4=XC8p zRu6~$L{INyie%H3I{XRWQHAE#kFvBrZmNCrPbs(`@^*la&0C!7&^Qml1;gT$8(5*$ zdznWXv^a13;qj=fsE{uGDYbJ_1i7j=hN`TB3GDA+?nf@IRKc+b!`6~EPozaE)i3)g|1T+|*@68^ zvme+`H~x}#sUGg5m48cXRTKA7`+ua~KHc^q9?q+eVIOR-ig#a!CRoWHtt(j-^c=;p z&>kc9;hHxosO^)zt!?hS#8Hk{@ybyb`N{g`H+xII`A3?oQe_^Z*Pco39P0!-VBQ*9 zma>)JeI`wCP5HZ(!>}xm%Hf8@?hXwnhw1&RGXAC;&!m1HNr+1Sp`1AZ&K$tGd`wJ- z64c8+BL4Q7GqIvf6>#CN!=&-%qTJ?A*U9p$Ds%GsXJGJbi8nTOg)pDlFd1uKN zYPqRx%Vs+SfwHv7avBcx|GmBBViS3yO0{t-^?gZxsEXZI(zThq(^mCtGu1Sgzf*PG zOdq$9w*|&-!!Q=-nebP2Q(fiHcDlHO5y(R)?|-1Po9~5~G3J==4|H)8t94m1Kh~GW zZliQZd4}rSda84jwOtR_Gx&8oUH9*Fagj(Aakl;oCF}XsI>Qne5fY!&9|c%%NlpSb z^sywrtlC{bze@5j{_Zc!ZB_jXXsj#`bnpFyv%^{MxY1XDN{!BLG*D5uenNX>`5pI< z9{bHk#a0 z?yXAPMr&HiomA)ZsjQ{^fhzk!$v|iMDEyhPqkmmwz3jG5Fhh7Px4+XmTI(wNIsdd) zG-qTT)GTXm9mYVy2$~mMS0Y>l^m&^or{q< zF8qMbFq&1hh-38(Jtmj(Tgi#4!rT%`D|_0i^lQl19eie3T@vRm|D#f6XH%?)+)6b+ zyX1Wj`GT!#xsf8;%43F%&*Upb!`b(h4*Ob0ISxSpMw3beR_NjoH@fC|K|PezrU(Wa zB`|Px&ZIkS<=3=l4FbPX6PPLXpn-<8lRZ>qpy}=8{;IZclG#pnYx5eXwv@vZGF8ae zKo{D{LsU0bl82W(O|@_(rFqFgs^FDW=_Ti>cI#rzRp!opF_>b(4dJzrj(4NM!1A0WJv!ij*u0_^YS#g-nqk&a<8PgqZ1m7GF;0 zuPl!VI=hKT5b*2=s_Q0uIMx18-4xy))Dd>!D9iD}59HY$z7-ZBSO|tJ`w$(X;Mght zhmz&p<=<7qlddox$R(=N5yMvI`@CZ-faF4N9laC@&EvSdrSu4Ks?#f|cW=3$l&{Dy9yX4S!sU3SkYBrd%WkTm6?C*W zax7#h|D{yd8&F>^ryhOeKxu~pmBLc5ZVA2HNB)n4|8g!ZaX3x!lf9bm5rr+G2Yuvj zsvpv+U0)PdtboOGVbx11uCM%xs&pG|?<@PNDz?$r{H)$aw*G*r*+#zpau?N=Z8XMT z4pB||x+LFUwzKt^ae-SoyqH;hit=8>@8j@JKfEmWqF(}J&2ULX&95+VdP5B}gSox$ zD__j%5LLl9#HX3lFPgs;POkvfD{CmcA2>aYqYRPARB-xyk`hnBk5oO|Zl;zm0hMxA5rw-ro6_+&;WeILx%byl*UZH$3H*;1= z;?rEandUEro4JN#zoPy9<-W-s5M@=Cv-o_0n{AX7W^QJT?tRHXmQ>8m-;1DHP8AXX zg5Vj7l*eC8$;@4hAPaN*nYo*@r56)3cc&@YGIw)|`CroNKzWCP#iled`wG`H5%bAs4r z^4`PF-y>eKL)`w{~WD;S$-H`ILpD|rObt?%DWhF7AfyqWi8a8?sZx3 zhfSnQWo$IROoxJ?-`V#kyMfS$rhH3F2Ff#4k1o;ef%06{W=vLtZ_c8`5IIoghwl(54KL56 zn;~*;%eQ6<%_Thql;*-l&m?`QOf8?ri^8(}5Y8II-FWIV2wiyQ3`!gXJ=kpqRS%M9 zsXmOSfWi149bcj!EN@YDsjm=()G#6IOz%Jmgq8QJ^x;=Pa(Wa3xth!3cF)$ywyKIL^v)2uoodSzS~Wy2QSF;Tx}kEo zYD+Aw8Y;J!Bc=#4j4lO`=j&7G=umln+xp3hN;$7RTA~J((;_xZ&|7_VGX3WbIaKx5 z+qCu#xxIsCCnJ!aLw*smtIAXD8kvrHn#-AWOz?@gqVVRDCN zi%dn1oJc>GDcLWh<+km7 zEXn>yhRsbW`;9?{H;c_hH_q@(u~P1PV~`sk!*@| zV?TGd@EY!4-|wf`vGPxBte(W&*U2zlT%U1ZXLThEv)Exua_B zaQbip0CJ<~n+fs})t+IrCPx0Y<;6(Rm$CxUtSs_QM3yX^C_CD}6v(|a&hzt~lru$k zZM9`5SDl9$o=ev%bLqhCba;w9HN;y)in`{R>goj&IGTgS)EY26wN~<-U;BJ&ja*{i zlnts8I=c5J*nH@a67M+qtV*@yHM;+n+~3_}tA*_KCbA=YwR$UsPLtnq-(2`VqgNDi zbQk(%n*6rgqb<+rc@OVQL&tEMF+)gg<$Olwu153`lBloZ!K8BPmVaO=9%I;Nj@bm0? zh#+JWH@^TW*d@%n3=6uN8cpKM|y-P2p(C>WxtRk z&qQ~bgOOth*k!JqY=Q`ADWahJ#VuhR%#+Z6@;D<_37?e%0+Y}#l>M$eL7K-AhVUHj z2eb0%$-DAiRcZf{UGun2vig-=NR;(z)w_KtW+7VdNpHHmP>xfr=}iL{VGxtMU}DEQ37grwklVy`Ij*~h2yhC|xxE<$|2M$X&PwqIRAF={9jIUIOwE?d0qx&Xg#piK3_?!f z#s3Yrv@^vnm&e=vX&XjImdo#`KIv5AyF%_~*HYgBj0}4o5Ehn__dC%1m2&%*V;Y6O z)`5yv%3i974s>Rvyu#^td&a~my!4JE(`Qe6deb0Bb$QbiT#q~}5P{Kml)4iFt;=w2 zPv03(#-CmyoYRT2Z+KCQOq5~tD(RUipI57F+t9zOp`w3G%27`H<}sT^Vwqe_mA1^IuX5#WPXE07Lh#*pIk+S3%#&BB>RM6H zwQ`i|_qmj^7M=9+TxQ`LVBs@|LUz*jwUBPFnBuy;7&mgAoUJO}Nk6ZXYm@ z2{%sovoo&nzVNFY@D{$(zs5_nPnG|F-9dACz80sBVuR24+v4^WJlGQy5+9Eg=Vio| zGrPrmvib`=5p@C&9DG1pUeiW^j1qV+`Qc#RdtHh^{Azg{&Y|lk{N*%0;a~_*rPc~J zQ3(u%Us(;5JZ0&;6#c|_o|5zB=YFC%S@{(u3Gd|RBtA*FiyDjO)%eKZLn&B*5b0`n z(ogyFb3aic(72PDu9v$z*0C}PDBeeE@)H${*94s061$l3Zv5^WCoJ;)6Lf|T`SOlm zOV;%}DS5rzxxdXWGq$|2ZgvzE&%oy%Fz_}7xYiojVHaHm@Bobkm{-ruo{Pj%`y2pc z^G)r5Gs01n(HdO4i-I=Dos+#R;JgfO_E95N(lrXW-UQAk$t-fLzo^T47oh;G9y6rMGeQI?IWrGC$x0@$wA8f1uaS{c&t)vPkTXt=PO7FjmZful0s0Qw$CIzsNhwY-y1#+L35nL@^%P}NsuxBH< zbSYJQC~Ik1fvoKxg-CAfLR7=t!ufbd@)b2`Cf^SO(Z+kc>#YeDy^AgspqXM7f&si3 zAm%nM!n<){9ecU*9S&%|1;Yk=?=5tW-$j0#0G?n0uKm7yZ5oN`1~Qa^Ebsar}UHP2wg*z`-L* z=w^AaUH+~aGB)EJQtZZP|*8ubISM6^0A;0&JFQ&MX>MIky;p@JCr zh=Yx%b}=#*UsXoyq(xYz*#c{{@nZ_vBDd+VLP3VlIMnS?`Wv5t;MPcU!UaB;PSKJr zvQM+RkCkT2v8M|w?OLgkX7O)yc8lDxXFZ~LhXOoh;Shvz)jhOgK&M5DFsU#L6C30n zS_Vhh9))rr&nBnFz;P*#Orw=?p1`Ku0nwaEkMl{BX;z`!KDqkjn3q%Q8xr46uSlK& z9~IzS`+Mx?K{swe4<~jjgeu)@ zb-%&jI|uRXv0yqEz!#6N#suk(Wbhrs5$E;3!|)8VHv~H`Bzdds=b-^^jBE-jZL?P) zl5!XXUbrW0#e7;Tus3$y;e^etXX?qY6$<*8Cv;$|+*#$dhpui#yPHVuh34?uOZMAf zN%Yx6e%s`>FZ(IAM;U&I_3)Sp?#UCUE zn|#8O@V~rk6&RhwCSRr&?+wucZA(WyXXz#!Y)n8}#jgcpg<2%L+;6}``_;cVL-fmh zpl2cJDfP39Cxn|O-6M6Xzq!PTAHM(8;W9V{v(QA`6Jc;2It5w>pGX1^n4{dz{RQ_| z-A4tn`>Rj-*+5z7EI!gS(JVsaJRLW*;PWf04V17;cI#q3W8;9*hG%*6d6ipM=T*FX z-LapyVjb<>C40nZcB2Y7W1~Uh7tYws91KJGf1R-r2UWg$jRz~<_a@W|m&8Wx?1DUT zNO~D{+6^rbr?+3Sr5gT6wXs*?gfl2 zE!$%^-C|&W3ve-jagMu9k&JWPN+|az02#+`f`Ib0pT~nz8=7AvJ12)B1*;l{{wPWw6O*82dbb!DSe?8TZr_Q%_|P&E1*5%cBG? z#?O$;kcN|yK@XRn&>86MkK|@@pmmL+caztLkPxxEDdIy+P2+ddvJd4B9toDZL~&jI z+UR6+`v-ItgXiYCT%n#(55aX@7e4fc4F^hH{(9f4E>F21a9wf%jk;7!{=e0QUik;UC*m82 zxKcn9?q^JB{^|6tZy+YL?7%Xr{8;wtlFvQ>ES-;u!@E6=_DQTVbeho>Dg!*zbR~y9 zvaemnZqr!&#vY8t3i%4(Q^sO(I&}MaWh~C^Vj7G6OcGU$#W|J+;$WlOdNj0QmHF^v zpmoyx-E?3N%nyaT>GwUdd$1^rD^ic9Wfx;F;#|iKeqjNRt`FXB)TfGeQ`la)ODFEH zXxQ)1K!myG+(~0EfEFKrUZiy>hpeNVy>gq8Q_i8~0_Gqm(VyZW5@F+159)jd9#t?= zoOFiw{>L*DTS!+{jQp|5rN?R9N5p%)yw8h+Jn+)xeI9Dzv%N4nR16%JiP?u9 zUb>r>?vvYUDiq3~ep+;59G2O$5BnbG!vJ zO~u1J(W-m3@7Li$zhJhtt0VYTaVUz15qaueahTVCagQ*4ZD{wC4HfAXOT8lF9^>My zNAPD8{)yZ%FbaNo;Y%SzdH5+0FLu_OY7CkGJ+BL}kH7%r4gY8T@L(N(sVxi)xM%9QA)rd`{$j@Zq zdW$N{T%0NOGw2HyJ892nazM_=?j4Z*Omq!lv}bxt+5BO43}6$%MW6@yx4+jDbkr8Y8B(%cxx38 zkke0`@COw_kcDLwOe>}sQBg*WY@p`wC<^5>wUXkutuRF+g$$D05F8qr%Jm!g8`s_J0 z15T36lHJ4i&2q@rDVuq(a-}C;QE;(H@lUg8JWPuSbcMu;D?58s4$ES z!-Rnb5^fqAMMU+(Gqe~$-T*-Ziu_8+u0_pgj&u`S4#gx(_~H-?1H@Bn_>RYJdB-GC z9KB44h!Vz^?}5JycIAGsN9i4tEGtuUtSjxxP1fI@_68(ZrAXj<0G)R^V(+eev8vP} z?pA?;@0hT)YR&ov;?#GjXp%{<=z8&D@V{FKW)^?ELK)U22c^)!%fY*0gYCN& z5DD{(_+b!ctF_9@vw>UIyjckzTu{UZRbqlIbN~yH75i%+uEg|PT*R%F=r?6Wd~+o% zNe~&1;dzKnzRco}c}eUD9BXs7l2TzFct_ zf455M<@(%3zNbp*KWPCBDd>QEUwAaOg61M}n|vQMtlV+ zEjt_q`x;iQ^bK#AaZA=lk#(k)H9(IO)|NF8+ikO}m8T}9&O-P^w`>aO)fUBzCPFQW z*R5g(E4x95JzB#@YGsnpNq>k-SAMaON7~SwI9}jU1pJy)ayCVLtw4N3vR&A_m30Bb z`0id}7+G(l51MBOAI=c!VKpUrQ~u(D!#YorjyOIqYKDlr05kb@QV=b9YfWw#n-(14 z5nJZa6VKWdQwAgX>~?zMfI)ZE6Rkl`dg7Ak_MZzrV;i*5E}+ z{Hwjn(7R?*KGL>UFGg>k?Nd9J`|nc*basUPf(Be&w#am|majnW8>}<9XSBWxznE`Uv$k4WC+{_=Rx~_f_5-xuF(y^xMc|YZV{2 z4%3=C^XAVKpWZ*0=YVkA>@H)tJhj#c`K|5*&#P7L@mmcWO1lN!iE0|UxZbzXHuJah z)3soAUZ3#aYn4G6x~k%CfA9fc!7{UH*#buByGr&Wywk`qbYV+g8f+e)BfOpE=>`HL zz65>~tcz$i8?R=0xOi;B$z3a2TD#+RrAJFAx>cLSZLUYNxZP+Mw?!Uyal5Svw;AKr z5|1WvU*0Id6&{VaonT$mfZItR-9XSKXCt0pftd{EY>Y2lyI2*4IexcLW0i&zLP+l+Qy$`}8Zbr&QvieIYobv7c z3Hgi{r`2kK${IzWG9~a6GKoeS-`>7IELNF-Bf9n;!z{b7p=e;yy@Sq83-$!`JOQNy^3yhlfiG%@QZ>C z1$!UM3IXduJUfKXKPWAJC&kK`7CxV}1N#&4Wbt!(=$1iUMqLqeHv)p8`OqU=ut-%$ z{SJ>pI^Y?^Drp!`sZ&g@wvBvw9R_HfBVP<~ayIk*bs&f4uXKLw^)j@9nE#8N)&Gkd`Q3+=ySrYaa)?2Y z!ResktF3(MVVGh!BuY_p)nSO5Qy{ugqfnF{J-SaauCaG)39kbc*O`U<++n46;v6CC z5^fscdUT&uT-%qvEcxB(A4!S{5Ah(0rGGFItD)CJ6n_IEO+G#YgkDlkv2=r7GLL+u z%!;IQ^w7_gz@U=>;_~~s%43all}C>$fu1LscvOQ&AL0Kj9`D6}6GBd&41v4LM+)?n zEj;mv5Typ)slA`G6rr-JT=(i0+&C_mr|tT&afn>c@jz zQypFzdH|gXZs=S@qmn$nW*J*Q8`G9S?^Q)9Y@G(cY#E1!}S6 zY`8=$xtya)V2D|keX>f5F|^D|om}UtRf&!oHvohfb4|$AFG=Ql6}dz}WeV1d3L4LC z5@N04l-prGpXq2w5drRP{_-)<&e_Db90P&;O}yb)TNw!x z12IP!rn_hsM_*??Vsli99@|g-O;{ZDXnFz8sCAq8&!50&tYH%$dZMjHA*d0e<4rrE zM73|!Y7|H{N)k0%AZs*c5^976Nnr#XyFpgzo>ty)Lh%l6a#SLjDp8(#li4%Hxf1qG zyz5DD^A{iCBTvFn?r{7q(?T<7#g?ypjn6-cuZIY4D^4ooT;t;8!;`e|F^G=MB3yIuZN2_LLSb_+y?at10?2QzMf14=7R5rR_lYuKYn z%eg6^fsy-u$n!q~J#GE33Al}$dD&+$b_9ILPk#pP7Wg6W)Yyj743vwT`S3=dgnY=8 z8kHdrUYT|gq2dlg`KM5E(aYBD7op-_yZ@HPi$JYn%fqz7D9(mpvzmcK^2a1RMG(1@ zjY@FT^lOJ8O=cgz*xG8b<_a4KSO{D=1UKwz`1jRAWMdD}8k{;d{UJt3-Bm<@Q3hv} zX-lH{plUw|%OTd$^O1|jP9g*c2fK@K^bvY&Ket0nN8xh{1YZlDf;>(|v;IQ>z?nsB zO$C^Lu~INUS1dywG`#l5A+Z(*|CwJE{^RHHihTMH-tG$}-m$XqKOSxpzOoUf2ywUx zt!*AOG@^LY2*IZ=K_mVFvtj>~D~H0)Zut!+OWWD33y_hDOqoEo`ac)Lw|=1nvtrEM zn7A~xB-I0Ez;@lZ>z9h}KoLjoD>K$-+BK8Fb+frRY|RaFvNgWPW4~1V%pFlU=3+O| z1e#Qa4obqk)&GOhO`Xw}G$o(?=oi!?d?lVx*VDg7`4M5-nUlpge5nM4n(;;2Byk$Z zsq}3EP#LT7k#}L&%N0R=9AD-{A#eE-eDst-`$6|Lbv~Pf zxPVswzI0jtF_c7c^p94=t}mO>V(=XjTEa?GI$oXBOIl{46%49IKc{L8L^Ti@CxnU* zKN@{Y&r=zp;CO6%Fxb*LGwbfZAS z1>s|$WY0NBH6Itv8^2P9c^EDq3VR`KNpxHV@A|dUBkXdNEWUt-3H}@=GUEPiapMXA zqS1(80kE3Q`dSGbPgXOFdcdL{MroajZjkVAj6H!fVGuRU&jk4k7c-}l9jzChk{wNX z?KRLLyuR{D9aSZ34?jj#x#!lZINQ+NOeSQdR(7fiOlZAN!zF9a#Y1{r{j#_Cw9`uO z9z~J2yjPkqazy0tywlKLVKLiyS{WLsSD4pmw8J;f?qZ*6^Q4-BMOk5n|I|&VVWG*(ON4$wmD2CM6&^MHqtY zpB53sT$E$rJJeazEulNtqJ(yrewLe&hjvHk^`Ukn7UB-z&W`-UgUlm!5;`(tJ|=rTdPP6or$*mHH%o57f9XT=U-AaLpMW3jA`!ab&Ki6wjF0_63F^kE zb0X_PpQ`jNIDt3~KjjPX6I+%_zvV&mml(l}jjo;OcxtvJdQ;lrjxI`8 zC?qs3cMKe6ZMieG+!-SK$L%_4*i|_IP;{4w-M7IO$o~W~$_l7n3Dl~XeLdWF z=M&*vB3Tx*>e)zCX#V^^AO?({L5{wLZw_^&C^XrZpH~hV2IAR84BRUEQDeh{0JVnq z#8V0P#FZUVY8Cy^#PP|FUXi5cK6Hc*AM0w|hkG^rO4A{P+zFn$gR_4t-Whh>0@+af z2%R+(o&X#`$00drumJ|Hjrr)8<8XxE`+V1z=}cmTDD43;vHz>cLgmP`t05YhfNBG3 zorY>Gm#B{b6#Cy|Ltn zjzexhcMZLaBP}k)?C(KGTU<)i(qt`7MQUDhz}+1Ad-`VykuBIJt_EEvY;)^D+^07q zF7b`cux3RzD9bnDeXs~$OYjLp8u;BmDdWN=@&TgIj0&tf+`}bS8I*N}o{I}AQ(PqL z7peRCx}VT~gp$x|n}9Pqn~8BWyf+TrV2a6GiapeauzAK55L=ds&IngB{Ngh=@4ZSw z05)@DvNw!Efo6&p^FsfFhHYOT>PX@$zQ(V`y&|jW8pE5GDP6=-hCj_tp;A(aR7MJs z)yhIuQm7lHP>)KXzO|dmFHip0ICQXr#rQ3!Zin*M9lP3!zK8%ZkD)kgbS!@9(=I}Z ztp^a$#T(xVwWBk=+Xjys9{&8{j%su$e&yiGpwV^9n7RkH5Xx8m9|w>a5}h?v8aM%B z2;Fh?G_|@5s)Xw6KxO)<*2g8k|2(YNt!BRPywZKbd*4IH@p&@$U|8sR*zaS&>EMtM zya12wk=@$i#_nA~jtGCj6PE=&$Q&NRU=lrp*Q#p54=_f2N*E)L^KZ{96CeBI%$+f9 zCoz`>9|eZhXWp@-QSE)6!7r?BPf^l7dJYn+@d^4h&~sNP3U_M_qB#nv> zJrtjfG5ZUIf!~$D1Ilujxq>MKKYpx-%Wv4#IQh6Zk^UmDO z`(J?2iPE~$e z`BT554AWMg|0_1N1a0Nm6B_6mwv}H;Iwa~gb=~n-s%z~-sUZgqU!In_Emb!0H`r=W z+5F#>ZjQ<(p)^t1OIcLe2Og4*^yP1g*T^`n#x7|2G_A%h(AZJqPlUtLNzOjs7nZ)c^4TyyhZSi)U)pu|rzE_9MS>5#M>vRzCO=w)`FJFC{ET zN0R5oyOHXuprc z$3t@p|7Veqt(2^9Fk@g%@f7M-VG6=mf(G*HW&YA-Wpu`gF^iydtqvGjILc!6uUft+ zBzDQS9pi`WwOD;(o+em+pn&<@T_P|;a5n+_(en}m0E@s~g8GFJ@=h{De56=tZYO=| zO7U7uWalc~7snvIpX}kQlO%v>5+K8@L);fi2+=1 z)^IZQ%!OKcDHWT94GnFeV@D$9#;lxNn;YtnFBBDD6b)5^1J0Cyb_p_SLH0hkUb z(~4^m0JrXoeIs~TixPD&eZ7eYe@35ZqSddKK8RV(2>>2EDr)I7=$%1b9fGHr&;gGa z$%C&b{&)ZIIZR&8oGn-MOqd#qF&eG@JNxo^SCk00Qg&Eil2c(yB`>)GEp6>q{>>F- zz|{2)XbPaKF@~vYlO4$Z3`}d7B-*1K*r6<#e{l5al|~qjV8Wzct$uw|`9&Z_AbV$i z?2_WmQ?Du}R^p&f3q84&eEn6#DjL(sf4QmzD;0o}T@?Jq>i>HmKI9K2fbDl=p?KD1 zm3+n@i1M_g4}b3uI1nA#%6I<(joecZFkfnpa9VjWyhZRJ7N zBv#@zaK|%S`AgTpa~^8u?_Yy9cWx{Hh2SN-xz}|(zqpl0UsnSBu7TQ3oISQYWSKDV zW)I-4HOwUB@P0mtubKIy3YUUv>ioeT#X`4dw1$et#yIBnh_inpS0KU)uv3y=I+WgEPH= zVm_f&2{#6Uj#&5WJ65(;cb}j?lb*MX?`c)S`d%Na5pB_lHaZgxDPF}`xNAi5st4GE zu2IFS-ez~Z9@)LBnhkV~D_&)1Azto1Z{fI>Vm_oD8|->!H-EStyEkA8Rmf()WF-yw zsrXbeQ76+}gFl*&iU}L@(W!Q8rdFO_%pYyfdblq82mg3D>&{Y8V0Qwm1h<6dS{H*_Gq5m zV&2b<1^3QJNjG&eG(yg!Mwg#@Ta86~_+Q;vznPlwlzz(HWl4n)vRstx=N>1K@8jX2 zM%-j!`mq`xI|_5U@p1eviGFZT(kR#G{jL7@i-!^TwHim^H}WIJ^113iL}0}n<>%el zeFF-bd%CM(@2p4i_pkHj-PJDd(bmY1Uhu%yCpBpET0FM;e^bvV8iok;;GDBdw3#{jBf7PEUn--Qw0d5u6KU9` z#uxdFjx6BuWE@sbJ<_atUoUvq7i)Ch*Na8!dEF+`u!2j5MQDeD)dT_03>n z*6?JnTi$QKqrPSQ@ea(tgALWj%eHnzwT~3@LGG+KJK;cT05ob3f7l(+Q^kA{LC-j# zO@PMj;alA?`py;eMuJ{+K$`)z?BN|cA@8+f9@2^R9H2GGkk6<=Y7WtAK=c+Q=GnF8 z-y0L!*Ft1}BbBFiVtrhn{En~a#1=f+RQm3yF-lw$V-V- z(L)YH=`d$sRz0%||JaBjd;AFUs15lcQ;cy#uEs{5UeI$w_t(6zvd8_4xXI_E0cmh5RPaMOdQ&3*b9`{Rq>LN58qI9P~SDa5`?F z+VwxOL)d!^fwlZyBb(~lcRRmiWcPU;i;#@Jff^rw#Q4W{VT13@WL|^BS`rLLLeM}8 z-YTwhaII@o`M4GeO6O-tL3uPnDk!yGm{%9KZD6(F39F&R%-q9&09{wlZTv2U4GQrF z4E$)^La{8EWuk>-dWVE!dKdDx#RCU_@!Q6qRajV8lg#x4HqBXsllL78<2AowxtBJN z6(F3bf|(=;D74I5h-YT#%d|I4%p?Jf1^9(&RjR{NVjqOrATZpQ@tKTG^o!Ckn(>qv z3t_bA7zYR=jxcUfK=&s?sWX1Dg@<%ynZ}Pym?Rd=h=b(T)RnWlm_PrbE1MIL3r!s_ z&HvgCOsOaFI0+%i-ckt3^_D_NkvALI?G#AhlfvN^-#0}xNbeDC4FUrg zz4C8e=#_n{#4D#dzw#MJ_35$w>5XT)<3M0#wW3`8tjt{WTR8nvA_cViFqINSb~IXMm}*uuDsCw2$-!>Z=ryR%SN%Xa>+ z?kvo8%69%$clLnmyxx3(FPnB(dO7Xr!Ow0I)?&+SGx%~}7Lf7AxcZK95EW-aUY;5s zdOpQA!ynexT+=)}sJ1~0s>-5VnfQq z(5qZ!!c2I(+Aaq%4WH%@t+qZcx68n5da&*p={+`KKX=v_7+!JKwI&OyYRz67?GtWY zb**LNs5sSsT0(utSoMq8-@k}ced2MW8XlluaZUEdMC7rko;ZamYzCajJTDcF_JK26 zP}HSjT&TvrgdN=nzf>Rk2C&b?sfMn25`(l0(&`3$5nOk`b=6vG+ts3NS30-tOK4ko zOuX>e!7y{SEl!yf{@8KOd$J+k!gIjof{&1Fy)OFZ| zId6XM>y7eal% z+ZL7X$0EB2OfG^a^Sgl}*%E>z!TM&zWPa3-_4X$-2O{-japV#iK;Z0ui3}nZ)%y|) z<(>T5(4J%wNw8*h3q(4>y0%-0NLO})C1kQc^KT>JCrFr8H;qIPk+Aw>EaSs$_GhN< zf%`Ut#HtXHyb~dk?3jJr?$3IMIjZ7!QdY%Vn9tDLgr&{$^k>4~II=~_n=z84&v!s} zRBtvgBXA>$mQez2lC^?`X!rCcbRU8HN!BbDqdh0`35)h>t1u@ zF(vm0EV7<;yGEh$j4?9~hSB;s?BMtu$|OU-qrTXmd?PQhb;(s%HH$a(W}&m3yXEFJ z=$2V>fK|2)FxB5XuD;`v)-I|+!G#)aq+g%T_=S2W#?wh{JcXT<;1?{x-)!&-zBYxjdfhyHi+M&_*Jr|$B4BILkaHMI;6Kr3^{#7-fqnCv!EY)r#>r| zWg3sTV++KhFTl7IctAlHt|YDjhVmMTg?VA`Tx;Rx0M=)2+i*7LRh9uqFWJFqp93wk zwZxP!WW36xD}+-d#*bMIGKoRq$W(R{V~C)vvkkRAygmRtE%~#<9nG_gOi9Gd43FTh zU5RN5ZXk9hBOxtyjan0Wc*tSZbMtyIJM)t3uFC88-$!5byt4dWTv&a&BBRx);1{Y1 z6N!Z{jV1&dQhh-t~nY+G^}`A0<9Hvnla! zr!ERn&*sHq(e^b`)-39ByGY(7<=1;?p)90&iYzpQ2tXwhz!v~np2KcktJ$qH z0{|nO7(KIQx6TaI0A?NFo1v8#qCpaMh-SCWjL-l{NM(5ryLGK*x6Vw~a5DAGn%z1x zMFZsMfIzLdR1J`yp+gF__e;|ttC7m`9Cqtk&2F7ppyAl`%$nUgvrq%n=>W}coms2_ z8gzhWx6bq^(;$sI`Ne+d5A^jcR?m9Drmf!ff>&Do>Z$$Bt%wCKzNSUZksTsmc8JyV zSr8X0z#LhhUS_WO*03bHatl^-ENVr!9KfExTO96l*)Z@^3~+7mP@hqc}&)LePUs z>v^3BV)@%xvzh!uySiO(#Df>R0A}2YN1lMyz0fQ4&}Bo$Nj|hc>z(n~Nx_otMh&e# z4>a!$RJ|+DArp=xUT(xg^X%j1C09L^TpR=j)oEK)BaU}5EWgXmh=rkli94Sx+^G$D zsU66@-~a_6&I_wX)Iv9POCd|K5hW(A}t9~*}{@gl1+71E3(aLeEtA7up=>}s^(_?&HxtV_VC5i zorTuSe;mNP{ANPxCLKKn%NDEm$Ysw6T*F^z8Sgfb-RC-IJD)ZXCarl=)(37P;4qbD z4TLzqU^_1w$l?d5YjlzT>w*SpQ}JTU&%j_#Wh4Vuv4{5xWJ6rDwsUhJo8X$eo%29Y zU+JKpDyi27LZn*@Wg(E=@A}3@9yW;ecYSsvpD~CH@;IWEmfBH7i0G9D3&Rnu_NlnG zFC9b6Cx#QAp}cw!i*!A)onIRSQRLKi-g__$^f;pt$dm*+mg&(lPU~mz65!J!UpAW*THSXa&2&G@!&JP7a;da~cW-#;V_ud;xG@uL_8{oOx z8DAj?S8`g*R@TWr2cT0BgH$s`owPFV^ z3k5!&p9y6HyX==WeR;ZQe%>Ptv(4{A+q7v5oZ17?ciNQb*l9vr7TPIse^Rk;(W&3s z>F%PT{dY?B(@q%*GIX^XgzubIMORy|iQ|G!eJ|6STv z;gzv%iuG;vY9SbxBL~V4u!ev5{VhGcqMYZ1qlwS$;U(d0K=OV^PcK8qvcLZ@`KzkI z_2~AbSBIIZU1mya1*y(eM}U4i)&EPpu{He4nOi!O=MFyV9+c)+!ROus*6h85FGtG! z9NncE1(_=NeuA2I@N@UDz~Mm>3U0R=jMx73w6@Xr)c`WfDK&>XTCiXPgBF-Vry3qV zlJ&_TQ5p`Z5TzeNM1=|2mzCw?aAEbSwvi}ZiC^&FDv=oJ8ARy{k@%M*xnK1z)nsYH zxnK1xO@|nKzZzCb!t_|xt@LJL8mF|CN@;o_@W+lo1`?;of)0t(V^zOW5~m4`>ERP73E%X0$kR9S#zLM}r98bticf2#__VF1 zl)oCmdJ5@F_3nUtMx7M+Epc018oh;FwPo$DQ>n;>;EJ>>*d{1bgp-Z&h>W^o$GHBokv1x z&}HZPJIT)Vy6o&EI*%X425F+Rw=_>!rMbdl4Z9>oXY9ntk>5UFe*4w(+ZVTq&cExT z^Iuj=(YfnQqVs3fw~Ef>M}PK|6rCR#H&=?zG>)7^=YCVA=djl~Dvvo<9p1zmz9IF> z2xHz9)~fnkUd4l=*+X59fMt>hKtU5QbLT~4wmktMBO1*1l!Mvk0E(IWcY>bT!H3+3 znfsgrx*AZ(2-EL_jBrsrwV$3yGQwX@-NM$d?cfLQbI1t3y!AfTH^i-kL=^jz$U-$K z1~r;QbYZB_-v_ZtuL>JE$zO}Jsxp?X@{RC~q{5oZ-^jFpK z$x=fC1Q}4<@cHjC5NC^<`JhRd>W_TD9;hb1l{_q4=E{iD zaP$RtT2#N`mt8C}0xB<3zr%X_rzVZeLcOTebM5CU_bm((RAIw_alR!&$$g4c82^E- z*nJore&TfJcK)rnR)0QdP>`ZmJGyoGkrM9t0Gy2~DtO!jY^dwX`}l$fSVZsrrLrrv z;G?$Cr*eGE*zze>e)s{bQy&2i2HN)PGS+zrblaN-+6e)tj~@Y0V+HSLMys7F3|d|m<@*?R$s zp;vGRQo}6`al*=L63}1LOZmA3QcWCHG6Neg+;bco7?LZV+UNWsn<*2Zo0@5*BUcVU zO%?oa{oU)F>%O*>zda6hU+>6Lhb;CAevGo{uWNVCQdG+Spe!YhEbg$@<8_CR z$LqSipuKKIDW5%_#r8G6T%*J^*aNuWt0} z$kFVaV`eG8N;&2@a`@uSn=5$81n|sxrF`lHHnjH%jB$G5Kv4VB_s!+&Cg6onf$L1b z3!iatlN4a%g|ALv14GV9E|K-SeBlCsZhGO1j$DNR;rmXWh#J%PMU5MsYkaMQzdVr* zxYx~5V>@!_UAu{{FI<*Qq`h#9b9T>C{yDNUZ%6h(`NGO1ys%#>51Yg?!jjA2+WIMC z=G&lFU5CWrw%G-a3^1Fyk=g8L%j^S@zdh$`4ay_T`_-Uqmyh_5lh|v4 z3r%G-Bs_V@67O!o47z@bW?^!tweDqpm{5%SzaK%H0-9)XNHauKP1(keCZdWnh%< zjH1#VMa9UXen3$fS+c0Pk!>p77oCk*H7=%QaGJ68$$B@<#M;i0o2Ki%t7(<_CVZN# z?}+~f@JSiI42vRv_d)j9|7QJh(nJ62^~YlmvEa}V8Ia@r{SKb)j6>qj4*38_YFMLt z;2}Ou5Z;FV&gSB=HTb)Qcxt$?kPn~21`nk^(^{i?ze8Ryk#&FnA#Y}{?d;6$`mKh57dq{cQFpu(7*_Ubg#|cXv5^;60EwR(`{4rm#l` zliLv)y{E;#a3)IxjBgy{EAe?ibfV?KWIq$CIY9#K2EZkAMS`Csz3b@P22FSkAPDKhQj1 zIQh~}UqK7LUJcVQ*Tc#8+BE537L>K%~d^ug-(5SdBlwES*=1ol%1v zsPx#r9jI2L+bj5b72gmYsZ65(}I%#gV6x@-&^J8jq9pXmZXl(}75j?YjhGSBYqMMx3*g zcbyJ?dhR9hG8DqX(dP4Z@=?>lzZdKz6QVDFbvopygW(Yh5-7ERT8CX83+sT*Dz`W8RUl!09K+`IC#S9kHvk^~)yI%|n zhn$rOS2C-Amuh{DB4j4~2%9vriO6WGPo?|7UHomj2Yxl(hwS42#(i*Zm0U&1BokjhIHBpr>>qD< zqJ0rd2t%@(T!5=0cJX^3V?(?u_Q+|#CI_Y%|M{$r3QG0KlT#ztg}T}`9h zjNh|V^jjoW=hfiSztJN_05*&3j(_K0CbN4( zv>lf1y0io7%d?V3T~_jHgwK+(*6Q0C+dl@^AY_Xn;xWX>i>~tJagVbl9!=xl8fG@KJrBf3ps3&n%hbyyM3m*mBcy4=w&F%R` zw}Xhjj6L_EmqQO_w<^m&01B*_J5?Sto6Yth^AO7Lx4HApvsr|>5bPSKb)2sgspok+ z(s62(@PnKPKbSIlu6kZ-L~0E;?vi{w07PBNeWfWFd_A=jUpKZ}xAtj?yZP8TVC@LY z`}7=iz`%08Vh(kza$Z4cL^=O)4tv=3=wEouldPLD#zDdtS!X}V2Kbr9Bm1?12pT)j zlp?m!($3?Qs>E`>;Yl{AOOnLc1&r$Ca(?PbHp6vwF~4^%da}zN{^(qW=pJA5m*%p0 zu67hS7kX1nCGYbT>!&o!ykiDnj0VSk%qKs^Mi^W0PzXwxRR+p177Uj~Rea-9P@!FR z^G}{)eFNOFxd|O+MSl`|`s|SX9lb~Vm9yR4I|cn6xnfd~%Xc?_CWV?}H_uB!jRJS` zjVUbB)2}P}L>*Tq1$W5e%_-QKAYGz{`H@T1`;%blEitV{@vR3U!;|VEFv(jbkE0;$ zqrS=~JdMd~<<%n{<3qoM%i9~cA{RCPJX|1ICX=N|sxx7XuQH?JyTkB%3eC}IR|lmizP>ksU+@4}=KJ2fQ)L^G;mh;#&S1nKe+k*AG5 z^7LFJcJ97+1=X_p7a^hD;A!OBSd15}P6dUycD-Y#R>dK+AWM~@-L1QCQ-zN*VRw41Yy64jPiK@DHBB%6kOvMB9y|N~->0 zNZJ|=59s-y+pgW>wzeyvSNV@^_X*koM= z5sCkkNUY(r@hkjrLTzJJ&pcXaz=}d1tuSE0AWyC^_~qr|9xD!cVqGD81TxVbEh|{V z1GPI^T(E}s*6xbLows&J3k@c-HQWdHwRc>CsC6ts z+`)SXOAxhpT7sy((-K7O9hV?#9ZL}ZrT32W5=8BtmLO_xvjlNu3G2r#e`W6aI)vuJ z<0lq|CTNQf@a&=GhzY7#gqWa;MF{xy08Z-=3BrZvD6K>M2p68bIhbRyU2c?EhhW;uP4(2~K^cs#AITyzMe;a3I@ev`jW(kr)Hbf}_3wJH~q zzfSlEphxaHf*@2E z&0&{5^D-&A#GI?C!o*7N#2xvwsjR2@MU+O)it(X1&C%y2+z~7ESwN4GSunQ$Fo8rM z${9}Mx$rBmPi1#!9Qze~%lI*VA#WTJiSdv~d=7}je;*R?niELIs-_LZ(*%pdBF+Y4 zY*wEQ0EODHl)8Z!oYlBSpt@}!HU~Dif!G|_-v+sE>bHSd8|4O58-NSX4aCpD$G&gl zV*}0LV?Iy6;@cUHu!Dc)EB}UV1Pyh>z>JfB7VK=dmsm*+y%$TUf{&Ti-EtjmnPg{g z$aS>0sQ+qQ4PJ?sy!!*H@c|(HGq>yH)ixJf>931r)mZou5(#X6NxV)DZ+PI9 znL%U)$Q>(;1R@_e0iu!wglP~OO9DcL3y3cuqDaBms7SH2N`wOd5;!qhZo$_G5~xGW zxX7R)1!p6WSY4%h((Scf#=!k6As zFgOC0=^!{LHay3|H175v92A$z-toHZ9bEQ~_v9+;K{zN1?$!kE_SG!O-CXa;-A)A& zck_w=MQ}Iaj7Z$=gI zpIg8J6$f{-sQ)Gg*Y9~Yse8bWMTjr)k3f;U9fHJc-4pR6SD$CS{HRZcehF85>AR_V z%ZWhstY@Lz_B&y19m-b^ zJxFn(Ne7z;!(YPN&)LPFc!`Y|m?xqRJh6$n#9O-&l3wpP^@s9#pilEmgDbxLq4nVK9oYnZ|5p5kX1u<=&}9%j|j1=L~L?KOm`sCWBbcMgn`VO zBrP@MwB~s2j4TH(J-1I1MArcor8hfc<~k7Rv3-C*OqYl)&WI}=i1gTg{jezghlge9 zOps9%N1U>`0JUzdpF80Vn8e;4OKbUm_*?|p@AH#hjdUtzt%eo6K1oM*%qwA(p?xf@>W-gyL``^7eXbza7I^&f5DYd z>;8=kXmLNvj>%_ppNreOSn-_I?q~SaUJVd6pDnWB+gYnni4I%%L(NL5};H z68|0T|4W6~W6=Mv5YzSC_Ay6`zaimPgv zdK$eG(H?bOcxPuREwwt`76N}uT%2B;EVpC zCi!B9LlgdweDVAo$rn*`to)!6$xv8~TF_)5*k7C)O!r7O(_=7NVsp2*tYW@fFqb%7 zba1<`_=?w{8yhJvB8G^>*ij@tZX)q-hh(f8RY~eFRM<+P2^)VoMNi!-Ne>nb2WnqL$I{GM#0i9U#BE`em`KQ>Q+_J#ae^KE(Tj=b#A*~H6?V?mF2nIK4-Z@gLZvidg7ca-@y zysU8UoWo_0+bYgT!r>-xe!G>*<@gDVN>v_3rLl z^w1N#_)EEL@B@x`H(+3-@MR)JBZ_NrJi=B)Dn0X)k@VAW5Q()8#TsHVWG_+)&p<&D zY9Rk+IrHJIxe%y5#tMZdMM&+Mih9nW`Ostg{4&yfzKR!!sm_QO9f9IY45QASP zLjkn%nKKUNR$b8ZzUz$(C^VyJLGe0@f@vQkW zj#p8?Ih%^JL=v7pM#h@6dvI+HU$;yWVdz=H2BZ7(Y|ocgmBxHnRkj`H#uY64DVo$` ztq=RfTQdSMDQ%|7&7xk`W;8dk?Hn_k*me%XuGnpkjWA-LIX1$GedaLmihbrJ`A3PZ z<`Y$u*lKRyGY}J);rb)|$_h5*-jqU`tBhys#RT@CT%`I4yU*2zxV#ul=H=3Er%Jos z3Td}ngPH5mH}st`|D^@@|9}BELs)qObYo-;F33!IdkgOW0RwJ^kj8~&RJT)$35+bm zG=mYuVZr@BV8D$N?_a3Df1Ot3?Jc;Xn!1_V*?=3dk_AmATRe7{iy#0NL`Ws;x&;wZ ziBX$dZ5H)^GT`o|<*6TFzTGTJWPlW2a#UVD#wk4umDl?t`dZ!dvBc@IA=M{?qv9Z^9`H;ZZtq?9`LtQTjjn zJ`L>kMj0KY|EFRRXT6bvqnNElMj0HX|1d1-nAPAyEb54OeDJnmi--dHSGPrGT##mS zUar@4cRNq(HH4!QS;A2haiI+IJWuO21j$%IMG$C3k^p(1ryfP1HB=};)+7sv-+Ag| z1X-7&LDuEq0!5n6%k`S>rt`F3LpU2#HJpw4B6rYv8tnwxmZm|rt=_mX1GNb|PwO=V zD$mqFNy7Zj7i#6MvumJr?we$}rt@Oe2IZR0)3OZ_*x0OL zZDhbgxk2Y?-G)HhS~So$UkM63Ps5%-JY(s2qouzzGV%JUe1AUF7~G7!fp@w zcFsP&aG-g%8)EcO+@v~+n?!LK;1p}l6XBDpDFl-Jx#zkIH=633L(gCw;A{d|U>(b| zhaZGaNc!Z>k&`T{379tph*<|rXyxyoCc9|s!cNxkr4RD?Z!t>-MMA=>QY0h`q7aEB zFMdq()t0~}uPupeipo6bYG`IgZXi|_Q(4-hi z7Hb}bNU~V7Banb$5@kpL=8VXL=_e6wNJzX?gkY?W{m{`KV);t82W$lY zO?xCn)+xEe&>m*%OcN6VP?Z(~>~gQ>)`Zz3t=^@AIk#8C=NtfYH9Ub|t6M3BUs4Uz zakHeQi|R847YMfW0+@0SPd(a_pB8N?iZc3-I=}U%Era^~h*m zvfp>cqp>q%`IGO$8YA3IzlK9Os<9IdEZt2@rMqdVa5wcUC3jP6RH^hf{ct~;)n_C9 z8pXB0xQ_l8o}67ND0zd@&CaGT2=oPn@;5?JFULL{J1ur9@9-WQ9~auUhf86*=bs|c zAyT3l{?Ts`ps1$E;UQcgFk@XG-Cd zL)-W0)gab=;BAjBuuuzdK}(5oCSR9|Hw!SEHUdsM6rCRRIK07i=iZZ*aPD2SKL@!) zV?7!>EB3K{FvK_SrLK`~N{@XwUY&{+lG7q+LR%C)+by>L$KHV#Ne5^ zrRE52C<2p@l2H)aq95E;3;ydm7B=wf^`ypYGTa>Plf}^F2HDaiznB@@8YcV``zR5+ z{ICCHp#hJ5b|6f|!J;!mT7`Incy7zdQwrOh!^iQOf3k<29f|LLpN(=Y9>!^ucf#lp#X={W3mUOEo{{JgW$=qo!Qx?~z0hqJqO>yU}U z-~gPmLHZ6`H%Q;%9UHI^3E$z*Hb~!L*ALie(?>y$5GrmaxZI2cja4g#Cn0?xFa0jp*w(%AxSvVz z$P>t0d3tWmt0FH0_?f`LZm(WZ6)WO-#MF7HDYIPKZ_avUWCiUo#WNn z$wUDCBDl^cSMz*4@7IJ)A)qzxMFw7DdWYJRc32gnCW2&DN;N-P#D=-1R`b>(_C}{P zjlK&VUdT6ZW{PV_4X@e^T{W|sH*IGAt~o&6%*=t=0oqZeRiI`KKRnM#zs(03$qrNd z{-bM_Py4j=8oqQ3#`o%KzGVyCf(kT@EECOLS>N;1TUbBuLh;nTs4NnrR8_?&Rab~ns;;3?3Xf)PTY6g;X38TX z0wTRB0wGZ$fLRC7h$c^EqDz289YCXl08|nIl91j$03lqMlQpDFJ-09vA$N)f$k73$ zI1<@Z4Un$`bQk6{4X_&NodOURXgD^J*?GmbP=nM72z;9ZwepHJK!Xk-eUU0srU4p} z-YEcKlZK-ooKs7>B4xG{fLxgMlXDy{%*|RB{p1{n3v-JGz`;2WZet_F!8tGwX{#LG zGHxuQsZjeP7%l=31|SE5sRW87KSU&}5iYiJ8}oCmyN7?djrI2^7X3TUl;|Z+=S;HH z@PO^C?|?Gd@#4PJ2A#VYAyK9(o7PVusiBiT1zgv)!ep&bvN1_r6r-X zGdoz{9?i05nV*wWSiH;GV64_cziN!&y-Qe-$6IgA$5shuxZj&kDPgAj&Uw-KeMZAE zF-{g<&crE)%y<+}VTb6tlv)S7m=4p;>(pXuLxTD`HP!@`qp^0DumN&8Q0e9;qYc5` zat&d^V$yw03@(&Fsh8q`*BT-yAZ86BMhZcQsDLDD5HVB;5}`wqX14OBE}u%Db^tk zBQvyjp^-F zPa_!FazyFPI*f*>cp1H3Ejq*(5W-dU5>zTd)Jvzl$UTA&-Nk}Ox9dtLLQLO_f1m5n zcF5#QU4~4))Ip#W3097DMIZ`5Xsi$Jx zB_S9~2S1wRu)+RZ_>0)Xv2 zJqURY{467rs5{ROLgFJ%N2NK>55meo04n*W`QcAdw*EXlID%}YXqj`6VxG!yb41xn z)gbv20{2_`Iw&tq1FS|W-%s>YIylY|Wvf8LvFVvbKSkz34N#{8Zk`@8x@R`%kUP!~ zw~4YPx(8+feSUC6*|KZp>C=NF$X2rk(5D9(WXp&uwrCK{5BtkmNFs^Y=tIJ0h-CvU zn724s4P6XoL$agEQ}*P+YKXaw*@8Zc3{ZPa?rsS^ZdO;0#B>q28`4TkHGg(DrVmUL z>Td9B7aRX@H|xpVZBo8*NG~UMgNrj8zq*^vbbWU?Puc^U#a$VqoBm_H5LT`oLZTXt zJ#e1p$9WIK>h9AC*A~^^0}0Lp9C8&Qo~Qki2}`(7t1p6a&Tf_cb9Vq{kUMnJ#k5L| zj7*5lk02$r?_k zo>`M&7N=-{937CM2{DUPHAub=(K_kkG!3vC=^Z-h;sOoFrf1eV>Ec2SP^Sa5PP({Q z17tMl5UrCgF4G{5NM(6~ON#d+lQ#y=;wBBp<*3%4nh>+tt^wS2fMAix(X0WO4k(jj zu=C;;4dQ#0FREmrnh@hHHkF{0iX9}_j28ejbkggxlfDs1x9VFVNN{X0;BYuNU&;Cm ztHY^m=n3My%-nmSDbdtE-b0+8>aV3fT51^Y!6U2K$c&~V1+4X-hSIR^NIOwLIq*=B&moZ#=T0K-ZRn9RKjhaj zO4qC~aRi+p-6BcP)kuSsMbMh2(fX^TVUX!eEuC&Sx>Tn{C(k7yl1D;o?S#l*l;xv@ z^>vEL-D)Tg=F!zGA|QF@u+CLY^|c=M>l3;|^LP)L9hy?PuZ`nCx`2B#ed#12D4x)v z$lqHiQ@+CA|5O;H<8?$O>9$m^5S7|2NyTwEAZ;X& zb(v}7aeLWtk2%OK4&E8c8)}$$uaw(S6Z;V+@zxp^=-*AF={Os(%t3RWjsFIkt_y5@ zz&?1AC(q=G``DmvX+#t4Pkuo#vCT6DispKKA2YYhhm zLV{)b@`U}YPfzj5Mwd`b&~1yI@K)Qnty9--X15TvHZQ6Pfa zO>>gD*P=2ATfCftLdTilNlh){u-YZp@TUE&J0i$?4XPrG9;lto{tYpI<4j4YN|##Z z+iN|&j|%Mpz?U-_;dpJm25*MafjqXB-5oLLd1Cd(O>YUt?`0E=KlgiRsYmk`g5w)} z72^2D9wPDSDH8u)3V*v6t~)e`-QQe>i~_nFD0%)fJ7aj z@%E(=8XyU&;C;8*W4kn2L(0^1Ys`IViU!Eh0UB>#nyLZvb%4g(m!@fe)ktM|4go~p zW4p9K!?B6XP73PMLJd+UAZ-fj(qawJpaV4CzO+mOG$NJdIe5Fi$98FxhNJU#T|r%H zCjb=Gr8;xh71X88S{9wT>k8`977YO2{>(v~TqXsO|Dd3Xk7-d~4@5?b%0oo*9(a5G zK?IDbJHpQ&1f$7u;T`H&uE$RI)DSp$e(0Xc*VeJ%h+HyCDOm;RwQv)2M+YUP(~`G7 zhagyKLtp;LoVT^*RR}tHyV6MB$*Xn=x%m(q+o$TYUs|he*lmiQdpz+X zo%?Qh>#ZXlF%KBNz2VC@A7T&nym+^7=OtI?Ec^?$m``>ZKt(p*^Ds6#6$rf-zG|q8 z{g;12W5zHN0pjprY@Vf`YgLJjKY18|LoUr1BjANqB$Mayvm~z?-AquR*d2~xVS|c^->j%GskA^5QBm<7 z5S2;`%WC_QQHqjp{6A~<3kSRRet-Yx_dGwJVxPTc&6+hcYpq!`vu1Xn?;KiY^fjB_(S~x%i=!t1FK_&0LkQVU=qHw0Y*L0wpP>+p0<}O?XE{ zyhzJdr6ma!qNHLisSZDsa&;xr=aH-GH7uvOYC8)Ti3rGAlAA~x3U^d^NtD*0r5Uws z!cU^4CN0S{mmfH095PH%CgrO@6Qwo2NQZMT7JVj4>-_NwXpjn}?!s%>93F5S`!1EU z`Gn($rEzKp7upuuMm$D4xdy5{UOTL1rO0GlCrv{~6 zXg9DLlSHvsQ{oG$hMuhS6$;;VWdxoW-ye)dL68P%HdX>8E1rfFEf@tM8l>w;SQAGH z1Jp>VkkyDL9K~P4L0{<-gIISXR z5hw`BNLCU`azzF;{v;CB%BO@##GjPo$G?p~0hUytVo8@v8bi4ooD5zD532D~W0VqW$jr~%5k=bhd%wIf8g7Ap7tqh~Lw7tFv4-l~^Rw+aY zLcwRA$X;k4Z4%#Ws#;zQEBbKtZy5hidE>yJCmhHXee5o)ur<|#!cOd-k0JD=?H=K< zI>MQ4Sv64b~DDhy_5X_LRb%C^PRW4ch7dY8kmNKXt#4Xw9#dIe0ncikHLRs)B#@ zus1(YWen~1)_ftWUEZXJ$MWs;@M`>;vueybNq67@xHO6&DT6V_AEFmyMa+;`JcTGv zq4laMagaozQGiSf17upfVSuur3dl1FfNV|`vd_$o!t5b3sF9|DNS>dF(Hgpf4u_T9PY(xTFZmO1-x-9{@$}@I%O5qp)!l_tYrjo`5Bh z_e)q(C4P|HHIj`Z0&B>nrKB}gN|I+InYcgr!!^dP{$$b!YjeGdwV8Z6D#qL>Od5qb zHCluDq8cpOR>t!;YH;6A*b4qZ4W{{sQmU*m4j&-QkfeN=2%$5|LY1T}RM9?J_`c=k z=(heRxc@1mcYx`Z2{WqSzO}i2Fmi|os_xen5IntlDNonIcuKDIKkye$8GZW()h~0~ z_xblY=oig_BK4lz@!Z-~~w+Z22i5oC2CCP7c8DyLj z4)6}O#?j8keLSYt*nfx#skHf$ZZ23)cayI4x=Lx3~^A$)%8q&|B3DQR2U?|p* zPMsfIlQ#NSykbB9&ZGPMsfi5fsIHR|u|u^&FE#x58{Hiy9sLc*u>#A0zY8LPZwUOl z;yK|yZ5#!T>5F2czzQ&X$6qH0$z#(E>eeBZDa%H|BYPJm*gOTU&0U~k`#}nQ9bkME zpb&u!_(lMIIT%Ky(bV>3cY4-;TIG&U8pnj6U%_o&(}8=))uXawM`A$o+BAF!qHZLw zwt&dvJJQyvH`q(N-N}&tNe@)^w$YH@uRC9U#^@2>!Ay;iF{`T+LUg(-FTZ+Wwi7sW zUs_P4E%Y%wY@t)}H@L>q_Ga5g>zwlw;YryS_G)9XyAKZkeMLW1%XR&A6E+fVV!|=R z<=5ny{K6Sy&rV4Kco@}nIS*KevuO6Xm;KzZ>EKjCf2=#>e*59f#U2wPu}Zb?K#%ZC zbja1_wQFx$5X@g!nq(T4n7g)R)bg^|ux?I!3L!*gPB9RM?Ic-6BvZu^Yo7~8JFi}O z8#SKwd%fW4YXqQ5`at}WQT$H&LtXU; z7{cd#J&ycAddEC|z`NvC2cp)J_3P+g$)i@u;}w9RsO5BI><|*EIZiI5^0(rRcAPQC zSN=s>coCv&yxWeu)W zGkLf_m}xpPS?Bm9m8ZdCG7oR$v1WX0-AO!qD@=R&0=VK?YuX(*Lzaa48vI>1{?7N- z89jSAjbG+w7~pc+s6KtwXV7^5Tb;32UrHC@eMgr!K!mUENei-ue`m0NMK!g%pLZJ1 zhkR{(;$a1kzD2ma0pu$iOfc{1#})GF#})GF#})GF$3gzfuOT2xw*4Rp^4OfN+B9C6 zoFeF8iwe@XmkEfaI1Wa^QWAl`=>4O$e>UTT#oLjBX2XIiepsiAZT!$_5bECNr+WM<~J;FNJJBfz8b8DiYJnoC6dG?lI-myp)5)G za6A~vUxmLVB$i<0pFjTDOp!_|Gi?JeFNL&u9K&C=blhut5lkRJV~=}(H(ZZaJ93|Y zq%9?|M7)N6DITMD;UW1n;x8gM&3h8SO<6HYmOOrxrNvxc%RUfYCs`>H2doVT(Vx)!dwtHhODEVT|9!TiWRI5wO9A^fh=9 zBwK>J{>=y{YH`J=mfjND^`B77fqH2eNCzLj^eMiS8T{=fKKY!no%4}Lc>FnIP$z2z ztvRKev$3+5aFD-q4q-@f6@2eGW8ZQ^Nti2Ji7nRHPouAr=Mev&GU` zK(rAdAAv)5r3HxaFvIt8~E>HBAH3 zybtmY-x~u0bAgZJP(i3YbYQ_eI&$R>(~s`~0UYMoDEVOEdt?7$1$x0wa7c^?75}0M zMCA_1Z}X^a2Qkkw=OQy65qOjpRq);48+&>cC`s8$l9iH*EBLS98wU?7*U1_|)})ek z!*6-nKg5wSC2yvZH;(dFR`4nH#^4TBYOPJ2|K5xfRKCcC&i}X`A8o5s)BL&e8MGjx z{we>n-sm4xFUpkX7Sgsq?$Sq64`CBkIgoXpB9MgspK<}>)KI~fUr_o#AMajGRD#~l zqW|O8@b4}dO?_|9RXe#+@8mkiPQF{gO+SE?%K<*>2gE119Z;kS0?AASRfN4ybe30lw!)tnx-2;Fk!?s$=B?%XE?ENtx> zMtbSZ(=Hl4JB966s#k#0&_C~AL~G(pyj2&Cef>4%EJB(*I+J?*r71({n)5`o7#@|R znb!Th$4|z=#yC(?8lw{U=$ZJRpqcUe`O2S^o_Qbd@d;F3>z;8^G_&g?sQ(-Y0@mlP4$jdw4ZSZyr=N!k3)C8JND z+8JV(ggjl<05^aStsTm)?&l*e8v{DtpmJ(Zy@=`j_siG~ZrabYE~5zaanWV;v6F5k zGQkM?_~K8SByP9)b7kh61sEv6=;qTmh_6ztDDx3R>xvZ72JLW)$`S<79cB> zZ$F^U{uTTRkqD~bURQw?rehTY3(7YE(3A)Tik?gWX?f5zqtj0EnJ#5r)(&^5vm$mJ z>lZ$Mcfxf7a1Z9fh|{6ea?px}zg7T+Zayl!cPUS#?ks>OQ__I>DH^1rhl_)Q2f&Y* zTwU6B2_9LC-v{v4V`AzRy&bF15mw=CJr$^|K7TwL-dwtH?&cW=yZ-$=T+WPVE;TnB z-k6TRHlLW=@WMjB4{SXJzV$8HYb)5r5g#YXrysCNGQk>w<5B=GB)dp=bfF5Ck}Yr2 zc-$ffR7uJ$&lyH`(8CNiXuyjHOv_c44SL9gRwS@2KM7P&Ou!2&^)kEOjPDK5Tbm_= zRIl-n>uONq*M2p29p$tQv!hS1KF}7QA8BcX=s`EI-g9Hn_Z`(HD9J^UmXgXhdBip2 zBmK%z2l?et!Qsef6b^$NIn3Y3H(xWFx;zUKRCB5<{VPe@$B$hz2BhSI0@XE{DllRN zslTmBsJ|1;kzUhey`}_~6W%4nc?zP@5iwOqB(OXjh^=}$8nvSaBWE2BzePCAdrag4 zd*ct9&1+iQ)>%RhLuP*dna(M{I`DyNMC{{V{)TF#tJO%PYD|8WxZ8Y7RHFek)~jLF zxg)T=Y$G8qR}h;V5#w}30?QKx;&cVk&9T1m0x^ZEL~z+GFo!9aCP&N!og{(fJ9$(# zQxJU}5fgPp0?Rc(Y}JGpDya#TsfJbZHbW2jcMZnBJ*BtePBWUa#2vN64Mct9J#%{C{uHAs&*~LqL#U_>CGj zOUNhb1KlaL?iIW1XlROGLO};vxLDc?@`;pTCY#?u#@0 z&UM5R_5)`AvI|f7XcT_kL6O(MHUaFmq~X#7+=qPV6T9vs9EO_Wb=Q=y$L+9Zf-jyAg8z zGT2t^@W+h;lO)}##HBW~!p=Vi^OT!Lvssx|A=dXnZ%vs~AvzfT^&u4IoLj-K-ZX{= z64TalIU=NUV=7-!f6@|>=SNbKrgD`OK+hyF&)agg_|kM)h1Fx%rLMYxn&&G zzEYvOZ6g2uPc%_g1@~!ETqR2re2%&bzL4JGDrGmpTd1$##dsQJomes0fU9(kup26P z_dgX^Y4o4}aUSyup-i60H~op)VZKs9@0hR1e}Y@L1Ke;M?{I~JZ?~GG$S4S{_v~#H zWIDi?JF_00wE0LA($HQzZ=>G62l&O?#v$$fAqTJuZ;j{8&a9im)PwfA(MV(O02xz{ z=kFi{8&i+$J7}bqsfP(zXrwa2!qh{)1E#w6CW-a$E`3*`Ogx0pQs2Aau$KIL63JUv zS0rN&@_-0`nnWTjkNArSV*>KgUE@FxZ2}@hm|wVy#=-1^1lPSm7|}>`kZe?n=#L`) z^HgL*e~8E&ulx;s!9C+3=Ou zZ5E%lyf2k88{N1O+Jy95RNw zDcx*@*kUcW#WJ+`^QuH|rrZ$~{AgP?*f3DGv1zQcv}4vj3vE+|4e%G{MS80R>-t-ApM zRU;g;dg@u@bBlRR5qpqxXN~}iOtdmZZU+!oSMmQ->OC6-ACdt#) z)a0pJYKWeiERPncN-RpehA>J+$T6p)Y31%JPNvhtPEIp4q(~i!L{x62Iui%3KXFRnL@5nYOB#^6`?c;mpu+2rNq~P61vrHJrIR4uR!=0LK=(A;O{G z7AhFz)6>UN^Nab%e`9`6Z_y|$(J7E#$gXNB<#;L3aF**h1ePzfr&78(mXfZQQVEQ# zVm`PX>+SRHqYjK@=_s_-mR-yjv;!Z$S{XTd8Ff@f6w07xtjCMLhLfk`5LoUb_;}K> zkS%&4GBCo5`8DFBrz1+Cj?w^>oMIl}#{9zbv@*8qWsq*l8Tb;TEp*o-4izcY3D6RG z?os{*2s|A|-{&J?uh0pQ+RA<)pebmChI35EA-$E`h(d-t7E-GhLh4&|ly_>+{5r;I z1kN4ekF;mq=f`V+i#kPrqWEe%V*88H4s2i5Dbh_*+tvEEp2<>*{QKoj$orCG-W!J~ zL~^vDog?t>A@1IR`3_dD9)n#F4v^T}qgco7ml9ENj0@zj$kSh-tH%NhNAagRz|VVBc*F4 zzLor52NuwM?e-@}I>P-yA`*^cHs9QlbsI~HDc3(kqw*|ZB7sy;bX^cIm3caW-G@@;3s@tYFn? zSV=k(f#e+msjY%kuOTJtNCc9z1=9V`MYTy2MR}<@5`pCBMhmR-3RZ)Lm8oM9NXE^? z)Y&J2<=Cm&mHcT8_H%QU+@y)3j9fi8f#jc3sNydvNTiPfDPKntDq0RCIzj(H5e2{^ zxiRu72G*^Y(A1Ep1?s)eT60rW$@_F-0SQwGuFg?JNyU0ezQj%+l!Qg1p(+}wsz58( z(S-Ki#`+4v8+$%bN@XSg1f_Hje*j*kG8!frjS8cZhRz~J{n`PQ4(di|CDrLA5lEhn zl2G+?`&+A0eI?)I#rpB*4XoX>5tN8Z#c4ETod$vACM=_%Qhijkcnz&VMnS$bpeM-TJ8bznWoEe$th;3>@l9u3hSW{dA$>WrwE^cex zOUA=|mXQtT7xx+&|G>z)IeQ=GdyK5NbN&%tZ)AOZ%z}=bzMPyY<$4C{AF?ik4)b0N z?qtwm9?e)tyVpV&1(k#y<|`QsbWSz!BF4J*I49$l#S8N&#C!!@Qm{7aiel zomrm&aVY0OtF~=2!e~PGhB#!4KP)WlW34T$_;4**SSn3eSk2}plFgOfseGTszLx96sa4J9KA?kJU)M! z_`3Ru;7hk1c{-A?9d?0qR6%Oe49pfCi9m9qK>AogBBLQR@}WWb~f#huhsgHuB zn~#869ZT4cH-Lp!?gBJT1kt_cfE39;?ZkX%H)91F7k7RvS}#m1>!MDDKyrX6t5iXX z(2%a`NCc8ym7@LyEQg|Q947k_z{a))-#yH~0eD{SN5FGBtnHvRA1ZB8C=@g32*2MIb;;VL7^zGxcZi;wKyoCl4B0%MSJARH zv{5=5fn*=0kS__Xr7n>`B8|)yb$KqAFyDGy5bUWE%-0CU>I4ZS?^-I*?(S6BE6~uU z>SzR#bAX1*JzUVL4QCwTMiU$6JogBnFwhz3yzL&(vasV~sT!x7@FrkI#pWHO$y@kA4}Z z`t>R1K0Vl`lr8vX_dLT3=8PQWhkHhz_;G(!Mmg@%LXvkzu9D=Lk&hqO4Jo5Clw2t; zD$eW+^9-}U!T&$bGu$_46p4&TRemI0{d1n-%pjG_3azN5#!sM+5|JWbR?>x%X>=K~;q?y`Xd2xHPPj!#HM|~XRB&hSrKmoiw)oDCH|sHN z5fWD{vChd7oW{=I>m*j^chGl9W9)15v3n3>FD}Pts^Fk^xJTc1HM)*OCW`)d|B2Ga z+g!vGW$j(o)!@I^iepS)@)h0?us&b%_q|!4o^*qdh+vV?9$K|P%2xamzu?Wfn^u*J z7|OlNj98s_*+Jj0#$(1&-r0u*IUm`3Ql)ioJxF9Vh#A=4 zpIydFStsZ>ZkzemF!{PYx=mzwm0?vWdSQ1P?%s=y4<#lh+Y0N5iHXwpF?)Y;nIvub zUNBLPU!w&RdnZYS-_tKVM<*TSwqDHp@Bd4o);Wcu8?1BOw~`nj6mQ7(%8-Muv4{QK z<}|z5?*bT$JNPm_!I}`7&tnNbx7~}49G;K%Mf+DTGaCGl82tCymvn9;g_eDReli$6 zj~6_c9NXDh^4RnRf37$4onnc6uj5tO&e2!d}rgK1uAOGHU22ME|!wEaX4*?8&14+OtJP z{Heaod+=X+)_|Vvj=WOnOZa<*qRL#`;}?4LjUv9KFAM2Sw>e{mVQKoVBYjP(9@dNQ zn=U>|8_%9^^EUmNi5vPc-;{EIXz38)08o#+XW;w7b1cShd3IkKew3@ABPA?jqQ7Z# zBLa&CWtB=k;1XCKrsC4I(uC{ph+C!O5?Jm4Tq=-!MgD0&<}tEPXM$Wqr?UzZ!k0YL zhx`Z^ajP^;f;qyGaQ#u<*pKy}C+qorQByZHzX`wPWynvJpf58JWATpp8+679ERR=l z>1z&zo9Kw!bd;|&Gp`i-mIEawJ0?0E(|jZX%YXPPh0>QE2shIa*GlGoe?5PscL?P7?H$lfGuq^wu7KLm_+(aFhz;daN z(OYn+$wIy(GOU%sA2m$YYZ!-G8PyiDQVnOIFj5xsFi2U79P_6h<%^I%B~#CzfIKEO ze>~;C>usbl!2MTpLTE7fVmpP{Ps81o3{y`BYGfqny)0W$llLJf>2xB<;J+3-k*k-M z1b9*XGVVEm;drT%?K#S3^NIaP5!E_}63PnDBLg6+MMwGC0j!^M;_rOt05-5=J)C5k zS-Vx9;SB?rxr3|%jO}QhvcIVwMoiqUDLaiN@*d9=5cyavf@;>Q;Rt^+0Ip5b5xydT zdHcy~7GF7cjL7o)he{T!p2g`X-$Pm4j;b5iXSmBi)+frS)Ffdx^0gWi2OH1~bA0xL z5{($WKUYSK^5Dag%SPcFxo@0-(bML!-|Kb45fCJz& z9Ldf5!mAd4X`j)#jeRWnM+2;LzD1dy=asR;MLdOTfw9AN^9L9^e46oB89UbC7kMqw zG@xS;=>UqFTcEjl@LmlWlaU@}>vQ!Z+v@ zgE7^dC^wqueyi8%(>cP_A*bHHl}ZN4m6%B#QBXBok?)9Fb?lu$)_+8uT7 z1SVMxVAeTeHtCoImWvfkvKhc^YUANhcczhSW05wqWHn{bR5 z3_%wq>Ur}Wx+s^rXup@>lDddQsn{`llFlW8!<{luX;jqVMyyxNq#Jdd5p+a z5CE$OOtbftE*AqCZK#?1Fh{!i$9U(5S^vNSJ-ZRCXy)FH*qVmyFcUV-+OtCbkB6}$ zPy{F8Vb-tfV}&Lsx^>nfRY;97((y4i@2y3ndHKUEte4vfvcjkfCNX9jL-c(hONntU z?>dw=%};R4P;8c)fUEeJ5x}l1<%@=5E8X`5&qeA;e?2u7sr99b^Oua@^0%FhPSLhe zTWQJ^DIv@go~Pa&K__^_Q1Te`{NNxphlhbv>tje;u6TCQ>4ewP9J){cLH8Z{sR5*Jr_Xt6S%a8N05LCEQ z(OF>A$H-9Oc_FB9)p7nNQb*S5so6-?Dx66SUo^I^aIqu9^~d=yA*isd=dYqXYK1H5 zce=>mQsFws{0+zXxKLEMNzZRoD*S3FDjZ*+R@mtT-xkU&y%K>&74`+;zx41sywfmL zI0;`g9fk@g>m(C^jS4?I3>8j2&a;s^B2!PzN2*rgTw?ggPDUpZE9zm`vvNm%vr*q+ zu=BZk_Ik>q*0+v+r>ohigOR=7F?;@T9z7h@Ezq<3D%D*+9Nt9HalUyt8{Er;RH|hV z$o-{T-{HRwM=gDib5j^<>912t0yb(H9fn#49p{UXIwDL@EkdeR%K~E4#iLa%D;?R4 zIL;4-p_W!Xdjn-rYbn$3_uZB3YAt2Q>~Y7r-w4z)Ue6w+)N=X=)H2~XPaVPf7`Ai? za>v@wTr+KB%v#5bMqtC5roqj7CC}Y+kMjBv*m1#h_0JKkXP9Xn9Ds(fT8cXJD#dypEu1ZAUw*DDR={@D@8I{tS=fB? z2e8uBNCtB}uA0yc-=uf2_C`l!8wCcw!bgtNcnRMk7f;W7b$Bl8gr?{RR2zR{<0{C~2mWT<=9@;b!6^lJkF>pRP0k-V!5*@QK3o%Nd$UkLc`TsP zr+_n0TzN!ecj#IL7ODYVjMNJ9&C7y-b z;zi1ttZPJao?$`VyitZTdDrU1TT*o=tcvDbqXOm`l76JOE!XPB+w4ZHvTna7E7|Vh zrSw|UpFDFkdq}N8`fF6IRC+++HvIsxs~(O224B5Abatvy#yY0XvZAh{0P)Qb)FmPDV%5Yzw6Ss zY2oD*{_dxBmwEJ~l^RTan?Lp_d#FoVJ6eucmQ~QBP9@7v@T^Cnlc}BfXOA+^L1Ib% zhcGP3KQAm$Ibq>nNfu-rjx>MU372UF*#bMYTf~2Vl$nR$dW&RlxjBr+z;d9LlQHL zV2I7bRLH-JVByZCCwNZ_>+J`YU`0xQK-3J*4^r#VPEkHM(Fs+Y;7?na*Vsxmk^E^r z@tDePj<}p!_H-dH-Tl0*;*uY(3zvFDJd#kmmY?&5O=AD><@;L>pDp3_9> zCz`F=U9dA@F-*&-!@{>%sw{S-Sbu`2j)B3JPwgm0&WF&>@k0;dnof$9l3admEQ@vaJ;_H!vH)lQlRS~0K___* zJ;P4&a(YIbk9$~(!sj{}Xkv;5I<%r9Vz!bu;Tbrsv%t|*cE ziW;q~P%j{H;aR>K`Fd?v@J~f4M!a004jP}fjPSrz@=UGy%$HI5DF ze(wGYLT&WUCBjkg^2QA0$%Q@NVI z$}#_qlYBAqr`*-^=OUR{r~KKJ|7$FSQ2u%~f1P7~mnv;iN?>^_a4`VB?*xwmtkQU> zv+Ay+5?EdZRD8H(Fh=b1VBT#4o9LXqna`WRraF(g!%HVXIXtWQg$b;C`*m+SO}6KG z)-UF5W7+V4k8i&aWbjY(-DC|MosO=MF#t@{&_W#65IEx!_T6@PUi(oz}!Y$EgYS8Q>B2UaIM%~&`O25zLZ zHV$8hp{a8S>R>6USpPPE@kqBW?@VGnM*fiwJ8Q?c;&9SL&@3CJR&*@^<;AxUZxOkDpq7RoXR2y8a`Le>9o(>Swuy4qRP; zFN0uHI}Z7AxkC4i-8$MvAN_cQ2mA%~4pzXHH>0Z8q>%rXYr?@=u;Og+1A0yGFep zw;ITwTsJsfaia+?JVuYl*n2UhNPl3ko3TL*c0;StJJL6?QiE06A0wTn@vhU27qAmQ zEiE~^D-Cy14H|FdRs7G#*kI?%Dn8)v>}?OvYLeevP?9#9s9C%BHBmcwRVfXowyeKH zgLzkTm#M73ml;_h9IyP2;#EN(RLviq%DhLF!wZz`2@Y)%B#^E4wFHzOMjneT>s*Dv zOLV{stLA(vta(H=FPX~vdK^QwEhQHPf4&NTzQo_n6Rr3Qa^SDFiuZe*_5a5?(W3H< zh8F%V5{QoSjss9!|3h3T-1<6jA&acwmVj~(Ah&Sq?||1(#Xo%x z<-A1Cznk(qtNC-){IZrmxthC9L%FHde8@C5(>cAGub#$ComcE_ZDz6ry{`PkG}hj2 z=F63EQpdi`zn{iJM_f(A0!E}^P$l%x7cJ-y(`PMnYEDn{#jl+?;LK7yH;*n$$nZzH z@xn6P%HnY;nNNI*`S@=kgY{~616ITWTt7;#43XXI zuWK*uO4_%7`-6;0K5PVmW9P{Dh&65%nP`}FHCEbM0QjCT5GYfk4Ks=Wh(&ZEc>)>5 zc)>u6^R5b&a=a6=bq>DKR~}=SRAH4e_^#>9%Oj4Wz|-n+oli#HUB0rtXBXZuo%QU@ zu8_Rr3|NdP!Pd;r1>*!yw4DP6MxpHXX9UBOr?8VBTdBfAp)A@!_3zM_| zMn3at=BE}B2NVHgr#3{G1D;b2FMApd;a0_RE=hPd=pr3&viey zwmn5!4Il6f^2OKir=CH+8G63A zpFw&3)qI-4DcABP)bI<)*D;Ygn4044AC;z9s1ukl8w6gss1&SOoJx&AQVm}>8wDrV z@FTNXjPvpu?lp%^>9X@jWdcG@F#Rh@ui-Dxp?P~ZF>enLzG9;%%?9THH_G<7n1Sf{ zIpBzbE^x{3?{k^w6P6P9cgfFE&Uk{;JA24AbX^1o5(ghp1o_I8y(~|aR=D5*%d0TK zwRtqWAP{NSLr2_F!=vZ2K`DhIgB&an-&YW|8MmOgU8g8EwmJY2j$}_@(wst@a0}E@ z9hJcH_x0rFP5DxptLU|>P_V?hUK^e^hnf8ReSKi0aMae9W`;pp2y~cm0blDvE@1uh zeDFV5H}kg_$OU{taRF;~e22I~*AG1pB5Eiwq6mvfHZS|@eEvV!EA4Ah4h6@%@rJqB zmba87Y*ZT24Go1xytJEY;;!s)qAEs!_2xOJSB1dx^WQ7DieH#zHaX&6)Nu(cTU1=d zHB?SCTkXMW2VK>XeF@nM$haR+Z02T}{T<2PsNvh^vCk*mMUDqNx-Ad~)L?ljbZ`&y zVB*RMh6Fa-E`i+)db?B5e1U-B1DZXwYvge=T)s%gUp55bl7TWjYueI!|nMHJyV;u@k z@wv|N?@zNKVsY_hp z1GeRu=!|as{tIk`OZC|og80MBnCDX^veMizE^balxRqSFk`ubu*MnApBc7?2KMlO0z6#!Q;MqbKpLw8kf23MUeDlc@#p)f16c+Pc zNo=5BkU03KauOtn(0WW0P~;9&=p2u>vw6oCSycDp(+^M$6I8P{^Z75*%<2NSy@<1X zFMq^8dXWWnia;urM%rC)mjCi13+P}K1;|T!8hOw%=EZ{+GZ*K$T0U$s>zfiG98`p( zA%lGOw!taJ{thigMcJQhL!+B78fyt>x_`n?&MX#Gh+q~)oSdIv;x z?d;Mrfk+EY3L@5_9&e4QE})eIQ$dMClR#mAJ4>E2cJII?w!JXQdT*pfC^);ZcI*9E z>_#K@uDp>>qA8tbs0&h9uov;Dtv3U~> z+JucokkD@L^N!1yPrCwa{FD^b@*&IEBhJNV`J!d4mvd1qe{&gI;aptH4KHECa?et% z{3tmsw%s5f^%5H#Hi&kCsHvPH!@plLBGTrbL7<7!nV9B-<7}mkb5T;{!240*Czte$ z4gWo*D*=)Flb0avg?ISjmso7uD{T&PQ!=>zU)#?s>46a0f@nEmovel!_Ni>NRy zEv82EUz4%4Sbm(A7BkARZD8=XSK-mJv?!p;(&E~x#eCAsEZ437G)z20y^r&2FEjJN z+wt(GbemLCi58L%{?d^?*-Qa=+Si)RkW4=MU#xG>t;dvZs3PgZzmh7^sC2f3&-j%W+9=Zm7>7XFXeBC^^7haBS#*i+)y|Har0H}FH-M8X%K zGRm$h^u-zfH;#}~+4Q8?CK3a%K)Io##LLeD1zut@a42jpfh1fY-L2&buQ0RQ^7{u+ z{{=7dtXJ4%XP49b{43ZULZd<@Z+~cTnuI-8@<>Er4;au1xS!^ImcyMRPfP?TIwpFa zRy>s);g`yf9aadDCq}s1UXI|zTgN4^+#k5n_+U7BViaLh?nu|H;}TfDU1{Jumb1kZ z1_9pEZ(?WZDq0kE*!4k+Yj&Mnv-O8D#I>xnrJE}q3kW*R7r#oA$J2bnt8BgVsMCD- z3O1(e+fy+?ZhOr-=T~dmJ?E5Ok+wk@E=T#BE0}jz7Z@K~=%PHbIvBq|&Z#8x4Bxu~ z3r#L{{34zYWg{vP+@vDNfshhNH^tSh5tTLDm_nc6K$pED(Q*$%5!{HD(ls$81IwpA@vibLj2}$ zq_V!96+hKQe2sd{A&P_KWvK}A?C}`CfoF)Y$xAyo?~An02yBBxHj$F|+AIt+W70;g zC6=6&#HoSKBGD6xe8x)VZKkewTI$ifPlqpalTPCb>8G1rv!_7Xy;e=(c`I4Z!G!4? zX^8wf8no#p249H_uUb*BpDJ}X$4Vz-rG1v-$kY6%mFSYLQ@GnIHljl|Sc#U*TM-31 zVHF!-EX-9pFb)kQIxu||1OXkmbrtgqR6FpC4%C54z`NFmae5yrE&#f*c@@I7@|FHb zP_xj`FI*bjxE)uf^)14#U?S1IkEJocXtjGgQ5JM>9FoXun2M*?y+6!o2mK(nA$6nU zZypGtuBCX1dK7@39WkHpPGjRn6GH6#<8**1*6!&R+xGBS`=FkX;EA^Fmy~Yb9~u7L zL}~kFrQ5e(Qo4QnWpukGopm)UBu*2FiE|E*w-2hEZ1+Bb{2fUkVx{dK5QbOMnb}Oj zFkbQ&!4}xjhdjJb-9grWV)(UJJK9_)Oyc{~VaNMT;&;;76Mt#`ytNPLPfmu?{JS$+ zn*Y6aO7rJ~uGaiUhvui5F`D1-T1)fCsacAUq&2_Mq4~+^p!sLN*3$g<|E4rQxgym3 zAr8%7GtHs-L#@}<=D(>o|A2Y?_-p^i&Hw%Xb@SU^|37N}@Cp3X>nvhc`w7q=Puwlt zq8L^fPk9QO0hb*cq`+UsW2{(Nk$_6i+;4NO#A~zbH~71gdJ{n)=irjr+=aT?Uv3V* znf9l%A@;sB3K0HcCRTp`Ii7FGWD|#y1z6hI+I&E|7HOaA1ZUH8YaSVZa8ElK06i_% z$vIMTM;h-TF`pEYoTXimPXR*t18ZUs;@nvZJwne8hg{3>1UF<;e`(VO;e7!f+W@+b z0Qc*Lc#l0(DFSZL-q<;znE+dCL%=iW!D-RngJB zGK-mmisDR8mwo|zHbem6L1SbdV8J(|?e4>)q$8HSmwQLGt+rI(4)@*xzsK9oh7NQG z&oO&1x-=h}G{<`**$F!QN8c;VyFur)EaA85Wy|&Wqx@w%duT9K(u$*bAfI=OFbw{u z{xHm}#jc0vuQK{Xy48FLOY}!1#E4$tC+w`(Q~8mV_|&`=Vo4_$JnuCCjiPrJ_luq5 zx!dW7Wo~rp5#JDn@d13_vW_@vH%`jgM*QGYjMngb%MWIJyVTv%d>GpU7eMYxyGY5k zE|N#DMrXqE&tJ`YcPDgP=v!IT;mT(K(GH@|H?Kx-VhA`yPxSe(s}Vcc(&rJ-2=sZ+ zH*q%s`h5JG6r-pP+6Wj$D*8P2O{fI={Np!Sj{)i!{aIW)ETs*(d;llC-lO7!zr_hh zjLz3kFl>1Hnf%u`;g5mCN3s#Wq?qf9sPGFhQr0zki?L;0Az`3*dM>UWXP;rl2P2+q zjtoD&EMUT%LNo(klg&KU(cAl*7^yHALh?m63-D4#Z%;9L>js0hyB;xH>J(8sK$O~_y&Cw&dl-klGsY;I=q`c^n-`-|D9#(r~VJ!8?<)03O z(8ml!`Rj?BD7a0*HPkhp#FJNPJRh0E=8qPf&X;LuoMbn%n6{V4+FgdxWYt!BKP*9iKvNcwnMlOWuNNnL;aA#SE={zDT%Bwyg(kz@l1h!}tnO=B zn9yk9VJRajd7%<@9iP9JO;`Kpb2Jdz*5k-HUb~hBv}ovo2Q@V3uA-rrMF-tMUkrFh z*U+Ct2i;RN^awQcC^Xc)(GAKb-D^Go4c#jZ+Tr~JU-1s}o_;%8e7D2(ayT^gS8K*i zMN@w@0v#GzMVjiq)mPKh#d_jE8}g%ztqOJH1Be%(oo z3y}b8<3c?6#klY_f?j<9j*@=C@tVlCzuLSv60xyT-Um>c_PNZ@_{XXT{1MHceIm*Y1Og#b#4-E|e0N26Ll80xOK~d~MhSA+V;$=epvt9X zTs!O_5nJT$eO2G$?p*{Gtg`3+ozGgw#*?Y|cpdXrO~rwza2c|9g=7y7Jv=($&!^ z5#~I(+kmhjr=#!Wv7rOVT3IndHRDsb#=N6cuoW{SDwx2Q@G8K!0M4i9v49k%U}3EC zjwreSJ(ziHA`WAXfj*oUBUUBq0eu)lhrl2`;)^XO3|S%I391!<9JBU9dt6IGD?`MG z%cF2<{Ug>n-D2h-;?(GVoTxxeDi`;$&cSJ8yb-uD*P;w))yp~<{Hvu9F(@g;a)!XwQtpqCKp0C>dWj)653iV-$=GwZ~)({JPmdd%!_Z+vA`$yg_M? z4$X%pk0l^_sux+kO*Q_uF&8~5H2hwpQ?kU8&aal1WVJBG$n6Yt1e<+u;Tcz*tTF_lw&2DLf ztCwTNYKR=_jvKligAOV_R)P)?V?$sJO2OYEV8CkNmV9PvTXl9mU+v$vn~91+a6l#S zgh@J-QH7uJm$)sTg?3)}0WEE1)B{9fRT6ia*W|M&-4akL)`#EY4{v6^BT9*e-7fZ> z4`t9kJl4rz<}%{&vz7ueUarB?xyQC%xcp@z?J*O|n^q3k*BQBOR@PdeBt9=IuOnsmAV?Ics50z7n80mE! z$n4!Fg&VBQr5!&oqC^*_DCO883MmyoS0qm-6NQ()oGT950uj^(>`F{p^Jtq z_5+bYA-G;Uv9*%50rV2dkx>4$tNze@pBpCr@dvm)r`E)4KEUeK&%?Oehb-7x8pua~ z$R2inZ5U7bkgXciW1!-}e7nM8wPgt(f_#a3bh@p5xcgS&c=Q5A_g`tpfUNd115Ao^ zt@+>>X*>DaAq8wW4a3hDut8nLcpbWO@qch4Y6@DMh&31g7bl|UN38!4#fi{XMCDOx zrvKpk-su}uM>}5`Nr=&5NVQ5!i)^7Q z7Eu#tBm?Msj&J*f1$YkegtTu|Lkyh|G*uQ>$AA3%Su#JQ-G^dSzr3;^3$cDQu>hk1Bo9nbLd~+ciEt~_f;5qmuH?ZL(-BwO6 zWHhgs5gG2eBR%u;kxR#n!H&pXU|`K*M;0Jy`&@C7Mu4!a86_2-DL##;HKgVsmEKG7 zZaI{`X1Tn~O~mBWD0*u}p+2b)^DOG6Mih3E9o-V+@P3jwr{Y7JW@`=||{z6#XhZqMUzPbdTP)9Kiu7 zR?fQcB;U?1Bi%9D=M5?eZ+DgBR|~G9L02T3$DC{#}~V1>dxJT{{dtI!xDZeFvtYJ=LSQ zUlFsn_Z?1GHW!tj(kH0vMDQic(&ivg|(GFcXZ@h2ExKl}|1 zJHu64OFI}WgOX(TG1P@?1@_(_T**_;8d)%mKlvH!-Fx05B-%1eAZ1(I05J$(+l0U5 z!ls7-Y3*mQh>v#SM?b>?#$6A7`!g2He9`^EH)EyS^783jF$xahPkzp#Q%KHYq!U)E zO)b8{fGJeuNZckS*~>w4Ot{OAV#u)}SlV32Sc)9jK#wZX~Atl%3+yfhr7E#XH}W?m|!bd7xY z5yNU)3Pd?=R#>QrQI6zeaH~`b)~+0BqsZpHQPP&-RH#MD8cy`J0;9su8|=pf!Q0?_ zAASjzn-!KVRR27kU8*uLbapMxkog>i;nxKbaK(FUXB|?s3KK{FhM~j%g`ro&qry+W zI_&=!ANw>uuwxsG4|VeQwj=1ncL;CV&N6yY(>mF_cMA*Tv(bkvP%KvEanZzXNX>>F zY+MT28i;h-Sd!8@tZ{6ZXrG&bhAuTzU7S`V2y;|6Rl%DMJXk4w{&Z|w>2^`urCnQL zj8HJHoP%8ZLav+LMHfc}H&doV=}IPQwdO4I)iyWy>@V54xD@ZokeIY`lQr$2r)I^( z5R$ex?xyR-u`q6AxAx)>OC`ex2=o zZBhU1MOoP%NKxf&rW;uxB^o*lWu6bud+TzG4wU3Uj5ODcqG_SJ*54Of^+8 z;eRZzv)Xo$Rls>PzL!5&%mzBo3gNlM?0sRNV(eeRKt-3Zm%N)G+_t-e)f;jhm4g=g<% z=D-A{zpHD=^~q=g8by%6-ihHlQKTZl3B&ZLbNs?iEV(Du@#dXukaKb!58TCiu~db` zNRXfkxID>c>|%-SNg5Bitc3s`--WB-BVaxZ8E=5N%^N_nNu$=r2^)khLju75#7JM$ z8CD4H1`NQtBzLsC6eX3mC-tT*@yJ5&q~`RVpuSVf(0ii#PE8ag<>itM!gE+IX*U6y z)aPA1#V+L;L)Hw)FXAEu8)NL=c9iJ^uApEWN?CkR;H=h-n5i|38=3`ymrl}-N^~FE z$q0b;9PhWAg*s=~@uzkpv@5rczp@+A8u@j6!*2Gtrmi~_bq(+$b?t*{#@bzWptU-c zu}PY`wh#XUBYOj#@ttTlzuuy)Pb<1upfvptBS~8iOq}y8w6(C6wyGHA3dV!l8vHHg zIh3yCK}CeJ-dM)JEn~xHclD&8hplvUBGR_i4N7ilK448dWU?N5T~kVY_1)8&wl6NG zx#Fg4VmRrqA%G^|uaov_DsBX6L;9moU$pW@MSa~#eV6QEA*%YWR}>^y(U0|t`sOO? zyPh16C|jqEtnD*SFH%_0ZmD%9-x~A{{N| zy+zE8v*lG0cl1s~AUzZD#os!dxr#60AD3e`(`^v{zMQ?K`W0V5ZU42G{WFAwcbqNf zH4@t9{b_fcqou5sq`lEn&dMe{7uVP}igpg)N*;u?&gD7YXCICmmE7Y~_A$TqAIH<# zuF?Oz$5ZxUy}l5#v=3?={|m3!hb@uqb^P)^<{en7NoJ5xSemS_^~X>7d5S+#v^r9f z*WJ2PR#C@;_d|`3)$wQcLp*Ei_{#mP?=0)xCq_CMR{tGiya*b?YVhi4ykN@ZwYC?{ z`-~py)0Li3TM==K>7>n5ggDqjT|qLC+IvRzC zl6Y5+x#?mb1q0A#sSz%=Jr5cj?)7b_%8;eLXez^-a}cXzwJ$9YGLJ#bC6q6yz?y|Z zMOsV4k9<0Wud87F;uJy;+eXg;0h`NOLG92IUt7q=LLwZpb_*WMhuT8cD(@S`dtVE- z91U+)VVrj?YIX>BKY-b3UM7F^0P8x5#Gb|`UQAq67+d^=a0eRpdB>wLmU_%t~w`G%LMM&%ph*uXTcVEhaoznH4>3O>1_>BJHRl{OUp0!~6E< zn#~q^&W|oNaBc5i$@?B+tDT`hpC4k=dQw+mf!a=eg+s14Cx-7|K7RZh zcL)pbU&(wsq+(s&;GgwK_BkF`$^5+u(K^RP^m*`21R`0(4=qo|cI8Io$f;yQhf)qq zD!Qf{Gx3YoRkDl}t4pvfV=jJ;#W%c7^}`BA;zyRLVHj_!WCQx1>esC!bij50tK|I~ zy@I<7o$%?WJpHcYiY`gCc&ooeW6|xu{QHmWVrk8bkuBV#2Cmgq1fDai%IRl zwh$=+!9A{UgRj%17Kt>crB`)MyMyMmVvVu+P)zv!6`yK!C^zP`Zv2;{>|ecU=1G%U zWsGty{u_-^i;!=;9b3;scJJq)S+dQqFd_FvdLj+f!1WT3@xNv z%Fsf()iSh@ZmB~{Hzr8yV+)Fr=%i z27WxQnp^!E-VyP!BZ&lPA#Ml~NHq6K2BdkvG7sccRm^jy=6Q{gvbu`d9I#S>Mej6- z!2{c%cvhtw6vwJ`gW_0~ZcrSngA>CWm#>0&iBd>xsCY~@;Z#|w*{8k5tfgh)B%lq+ z*yk8%#@k)Ck{?vVf;B(L{16QkP4I*E#M;eiEq;(XYf-(Nd;R}6Kj>QpL-;{78`ozo zH~+08#LH_~+%%F*Oj_cw)Iv)*SZev36P8+<_uX_&eMp_L&`OJtOSrtWG(%pYRT77G z4e3#kPPDUFd$HOCP(s{J6Q6&Ig+8ko(@fF*wrphuMu?+DDkH&-m2Q=hv5%5gE5pG% z!W)v_C0V@=A#ETEV)_CZZ4mY!BHAD;P~1MVXiI}?{ks@a^1jk-KTQ8ukkKLcST{qW zz{cbSBKj3XbV@DjFGSQgC@cNMg?Wd{ZsXnT45nSuOjg zgNTki%^o!!z4JnlZS-OMjke{5E7Ft`e#48eIn4&TIb9}K;r=CFahfgd{7YnOPy1XQ zpKu0#&eU^!$r(gsUaaG}XV?(8Mj1BK>$=QOo?(65r{Wp|+vvye_evkm&LC*lcor90 z+`GdA&$4;WSr75GXIYdFS^TjWB>Iw>C*vNV8TSAf_giNfzIcd~$x`7D^~1Qijy+>| z@Ae@?hWHdWp#aw}n|Mwgdz|Q9sbdyFZw%=Df84!$T-3$)KaLA)h_39;auY#OQBg2a z!B9Y116^!ED>W-i3N1~|dzx<*6fo9>MAJq~i}G5TQfhDTf_OpjmY8CA$NQpacuCPz ze$O-W+6Ao7=lgy?e&4^oJRa=p^_rP8mosP1IdkUBOdHCt5AqA7{P2D)+1W&y#ST=2!Sjr*r}A$Ef=4tt{hdr_kO}XZVIwn4aB}3jU^_#q?|- zre_!+HbpM~u}#|>iijy^cnv^Um*=F8raaYMp5vjXb#47gaD^C9Lh>YN9B#%W#GmlVw`&sR)5ur)r~vW2$0-gioqnw1W|jt`1Lpz4hUKM4PQ zs-ihP8YeWT^)@AKnlFEFS{E842T!47>62R2Vd*5^grfA27`vGAD~b|v^ZJU?#520C zilQXBxJ^S^B2|wSa%7~{QlR zl2*9;XG(Br_w)(*8Mm769<_XAX{drvrpYC4>rR_dtM1E=*9-bPZ zwMF0MSI_GfQ%*C>b+7nRqxvU?h(-zb9VlV@W}2YKZ9yS7%5|+8(|9vr2!){(&kMRC ztv_ijwX10(rFM1AL26gO%V*mn2fpBfZjR62wX2Ru0qrWW#*<&zkE6JwFY3mSj`h<; zT{{*VCdSzWuzXb6A+PK-uegYDR&s{3OE9;TpXR+U>GFFuK0~_L$1V`jjnY_4*WnZkM;PFCrZ@Y(XSe%XliR|}z9zr}HoR{4&7o~0hW5n5 zNZM(0XbZd=&HFG%!%_u=fZF`n6H4Qb0vM%DL5c9mfQ|D`w;G!=7y13mZDA*UYK~lu z7wW_diNpYEZt}n@x>oTD18C+ZRJv}znU6-BAHmFNK3KEI&IDW`)+1>6hyHl8C}e8u z%*i$6vFVM4#|R+H#siM)`4?B{%uyr)!ypJ)2M)kiU^5I5H^Vt@BOpDS{7I|=s*8>G z<@Cu_hieT;0M98b0Pdg|-B*9Tl)t)m1x%CD_Pc&cZqM+S=Dn}# zIw`6ku63mm`MFfKIE_PmNh<@F+(R4_7j5Q(du+8&vrf=h7E+c}!4qZp60001tTJ4# za-6Uk2}Ra+RS?BWGXuBt3DN~Q_(a=lx`5u4H*5i)Q0@9!7Vo3#(Kpo(E;e!DyU5Jo zp0TJ9%eDnHn5gH>#3wal)Sf)!nl3A;0E5Y7-WKp3q_mhbz#oU!AyTU;23ARr)M{D~ z6l)XJB}!OEb(s>jxVl^kTU>2b!j@N8DPha2tMNu+?k_5V#N1zD@@4T~M!YC6;U_|aLdFlTUiDKlDwEiBths3!2JI~MRA-*46RZxIceaB@xcdkYIS zme`h^!%ye61#1fTd`)(>V8dESLH}m!sS<%!K%37R7xNjy-#}Uhy(dKe& zJcJCGTnUfP^s9VDrOvbY(5pq{(zgq)-s@s#zEkV93W= z$qbT45Zg_ME6z5qv(H21hv7`6WTHpY%n%G@e z9aAE|!%;(j=;GXHzJx8H>GM&MwEfYp13FE7!qfiHg?TLa=@#}{ZuU?9X;gS@CQrv> zr}Z>H_6H8{dvt_rt90JoDK5@j76>d2Q=#%sVqr%Bz4fc_NR}5XeW#J8v#qzQA z08gmWbqpccXvbT-uBtHgF0L3AF7$ztnU|x@o!%(+2)Bez=_mwEflX*tp62;g5L(O6 z@M~3=^W8hmHMezIT4Qz3-9##IX?ZK z?nRaEAm4EhK4LWohLE^=%W6?q;}zCEuR!&6yg_Md3`nBnuY`I#r;v!NrT}=ru7}rm z31j#ewViReheDA6MuzSttRT;Q1UpZdF_sL%xO7eJ4_1zg6t+m)I5zE6^W;BuJ?a_< z?IsJcS{`jlm)3A$ijFo56M3|GzK~kAYxBi+#zIo7STGhcU=-UK3mGtqZBnatS)P`* zP>_^bwX5(XwP+Q7(tTa~u9AIZR15a8d8=d}8Cxa$fPJaD4*R$mo0$nBI(T0f6cThs z;9X~eJU5>Q5k)VSMD+M{EfFPD3L>gD&$5O`)|}>n4|JV6teYzs#*#lJ!$=z-8OER2 zCBwKPpKVL8^EnT6i=>uVk72Y&3NVa>3PnroSFOwM@K>_P)p}RvS{5gBHCD)6!{oDV zKm~vPp)U0w*igDvaH~FRYkk3d&_)&>5*ve zCbnOHFM|2wU(n%9meq6`5!^?%(7@gX@~DBXCv+<5EpqkAZSocYA5V_WbX%OVbqY9( z!|cI0vNP6Pf$hodP*KPPsv=Usjin~7gx0>u8j|@mOw$0nd0tVBAjAn3 zBM1l{Q#>ehhl%P-h3rz`3Rti>O#uG*pvX9)cj{yvUJ7Nf61l+NY01SXTbtLP5 zW*-^+ME87qIRH|BmGV=LOLM9iM8Zw@;LKAN_n|ZS_fN3E67&Z@@B{}alh27<(v5to zd(m$?I@wTDV98Js&raDv%RtznrS{(i{;O%di)TO8^Q6d+PV$FOrD3FX4Gbgx zCwbo*7)JUPfa)|c1$7IK8&cVw-a>5#y#jS2BXa_CcNW5G_$uS3pM!3I}YB^%7_BiZ1Svyu(o zl+U(j&+?C**gt7wU62CI^@B5ZZLC!FAdl-CvhMx5NoaS+Gm>bOr<$JRd1Ss|vP{hZ z|1yZ<1u@0xcE5k3lhD^e!lpco2K5(*cos7Azj7C}P~?xHX~8?KiZ78jzT>UBYoSpLaLs7js7 zO4B#h8au?|!f(J~B=ZZ9LuY5!HDvbzK@NF6h#Ve(98S%Z4Ki%Ahg2v0$xp+ zyBQPHNlmx-&fiQd@p2LG+nD+F-cckP9@w4Oh0wqhc5+|c@ek04ji1nz%QZEY=E@O5 z%qZ@Us~fWy6#eQSjPKFrxl*zSZXRu3L}X$RtFBPC#Kev0gBw+>>;&kiV>9de@zZhtj^N1DOjp{>&$9SS!`#QvSJy`M3KJk?=n%fxXBw*a|8j z?+w8m9-P7#wwMSGQLbU_d|M+erGTKO^oW`-Nk<1SQ{JX2Yuh1rj9?O8OfQiihjflpo(&q3-t}Gz(4FnUt#LEoaTmL5Mg`Nt% zw8X2?@|KNW;4U$4BN5EO-F&!~wd%ccw?M-^*wUUQ813~s1OrO>M}pa@{eOU9To{Xu z$SbHN7~i9kV5&ecI}jd?2|Qf*V#Ci(Ibf*Sn-aMafuNoR;-1#ee&h=ns0YX3{>)fF zyLd!`dWJ%2`Cq8#b3G{I^m~Fbicd<)$n7R6BjAXn3@`a?i<9Ex^(^%tboWue3d;EA zu%f#+bYtUG%YNWf-B|mUBSsd6V5L(YuL0X1tv6aC`;>Xmd3fXV`8G-n%XCL~_J(Tu z2tLo9O;)8G=6|^}gX-~o-n$3$VxI?#I{7|5D5>-oHW74V&AZakwI=hpoVdhw19RL% z;}UZR;Q`N2bzEZlPzo8nU)mSk$dKW_>>%ITjrla9W9M84X*M6==ex0H{H_Psq|9J_ zcbUT#^?=MlS74=F-hF5eFPY0c+YwM);^OYZs0f57;0bS0HP)pr(dEB!iL1Jg$l;n4 z=GiDZyyzv%@)pzkawjkLnnyH}zLc2iWfUOg>X#C?dzo_hL@(A_g)~dN*gL*mx7x=r z_1ZIISl^7z==k9pxBx+6^t=$JiA&t;`e{cj{lm_mh;VG3bN$pC-Vx9m+~Cc;8qsvuynK$s zL;kKe>zPChub+8)fHR&kmVDxS^tn!clDwwRCi0U6Hhr$g(;y^voTHUIH8Y-4K6Zc3 zr$T6xKj%}c443|#Ppie(yJbju3=ZeT?{U8Zos*Q|JE%;%4Bz1_zy9u@z9U!0NEyDv z>meW3oOKkO8+BVkaFF3Al$I=6_mF2dXU$bTpo%wVS&jS-+vWm8eAsedkCi|${9!O* zdWX=1w zV1Ztz=ib63HZ*55S^&pKq8npk)~dg_xdnSsh3Bs=Sg`8;;m=z#w>O93^ecFmq|?*U z{kOv&jm?A0qs?)b;j4Aoda5a{RfPVr30Wn=)CjD#-{XnJkj}-f^Y%mhV+Q$4tob3i zmd038&6G>DxuBmVqbY7V8Q+q%3MM*)VB2Rj$7I6>JP^q80tKhgWYt)dLPCyeXkWSD z1udC$T#PZV5MtRP)+O;p5?(RY;7cl(VmTjQLb;TF$D};`SKcLJmdX zr^^+i>eA&3XcRyCvF1aHMdga6Qu+*B} zF^+p;91nK;P5RY*2mh;nfZPmqD6Ixt$CnIx$Xm2xYn6HJ7Vy|#Td`?$IB~z$ti!9R z2Z#l0e#)Vao>d8}1@5c4=oIakI$w4~Oyz(~H*+j3(y^I8Qw3uk??a6p(HN_kn!bQW z-%cjz#95+mf7r?Qv}UcF5VW~C#*%RgN5S82&3d#Gy=9JBYVRiZQ1WK(hHJ&Ms0-l` z1_K)(*aqW>3WAru#DHbhZ-f#0hHf>d4Ri1In9K@}Cu71-&Sq$3XQ7Romv<)o-_TMB zz4b$g?0!v;A$)%uwppOTm`Sdm^ZeOsgI?M|%doK2Q2Vfj8f2VHHAh>iNXG+ctvRj= zsHsAu8#EH6>;WJfDaY@yk@BBVOu&^3i+Go|%-w}@F<7?4Z-@_U%fgfXv)03&f}>+P z8N-iF@r!{&tHi@{1rO4}6>ictiZF(k%sdA7Js2c`AIAgH;YVh(7tPxhd`K+Z&>}>q zAVi`H_TyK1^LA`~9ek9uV=LK@chAx`F}p*0&`F-wp0!tPh8ed#!$m76`SJE_JdsaK z0EV1un(RxIfc+0g-~)!r27Ej5bztFSXF^KW0HM;mj~{){*hFB zTuo>)^QM7pgfgzd{rSHFSwzyrwS?ywD^8&L5@*d|(1z@};b}_r9r_Ou#>{-eUTo$j zr3cVyZYtSnCFnGN0rNj}nt(*7U1~r8T21&b=rnKF&T%EK^OAvoQ=~4E z2L>^(&Qy>w@ub1B`6Ojvt_m!w?KT6z43^ZBvg1-87ChuUh`rpJ`p7n46dhPp+h6d@ zz-k|N>BL_4r_oZU!*0_MmK{di<7#yH#YshcLPzGFgiV5C%wXf7*1UZw;oQ7=spyQR z_@)8)=VW)*?K#=y_MQvXnUtP`_YSR_`^xNEs?(c3qx@1PhT_n z`(x1JTydhfB1v&~w{yo}Hm^=a7X`EV!4BV|qVD&v9J1=hXMvOaX`l6u}2KH&xSCH0RcjNMYr`JO)sV^Q`w z*%FQHm?!IG$J|`UNB8(oJ7(#+dL6TAt(=J6>%m@dyPfuI&5oq;-aT1pqm167W*`5| zXZ1wQ!1=tx+16w8Lut$1vvGNTJdf zS4m`yh-3@AiOk~@S9O*-T*j=l$(i`X#hpQ+nqHWc5=?wzMrWeX{)x*w*HY-JQ#2`! zM;Iuye=jB|baXE^q`RDrZq`W(y{d*}-Uq7JnUF`^=z~28d)?Zce88`H1EfvZ=LzH_6lnZkcpVXUu{C|B&y&I(rss4$nEp0=pf8yqr7*a=~ zFnvbF?nbefRM!{sD1&`S*(*jvYN`S1?u>;rq>^XTkeY;d*UTOJ$#_7Hd5dBt2@FBF zZHR67#y-TlAs9m}Og!vEtj9ur+rav?xRO;16e)p99NqUIG|+v27Js=93kzLPC(X5N zk#Ut{=r~FfIvKw(?Nk_cp*j}f)M4I*d*WyD{5~wP(}M-I*$`Cg%d=D(~mVZMe6pG`R_5Tlj`8dykTD!;bMaC z6VBI38Q{el$Mq}0zTQoJ0uyN4n^c)g%VcHd+A1^GR++iB%FMmjknilryeOZO{VaD2qNiVV4oxG+D=~>Y; zwMLkHy5fm5?kc?Tw1m1J5mgMfdH=v=)z>7e*w@6mQWa;I*t@RLzn*Pu@ohJG2&@i~ z&rRkxOe|DuorF)bxb-U961r~^4~}C!wd8w`-NUqjL+l>rGvZk1k;5siB{Uk3iWf)J z)TBiE8DcpzW`0c?Qc+o*YR zeRgo1C8MXXL76olX_Le!*`nesi+hqW%4F6Y2~C0-%iZ{9Qm{cBX9Mw}O(FBudj?mJ zlybb#(F;zcWaolkq^DD<7v3n9g>t4;7As!h(b%MvjABU?_ZbyKai3MmIJZ(VoKe)5 zR5C?W6hQgP_u4YB2KNl%+&%%8^karT$?`x!aa1aock75<6!P9gBl% zN0jES}Tr7c(czH>f$&YY$85Gu25%EFm)V)soP>xcKcCK`bysP73Qz? z9stW^=G0X?O>ocNngCz1S6E+{$^}B>QdchEi(X;fyAPC}-7D7It`Q`LEmpJL!=084 zGfs1MO??xS6}ZI2hL_I10lzjn*wgIv<1izC`U>-Lc~|D8d1V3jf0ecBxvd@MNV&p( z3b!5@iJ~o81F-|Zn3y~W4+HiYC;lcqSjDj)#TYT9(2c*#lU`;0eYbszy3v7sPe~QS z+py(Nwn?%RqKlnGVu~u!`xz=jfKO+wa zf}?bTIZg){JKQbQqR}nkS6*YI*w?ql(H2fkzxkpd_ZQ~#;X|=7?o8jn=dgoi0+|P< z16!_xe6?o&)ll}SHz5;T$cc~%w&O&|glnP`@YwftHh@`n)yLJaT3j7?opn=po8u-Bvx1e>dzuopAg+t=@#=yHq*hc>XPxE+!mU$%?Ey;lQd_ zBu$CmQYIX6i5Zc~Dp=&R6ArAHMbeb`Z8_lxdYcXC_Mc8T;>v4h8yntcsj5>6vLoha zDjkvOU9Th7OtyE#{o&|{Rw=S02G12w_29Yu;{Sg7aj3Ovg`6j8p`8v}F(BYU_E|cu251UCS zMzR1Mkz#aa6WTJ;C%j4KgyB5+J?7>&1w6yx8uMFCLHNE&M;!|c;RTbmnGdO6xTh-I`aJBY3LTCV!@!xggNN%Smi$|sIt zLGBwvZ`JIaDMk89+*gD%2-f%KKaXK?E|)jF8xE7PzjXs|G!_Qa|eQoUmDAXX(^4#@jOm7>uZi!fzk(zgSf?W>^K(eT!lIta*eC_u<@)p-#LzXMI^if z%>n19)2#{QOG)oxcrV5VGi$ZFDK6wr^`}mN451ORhMMC1yNl59YN_dAa9M3%bMf;FR+)tu1@*cRQ_G>e`r4)Fi-d^KFI?n0KUWyPnw#o{RIU7xdLCW z{s{_v8P>u8pHyy#w*tn7|8j!wp}^O}A04(%Ec>r)iqv_fplLV0kNTR~=uzBsjGka%L7op`#f7?I8g zOk^RQPDX3P;X8EVEp2!Voo@^OkP85%K^H&4)1iN&+gWSTyW!XgdbYBN=S+ma2K649 z$od(HwxKE07&3-GqA`?|x{w0Jix@c+vfUPP2rtsYfmKNpJQK*mfw9Klp2RvuRiYG2 zNGTN-Z9Wld4d%P%1Lh{;07;D1#9g>MCk^GY$*heVu@vn1*)`=-bfy7b zE>1W}lE+SFuXLxp=;#F;(+8>Y7A!h@aMKNrAfYp?P8sOzJvVXwOwDfd2~*_dAKYFY~S$hbC$;Q+mo4(;1ww4QPLi0c}Tc}GSBQ^)5qKdr=0M>DQwgbF{)FF zoTANZb5RCrn(4FzW#jCCj2t@fFUDdKBNwas2%)0@5kiDOpLD_>K8YA&Q}$@F^h=>- z>AYeJ8#$mLqdAn^FN(!uehD72mPKXszz(z6IlIZRgf{&h$HDvJn$7-Zw?di($D5lJ z(sT=RtU{W8;Uv*Qc!8{#$^u`eb4pE?3>P||)MQ!gLidE3EX!R;HpPM{kS(mlrtq4X zM{U_sHZof`CEF%Swoa67vyWh#0}i7*5pY2zvvm640%G0y>~dczh&O zi5G|QYag)>NR@bX8XM#|SXIcsp9Wo`aE#O?n#rfS*%*Fy8VnL2j^X~(S+4+TqpzZC zF|Z7mhfK*nKjl{`uBUt;#r22}`26Xtjk1cABgOUA|G{gl;|KiKoLa9j_t8=?vyYkC zX76q&b5Oj-U`%glTS6i=4NFK3l_jKxwfeI38p9G&L)#J(lr1)c#oOG){$UBJ8DB7i zH6))gK7%b(U5=J`T>W(Kvv{00QQ&dYYZ8xG#kuq^V7~AP|85$CQ^xxejo-W{p6YMj zu0dI|1%z; z=Cn@&9tTD7tw}7{+hv?G&(J*DTX(|O?p5xTj0qpG_)#*O#B$!1*b9+Qb;!H?Q8L5D z-|zBXbN)vne4|ieZ_zsvdvo9UFR&NGf0cEn&e5VM6sj)5>^q%2S&$PWtehz0n|?^>|@ora2}M(!u8S}&VA9Jd*KN8 zo9h7InWOlORQ7_$mp-(8Q*5D%h4NwBzFCAgl}q^NY0Uiv+Fpfy25~rVw|lI)*ie*g z+rDWq=gRGyyYQBUZBuyA_Dwvnebbc2I`$x7$BJDSR5;0{&hE`C=1vp3(dNzhOGsaJ z@+a<_S9w|<5fJSk)Ltfe@vD@)5nBdfTth3lK`I05o zi7a6QV4WZn*Z^27uwgQP9&6D>!utq#?3z^IBLENQznWdfa9p8q`)N&0#cH^T7O8J{ z;hIlaREJG>_Tn--P4-CP#@jY)rzEzLHwCe|D^E?yoBX3s*gX5LfXxq;J-d;;kkWNf zX!|#~XF7Wn>e;_2#6VY9Eigc75f)+6RxR!)suX5}`5&!;V&ZorR%|57N#uRa(w%pU z8E(AEaO<7V!dQNmm?O}M_X6!OnNOK7ZB%?dYfC2c{P`?NWqFSp@5nY_L^)$2%fm0fpF_!c`mJBX7iND zBvcj0%mddm7+x*v>G-YiMa7HM@0{hOnJir8f0naPS$EZ$cX|I$S)&@ z%+csEzrA31a4N>J1UAb)flf=xsa{T7DAC7F)D8!}2p3;G?^EWNl!$7zMDgAB=hCC- zIl>T#&#Z|>j4|b5*i_ddbq~B#gxJGhQ(#sHBOs+(#I;i51a2)MnN$vD=7Aw;^oA|# zDA3h-2W{U+ZC^B)x=o})hy8o#0`|Phm7qMQi1&vS9&UmUmvvn)w%qn&OHbKk85WMXrXt$EYaXy)!-Ai;rE* zTBwT8@{Gl-dB|#cUv4_m-}919OU64;5xxMoG+Q)Iy(YWR{k(LWuxM1tS$=vkYaLo< zuhdciI4G6M!mD+0jsV>fDM5`k(DJjq>k@!k?VvQ#b5=mJ2{clIzNdf=w1HNg~Rf(TjR1RJRK9Dm_+ zfO^?MX=WXufR+$wm<0V;0Uc!n^*hHuCC~snC`~Fu6wq=4y|6)4xT69(!3G+9j$Z-j z>tS|KnvX{-pj8AqAE2c8ej7g71$XfL?fE^zskbQZb0y7J_9su^%*`cAWN+=Vp($_B z!%6RA#5d8XLj(TJXUwxJNcG+&NfNZ$W1Ued%EZ{9Q`p^>E7VFZfr2mwp5vD}sC}rt z+Cu?0q8ayC3Sp3Nj`vs!YWLc}-&)H2Umhh(clr}JK%q2q7Dsr}xS3$jVLF1FT$c1M zCfCRB37lzq%AQ(kD}4Gn{u{CnO}2xk12kE|Su)3^qyd|0n2(*<1ovVNr*g%WV@iYQ0v4fT& zxsQV35&}JNM^w0*0$OYXEj!20d;vpT`8nR;OV(VYaE<`PC%5JuzJ$}F^&EfsOXmH2 zl|A<`#Fm4I$Pg8bcWb-vakEUD0FM^=L{nfhgE#VJUt)2t8Zbl%+6LSZ=H$`A3(;O1 zMhRxCrFsoHN;kP=eG#kyH%hnF%HceJ`Xy@}?0nufxC4;5{E95nj{sl34ZzUTv+F2^ z+Vgzia^~Y&RX5PO{R2Asct&8`+aq@=>-7|aKlWbX<4wwVXFVm zHXUlSZiK9F=ytYgTN`x$^QxNr>J*gLV9G0&(4tv&S`(qP9V1h0{pVL#FkOsM)`FDX zcvNAhUyIt@Rv@<<@1{iVU5dh|G(yJHP@So7SVA||%{cfxFI&O(AqEDv;p>g^)?yS<4R6HTRwVY(X9>>-|Zhh?Z@Zb;S6jAZr8E3TI~C zq{1-HXpo9z+wI9H$a?CAOmq=HLvZ@hu>i7_YmT1)K^NNWTKx8 zd1b5lEU8u2fpchtANWj+@N8QJkK41PAnWOGNnk|#4-B#;I3p7vY^d8+UiB?h ztIOxPZY68q?jE8HuNt8MmLoM`mgM)=Dhkf46mV9*zC3CT^Kz-iCuN+_nKl=EL!vX(ua%WZSa>8XN}2Ki60ep^A6O!ZGjYK;v-tE7~7 z<*vHSU00DjEa%!5Ppd=1vpg3Ec2H9+~W za{k5|v}f;SKAWB+%K5i=YRB4h%tnr9F7xASn18?&8ER`+8gA*TQ4g558&lG!s;P}} zDlG02`LSdY_gl+6Y8%*VElYIX<^>00_dGBD-CEWzFg*{1>QH4@vwy6nuKwK^%!k*rl}LHK*o)( zpd9jMD%Dmlw2w0{p`7B(D~j;;UPBKlrSgAOcwzoX)(|awq7Y-662Jse9m`ZXPe9UT7at3^Z3E< zn73yEQW^5L%ZyxJY)HJZ{lv4p=2tHd&c0{*kiCc_N++VV&5ekwf;&Cljd9bddj`hM zdjILRCgmS0=dXXy+Gvm4Yp@RKv#;`u?_n!AQ_eTh^Kv;q^*w5W#ytI=`FE<6bx6D@ z2UY<<+TmZ~;GR7zVs*%Bzz?i#v&Rxd2axD7nv-rrdUlL9-_LWpz%zeR%8WOf<4J z1X09lwj;uhJm;u}P(s>$NNif^zr3&u+~r4bDI4B|n)7rgVJ*xou(r;QwWtgHwI6{s zqrF_xb1q-z^AV~Vc!6*HQP%R1Qs~W@SZ(yzcs~}_Y$0Cu@T!DTJ#W7lz(BWh2NSFy1c*zFlr?Ot-cjDZ>IAl1*b_?Du7|)bcIK3#6%$d0w2B+KABlm0C17enfR^Iia|hQC&9XC2L{1% z{}R!5vd&=*6Yu#;Wg&ac%0edC3(2+@G986jQAo%-nRX_nP4tb?;`Ff0h_l2i25+U7 z;oOr^)J(D&tVi1od801y$Q0YiIbyu7aZ3(sACxN#I(CLC zmFiEV?`&&)fgPGgN0Tf3Tn_rC_yTwO34K;_fp_=`eTJOk@Euepb8=U5B0*!%sob6u zF(>4-{3m$KStUf_Y0=0}oAQ#MSckY|G!kdTZKYm@b>+`ge4}fz{9a)FcNi1~;Bz^e zyi;^@6;jD=-r0q^xnfkE=HI)(`~D2Q-gu3F_%jO#dMpe4wKZ7Dsj6;H{`Q=zWlnPd(KSdyl%FIu#{Ih{ zLwd%IK1JBrN=+CndK0}$9XZ+|Hgj8n3;%r+NVLQI{NW}R&@=?_)I&5}y{_=in^C)n zi+u2A)GqoWpRt*`;tKx?-@YbU*4{E|r?e##H52z3bdjHi))fV_c47zs0tucvUoE4&2hgJ#OCKmA>zkffBIj#EV(Rg&aI@D<+X z7mSk(3DNs^IZf9r#y6!QxuD@MH)!ffnVWw>dzN418-IZ|y2_prv0Y?z5*g{%%Z$t? z1i8G@Xb9K*n{{rK@R-i}`VRPt`8S)|ObAR1KELltUa)48cX8N#?!u4#oB6whVP-{m z*nE*U$b}tg`$gU=mz?M?@`zltbKn)8kc)JOWMK_TWvv?>S8AQjb$QIQAu+bFE4&Cv zRc9{po4G*Y<%`@UPiFCa9>Oax@zKU7Vew^L_LlU!TYPobRDfICzfb$Me{vWcD=BuEwvy2fMziT+I!TJ%Pq@sLke>{~vzsflN=nHY z4qg6y8xY_n0igTEJ_TIj>D!<#1>5slhuG;? z_||QhNQBu#iQ*4k=GRd~P?RiU8YaeuA+KW0=bI2hqKC%QRwF+0oW|08;oe2wb31ES zi;z*k<(Ik-jdu8}c01@crAtvR7T>mD^d&a|uY1k9#P>b}&Er z;ntZC-@&|J@%$Kyjz#l$I_W!V4Skt4cks1yJ#d%rmpRROE75r#ZB79r((7=R9#9*M zPa(vEw@yLkhFrfj(jZyR2jnx)_ec~IuuX+03{vBKI;Uu?EBZ9S+k&~p741*P$@k6dz%(BPVTeiT8?8u9)Eq%7i~1-0 zE;BrMi0nZI0iAJ86Z3W^o4Z-PdMajWGz+J3;qvZggUdVojQM;LUFjXkOiEPa;Eg=;(AxIhy8#t2AnqpCF@QH5TNeS~p#`F2R zSy)mCLHS9jd4RGbM?`34L?|L|_*>LFHUT^CD<@O$Wa8JX-iQ#h`x1KBB;glbSoXs$ z+P=0cx(e>aZy*dSL@AMP(aY4=@2j(3P)seCUxUmJwvDE|Luj}mZ;&#OO3?W3_$N&n zmuM17%ka6^$|vrD?%8Bhu;xvC=b~viu>n3z)JU^?*(9peRr+AWafwInWj>wDuE~U2>&bOU2$EAsO^|Ozi)YA}rvtdr zP|@*D{vJ@gUEEZQAvpJ9V|36tZr#h?Y?iU`IL@d26?hqb?f3f~76LtDXr z+y}vxe4QWN#{yMe75pi}-Tes0jvWk3Ltge8-mQqetQwWX=M_Os@UP%M6v5p;sDhs_ zVy!j7N-6l9vw*=-f6sU`x*NzC@n%y8d5|Ak*q3~F za?r2T{GPLE!T5EjvtekiSZ1;!XB_I_UxjCWbOk?F%v!%l~Iiixmj(dL-Itm;r;frE~lrap~)Fsl>rxf=|=ATTJens+3Tv*3hr`A?KPTvIaRpy; zh>cP$ui!O@phEoO&%F+_*k(K9NSTJj0v!G2Wg{a)Ucg#D<1mX>t*hV#hgsWp>w&41 zzZ%dg+ft;Q-OUmj@GN9b1#kQ-`$a$8^h}n=MT9rM^(zYueeT)VV6@+mXId*)|FmsT z30s#--Nvg)C67M>_tky4n8a-I_p?RkhXE96;2Ys*?eGy)3~0hQ%9{KEzCcxO zTT7==`}wy=m{HaJC0==i#dY6|=8*p*rLEieC}aqiivU{*wL`;@m%R4h<4f4k2Kv`y zpJ|`*IyKyz-#dzvG#ft~>nGYbYAt{MH|E``{6^gYN3%(-HTz}a^#_JN)4G7`eCls3 zOh4K9OnIBpDZfGcynLNs{SD$|dj;1V!*a)78W>=o(f$EvuJh1ih&oj9?_tN7YXjBh zHhkQ1_KNR2eV@rpD{%-QZ9D$c2?){L8@&2BYwhVJVyt6wL2z&`5twv8xrcWtWpC;i z$2^md!*%|3DJqqIgBO-!5IA4w6{Rdl-}u>t)fGJ81QHIr!Q)S`zWR*lXL7Pu@U@g6 z>;^x40=%oLg8y*>e%!Zr@n*lXSM*z6dNS! zpjdNhtoeSd`7tHv(wr|TWBon<^o9q$xtI>_iM1qC#<7;H?6v%U8HcQT8&Pf*6U@bp#lD&gRms6}? zfZ%ckpjvP_<2k|QcI~@av$G&i1eNy2;XoGmuded!Q_O>X5JebDD}i?owIXkNB`-e3 z+WY7HMXV;x4=1i!4U3vO6s1Msm6Bj@7p2ZmuH^dDU}fo*JnS^=^I4U0ge{>9hOB-+ zgW)a}LDu6X4IFkle4E=SzboLk<|6Annr=Xb#G0?5nlC!KV)>*^?ea;V@waQtdjx!b z2mrS#iuQ~tr1hrJj$2A@Ox%OBqj3~btZO_!dm8qRV&rX1DX&5zx@wdZTfEY3m?7VC z?HT6QXlMl-$&1ng{^D~Qxw&z}8Ro4&+DjlI+qO%#{NZT+;ThJeBUO59jy||a9@QK* z-8uCUmdQpxlfDY+Pn==xT(aAc|I+K~^3lBES>`vUuMg^*xr1(2s=fAmKNg%dGe?sE z(`8bWwZ{Fnko|jVyQxW}=%&(3;7#ViII4BWD9rCrgH1m)fF}G+Wc_YiUdb1pW$hi& zZG78V%)nMv@-t`AJL@ZXgLACi$j!Fi5m&>!hGqUbJ#vV8L|3;*=3zcquSe3xiXPdH zZ0hyMj)|g2XoIm|8=i5FwRS`w@Sn~xPcP~@pn53csQ>VqfLF-M@^dUy?-?PQP+k}5 z0q6e->FUXZ^o`;5(-YFO&SRVP%4YoFdFK262t6TtmK6s9WArsSJDqldx(+P{n&Yg% z-0`T}bk38Lh`@Kqu3B^(akVXgG};Sop-yOHux&;cSB#T4c1`oxIf@#cAZfXV@d4$` zhi&OuzXJ*?`K)rK1J;(4OG+g#Dn|#DRPstZdzUF4FgV#dX?;EHmlO8M{*LirG+#o^ zzIlQKb;m>YXdZt7{N3RZ%_;-#kpN6v3O}N-Z~3wdEY#8Y(P)130&C-4{g5;}ahrS? zp+9qn7Rj)=;~sB%5tCnZQkRRYb=`rIfYGcBlu>v^mgO0G`#?#4LIXw5zrM(t>nDYY zR#TR!uS1>|#U8%MUTju(kot`iB(W5fjnXKIt^h6h(E;Ik6mCo>w&77KJ%8c1;%8VYNonU?| zXDg9$-UQjB{%ur>YM8uLaL5;rlTJ9R*qAvu*O(c%Jzojmw)+8!`?!1kj=wDXwJ0qN zX$a?Nq+N}Bx2%FnMbKi7Md z12YF_S-WGtA+=YfDlw=c=;Yiss;P}LcZ-0s55H>fBWjQ z)LAU4^Si4GbzZnyOP%E+PEhAS8+Eq0CaKeKjkS&-HXfUDwF+0qfta&v33QzxP{CGm z@Tw+!7p3LmRpILe3c3D#4dgn!o4^H?frOG=%db5{u9{JTT+1Z6MpzYceaFhYTPhrk zE-qKdl`iX6iqa}Y{b)r|Bf8cvim3Lq^`EHrmC;1CkGs@QPgMJ21#8o^uK4a*!lzWQ zw_4j}r|f{o@ZYJ&9{x(;cPrQn+Pdr^W*r7{&+DvvFn9xruC#28!woF<#pDv!%!7-! zN?s9DpfHLA5U^kr+1J6pFmwy9vsNu-S6o3?Sb^zaq)~VU(R8c3PiasT!W*1aC9SUy7Fo~{`~c|YD@t%USAdV_hlP;hTWoPzr*yehb_<_oiN zL!)mcO1k%a{gMdvFIBS0zf12+BMJ4H&!i{R|6Iui7zFB*2YyVN)6RopgoSN}j7YvJ zf=r!oouNfj>d@X9o(>+D#|2wLD?6QSY%*t31M>WD@;*0NsN2y?s9I)>1-&M9r6?IpqXG664iu8c8!_snBP+*hW@ z7zSg~Nt@i@w&-^oXED>}tJuU`>=TW; z4JIA&E+2lI^?NS@dC{~RyUAk1@8UEcTndo7j6&s`$^JIX{x%kGv;`|J{QS%#*!?ui z?Zu+hJX=oK7)3jxDh-xg+N))-);tOnTk}Mv zX=~mvTL@KIZp}MJTl3C|t$AoBH{FG=!~QKJ8(G|U;@4a(PSBj)$D+=~CpNyhuM?3Q z=xe`O^A(=qyJs=-^ZuN|4w&5+;dw(_Q*2hJQV3v@@x*yIv+%HJT6%Yav2x;W*YK^N znHob%(bH(x=tm%fw|*p>T)*cinQzP%{^Txe+rBlyhKIJq1G6-AH#G}zHLjTp(NWQk zccKGB|HS!+_n1%8f@YmGHyq6|RhGDWP%ZF36>4e$D1)vlQ~=HHqmT?cLDs(!pfNiw z$qF8%F*p{P%t58)8%mm(V@Qd8s|Ut?qI)l-w8T`AI$P@H_;HgOY$)G1`>TpIDB7YK zwHb8_ykp3WZmNpOoYItEzQ^>cCtm#FJ=Xli+VW0#{jcR!qP&A)qP+D;S*JYy+MleC z^TyL)f$pnM^KbsdF{6~NgX^b9U{XRuVOL>*Q5st%yzv`Qac-Hid70EalR4xtwG9P5 zet`;7pY=pRgYQwp3aMczl-gD_`-__0;m4AwyPBfd8_Bp*b2_k%qRfNu0X`(79IRTf zaEGb?rqMfQ_f4E46qy>o8`lkJ)fs0exfm_JX?P&_n6e`Ed+|K=K8uO&hM;@}=#v~$ z@?!i2gCS`LggWH;lir<|e24Vzm~=W082p4{$%Yb><@I%;~ZCuAnIa!ouB_XwuZ>Gp8H+dN%wKHvz)rbrM-WorG zx{`{UM<20PVaNUIi-ruOM$cUnGbRTNY(+&U*+HF`=1c+txuiZ~eN~HZ@C|gi59QckNev+a;2@K@`b+8V9m`M!<@n*;1y@!YJtvL2~VnY z0`kZsqpQ^9-o5mUCil(&lJ`ZHGy;v}%l=}W>reW^&}b-jr~hJMV$$dFxK^#EOP>^7 zt_lKQ=yI<?ZeM9Kc^C&*q=-2xD&C?LUj9a_PUK7j(#&bNN{q<}Pg`cDdoZV)LT z+kEP$Cj}(+DHaeQ7dAa*13UHj9_-HS+Z_*cXbAnPyV7rDFn)zZ2krX*NVB7TH+gUk znAQJ(S^hR;dFYm3Aj|iYD985QR~1q2=Kxp5t>{1TXH3i`nQlr3z_l7ScqFk-lI}Ps-4y;iq`Lu9QAld}CIqDj8-|oJOp3}P9A)>{%p-B(WkR|O zjX>tK2VpZSals!+cS~;Nh9%$Vqk*y|*YxdGul&lj4fLJ?g-<=Owce>vit&&_+Wct7{1GO!Ddtm)r5fJ0~+WD+oidvW(gfBn3Ot~>MmYv(tNI{BSpz7(rJ5pNSdD% z()Me}iElI90D{au>>`zw;>-@HW0)L-K_e79@HZXhi-pQzm-YC%+t`7s6|T(MIr z%kWNf@OW0p|AeH`j@P3DJH57sUsLJ*9V=Wq@d8J^FAsFoYlabChycv)KfC;0%I<~a zlTsaP!pI2(y0W8?w5do96Z$#?)|2jqv*%UpL!d?T{c670QQyJw1zBFlmuic0_&*f2 zN)~mygDC2^Mt>`chdSvaolhKsVAmWv#Ai6^Lu`V585BA5Vo@<1wATf&wDhqaInq)n z)fY!ib}N9xggfGJpg98pM;-l~;l-*!gX83NqiOsv6BHZ{8q;U{LN z)a){ZA5Ll#?PLjUc8GUT>%BrhJNk@>pR`GccuQ|7;y?QpQp^&Xfd?|hUV$R@*xr1$ zTHjhT3}LirJe>qRpBU@rmhj~_Be@A>=>Ai^eA8;ZgxHx2&PQ zl_LrC9e8=?!;P-tW_Rs#LW6;M~ed9U(AokTV;I{s3wBR{?{n==f7fCwp+gSp! zSZ_A^qEQF~k|z)b4V^I>$O_!aS#K8x)>>gef(620xU)V~zmy5)ZlB7LFj(uX@7Xzr z%J`f8JuQ|bLg#0INFrz(>DzQnz)TNAsjE)R)W7_fn5jQ58i$Ju+aA@?R6Q;$+I%(d z_)Y#+BYkJxc0`%uvY|{RK&t1Txye^F()+3|-{jkoovQLCztBkU_tIE7uOwTBwR0j| z_A+CtpeAM2QIqbWx_P-mU9gUQ(g1o|T$LsCs#dg)GN=B@tG>xiF8Z#C4!0DWTdvhH zrJfaFCMgJ7q=kYY7e~4te?~{>0y{SD!`bPKOzQQ*s2C}dp$4EAF1YAh_aN(yvL51v zV8wLfhgX}7#;Z+6<5e-Qgej)20gd&|^}Ag~BeHFcpe~x#SpN^JBRPGkciwm=J@w9& z#(IC|DI@IIXmX1;Z=!FXG@(#T+evM;-bz4g-2{59t&?Gy^`TaJ;b&_xp}0Vz_&IF9 zLPwV@u1B<4guHqyOTFRYwzIB5rB^SBJil7x>49zJH5J4SeJ~1G0QQbIsgJ#(2v)F{ zfL8^3qws1ovEWs~-gE_feKf${5skoJd0p&H(CB-0_#18Sh$ig4|4jO-hrGc`T{B*# z(KliKGSZGg|69CiQ++F=B+!^-tJCm0)LDzVy3}cSXrs<;P3lu;&@Dc#DX238ehdLl->R{thDmsO8+$oiJ7 zeTLtedP;sbw3b*Tt)%o8ZJ8k3vQMk896jTG#)MPPDwR`)BX>Pim$|k)bsI1zQ zDYCD_24@01&xjw8#`=4L(5kUfz*#h!3KX4|e2X{H>qC>$MM5z>j+#-A(EiQ_$HU95 z-bnrs1_NS)S;(Xw8(a+v#6TtrqLCk)xECwLM%Q?3)fX!TrpyE~)_ECufnMLRE{mkO zsWQk*@Tv^*GQ28-yd19zRazCQWNx6!nuel*4t1$A%1!?dHr4Bq)S-)?NlzX6gBw`n z%QC`_sl~VW1vh=GmvS)hAa$~=UEtG$m;VX%110Lm+_9nlin>1Pm*3)X?)uQgRW{U@ zSZk)$L;c^!`19B@RuA=^Lgg4=|19c9V88(NRX`KQ_!W0$jJtT$jtiPeE7 zLL8Emr~+Gv))rEN5SvAJBUyC4uu~1^P#_OHC{M+#dmRgAE5@SQH(ZL+=tUi!|y2|fQMt2x2;l5Bg)>d6;+>Gf|?5*ju( zJ2Fgb->q=PX=(0j@GxtJb`EehdyFC}2v+o;!vnAhH1vic z07sLwHR9KOcs#H1(tE4U%;s6%dT;*!vG+D$RTkUdF!Euj;6~?0Q9w{Pih_y?ih{|u zjcyFgN=qxvqD;z8+R>syK|Yk*%~mXN%(SqqsH2Id34S1cAZTJ(eo$IbS#47jzo|6d z-t%>Tw26a7+9-7tVxvNDN_awwr zrP)d6I}b)xU08^P(uGgL41$oM^NzUnj<}nSxSftTFTyT)Hq1D@jQ`q&b(uz`jZ*VO zZNh)yp)~tBAFA)vkDcf+Acxc~R_|e}4RD7u6n|CkANz%R#vD$R`v6218)dh<*4=9lQrFVUM{qBp<9x%r)-Pp9T*G;2P1fUgW-R@X;{^N>I` z%(WQHNg(U%dkjhki)thJ2oDL-I$2ioD}O7HJ>**VDE}jn#dwxu@-UT_|IF{~#sUmg zaz3iep`)?HQ#_>`8|zy0E8p6UO>wRLl`BE4w{jS>p#5eNX+f>0Nv*M??jwFr5c3~I z^_i`2h{&y;zd`w>?u`%oA|d&Z1>TiL#lTq$Xm7|KfMe`YFyp8J}sE}r9Xo4*=p`D zY60EQ!3%Bf3CWA8JxR%nMe*wQ@z{>FGBqAZNq@wi7T1=#2cL~g zK@d}SW)#dqEWAx4efBnu^m*Gf(r0heNT0V&BYpNZjr4ijBOT9&9!V4kd)9mrq#f0^EYbGJll(pa0tiF451Sp=Ad>UO@vl_jPkEtT(kEdmC z*94%qB1!ZX@#w8#=|J#1IW~Oce%`$Yc3;5r=pO8D(KWoGL0x;J2kZMdwE|tEzKTHJ zQk|=p>Ri24>st0wt!sHpb*^42yS4{iJ4A!>#6173y{_mTr*iQ=7Dqk3d$RtnXvc#+ zWjoS(Hnn3R(vS9JMn^lA=eQh13@h~ zL(@TWZ6XYlW4<&jy#cl!Yl~ci=1mM`_X$Fq)a9Ge@lByDMDj2lbgmK@MksHUK(UAu zI2LgN$s$f*S;Pr6i#WnF9fKyuoJxB?fmk_S-Li_1jJib0md1UEPqV`zSx=iG2KH1r zG^V|PiAFqL3~g5%8-J`1lqG0sS|2t+v=r|=QcJ(;!@`?diuoKoNiE29v^3Mv(o9E7 zGaW6>bhI>6wzM1vw)@iF7lUq$*3vQRI?+;n&_NlA%l@tj$yc+sKXU&ok9xWo^15O8 znDMAt1J=v8Xe^QsQ5t+Pne}Mq!$tS=|>_Yt5v% z8?|Azh+jRKw+d%n+taj_WLrd2TWK96_%PO^FVQt-F%_I1Vz$0Z@`m+QD##khtOiVh zXTpw-YNfn;`cXb9j0L7^Wl*-#Ghki&3-Q!ynKAI-VnfW?AJKuqr_L@!QSbzN_9O6? z*}6!~(0GBAHZ!tYrW>bY7XRx#OINI`R(qpYVPBfunstjcZ&DpKsm9DZgt3638PwC5 zOzK?PK)sDx=dP=wb660pUg#W3Jrq_&6F54$Ae;q`ZH_F_(Ij)Tjus;O*_}72XB%8- z)vhB?BQ%2ab9#k7O!z$-mBoeMg7Icrs1bPYQ}8-f#Ooti*K}&Pbyq&I3w+2cHu^o+ z28$$|fNB7=#bb`XLFV}xedA!&)dRJ@eKt_*+l_%*-vS3|eY=YS)VGvDEYyc;M&IZI zBx3Ze9mIm7s4r+1Nkwz}no%psLuSN~d^FEHJ`BE?W#qYb@ow4=er*uztC9En8(#rH zBe6gYO4sH)feuPVnN27l?4}!a;)OLwfys@wezzmA3x=>Wfn9-x!oeCAz8I`w;g7)@ z7P?1jSQtYA!ostW{{@93{znw18B?clT7QkEaP%nYOsO>Y&@a=CFY!1n4Gbdp*o%#K zX!PbIa9P_GoclnO)-N?m>({0ztzRc8K%9Gv0@SabLvBaihlj9k1DaEp7?y|&h847x z3`;Q@!$R~Z8p1+cG2wlN%&x~i;unXoUNqr!9?G5)6C(DjA0s_?C>!CJW5f(Z67ULL zDqA6DLy8fTA?BeKVlJc@T`F54XF~b>8KlF5^MZi0azc~3Wid@|cmr2#9>s3;U>dIR z*lLh$TcX)OYT+xYzl;v7@9 z+?$Cw*-+YB{+5O*`-qsbbE$<87Mk|cM`$wq9J7yWQu1LFNefdkCmQm|8K+gW94B;Q zYQcIMAG3;v0oE}wbg(fILkB{+7&`(I15l)cLTQ~%*2Od|%wb=e-M$(PZ|MI-R`_EW z>oI^9eUn^I(~YLEOyV^L_fT&M2){Nob^ydL!}5*M(bQD zP0~GMSfrS?ABti9#B9AdM&tQn3J}kqh+!ke1ccXqB~G#N!>epM;^vR01UYfXUH|td zZqSLQHp0KeVp#3yRso`0XWN|p8B#07^EI&;w-_&<#Im8H>GiQJ#2=j@?QC!aE~DE* z(F)aOhp16T3sA%etxFG3fVz}6g7r(M%E(D6CIpFG>JTB3-e21GlUx{Nw=5`d@WBMz zy^Z?({V$C0SD;LT8s<>ir)N`8nkE#P35g^W@z6Wj;7&BY5|R%>3NbA03hvc*4zurc zo&>%J?0;?&_=2{GUy4b8q@`FwZ;jHH(1^RVC3MnV=n{>+`4pffbR7k#lZWnNp-&KDv{9!G zI>V9|>(CLccB`!r-NGxs*uY!ebDFQM|GMP#fLW8AsGEe=e zt%-N5GUMWhU;#}Q)>$CVAu4@FMvn2;*J(hT)ipk7K25W{(TskRU>p*!;U+0w!_D6* zK)89G0)(60@wXG3F2=L2(URDq|7~J((`cr|iHX&pd`=4@OBT|F;CA0Qk+|H^C!NG# z>w?i5iK|9y-KZa}b)(Z5tsAivpl&=q=5|ujsxkkDl=Sl$wtfc5P|&{>f%mXTMgy&h zwmGdaZ)d-?4oNp^aiLgy$lhbVAGXzC+)-iKZ=Jzck54yR7m?m^ly&)APvDDdJ=P{M1-B%rr?hZ#*;#Et~g*Y#yENpBG1LIRu5}aZ9Z? zbtx575Q>?2H#A$*F7nj7S!kP`{y0eq>o?bze{eSo>$Ds{!@S4&BGT%eCDOcOefci{ z^&CfO9-h9uYa$zY7a@7N;diBgNC8!SK*3cR6Hu^4#zYplPZKba1^X$+YBe9q_bhJNy+8(#0?3hE^|6r z-FFdT1e;+?l0$3z94}L4HY(wp?qR+9%#wtf1h#ESsBB57X-KNSnX+O$d(JiWBA-2; zjUite+sCsU*9#wU)4gm^4^u#>r`wSmXVuS2rmVc%f6wn;dm4knPMTBpPA;SSc!2@D z;9l0l^_o8~#kXrUh-7m63>m863V+Y@&-OGad_|yAY@qO;-bi;Jt?>6ue_hEx#^5;|TVGy7S2bgzwDE(|(8BdFCY6ukCr{ zi&uB<%KMp@PJ#xkSvhu;vjOF_o6L+|2@Z!RA5uAZLF@nme13i|QPv`X^Z#6Cu)v1;EWFH?n+Y8_Q$b}CzLhP+RO*?HHg)8fU z?@Yp#_0J(M(i3a3@bop^aPgoexm3LRmAnb27+*~RqhuWCzfEDIJKn@|Uf8*mWS}|p zE#J%UoyvUEy$A(3%FoLPCmf72oXF0gCeZPIUIszSP&u_;#N|8UYGfR85F%x2p(C}< zk)zlVS5I+BKJ!Kkt%BVo?k^4F{c+YQOoVR-wB7TL2y%i4Z)ujEu(b=eftFwIfW6V#mF`E9S*7P^g zwX=`m+S`NA@^+6i{~$7efOk>d{^3($|1cVqC;JEVKmKtxQSbk&S|P9Aw*Q$ru`_jI zXX?bxq>QAJ%+!gUsS`U>Cw8Vz?94(PSSNN=rcUfkCt_#n#Lm=-ov9N$GgRf{lAHR! zN^ANm^glJ3^$WV^!*K6P58L!^;;<7}oO}!JGsM{QN06EAAeHY=X5p^xD!-h}OxmTC1pJWk!RJy&m zszuq0ibUBD{Wr=U)x7Lb?{j{4+veON&teNjA(acMfz)`|5iE?`!@qlyCHQTgMOdld z;w<@;U*rQH@8IX-b5{5)dt(=bon()^_xaP)S;XZ1QfO-Tt+&g#WaF-4m_&?A4&SvL zCJ_lK)r7p!*6g*^FS8mCmlN{*{jerK;Rf!}Z@gU+QVtVj*)b7~3?Bg9SEjRG$)_bC zjQX!)`(m+8EVgGLgIRr&T*i=ehPc|YGqM#=ilk@jnv&|u&da2+NE&PPx)ltYOIR@4 z^_E<{$kU!;F|Ic+^3tbRcT?Te6P~3cj+ul@9Q)^RN_pL5(W^u8PQpXG>#jnkg{|Xe zTm!WFKCnCs&T9&Sv6Sm6ceUmIGuXpER15&Wy^|~i*K9t`yVrK^!rz*~I(oa*i_K&z zwy>}hESmw{zh^!FaRx>!7NAi4Y0^RN`w)8GeCArG!3UCTy3g%X47`2r;RgzAa3 z874cyEh*T-V6rSJjZwb(}CE{5T3+wuWw+F4&h10Y+UzhWx@b0~Umu3ndW1qF$0lgdP9h z;(BHWJlydNi*Ys_B;^+j2g7DEX*f82CUba;Aq|pdI2ebZFdTemCa{G|oCjt?i$TU5 zzs|%ZPR($Tlq;IyU<87b&hp@Aaf$Qf27y#6gT{_99Gvkib2c2z{2s%%GA9^{ViaHb ztXBNRXPfSbk`h^L04sCGBZ%U={|&{TSnpgs-ThAa+wJa%?)l+2jMQgZz|$SkV}D~| z>DQKZg4<>9U*8P(P9SHS_!ki;W&oV(kZyauuw052Hp-ZhgpG2dD&~f9c;t=@leI|< zj$z+NF=unoPO>t7RTlkPv!Z*oEIJNWQU7AuiBxu9t?U7^?C3Xn*>lWqz<;Zem)3BP zSuBXzceR*2cdz+xPs}f`X+AM8n#JA_$JimW*+8Mcn>-teT~cW-o6Y=%+HT`))|vEO z+YZiV;jLW5iujG^S>OJtIEuitW74d;m~QuBPB>7E5d$AOLhCcJ#$;`;Ce@T>ptyVf zj@x#*x?Oa+`z>TC8y*1#n&?%NAM@5V<7?syINox_Hw+wcn$l^T_Y06z2^pVm}^ z8nsdW%9o#I;`5C?!AyqR-UIDg7WxOYb0wIaGx6}eVA2Ab7 zL-oFh(J?4gas0>}*3QlA&lG-o4hsnxPewu5yX&A1b)DQ>Bbq>a&1HRq*1ZT!;*ckc zicCI;xAd4H?*_U~_hmm@%%7XfIwi)uOgpGy_^WNW1|cYRLykR=W5?sz-!$!Jnz_s{ z+xgMCY|P~SQwTA>72@N4KzyP`PNm2ZcKk|IJr0VG_aS@?Ia^^d1?p_Tk4leofD^<4 z!YAfedMr93X;bO((h)xU1r}=D7eSVP3)VT~ut?)9VSBCcvN^1CYd@@@v?Y3h1-TW2 z1#iB#MhEdt)D%-^R@FV}){=KwA`mGzGM@e%6wH-gbfKwEHlPo(PoBL3P3nZ%0mX(*Yp zv=eOd?OJkj=Hqek^(SDK+u!4e%n^Ycr2+qqG8(WvoP_-CnT)N)jIF_m@g^a`@! z-ma!{CH5+-NArSSVqG3r7UI#SoNUCknlr@e;V}Yt(5-~vpT~EDA_2_r@%nNSCvOLoTTaXrSh-S{D9 z0ju}BD@A+AFi7L*G8f6}wgQT@um9BBW%oLG=)(|kInzd;2i9VBs`T(5&x2lOeT}Ri z(feqjGYUNQF_VifbrJNwBOk#UAjA4H%rt$l>aBm7^)UG9kcCKwobmO`Fw_i2YO7b6 z(eID9oXZUbw5fFE6+Yq>p!PgUoAL@9?G^#}_g=yIf3zsg{>4El8K6kI-miBrPOnSY zfc!~QTtNw7?C$_x@9B;2w4Tl#WA*4eTqi_$4;}Gk%fh`?4EjrFdg9=(pU@6 zbkR`HLDpzUW3j_L1ykGic*FbDXv{hmq><>L3crAw52~E;B8Tt@mI291NFdM7lM+b& zJZ5a}ug;FcL~(T=w~!D-MK$x9{MC8>E}T%Um=Cj@4r>Hus6^sVA&>N*&qg^pGAKVP z+qd$xcl#0O-t@Q0cZ|IiSD_$z@I8sn|)O$+vvV5@6ZZ5bGb&4C4W1M-RUfilXFBM?MjwLhW^=2WGEJCVk`tBD8|Aw z+0b+YGX>e0c}N;B&1T(nX}n4sQW#w|2tr&g9Hsd2J95}y!{vh0WCcijFv0!_Ic#h& z8Mf&zRo5H>=96<~perPmgP5r1;~cG;lR2nHvxBoBO_$0ML^a+E+1-X?%S8>Lps%pT zd}<+!|En*t-JcTjQvge~pj(0$2>B-#vSGu&-bIVrDg1?9d+0clmh4kzdeHVehnT_| zb@4Tk)gkfZi#5aR3Qt&sSvDNAY|0{Bb6JVi>YYWHvkS$%gUaaW5zlrWTZEIT=3|I@ zygiPHr+Gd_l~oV4AYSEO-aEJD7^3|ch&MM^BVKWC6Y(;dh)14H1@SKA%8ATJbsj`? zilI$pbmD;`$E!_)XyqSr5M`(sL<^UR-cyl|K~$=?7(@dPh(T0`j)8`Li?u;Ca&glj zA`=&lhCY&pe_M<}G(X?Dcp5}|7Q-qv1CD?GYL)s-B@xrNMJ6I99J2ZaeR!e`)^5pC z>V8Pvml7axd+<_7+`hI_{+N|@8hzYXA6<4d$P6odr=caN<44qi;zOI^2HoF+Is3Swea?BE&v| zOgW8Geu*Y2`oI>P8(OJw)kXp?1RgrgEz6S`Yy}i)FBDJ>0!p(;5m3u@D2lYdETC=* zs7cMrT3yc*m$8wq>*~2}84kqnewhDb85`O@uK!6-_zyd2Qu8*un0Wm%bT`AFcYGCA zCcb~`1oj(o=buxDs|OG$9ox;zHqJZiI|I4c7~0J%>=FXWQ@TMXIU)d1Fwqkazu@OG zz~XZVQ25*>VQ$`)3}>)MhnyD^*Ni zIzFN=ZQ$T@&=OOhRtvk+UwiObud$e5IxI3<-x3~;lJ_Pg!{;GRN9aKT7%Y;${L|Oi zBu(j_ZIw#rY%7$`vwvqSJxf!OXJ=_D^1LifMV_6dsmQalG!=PvmZl=l&eBxmd0Co@ zJUdHMk!NRVD)Q_sO+%iYrD@2Iy5STgJ4@G)XOV_HT}0_B@~o!wkp1#JBr)duc|8W> z(q{HMl#jmDD*95R5?~P&^F7XsxbUpBh)#{D_k%EeV`>a}<8h{zm|Q;2W&|Q;dnG0x zN(!rjUTK^yActm$1U|ZejSC2Ww=wB$0EM;e>`A5hu_iLIOV` zBoHJ*0z)E)K#_6Uy~G|{BaJ~4MY{7>}@KWz9{=M z-W+xE=!Fm=&t9mj^6*839H^7WFCxx?I(Yyi;vA^Ubf8Wi!HCq`piZ2`=%|yYF@!pK z97Cwf{0r(nZ$jO2C)6$1P`8{=cW5OW)P_cz*==L}N8ICe)>}v2U268w=>B}z>nz%} z+>`(Pbr$QoDT;4;orSceQ?0~gg}igty)F-R8(+s9O$aopJKrD#(w7h-jNrZ%2YFS$ zU7;TC5W`f2#5g5GJEADXMbBBW!cj)+x&)(rc=ne-j?rneERE_J7vW9SQHMZdxNq@V0BkF=81%Sq}{Q)6{} z&V~7pCM2sa*H3sRByV!Z$C7fQ9MVPB&c(!rjdMCBrhG{ermUSGix@+8cN}jdCBNsS5=f=0Bp08NV9&GcdJ2|onaT(9AuZH1fnm@O!Vc}0w1@_nSNkWgB zGb`NNT0j+8;~T`m*)2M&8mETeqQff8(h!#2uaRzARko6H7>07>dJC#KWQ7~iYjm)7 zRz>qHDL1Guqq24`L{(p|VS(vX6(nGTtgQaIf4j1+$f{R%&U2g^DVj%4Jog)xd;tMW zIP&yDZ>{5e+nwEr7>jicpZg~3x{X#6TuYC`vSIGezsMq6?BR5dD6H<-{dRenyr@rBM4c^?P$Z=TY!pT^P{VC+M zql|Mc#Y@31&W=i=l{UB`*~UOckhaGvkUS_Ac;=h zguHSUM^(C3}}t#S9XOZ-G3 zd(MX{0GLnf8^mGNFY$?MSwC+VKw@)bL3uc3Te=n&HJ+FFwzcwp)nV6bol1_4jsN-;$z>T!?#O3?Hv}7K3=bDC7?8KoTRX`|FIUh z6-Q}WXMe%w0)5W9b-vk#-O2f8f=b?tE)Q?9qqy{5z3^T%2~{~cl?h#6-Yckc*egycJDz}Id=KRcUcc34IFCI|7r^4*WP8ZVdv(E zPLOmiIx!0fgdd@D2t%aWqXc*pI53z%=nU$`Mlsg?ASAO^3q0N%Y1&| zJr)v|t4D?+a_9Vkc+sLD7(u%|1E{pM>=_>t3kRkl*Lkltz7 zzlPFBi}aOxdZC`a(!Nfl_YmoYdU}zbUTDu2>1{LiS@Vw!{ruc(tSkrlHf zOl(Cx)ze3*K;7w7c`cPNiz@9S8-chwDy*Iw&_^~1adtx=*(ikT4Si(85NVo7HQ^QkV>Ka{M22b&?kIlfaFg-+ zYzG~7@^|<_@O;vGOvCp3JGrPw)vByILs%6K1qYXlvpyji(p^Z}*oqh-`B4n*Yqgt; z($4|x;A_Tm;1oT3!aqys-K1+gP}#|;cl>__r@H!v0$ivrP@?4^l%;1N9l*Psgk zLZB-)ux=?UuVA$htBA{eXa#)RwQK1ju9w2%Prsbn@cP$2h)AAAi zwov}WL4SwUBk&^@qCKqfGalAxz`8Q2Nf$T`LA+M=*hfvez*O;C730f3!g`T0Q;bhi z6H~8+(slPo(EiMzTL0og?%8)}(dgVF6D=B{8(Gf@r;*8i+7AK;h0v+`o4{nU?{BRQ zF$wB7rd{NWQan}g4AwEL$Ggv*Zl~rHLK_8fq!=HQb&YfY^Dg;lh_~*7OMtgFLQ;Ye z-1d!ZC<_)-aiN@J{V)Mlo#yVv5RXGI^D)H`Fd_gTR)12}u3p1mDrUX=MTd<#n zspmN%n1liVZG2@D+GxMT%Qm5n^#VDX#Dq51Q|^XKyvt@dLUGZHCJ70xF>gkq=VksZ zCHm-zB-wUKGc6g@zzaXL16>#6)uu<{vo$8np>9TugvsLKNwk zbL7eFAinEsH2`9#9RrfUI_Z;~n}MD||$HctyTQkF4+!>9H00NXMk)UJ(oc1iUH=0fB&05eyIn0xAk6jIkm@ z!h}~8OPI*?iUuz=Z^e)= zdr}N}a-8cJ@(Z^9(;@$l)imTMw#Y<7{+F#RvQP6lF4Ij&%KqohH{u=N2gC91b<`(A zeA}rWuoCBEK4C*FG=<{g$yH1Q*lhktn@#e~d}RLDjR#_`(31>N6OiLvxoWG!darIJ zH$U>(*1;rX2LD9zwVASezT$li#R>uvb zFBZNB=!-QkjlRa}8j&7eJzk_oR@aF1*y`~}Cr+=f0|0S)^)x^br&rejggCu=nuIY{ z*Gri2>RA#da%y$G1d6Sm1t3e-9&q{*ozpK9r+Zx!oNSG~MmPe8UlW{+c)`i4*EMQ7 zIQ$wl9UOj*nx2UGxHLYt1g=3E#t4;mff4s|PCylXxI;6Q_DEf&{W6k~_%RZjskDt! zU;UU&T!loyFhmON^S`8+o(^@Afc~Fprh%6}^ID4@xZAsg`Mya)+pm_iz(QndM zTatzHI%W}+iGe%d<4pFx7oV|%1q+=Tb^ZS`6eR9s0ix~BDBv&eWPPcF@9kuxh3XT1 z6DXoDkqe7M0?bFrh5@Qifq$X;6ruktwd1pM|0Qz&7t}7^)pV!78MXOWyI5ealn$`) zX)MJy3vwIYrFa~7L}27o4)OUGX@nt8--1RsuuO7&Y#AFX&;qmx!yWn(S|C{`#q9aN z2`w}TZpQ(0cC#MoQ~UY0tMpjiRx@=t2))5%m>0lJ6m2?M&5eU>Ar=M57GhDr%qtd! zL@O2vnyc|xk(4oF#p;EbSFB!`dBy6bZDc+&+frLy&!I`E)ttLv%sJv83r~BtG8(em zV3p5ma5dyz0&Q>xnvi@tD|QXl?lQMMLgtZtSUC%Sg0>&qpMYpl8i$J-+C}?On;Y@O z&W&M0L0F6ecDrCpglX=}$wEQ+{r;~>K}eV4^puU8go1G8$3j6UQuYgB)Sk1AA1r70 z4HirL+_tzU3yc1GTr`D%TMik7gbe5(D7bwRU1uvPzam8A{F^+ewc^{F?ytnX%~SR; zfA2^f^4gO(dqMT__0N3S9>(0J;fBildsz3OGxXbRlhCf!YoHUd6^uvF?thTr)t_;_ zPu7Ekd?Yj;ESrVQjmX?RRnI&F34W*P6{G?>mACJ!qRdf0bJJc_mI3$&_oA{~9X=I6 zT4l2c{uu%P-A~OcBSju6%SYyods(-r^XPpOL0Zl6hRwS<=DX+5o$U@GR8R+WGTG)B zTg^R#8AFXMIKP&=?t`K1sGoS~J{E1zwvE?*xDKO+k00dE?PKBIGk|emH5v$mqP1ur zg#THW`Dgp2qV>`~=u%TJbKm{gV`K>8&}NY2yp4R!ekA5z=FcF}Ctpvb+b5)GeRDq) ztt&6{J^1?F`GAwornC^yrqWsG`0x9n{vwTP*9vG`a?yTVM zGErP;oJ#bna*?3vSqaAeL%ObK)w=pQw#;C`XHNZ7J*&Jn_?4fN038ah%_a$Y|L$_s zyam={i*eb)Blb_{TRKfWZ931c471jhDq9`P6~i z=~VBrlC1pO{m$?tE03Oba`vNb&AT zlkwA7)l10CRv{h3capGkf_>AicwD33O+$@rq{gbTFnr?es#t6vk1t6Yf8XfaZtw*Q z9+|h`mFxJy*Uf6k9~aukB&u_aJ_uiFZQ!%2;0L7~69LIv1sThq+DF zq&hvRP*0ksC)Mjo#d^{#B+2zZJzjA;D=x^z1Y0SPsT0^#y7$C z{4JAhyz6wsqE>=$!!O+YgwH<2!rK}S zJw_@rkDm|n_Ybi^_eaK`ZpZ6tS!e$3A=cKl<}yEb2-4HB%iQNv=HFhZ59HfDk3eTU z>{GZ+h@Y(dcxRCH5;ky?Q_%vPgT;E&IDOiDgLE+SA&U^Po}oq3JVtY&`gF4Ag) z_jO8u#--J4>>Y?Om8D(db=54Ux{D4ZBp-*e&tyw-i`g@`BjGg?z>{bWVzIGH=!t3B+;wV

k_E8+kMM!oT#k+lhbB}=Q-0}rdjgHhRq=Jqwe8Kv6+o@HbdYkHD zSGe~JRKNck{}m;4I1E@)L}nXTYSjlGL-ohtQ{xyaIPFL-k=4&RhU(8;<8M;xc}Hrk ztp4yZHo+K| zI7{-5q{Q8YNZb)C(z^5L6U6IB}1$jn_LOsFuROGQDKz(Qk|7KGR0grt9h>F^Z6a8SV*@LBsT6PyK4%O5namf1U5?DvIvK@ zV^6VrwQ4cZxUVoZmcs$(3R&;xNhx26BGsLuUbA)i3R&-70+RK9o{;jnXk5%5bthG8 zKZU!uvRYNy<*4;C0jYUqs5JC68ylV~u9M2;vh)cc&{TRJ>nbru4fr1G>x?myNNK(J zo2OZ~fine`?Pq7m=%ijCH(073&}2DLHb;^TQ4NTq3FpFT*0pN~g45PzVvA{DJ5%Fl zWocJ<_phN0`aVRK=XWhXHED6I|eQQl@{&!V!k4*Xe`C(1CZ zTZ|NC@2J7Qpw)#JuUu$wmo2~2UZ19-5r=WT?`t;F89Cu7%T#JPFOgFxky9Hlv2fnV z`+o!EM2ZUSlb@2&yNt3^)FXkMSVyNk5k<(E_YIJSV1|Yq^A*178zARCtsJ_! zhyN~RiH>qY>30j{5SV@QblHYZEy_;SkuyP*LCB#fd!`2eQ%~9EI20m~^T?Gj9XS@n z&2e$21i{%yYnean$!C4b?sLZ6F;rwKU8iAgJmA4rerDOc@SgnFZ-KefqBZu{pOn$J zddODH0<^%~8Ap#(5k;6AQ3uTJA-HCk%NLldE<1mP&#nXJUe(IUM<)DtDZA<@XC?h^ zfjI)RUw%Ti;ei%q7YfYn|Fi6-Cot~g5-h~r>`iqpeV=QCnto08_NxS1b6XAinko#$v-A$&)Dg>|?TxNhs>v>_V!d&ms`u{i{8Ev3IPC+B*rHHMAQIT>;m zAsMcybA+g{33>l>t_dA(67Bz-=_}xlY4v1kthc zBH8M50erwu5bRs!Fm@3KcEY^nx()1PzuStyqJTw47b@%|{Tu*MtisW7VjW z@i(dK^~L|yro~?bn=k&C)b+Fo_p-Czjz!q!ScJvWuWnh2ML5OI?wcs<+%wh|u3fsA znS*=Zd0N#6lTr@Ss@=E;Vn!c=9c|6iD&Iq3QUUQ&fbX*sBxi>k(;xLCgG=ACLQmb&lR5^3XC>M^nORE%`$~ zSvE=Mh{xRJDBF+bmr;D_FUcXW3pVRp4Td zqhNYKOT&c&6$EDgak6YwLT7&T98i%avJfil)aI>Pau==WVB`^~$aWMRiYTBW_&oD> z{kjt$aUP}QX{GEmHc%-ES}7Yk$p*$D3*{(EV&ef0ra3=Ew%(-^?|K1y3PtSZ?9W19Lu2}=udHttpant#9J{?#M72Z+f#In> znYV!QHlwN!<^fztFEffF%<6h0#n@dn@aY6T3|yCwLIj4w9d)flRLg~q!0ZPely&v> z<@Fa>r|3wn>~+RqDw}J`e<-pW#mGZNmBl)WF2Qf0?ap6YuKCA+SyO4ghOTJ<1G=U} z$@*q1{DWVCuG0ej_HQ1L(WA6_G5{^mb;d!STtu}*7lGN|*7CNdyv@+%r=jb-D1y*M zG4{V};3t`eu0j+d&~?>OR}rFGqKm-nL$%CrFrI!9=(?$uz0Md)WqWGLGpTG)q8xds zs4{m4x~lLS=&HU5E{kBa;CJWk>RAV0GeEE{0lFxs1;t_2=L74RlKw?UT12)O8xX1Y zuq;s)i3x?G?RQO-7<^yA?lihIU%DqaU@6+(S%ZB=z^*fTHiv!00ZY;La}&G+1Sj7k zfWdRkfuDe4t)BUg>WRd&p{KFR@1Ut0i~Ms~){!E2HAWE{m%Da{{OF#cs0L5!f#w* zfy4g?7e>Zi#f1^Y3;N&#(&{nn5^jU+CU#dxWY`0})Dhc{2YLyMM|H%2KPci^5&b@* z)e+rA%%dXabrHi5W3hdL0&wgM)5ZV#&Io}1L)MJioss%^J%kT<)k|13;v@WFdNsFa z{6U>~_1qnm@mE{9+>6sxVpZu2W7z)lFsPWf6I^=)y}(__ljXQVauCuu-CrJxfkVgX zmgJT43P}kWW?5psbr?Xd!DWMO*P09P1N4>G0`?}xoWzBamGUM@ z34IciGsqe;W+g6^bhsfelnlAS?h>c*qtu-O1@!$t1OnZ)U7gxZtEzAp`)07t`tH}{O%gA1 zndt-W-{atZGXK5f_a^RNta1NhkRIm0P28_L$js9nWNJ2=U|-!qX14AiGh26%nWsC* z%+A!gUs`8UE}i>z|CrMHw}pSq7+|ZpgG_lfJ%O&9PQ@`vBCeZC>)%%;J7f!gpRoRg zzfV5$4;JLP;*x@!B*%{M>G(BVT*kxI5xR^=S4YHUJX{@-S4IM~D%Uo=^%9nl5Y1MjQkXTs#!V&2i3Mx6zTo37XJ@?6V;q5#8!H+`D3JKFE}`% zrx+?dQpWHFw^(>Y(2nzPntem}omPMCW;5Su4+J_R+=440U*CcwE-wgbt^dS@gYk&z z|0i62QOx*1F$28(D^L3qqTY|Kq|37om$3Fl8Su9RQX70sdm>1yUCzJZ^6Za4+2}{! zI0i(jzN^K@dyV)+bvjOwBX;8#T%Hw*kM~-93^_rdHgKJdAC(?^0jIk>D~JOZS9&}g zuX{a9isx$@Sy-3l@8e!d)P4TWy)S}`jPDw8UT6QPppDXlyqp#ESDHCFD+2ey$yvcP zr5pJ;D_Ece`=xhvE~EA6hWLaZ#zayAmY)p(VI0#^{ebIoA=2 zwvs<}(|w77vrD+<|awN;Fot(IP8EmE{9 z>kx#k*0i>Y(nh<7)^J0*h0AZN__GB*&J~hvZ&_Q#^j8O2Gsn<9v`#JH=^k32c1q~v zCOX{vk)Xpb1?RCb4=^s+S7^Wjw5AqZ=;T3(*2tDV;^Mz(K^TX@m3su`d z99P$pHu*%G7LMb#f7XIFr7=9pptNutR|3f#v{`7-XtT%AL>qGcrj4991m(yvU{HgN zLwywKI4;pgaX5}6_fi@uH1|@OKFZyON81XIZgE&z#0WX_*yuwi2dk(^+mzkw_E&L)>}pVqkxmvI?rnKf#P%t_+2$mwtycd8@A6ml8& zM@L1vjEiDe)*LS5=&~|g#!Y8RkdwTpY!aYM-gY4Zth$WUIwS`MjPNj48fBU_x( zM7F{vvbpGFo2SSjRj4S&=Dsh<(YZFH=!PsgB~ui|QyWAjywwt>aEzfKcFRMcjY@P3 zpHaRohR@pJV)(d73Kscl!{;;Krr{IXM8OD2LAd(%Tj8y=;X$1+Os}~+*F)oIY$wI^ zPh7{HiXocjw8%s>-QGzFZU1-~_8lIc{mE0@pZ$1!C#92R^kRLcvxAk?HFQVWX{Hlo zY2hnwZDk9x6b|O&I=3W?9|#Q`zR_7D%ZbiSWQh=ISl!@@8Y4lBCgvx}GQ>~A)D%Bp zN}Jg70i}&5x*iW+&-24X^OUPV5S8H|M6F*7LbMwy2vLUtfuVF~7l=``%vrMPXpL(? zv_^FoP+c2ao(O7V%LhS?2mBm7a0lPo1q6Dum2>?>pt>&qltA&(M4*c<&Y6fnNwjp_$wnd-7%S8PIyzys~2)ecf1rCtbyuL(jA{##sBWF zB=|~`#F$U#*EJq6#oS28>+>N;`B8tRo9Bh?$Q0OE!*BR2eRbnQY26Bwf6e$%TDQXQ zfM$Fsty^Iqs~I0^)~)b6pc@}*)~zT)H$K#?TTzH^e5hHsq8Nwqp|EZxE7>^PRx&=U zDBnz9*!$Mf7xuol=nH#akq&!bkq$otA|3X=NGIdNifI5KyHN!EZ1 zR1!>XE>M>(xPUE9YrHN<^WA_8)DqNuK^Q$YR#;-}X~m7@YPK;C!wB^a&H`oA`yD@` zw6jgTrTQv4Q-|A<{>ZAhY1nvn_bl9UESrfx>bX7Vg51s>y@jo1zpL3(huhD^V}sD| z!Y7z%0$=L|kE7NRx%gl+y=?33wnANzsQy&xQ3(jzRMTDN)WPMI9*+ZxoV-_hOh70X z+Zem+fK~jhZc3nUVJ>a2rrtaS|EBInG0^8+=SRCK!NK;AW?*Y&HpbaaIZ3mAS|1P#PszxJ^Ad0cnJ-)-QxzI@hP+!?a%AT>>85&bnCp}*;fq4`UV+A3lPh}dTl zJGfD*az-d^9&e+L=tzH~mJ6Wv1T?}OF>ur9l@r(V6B!t<2d3$PSqKO6CxsB5 zJUDBHbQg{}`k_aTZYZ0xj-0AdF5QJ=j_$%SM|a_vqq}g-kuDs|aZ4MzOZgz!(Ruyo zAQzYW3($glyzmt#AMORDm#TCxptd7jKKpi*oH~v;@LKyAav^=)f)l+ZR&l)XoJZZ) zzI4oir@@xUV~!QDlr8U}2us;-dngI%@=zd8SiIuJx7=71O?AW9VG`bQK1~mHNps5l zM8Xf5Q|8Yk1R<0s%ob%x9Cg4vc6mOvPR5B-4*{nc&mxCV_=-3>???b3vJmQJ7NPwW z$=cz^SK_&_?0jk%K<2N`w`ig4{6`#dGkWs+o=Vp-T6b1bYtWsQP2Jg-81q%yY3o9H z-mye}R*3VCh4k03bT;}8zb1yIHLiS9DO1SY6gcX|ZAPW%|A!Ej8lrUT_*X>nq7bD& z^|?00!57Q_ckxB~e~d3aX_Ekxr=*xK^jGA*oY!mc=cCl+Dk z?li*~KNPBT_iyuK7?h=B)&`0P$jr89ZpnjN4~vbr?xTdb#`fg>`Y3)AHtti}ovTqN zo>!CVvHs<55bNKi7tc3V=2X#s4Y+Q;EBIl1Y$KKkaxh1eTe|9*;35M>4Z zJHJd4+VKmrsk%;}@H(H;Pw7ohi!JP@^l&Y@&Ohv@^zSVU-whGxt3h# zxB4mFqg`8+QVwX`i@qdO+zrT~`86y=HA2Phg1`ir&pgmy8SPqioxj;%>E~K=omcl) z0@7>sx_khIV-aYe3xp#6!%mvaC%TV5ypF9fK7*bou0M==!ZD*XalKF};dzKz=zFs# z30~XSg7aVXuWwXyW&p~HQuvGk${=SApr7D$zF~ki3hV=#MnOc=D4^}C7zH5_Qp0xBQsU3@SGo*k%ZpOy^-ouHE4G*D4oQD)^pl-c#HC^H(B3w=}fLCUDVD*8Nw ziJ3Rs1&2`I!~i5_whdDHbYAi{3D*4{TnnC7qry9qbRE*YBd;E$bk~{esX4@CA+iXf zz9^#ZI` zn`AON0O^9lp`CCrSsdc>P(|xVFq!8KJ}weW=5vG3iv*MTA-7;fp$?O$D0IkW|J|nr zlby{LOqMy5n5+g&MmG$bm<)RU?L{=T;!zB3YW?|x77X^2FIjgVu!`&>NNvOYqLeUa zCbLK;dmu_3nn`fC8yd;QO-KC2vAC!>!7=!*?ZuSCY{)Lp3z28 z_>^vLo;+oU!dUScfmSM35XT3ndrOD3m|!37A|}{rlDU2z;yk&hB3i4FG>zKqN@^%= zb|w9;HoLCWX4k1hF}r^JgLB<9yXFm5?))n+uI);^cy|kU;>D{&m5|=2j$<3<+i!mR zm`abq)8&?p&QA9sUiIyR7>gQM_6CoOR)Tciy#6=h%`M+Mb5-ySK0g|~xdn_<6b%fA z-r%1`gEu2|hM6UK^A?J7jlRLV4O6=NefOPnDRF`~$6w+P4ufj724%fC42OA^8*pVh z42OB+5x6)^@lT(mmzqzdN;b?THdNcSV8hgO!G;~4A~rk*HpE4(7HnuIHf(x&<%M-E z*l@0oaK~pA*(DpQ!&|aps$|2;;Tjvd#x${EeiIu`)7fxjjAX;dW1N{{Rudbh3N{qp zG%^s>Cb?V$HKxe_O`djq9;5VPk>3hjQUwl%Y!};tA-{#*jl=>l7G}GrV>SKbve+g~ zZB-Nh)d>FM<*`Z}3;)Ksc;Y!W;&wdO)t7i~|JTm&#B;BVP(pf6s0o9{?z>8lrFrtG zd(Uq{l^$FVWA_HK)+L`oEw-g8d!CSPZ_Cnc59$vFcL?cD*?Z4q|(c+5P`ap zieGw>US_cz0~3qvYdu;Ffc%%l0O<1=R6MpdlQ7|^YsgV@(^L%S8rywf;Z{mpdMV{v z-)u1k7Pl3SHmo_6Rg3{c-bVgnoD%9h8`GHwrq0cA+Az2f*E9^siHaup`XDG~j21PgJQRyxd=EezM3S3eJj$v@l)M6MkLJLL1 z0OJzFVC*Pu7|b5kY#1O-n}+E?3?cwtLyBS#);bqY!@xf3cEcdvK*QkTNoRN(2IKEi zM)u$JeEXQ|9^Zd5#JiR1G3gL(Up{$AzhAHgAK1_48r#xOax00$rX z#^cIG4N`~3vntpZ!rTHfbjp)9x&)1|PH| z+vu5(f+{^W{J}>gD80Q_BHm(4GtNS8J}W`-^*=d}RG|`AB!fZiooe6n2k{_`->}7lunUEGfX04Ml+z5}ZY=Jl z85>e~I0D|`j>H)lBoB^Ny5AqEm9|{?3bBWv!1z|-MyIa(pzEf_N>f&&hhfQDFuB!t z%`>pb^BuV_%p0f)&ZGN;QNvW+a_rahcmC;ErLTYQUB2*Y(;kalM?>hLaW?p}#6QCX z?_YOvpSzWCANx*U7wa8Z{0;$w-W}iDmUy@Fy{jwUfQT5UguB+Cs`1#aS(9#BTMcc*BrNx`eO-T9z$N;Jv;A!PtH zDe?c|?OouaEWZD7Sa_7%x{J=EsGz8eqJmf?@ZslKXy+_-lp>1 zUXN{=*j!YcnaF32VlBKk_P&6-B*KT~@a3aeq^>fD|1^q)M(B56q6bfzSX+drKzwFf zzKQr1C_PWamf*RX(6TFrBtNWE@~$tVq6^>Q$uG087HKPpUSo*n25GLEwt|25GHdNU zBW7f;gp{C(G5o;G>=E4~G2DAJduBx0$6xnCw%+?`^#hGOy!Hxi<=%xK+_ddLoY@e1 z1qDX#x1ey`!F>}ziItRIu(zBt_cIJXLO;Jy98Po)o;Xmre@F@6GMYWs)-H=!O$#SL z@F)K05~Ss#L_^YYJW3z*3hUrI#QIV+s#y?(jDufcBO?WEeGS7`UKa)RF&Nig6+XnR zym!u)-))R+dkER-~*fuT7^E zQ$?^$SzDg@iAY&n?p5|pFN@*^!v?NDuZSq)ey_52aa5Saip|u37q(DH> zOw<8)$`YlnR9O$Cih3Y5xPGdv3sOa0kQz}xRn`Zock3hWdgUF*uui^21kvh_k2Z1) zYY~-s5u8q+(sBEfCgMViOw?iBUCXC2gp_qMgpO)>#{{jwrXM?-+s3lsj1#7j#HdQVbrkBBs0@FUTz}=s zYv~czJ$Zc^W>q!pT#l|S7O1Woe)pRr&%yj2IEUd33Sz?M(5V!7de1SzgYrB@wZKfC zr8%epuJXc%OY|#U1j&G8CaQ^KR+_O0>4=h5MU<&@m5hl*Eh%f$$kIZ1XwiHTF4hIE zU+{MUfByhyVCgF0geR=DPZ<@wm+==07x8dicT{($sY~=BzIq(<#WJDj ztyn)7WhZ)8NJ{N5DvagaplV4>q#sNWVl;o&^}3 za;p!-0(vXY8qXfmJ(j^Yjc2`M+k8x4UAgj+kicbzcQ!!1M)mPw=p*X( zN_9VE{pDkrYlcQ_3$IywjL-htqbZM{z#4VFKzC$di1n&;9|P9&G=aqq{Ue9nSY*Yp zn~rO6{w%uY#`UjbuV|=_FPi}OZ#P~%fd%M2kxwpNhbC<0rzf!XCO;A7NN$gva6Z z)WQ>=W%r^hN{{rXJh^C?{ihw!I#yBxw> z<#ljLTSJ%fS0+NXUs%mQnaDaMezSTMUK)ror8D0Fd7kkA^(=KP5qalmxco|MWJI2Z zjFr~Phy`>v9dUggu)x)8u9~-+x0wVjZrKbP=!{Voq#HsHz#G(0cz+m>$EG0|R-qNP zPFBF!yFx2$tE_>MRX7WiRWOEJT_p+&_mzdlXs#;V;ZQ#?HW`LPO!Db7S(6Ugrj_`t zMBWADH0N1v8@|dFaps$qXro_{6!h~duAj_0Hu(-9+uWKIc^4<+2fT6iHjkgoS~gwv zy%>2DOcspzWi&YCo?P`Ye{(W3d%V0#a#(XuQ~P=xw8P12>)rXq$uQLJyUS9Y!CD=T z0suuk+JdIx;9jB06Z;h(7bz4;Og7MR8I0jML!+>1`_q=d8+C{4!R7lm?S zNa}qNqt%q@td~6yk}e_7B4DHkZz z52)O6(YHwIliW=n+z+LnU=dP8p!#9spOVDZEq z6ua?C)yMpJ8tWBC#0}0%zb=&EuY_&`Z8*P~Y?|Q}KzkHSYbUN3}>>}6Aih4OQ6VqqN;t@#WV_Yf6n z$tv}VuiKRneLMZ4+0ZfjFhvRvHJ9)>oSxc``Fk^1Gs?ee1{ORtiTJT5#a3t%afK$t zzSkt;_mGGzo%PhkF5!dHS!iN|B|;)jpC}~aQ~1&@iI{c2M6}&65kGKB#Hz(oB5JN$ zwU{4B$DX{uUy~AXCDJvCxLkPuB@x%$FA=}LUm||sl!$|6Vd1YxH%4<+>E169TeKJe zI4K7cOkwqeVDw@k1Ro7R`aMGMiA&dLY6B5Py*12&dx1kCxQy#HnTSysGLd-ycgV!X zv)Ef+g>5mvR-T){3udv0Y22@v#af34e06u+Cw4X_nT)n6ra)o{&+GT=0Btg`R=IXO z@1Mb*ixvX~v;)(A@)<&RfTcwz(8ecH9QKRBgSv|xq7c`6f9(?fYX*BGB=gN4dXgRM zF?+KfFnm>0XZJV|y?ydktA2lw7mZU&w|Du>Og7AWyf$8z7$w*^YE`;gAov;1+GS54rLd>!wTe;62}BZJXLXNZY~nf{?h5it~PWSS#e~ zMSS90ETT~|(&+jIx>3gN!M}Wqb=IYN@MFlQJMO{j-ojUy=)ps?WH=#y|m#>aY<>;x)px z+x#&88})0mxzF3|t&Ey1(ifk!gQfeqZ5x7-vN-trEfJeT@snV^49;GXa=UID#TA>J z2}PkZizATa@yhKw8vZ>^{{_Hr05IQZ=uSZW+zi>YUCI^}oG%APBd$Nj$T$>*nv0UJ z(Hc7JJ)O0I&?q&_#Kezz*LP6cBprY89gNMR04|eKFD&A3zr)%^H4&A-3~&+h7LOAE zjz71`0e_-gEloEWi>J7qb6 zovY)cb6AIDVsCJ3N8)H=b3BqpY;Lg?ln__nW%7>1&v;`1FI8C=6OR)JF*QIm9`8sD zja1_Ae%75xDBQ#^=HRQgS9yRHruLziefr9(m<)(J_xVWL>Yb|PO#R@+ z_n5~-blOukX7ZV+MW;39C4JqSG|0r~Mp|etYyV$#;FMh1fxpR>UF~eH?7+sndL206 zo(|kMPj=v(y#KNT+X)06SeYxaK0KFoj9N*()9&cEi8waflnkW8W{oRvEbtdQJ9es8 zn(WvM=GO1nN4}FCyLtvcJ(m^e^fUPE_gL%y=ghir9&4^^*NC^A$2xnTe~frc`SmeA zcpeMz-j5*o@a-f%aUN@}vE15v!^65%%q(blSo^ajsVln0x>eVTFcPd4!vomGY%NFR zL_ksG5DBwhgSk6AtV`1%5+y_-APm{!syuCMh`wgvjpnnqEi(bR*^YEeUan|e3=`;k z7$$z?1Lm{NKKbbTB#4Ybg7pIYJZZQ<*s@i)Xj(5#R0aY3nql=Z^ zLjf=4qX37N)bnl<3ivu7drE3_{B}MIY<>+OVAK}|&^oR$+Y}2>7$aVr%DXOL9W`EC zdyBrM6pVA|1NXOO-;#pS`FU^Am#l-eX_v)1hrTX>NWr*H`?|O2QzDhVtl3%%E-1Xl z3m357&BNx<@PTWx&=B;msLG25kl??#ej#{qy*CeC$lCV(Fkk|g=xN~w4_(^G5i^WP9X*P@3bR!Tgo|=f}dZ zk%adlVY`Nz-~_^!@8>P6-4OoCd<<|w7Z8zP4N#0!xxQ8a zb)QCPg{;Y4PFeaPySGWo)`;5v z?D$VjvO)qK)qoz{B(o&YK@I4^O)?xn#3Xw(sQOL9Cw#=3CB5eBVv?g%L?fuzgew{W z4PguhNLw&Azm@pRBK7Bf5z{M%x3gvN%*nG!S%3Ve7KxESvoxRww@82ldP4(xaEn}B?dR45Eiy%es^21andAVVQ1v)d zgiRS4H7+8~raT?RuYSxrv>nqRQ?QKYs&Bn6T2241bd`5s=e-M97cmH`hU`HY;PHyW zJj|F7ilucvm?T!akrmNwNLo!gCdDlG(qfj2`5aK~J-C>S=d)HGjY zgo1xqM((3XtH_H<-PXx^0r~^Q&chUM$^*>CZzQKHlY_L zbt#dH6MFFpi&@)bK_U!F--?p@8In%Y`qdOuEG=lwA#w*si^Z)^MX88fASi|>Pop@b zd=kijJ?AuRO-VZ_|0oy}0TJ`vBwn)^ri;asxchf3fVWw~diuRi;A9B;$1;Pzyad+p zph^70CD=5dGlLf{VZ9y-onZ$X4&NvKXbtS2REj|@VI&$p4z}@rhQ!M#Vfa-lM*r?a zZd%Ho>@awuFr)8yy$Oh(+8(W`L~2o!F{dQKXR7E~#0!?PNxA@UZdk@lx(nVsY8eaj z4i;k9VY-*wG7HogiQYWf=uq|&95y3>Af*NEeBYv4WFG-R!K>L&-4t&=Z8dwyBh7mZpZmN|TV1LTKe(C=)>tU)-z=0V zSZL*6{IM@23-L)`V1HT;5VXG9D3E{t1q=LI%6rqGH7vmW;U@=Sztq%*&>D|ltH$c(tXSC3vEc(R8)3Ez zj-^SBRXylU?;GK&a!g&VYH3@(crCMJWWs!881|b*x$QkH$;gb0Y0& z!@s+_=hAi9y#?LVz7EG9q5)>b1>RUEg-$V%#ti-Gn`517SLKV)JvZ0WJ=J2wKv0o_ zK{7{Kcyuf(e(4y-%f0Ygiky09{jqjFrC9ZQ;25&oq8V{MlZv$P#0|{vuC|f(CF>L5 zR7vwqWuV(}dZ8FzFC5`du4hdGoVsYfuzWcRW$LLT{PG6YB*UqM=9?-hZ=Ov3@dy>4 zYpOyVeRpb;Vy7yaFQOeUNzlC-D9v45phm$);xcW*`Y=FUjWy=RSQ<^$G09l%jFZah z6Y$q>qYi}BrGU!T3VI5WP`$>&sozvBkKnoI`br1dq!Vwmo(0_BFryZThM9TzferJB zOr3mKG>p#8o6ld*SjH=d|NEvmoi7k4X`uh9DGCAVYKqg>WK&d+L{o^li%{KnAn4>4 z)3QTUe$dZwQ28rxs5$C4z>-7vHNdzHtdFk7i?82+;}UL%9$4EQ9|)4Kmy6nl-^T_Y z%GC4a53D=y_BCV8e$^81t1VCZnziUP>#D0qJU@bZgi~##FFy$}^}lvEVA6WT=yJaP zYnT_p1ake$<^0gs^}j8u)`_?N=HK6T^!uVd?;Lz!ZKGvs`oRY>y-}u4I{3iaN)=IK z`m^&zF{3rm`|9olrD(@yfI^8J95gP%rtgQ}?ica+8MY-{;(i-hNZY6X%n(|o=BlTk zr&clQtEH<{KhK}uNT>0o3iq(kDxDf!N75<{#xI4&W=Q%{Qw={8Dy1RmGfgX8BGfZO z(h{dW`Mf|*3uTE5o$BNhG7bt>;#{XT*;h8U}g~#etCWk!74}8l4 zTK0GjZG*MTTKps(BBc^{z@z?ALC=vcInb{G0f_ZPsFBZohdmf8hI89@QjPrLJN9t5 zf2onz>#LDrCSb0wMY7>Si|qMx;$1EB$kfg#Qz_P>CI`XDL0aS;8qMoUt$ufU-rRyW z^Vpr%vy$i8247IXzA#3jwEQi;~3O_!015-(_oLtB{kzTo^5JX3K^Mi#T z8Vco*64ruy6|n%)D%%zTh_uQg)~y8<0O) zp`b$F9F25;P2W_I4lUs#sgj#n6CG5{ots%gGinZt_1AvZ(l}dSDUoZ7EJc%a{2ta? z(L^>sYNBVqXT3=8{NQ``L>sEj)OL6nn*hw6fB3Nb_Rib!vTB9_`z3{r_t*EVZ(B;8 zYU+d6+9*Tkoh~pVjtF=4I{|dbTiA0M1lP~DwuGSi*|wC#l4~s}i4fs}k~p|^CDD8O zS=W|CinJ{yA`f6F&*Y79c}d>x=Dfaj(KXZB<7tHik6)=+LVzbPPEaP?d2%9Dxa>q2 z-1xltqzYP6F7ijVvN)kb$6L1?f|mErR#-`?VT2C7+o^Wu?slr3xx1ZeXa4R)4K9DT zQ|&C+?NmDpc57;9_HIq>%oW&3hZZPE{}lPOcgRWYtdTnOWg-AN^konfQOt(j~S+GRjL~YBmbf_nP0nfJ?P&O`;g?5jT%;jg79KWdw@-{zT@4kdh!J-wMF-@wH7VLo+1WVKw?_ zE2kG(;;f(3do9-Y#3L=6s>DSQHk$mT3^k=Dq?Gp0^P7V@^xVmYHWqcD%azO0FXIM) zko6Y6WG8Djmf{i4ot-N^`Lhe8r(pJ4;rV9=CO+A-CEP#z5OBi&a})t5{6DyDBSYqq zvzVRfOD)k?W-^NnJCEwJ*MpGYU9dJ1BJGK`{&}8r2c_iCrMXl;>qd_)Z@ZEp?eH+m zMh`opCYR*JdAsAe>gYq$g7Ca#f``3-3Y(LLT$We&&?7F7TQ|-w1Y~rQDcSH|wrGMW zuyCgw!G`?>RHCKx6|Br#@;rIvF4jj7K}P@RTe3CUKB2nJn}>GD&XE;;EjP#6AUOrd zkEDW}`VFGN$p+D2WrJw&vOz>1$TnPVUY5QzA$sRbW1qTgk4&^iK^c}q;GQUB%?D5u zB)oc(Dr2rIi4&Fgq4q!{_=-l@)Hg2ytzfQ;i?&lU?6!CuvZQz}kj+p-Iq|f^!os(? zc{ht`wFulrRvdb^OFX~gTJJFz;lap)-MHCcnJ52YH*4>|N^l}U)4N-E8-w58jg9T= zC4*+oKp#e0InwZ7bT`4Fg|2Ls6yJwGwFid^?4CSx4{K#CbEVcI6)#nMxrcRWm5f+I zMh|A)Pgd*=etQqdO7-Mz_JXX5E)4YI6_J&=7i6W0Xh+DKlB_DE;s0H-GF{o|*%y$t zelN(%@#H7>f~Zi6UCD_+hT^04v#^K=5$lLclSEHM61em((LG(c(j-~)_oKDSK`a#% z`T(+UNc+TokX7l)Jr97aDp$oUfF`n{51_RiBHGbsx-2*uY4@~NjVoI!l0fbU2hduz zp1kA$D&yuND+j4)txE?Wl42F!;vj3;=qBxy$TnfKym(@y??E(!Md9NQVhwVL!sj2v zu7N~_Z=`Ut!YdE5$r&`1LJOl2l+@D?rj3n2H^rvk3NI9IwjM+*tH{9yT}-;l6H<0# zXYGDU%6mf>7yU=Nk@naH?$-b+t37EhmN&yA`k-OXdmse*m%8vV)on5zi_z!9hnPLD zduRQnGrTuJK`%i#XsQe1^~dT%ayN$~oE&{MSULJ?@N)DOdFa*n_~^ql`u>=%#7Ez%Zqfi_Fi`OM$5==Z6`6HQ zmx0p(yDhI?rhzmej}>F!eSgc_{Kzp$_Fu+f59_irGpyaBKI4P;fiDwYFiBCEq`kX0qyV<;pLVOoZ?d&qLQvaLcA z$eMi`WYsj_U!De8wXW1Mq=KyDr&-6&JwYrX3&2E%#_YSBjLb!ex23iajI>1{BH|3R zh1iDtxiiobEDib0GsKJy`Eqg-8}iaKY(Pk|K!`n0E$_pYCa}es0t$gD>MZNi5E14r z6C3hbXIW(9ROE}dI*1k$#76EXviWBGFjZgR8MTXVNy); zJkb?@ar4$84m2( zcI@IC9XKjf*pOeM^p!rm$vNb=H{A5dIo8A7hn9=_MdyAEq436ew#SRY(Mu2-P|Y@r z)F+}>ZbjH|fh}-CZ{G6urY|orqx-=6*GRV=fz#k;S|5D! zIrezNAZ)UbY$UFm+uY8?eg9;EP5On)l`48-kp2|~`0`$VLLLBM^q;I%@1(C%1=CQ+ zpdRUH_O3TJ=N<~XFJG81$v3yZB^y-&dRYRu|H-0ScNU=F5_;F6Xq1496mVb=PhZY1 zv4}RuJ8LUu;BvaLr6>|`T6aa%Mj!t2C1wiyVAQrqG8lM)T&gjVUEEuO;)y(pcKo^+ zQ}!tH9scbl*43+O&#Tcn@0{AhFI-{)mR}JHw?)0EmA0Px6qS}Es0zCysn1085JcmO zhD#~ia62kBXAUCm*e!s_CDV994QtnYLnleJ3(Y)%rso}=Qv*xw@fQ4x8tmm*bXadf-chu(y|4>Y% zJF2BNI6DgO<{du#rORxvU$84?qd(tz znYA581s78^ZFf9_k4HP-9dOM>loJF!4}P<)m2uXK=B%^O#NS1dElTNlUn?vVtq^yI zM_xfIMELP%uCOk~RjyVj2VVOOo_~cs9PJ_nMW~6F=u(IH+5fBugyFqoP+|?=sb9_; z>z#Ew+%TsA^?6rail8}X;jepmgR3k`*E*fYTt(k3Sj>kb%nm$@enF!KafYaM@-qI( zRo0c+w3KD86u&e4>{V>Et!>XcUBe(;<;&x*u|W5~`RHrt{tjPmMKG9X6t@n7#x>7K z^by3Y)9*#p|hG%OMsBr)5U`|qV!Y2$Z?Kll|2EwRRx7}g?(mo!l2u>0fsf?o=j?T<@*^$2!GcELWy?iFi7m5DMi2qc z+?`9DnJdoWfjRexV7@6rq^f&Gu)q{6fD z*B$5=ech1V0L^EM+T89w(!D^WxhVAx&$tQF6aDz|o9v+oqafXJc%U|7f;YS1&)Ja0 z0j{d8LUg_#_o&4X7wm_p-7sQ?x>D)9$37qad@UC0KWod=YjNHq4vj^P>_FNMKfbw^ zwKpb+Z$h!Ph%NWwHMKbPG1Qkgzr|YWH91Jbn**c7E!Mtok}Cu0{_RX8v-5@N)6ppb zA9nS1928DbM_+B?3yDMLZVm%6&2U=|92gS}N4)z5-BmFuD3Cn4&bT!m?cwkLg)R^Gr@vVD4ozFT`eV8Fk)1t>=81NE z0ch=i^KO6BnJiyE=5JkEYKgD%y@=M_U7t@xY8=wn;C@U8dd7ylOh8<_)m)nAGy zZ+C~a)D`;j*gGuF%Q@)q+&k=1>Z$wgumCUD7;@o`?3j)ILC3s1hIpYj0XE?u)?&on zj(H(Lju$wGt_07K;|0bJy-E0o(%7Mf3O^ZPI|hXb|0o(eLL%UI4i>JF0sZlZe^}zZ zV?~EL*0!NGR`4NpsLSQSe0&}2+=*m9^@U6bSD}K@;0#L5MR6EZwGk9)7!9`9-7^|2 zLacp8zS`@avEZ}*_l^Zc&`U8EJeH-61s|gey{kqbD8_;XQ`PPm3Ko2!(nzpiry9jx z1P1E-$)Lg|sSWOGSJp~Pp${!nAf2CbSD$TOrPYEcr+*eJR1_RK`kQZeSGzyz5Ixwj zzAt)fA;>25RREJn>T^X*ye#}~Dn_C1IyK~;VWQR|p(0Qj7a?gnHLjT*C7E|Mq;Y}@ zku3=_j_B0zhGL|+8O*EPRaIB!%UgMnl59*KSn6}79* z=*PP$YD>LSuH)c0g!*tr4I!bPsi^gZdJfP)sDG)bQQmL#qaM2r65xWOnn@CLZJ_pK z)cv(47x#jQZjYYXoWIaeHSiS;(AW?Fn;WQY?hyb}{CtvfI%^bzqv zS7%Us(DA(!ed4VLu|v6q%zYa1$Q_1IytM*v7+e8_#hRfWp#c`C*p#!UxxcsCT({>m5A#+#ba2y?;^Jsr z^JkrPJI=Y)^_z18hu*!!0IH1jrA<+K=8I>()c(4N(|o75I;0itcF4M=8nTmV2i`3o zL-w=end!hqRt@Yt(nsx(@#*0}-0lDCHu@cYmVUX2IfNJ(x9!%LCq(QV5xWhs+Ed7p zh_>WyY!7l_Jo_KLbNqm3j)&zrul&z*UiqKryz)QKdF6ke^UD7`dF6izuKYhh+Z3;2 zo8s;VJaWvt`l9padGjZI)ovs5(F~}&59*H5b=0XQzPOaE7MGI!jYTa_U7Sb18tcH? zIBUPZ(ZVCziWAKG5N~0-FPj!Zn>)Sm7)PI7p5I#ViU4}O+W@QI&HlE?>p3g;K$OF8fgkwd2Z{F@^&56g^nntRM<7r>lvBHSjGy{&Abq3$dA%>z z&O8J+@?zSGLlNDNVeJ$Tg7A5MYHEh(fj_m2%IvI!E}-~fO*P%1a{OU?5J{{+6Q{G0TOip{)o)Jk#u|oPG1?sVRO>y7SNIirG!v6ZM2wRo2Kx*0i(TDV} z=rOQ<*?Uc+iG9Es%26azl>QbiV(yzWh(<+h4Uq2DRmcqM~D$^+if=q8hQVy{Vh4GQU}p!asnE|FNX zOC;9p5{Wgt1Xy1+sI4+&Hd6l5Yi=l?Z4UHvpEARew_plbY8&xJvE96#807fk>vgH+ zOZ#J%J7QHaW!p?C3G$If^Hb)hi(%;n<{)ojkvns*X z5AT84v4P;9tlkUmV*Lpi1?G4gu;DQv!tBI~d-@0Xs}Z`bg?y&J8l3UUWEzn4slo|* zRX9;&gcCCs4u-UG!qJZxjygd&L9YoXWTJ4w-w;mJo5G2i0movSBZn^aYzYl6`rm~U z)NDJ2qJo7J)8-Ml7}q)pN8edEYM5|>!i5v^BQoM1?`^_~vI{4s`F1|6u{zXi&3SCW zRFob(Pn8|znr)9^vJ&pgx1;_-7rp5ODd9Euy?=mZXYcW+zp z#2pJMzL1dnliR(5yq^10h0FF&gbRARoPMU4Ei!N4ZaXk4p$M6U3Bp`RDnuXgW5-* zsd++vq7-VeE?SJ*?^nJgT75`Ya)Pf5P}}xdaDwvs>w^e@ZazZxH)NdJQO511bXEFK z!ynQde#f=sYjjy`^Iy4tb2VC5d6Exmu14x6AK}@})l?n!_x{;jZK{i0&l|K*J7tt_ zhi0qySx>*&74*AZ#Qgo0rse7)~{_AGdzkq0q^#&=pbHtlg|65O54H5aB z3hw{#O)FAxk7(y`sOb7{S@F6SYHQt}w|H<%wV6>!TstGf1;%t9QkQusmU(B z#c0<_*|bn-vUkJ?X`AVQHoLW+Hv2bZ^&M!lAGcInMlPHqwAo~61CC$tk_t2fnzKP0 zD0oz8vq7GPLYo!$aZ{&?Eac}~s_%*o_}%T$V=L%6yZ(8&t4-*&eifwGI$kZlPn8WV zAXPTX97?L}!}$GgRoU3yq{j%cSU`v?-LzO#XAm(m)cdsNvB(q$V+c+zD(g!is4YrDzr zRb_ht5AnQo{gvAp&a&>Rvd`R~NiQ<_>ni|!k1BgSfnrh=?-}>#vhBdiP&41V!T!%x z*(GnfRN0(X|5jx;UuXXts;uuCQe~%F@`mNyqsPY0y{pIO{x9gUGjr}!VrMLIDzQ?B z%@AsGgS`4mZ0`S&65B#60ZMGcnWV%vv+4W4f4>ep5Q`sC2Tk@Ck&bsitfuj*-!j^} zMf>(kraf&_w(R4*g4OoT?<$}7skHo!U^P(p!amM})mA}w!5*Na^25PuxbBhF+`WxD zI^#c04?lRA`cz6g;_21%>i4Muh^yD7%8_VO9z~>_9!9`-PnWtmLw2dxuF5X;hX@<> zH#6GWBdy8;5A^o0-;A<3NjhYB&moggQ)W4j)?dnKnqURtC@q0JNi7WTz7zGI2?e)eqI%MRp4$ zT0PwJa?s8C--})JH9{_Wb%OP`2h9^h@0%#D`p`L1R2HN)jkc+~^1zPziab8kq{d~m z`wBB5+;0H~ldNIF(T^04`hswRQsJP7P7+R3Tj9j`l4DhNyg-vIWuOS@i$zF37$K<7 zg$M!Xxi2ZC|C&Np^&8;?Z6rvm@@G!~AKjl&7cH*eAP?0j^d!KmdlivFz%0)jrl>X~3W%!Cf@yMQ(^KP^3WZtNp4^_wd zYcT~AtmhM~rx5vc=5@{Dra`^`KA>#siVJ$9yj%vIA41W18_^XZR#Z&jG9cguQYgI6Rr;|Fk z$v(VA>fSeRsJr{r_RfTJz%7pY`%LLsw>_)(Ix)qc8b;|UhhdZ`k1s_2Cp)Xtn^xVr z9x2+mIHK_%50Ni^OVuy@WM{Qy=n&tZX|_H*x9y_$V3Nfsyd+VJDrmb*i~2Iz7tjBx zTZX$ZZLW{$hQLk*LwZJL#FzZ;X{jqETys;M=-aJ#qMztQ->%S!KFyc+2v_Iy2`Leu zpx4LMq-AHlUR`%?Iv(P%s$NL2>Kn-9mNMD&+I4~&0VtdL!a*|F3_FO2`Cxq_%LEKI z<;6{W6Kr^M8kUJT&CDV!HlEUABQJC&i;cX=89q;OKPF}Yr8If(Z|hwuEjD;FTUu=X z-t`RbVuz^&D-RH4*AWz=0Rr(d{1AvQ?dAnt)Q}-5yJ_l(0cK#zjfA{W>)hf?&M20Y z?770)ld^WM(_-UDw~)mKHwWTc!n?*AA0ffiK%kMv8vm|paNyN4V4b~_D8Q$VkN#)Y z`(&)~vId^w&_JI@Kt&U0LtPGIIaFU&kJfL(N1 zc}|eeV(TqpVC@lM6cBjPW#l>GH1eD{!`u>Z7(v>2n25)nqNBHDNF&cqq(dLU))(+C zJZi{JFj()#z)B_omnCBM@x+A4+&ya+31A+>pN#3YxfcD`6h;&Z|U1BUvK=FzLNxRuob>-g6Xsj=O!Pzr24HB`Tg5=^{= z;jbJ-$dFx)Rm>=Sm6r{nbxooG|6gm40E$c^`hq_ES!Q1#yIH(-zfEUV{5Efn42eQGbEa38!#%6)pE^4|4s@$X2-QaULZ~)N z6;gA^IFg!Am66o6lyRRZRbB!Lsrl)q|NBz&ko_L1xd%a~)Z7U_q~^oh`K~A|>i68v z|BOzf+|Wa9+Dx-x50gUiGFns$#oj%z#94;-GkT~2y01%kS`W3Sd5UasX`!P} zO_wr%-cA^8WF$$u28)IDMr?@cCH)DwRz5`;{t>?nbj^R-R9{Yhe^U;kg&5h}KCHf+ zv79LXe=Z-VpLn2rtl6l^$5f<)x$YXlzbY2o7PJknl#dnLgnXQa2*}3_IR8WWm>_cK zqu@Y34ib+3S>dROgy-M#u?+nPjayGXmdluW^3jf%|0Ex0Z51^L(cEz9-XkAvTcv!= z6`(>sTDMC1*bz~Vap+ATBc%6nDIZNB7U8>ga1W8usI-&-LO#}Qt!D?XIwo?u?BI(y z*})&;m5-<`?~{}Z?V6+v=|)(|)SIJu)TOcS+lHg6-E^#%y zm*dd4f5NczI&xrLl`@s4;gDio8CK|~0OQvwydXwxr*m7%OJYh{&gVsYE1Ntms)0@^`(<;VsJE)Sz}`H&_;aTqiR4#l3cK$ zBM+mHffAD)mm2ud>3lI*3i!0*Q3B3UffTIuWulKhKf;`39%+8zhi&y6VCcp_(Y5@Q z+`nd^;&_UU>g}xc=M6=z1x=j+XjAn2*I}!#trcbYEtumtXG}&pRz>j=k6%S4JlJWV zBYt5ObpOlfI6UK1L3h32+Ka?Jc$P;WUWuM40Gw9`23AzjS}U%z7kSX!y(DI??l^iJ1G=DC3#501+=$Nj>86>+~)92h(4H^hY;S<0i$YEV=mN~R_; zRoJBucOxbfQDGHjlBgtn^*BSvkdJ_cNC;oPbW@&LZR@UEP{B9!Q6n>^a~6%tjKt$% zNyR>9n+w>5-f2uxBf2oUC|7XV@ys@jiY!6eSm^~$uC)SucQd2~O+ zr89s@3h4}(a|gg9l+=Kd3|-5o2T}fzI%I?=u`13mG~~^r{vu!3YttHu)czi0`P9DZ z=a$5Upai)i2pr$K0%fi};w)2-BqanO=Qg1fq9eQ^0H?RW_o*OqZvhlJ-aNub#i`%= ztZ>H}W^A2sAIsbIQ=>9W@3UyDz6Y){w(8^XTZomLI->ugRWVVl(pLNii{DoGrLTVh zB>IQf%m=)%xQgn(Xr)feO1;Wojke@PmVzGH64u4d_`vO{Z|kK3H98(0-9+&Ol`+%8aXsGY)Bx{c*6A6K8(xs~&@ z$FZmf_shpsJY9w|h&OMdHeG+8><{R~D^z^seoCtDK=Ie7HKqDomp^wCeeMEkJ9ECM z_SvO8jn<-SVRE?s((yL;k@N>MEUgIghcZEn3_;C_na9L+DO?#i;9OYGTW?^Z6u; z+WP4;GEBT+1}M1d7t{nywL*kwDK9DJD*#oGq}V(OQ#G9lu1G9LK5u6}Y&0{*9J|9O zy{R_kw=7r(?RSv-$E#|~L86qz9|67QfL01YDM8f$z0CPabV_BMdT*m)rQcIbw0{&K7gq#?K6ZR-abR z=g(A|`aF9>X$ds$Q{u3zS83s0h7p*qxC!FswLVO@u`wT&pvD{1P;{IvXPj{6Wta1< z32Kkl%MQMZTOslKAENtNbExZ?t&6C8^|Q_kKFk{rRJ&yi%oS4QWjt^}CR{MkzUcvm z(4+X&v-W`ZNbkqTK>YS;{(!&+jHHkYB9s5ilpOT%E&Aq41ROw}o*szYliK$S%`kR=7pMHawSP9td+o<<0;?Wzl+_7hRW<;QkQ zqEd3X?;thIdk(Om^jWn$b`X}h@@;q>RBd6w6=Bic4_nnVo5pokWfHtrQ zwDm}^MqVdA1*Bj$+=T#0YyJk}%B-C_YgF00)Z+erCdYR^g4_H|HawWYFn!>6KoX0N@R@GtO zAqafxf%nFHpKB-?TZuxSe?{`?R%Oz@lgltwo)vjwceM+{M0HaQ$0eS2nii!Drm$6Ht1D|zV< zwWAJh%@DO|Q+wrhEW~vwf3txhdw|>+s&1dl1D{m81j@9ez|v#@8A45^ zZa1o*UK6~!2jG~dYz0M@|QQR_xu+q&$oKdC=49P~T-* zkv-Qo=IKx4qYk>tmpzTmpR4@&mZ#OI7yin{2w_One*<^4fgOXQ7xa_xCkDL-S9H4J zA=hLw`toqK5`JXC?MI2ffDr;UMXXt%P{iU>3>ci_iQ}&0`oSSXVF#99yyhG|{z+Z? z3|_x6gdU-{Z>k$&gfn4TS)W zu)CCrh@&nm#t8y_MS#94e#uYW)2hAqIu z@a)qrMUN<1j||atR@GC0HW0t$mle^$2WA!H<7ddJ)#F$e)#DU?&kY9N?uXAki4%}o z*EGy&Cms_vDpP7m8lY29R|Z!=XyFgib-rXwqdM8z20DM8JwC;8?o-SIZ)8rP4{gY9 zC{dV@mFGR9wlFRdD3Yq=FwvoeZ+ZsIBcP|$R0ohiak5K^h6VAlH!?E?=j^A8f^pXE z3g|IatP;qepG(4$E*OS}V-GNm7ZpND8S&$^b$F$#dX+Q(r^pw!pTKEgm^M5?3}+Wq;IL^Zg()+pD}DB?7tI~YS$M7B!3ih#OPs7$kMd{-j& z8~-y4d^NT44W)So$$IY_N~G{8=DIyoh5D`n;@n~&9++~NHVESNQ&jSt4Kcfv9hs6X z!C`X%*WJELDMFNBX5}mRtjY%bo~sm0ywC-$JS(AFDK;1QYyqA{;9m&v)J*>FaO{Z; z0IbE<57TIch_R}D2~zDRe(U-5T`5K%MHq$(3}0sOs^Mxtvqh*=oK3w>ji@{#?>wgtCFTCabC|4?Qez0cWC;Bu&iVzRkF%{I4QJO|gb4i!TW7wYk`PZb3WTV5 z^Hyp%1gLm8RDLz}t~-XIF)OwR?mIZG6EaZ&0H}u=As51i8b&_jc?`%<3I$Q5F1Uyi zCD_)G+OX>_%BT@V^Z`HV5gGw5qC{bmP!~}rzpqCW6@X0jP$T3*D2d7}=dnp@v*xm& zbg8C6w*Z4~e023xqcvv23_dYQ?bGIw8DJ~JoVAcZ@mVDh@FnIOvx!XiDVO@$W~Z0) zBS~tz9x}!|&L+NIwTdq#sT7|PA!=3e-Ed)D^vzlO&9)7r%6ZrbwfRVy*UR=T<*06K z6|VJLine~Mg1A-HIV*}73^<7VrY9H?g> zk5q$%I~m9R z>;|5YtakD`irI;y#1DtaUsPKTEi+)+;WGE(1DT65rS&WR2VZ*C>Blq{Juu~F_lxm$ z2htx!M^%D2IYbEj<65TJAn_LWq&bNrjiGD+o6C4$Pb z>3lhM!~3l|qbX%)W6SxFmoR_5VBn))0y%i+>fM*r$C}q_L|CY&If*tG9dE>cdkND* zHzV(s0`N19`LGnVV>>V7zuLzL4A28d*+t1QQ$)#aqfR?ZmZKe-#a_Qz{EYl(Ld=Z( zPKr7pFn|!_{BRE1fcb$HkjnchVe?E|d!?5RpkI4MT&P0|nAf3-d(y>mnr06R!YB zm?y$Vh}c$L9b}(4iGnJT@zhsZMq!`$;3V&ys)kuqL6LEs$qPu&Q-OdthBOcbCjNqa zS@rWNCnlkgM*;gI;f6jehKK2BE`c?Hy-S@}&mN9A|Z#@Pd zW5#jbcZ@n+rxTG=b+%)?`&cz4`tfmK`Z;w|LookracoS)E}CRv=+tTROGQB>Siix! z7D%8@Q80l;<2dSq3H;DlwR0ih zQ(O9#4~#xIvrB)hmIE+zoI0ts1gvpR#{Qs!HvLQ`<75qhjN?^Pc*JZoU=j;D4+5#W)o=srV5> zP3fb;g#9K^#Nea(p$L4WAEtdnMOzzIuW@Ex1>#Ijt#9A75m;0B>Q$n`w8s*?XJ!|o zUFd$aQl{yEr-5oOjH;Vf^JgdE)GBol_o+42Gz;DSrf!Pm2WQoflk`6)>Q9w*R+`Fm z(n!dD2D13o%x!Wp0z0M^LN6sE*WXYYgBF@KE8*ms!fq%Q5#tNy=$Z;Ttqp%@Wm8VT zTUR!fVq0jjbau>!`yTM!UsFvPpZ+91of3{>z&zNhf{wR+mvRL?Mp?jUhDZ<^-Db zh6KOHCrpHPU4tN5#Y;fdM)={BO6O1M)WNajg*{ItU(^;!f+P@LwuHBOT@7G2 z#*2)@uY&5NpRlT;9v#1_|LdxsyKc}*o;U?Z;VNFn5P`)Mv_g_%rvMgHO3{0iCM&^* zC<%97PY=O56D6EfpSgR08-1nrL<6u*j4K*mJAF zK^qZ6xlNyNpK0m}Z$r5lXuZn$chg`V zUzkjjt5q-2?|1lht+^btDhnxA$rr!xi{E+prLXi0Q2PhHguF#$HXy3bPJ79^o1(+^ zdqKp(uCt%|yl5b6{#;V7D5u~nChWn84U0pxX4RZab0%x{T$&=mF-b=(x|HawdL4?! zqKp3ai!hV#Hq32D;~BM*dXTT4u0BK4G50jJ2f2@=;aDr&v1vGSM#`UDV5yc1EY(Cu zwIH=ZB#H%=FyYts+=>O3gQy-=0Shc)Q?L#!R#z$wd0nx(LM5|{^n^Nz33gE|tq=oJ zLf}Q`%1VJL6Py0f{vFlEso+y;wdSc@eFIO49$UiqzJcYHgG=z0(UmW2%PX&-SYp&? zlX=}6SYCNXhRN{k0Z_25w!AV`grxq`20(Io*m>+}2L{CK^Xy`R0;Ui-H8+H0@1FPwhN`&r-jg`o6_6Nqva zC(-Zc_-$og$)Z5Thx|8#|9*g9RE?{TdLyAkJd$~ZW)r_^9VeY2iF8PJmTxBU7m2ue zg&Q~!Mv82n6DY+jX~WI5ip7_t@iuew3enBImnFKn4wvYrjU)$!eX)$B!Wq^I93;S^ncp^+GSLO&KWm8HXiDt2; z0+>>CA2gd%{A5!~9t|j1RA|r)GZw&Qg*#aEv#02kj(isre{>3k_;DQW{dt5 zq=s2=_DkHxv2T`}O-xKe2x_EwR!XN?yO;O!N;p8Un`GM>N;!zbaf8aO0!~!>W_93V zlEMi|`v6ADb3Y8rfLHOH_*_m#mxcHqH@?E4HMu)WPXVpx=r!pn%Qr_~bL zMNw-YjhONfoPJGu<3pYC*EnOX-q>O?`RPd}6Ypyz*~S|S$Y2m-yea8J*@?MAm%mz1 zYUWDTllnPN>+r+_rHnHczp%BoU^s_Nrsi345$r=C|5G+fbL*bBPD@n1FNNf?k znD8>2|Ka~+O{x7*2)9{NaOk?0d1VR#=~PfGSnX&0hO6u<^~T@(Rd6NQubsf3$msG{ z>&h+&R3qAeMh~fmTXd>t58>qLyUKS}D2el#zZhLSc0bYRk~NMLJXvg4rV#um%ggVX zLZs7oyQoi~MnExRvV{I2vVCQT)roh{WLrG720BlARk>Md3-ijltjEkNPi13Gw|gh+ zl`WZ9hGz={U!3+5jah@T8(f=tWjOa6G)*ryn^z`D(cHYElak<3C*1Q!BACt2!neu4 zrgHb@yX2XAj*A<+o-MTN5F)R{)ooZzxP#cv1D$%c6Z85==;0KOI5hvzr=0CtQrJhU z*_4k2Z>=M*U6SIu7m3cOr4C7{mb6*0ELP3dd<34RSF?Q|p)2JTVLjb+NmTLb3$*y# zhd$LAYiyeN#|$}$tQWaaX2SF65TJzw9g~20g8X|GBN0l=4;-3N41wRMh{bRH0GLkp zDgxys7+M_@+|q4nyjrDE@iZ=!rk!lZ5q=#-_K$0$CHn`TkO=)n1Q->U`7ilNj5%dg zoQJnX{`mrFr5z(e2|)^F_^to?IyM5QqbeJ@RpuVTgK~=I_l6==H$yYo{5hW=?5_CsY3a^L}K_ro-H`gSCgMP?=$xocdsQ3Ura85oG zcWtT0cmfk%#&7*t;H3_UWV=Jcb)^4guR`mn(W z5T{{8=`o)@^)VQmyn?T~W0?IY$FiY~sZXc)ytfBcwj$Ncf1Z97LkhHdB0HqPet z*d}VT!os%WY{E1#vxfCH3GUvc0zk&J@O-Q~)w%2y6DE>WJt&KeIho`kW6lchfib5_ zGUlW|hmKsyjX71^m{Uzy>&@Jl(|cqyVO|Fu8FRWJh^W%2=nS7x;f3G&{hW;LWN6Uj zP6;|)aVOpe{wsV&#WnoaFP999iq(MHtJsGiqDLB2dpJ-f|84Pu9;<9~cq-D!18O;e z37_M){yAO%Z+L;ou6`_Ry|~vvm!5f*9*0v-*ve^95tQp@XL<^Zn!m2t9bXt0iWNvk z+z|_X7v-n;*sDm#FO5i}Vh()PBd%OiAruM3*AlUtB2j2CNrIB2ghkKw6l46KSj(96aE6N3IOYO)fMUyR3Q&n#GUyu+I||`}hT<1| zB-T;L`W%X4_vZ`aqQg&;gy8;lG`X}`#?OR9SP(7J@)&eJ4C6F`nRGJ9DdMo_fXHQO z$Vs+ffglVZEKu_9iYv8M6J&D=rTLt&AO;&S)!$Mc@WZAHEfdO-8E}%FSs=`}|I)-> z&l4tvcu>a924)AQZE ze?b4b5OesskJ8t;C;o?KLNj} z3t_815!_T%JTxV#dep-2d)N=32;EYs7-&uY5+wA^iQd<8dLQNesyMl4CMjGJck%&< zKF~WP0|Gb0s+DIc4!CGwl0ruPIkf@t^)((!3)&d{jHH)v6741%u}JV$=Mh?|BNLsk z74&9j^HH`CC0n{k=;N-F67iWKS0J%gs=)b0g4W;Gqe!ma?m!pApa@Gjb=j&@Xkp#+ zh3+Yw1fN`;IhMav2aHpy&RmN7Q+0}M)zSW?IvexlKwc%2@`! zk48^a`p_3ze`$%F0Okes2X#Y$k~u9A`uXy9$8gzC38>-G4fw3VkIUj{C3|@Z3T#la z`AeV)DWKu4%F2gT%Qo0mS>4ea-~rh9%U5`dI2{2Q_>JK*jo6D+8|r^RV94Q>9=zRI z`dZ-dlpqNQeI)b_2%;HTa&aqIb z!-NEkGYLACDmJ%35CRLf?WW2n*5k);1}GB$pr2)ase}>wl3#d36mMhY1=uUPiU~dK zE4Q&Wp9(^HGllW`K3+qaaXnT;B8flOFn}^@siDMO)XF=Vf3BgSrG|0^wf}cDEd09~ z&iGReOLz^V?Q3}Dywf?8R%Q91-%vXB=bYKvs02N^AMJueGa*vx4ST3*sm~H2u_H_s z&_E%(>E|{HgM=h_*N3PS`er)%O*P>FMPl$LIsc`r3}YSw;1B>n1Id(!D)BmjZ}*$c zzd+F-(BatJ;HtOg6Rcge1xAe6&`pb4CT{76|kQ8+Q#^tk1ybf6oQXSkxsqo6H=~S7dO5BK*B9tev{>ud4i8d?;YUHem z>Jk4@0?q;=FM9t=hCy*r#x)#JjVBr?JC!=$FInRp`M}#zz)lzK}VbdLt)Jz$~DWAkXFDC)t4IF!DQA zv9#qvcag&JuBhl&&CwxqHXlhB^ z8Mie#R>^q^3h2H#nj%#b>N$y$$`=LUT}TwVUdv+>iKh}1K8?}|AI{+oo$nXUTL$Mn zF#aWZB1WZD&y%a{vfMF=on9e~dV%u@(DWgUq-((RE7g&6ilHi(>4J`mb%MG!h}TaQ zPz@lG5)V?$1AOq|I*+#;N@Wg6Vjrv&db+8l((NJ++=OH&ZC?qst?nedvQqG} zhivpzAw-anB-X1CC!{=**t3PgB>PTlSy7=dzAGoCnW!jdk5G>_GS58{@K2mdT6*lfYv@k|}gFYp!0}vB&@#CHB-YUT*fJ>ziKpptl zq{wA_D*<)gj&QUI(HS*G$iIai&U_v*dp{4ca4}2yw=g<=-S;!ed^O=Z`XTZRCoYv3 zd4~E8X0QiHokXpv-a)-jRJ*-=3V?yqBpa(lp&P_42Zfo)JOAksqUc@*>l z3H$i}k>J7K6O~g@UVJ1H(@tDYqX`E@t^ni+a!INKwH%|I{JRnyD)=JRkDq~3`<=0s zYP}5EZ0#Krie!rMKe6k#lUxq zepYhsfpTz>Bb#GE-!`Iw+;;>>Eul7Z4=~(E5{1i-kTz9p%W5ITMJ;Da<{tH8e6hea zg3kaCDILcBaM=BnhWf3zd#)Ap6(-S$Q7bI7$ixdn9p+0+K1*h?j5UJBjT0yl5GO_= zAQ0oGHA03xNU+~pp{J9Lva&IhQ`ZWio$}r#rlMXW)76^jlWfCU;Z>iKje9X0@515> zH!^ar&maN>! z^4CGvfVrz2u6r@i69v-wC>XA16Sc1ao~Cs|4^0I{qS2}lnurNe@23WhfH|pyZ@tZi zeku4nYh_5~Z6?^n37a9u2$@Ri`@V&k>(M!iNCw-xoz`AX0@*DdbI zS#aDoOwgOY62e6T*32-jcPy|540U^!bdW|ND8tRNQ!*-fsWMa|=bEO|U01>x~12;#Arb+Bjw z0FSe!5D@U7AOL{}1(9I)Al-_m9Unve z<P?PYJP2vGkr&;bc-g zjAHjU2%({T^TiOA!NJ1Y2QB4n;oBz==4?rzHbWaZ(1APd15vJIuWS^2M7}wKr;Kif z!Ul@P8wKBVX-kJ8>wwhgE?Ebp5cPa%Ylb2B0HMakF=(jV15%)R2|re=GUOjH5Jm{F z2Pg#3ta#8Pi)+iN2hiwK@hVWcgDdwZ!JirR&PQ@LJ3OROm5wq`aCk^`O`Y?{H1I0a zEdN$A$D6eCCIp$R+sXA5Z#Xp4C{Y7Z1&2V5C0Nz`n-DRO<%1#A8R*97%&2?W&17PD zGMS{e&`CxJ@5~)My;?b-t4t@+Ep+0KFzYf~2Dl(dXd4O3ym_-U7RUH+P58p5o-WPpt}0BDRP!d;FKkk7NnIQjG;Cs5IN zo0CtQJ4#SbN`$KuPD`tDKV@w;3SR8HO@jM>B`NJ24M7y7A<<21vPn|BF$H83#VKVr zD21qms3WdvPh94swyInUj0mwNyy|Mw1f-sHiZ$ZG9ngdEY^ft?6OYq9TEq@*5d`M{ ztq@BbY1+52#H(G|!f!G8;d|@1Sm=4UvfJMZS}!fq@ExhpEYl9%c=M7P>%R6erQwfQ zpUuJ;AwUKoBd{X?;>`1{R#vcCh@KciQGeb>JmV2PgJbRRCbr39`>%Rfzq@feY)T!{ z&le*T9j+pF1Q|VNcD{{)GM5hsNKvuMWDt86k1GpxW&O7Z+Rl;4gtj?a^?85B$!2W9 z-Ivrvn6i;}HczVt-m+*)1KTHy+9G&5>1-IzE|9pj-j)5jMTl@X@QkYy+qoIHtt3!Q zz}wXW`5prgZ-Kq?oiM;2D!FCffuGl<|An8wJ^2az{Oot&=br#r=I3LcJWyN4saH=v zP7RR~(>>xPkDAn$aaw^eYkv*^Mv#DUx+07jzHi~@p-&!>Uj=g1zeGr=dp!ZQJE7hq z1B|c%Kx-il$Z&*UK%PIy2jo6-0u_sX;{$TpZ%P`Gk3!`UnJpBhqvM_)wRQ)9O9SeFO_DxTsx3F*9>`$>z;NMHdIkhOSa%y?=X_;CUOVsk!R>AeZl3GsU zNvq7L>+k(1YWZifjap{^Y5H$yMJ>eGY5M07eEOfA!5(e{wY&q|GPTgvVE<7leBj5a zWk7$4TDFLC-_2;DmQ@I|dT;jA)uCB`#80HO-`Uuj_AnlfRsyUh$R((K$uk6Vi> zF=>}2yRt6jnDQ~1y;P2EC8@3~qns8iH<^XLXSlK}<#=Mu4un}cn`1rwh;^zErr2k= zvN;vdkJ;^GpH&EvLJprN@#rpQaakBZ)UOpn^s9Lk^=Dx~-Nc1~?_ZTBZmlE?$d-!M z!hi>;%q9$QW{L?tOIgd+Y>)>ix-8$7nRmhtw#=1n+=*vjQ2ISP1s_j7oH#rkli@Oe zmf#Cr*&~8q=gPFZB>4Wj08gz<0z;NkQr!YS?sK*)D{^IT?gIQ~2ui!43M_GDTXsQ6 zaOf!u3HIAvnQ}L_78(TBYqv0-bmdvQq1e9pHrRmP>~{wF+d;%vXZhO}eQ5?ayHTdi z(l?28+^3pPCrcoptm;GK2PH_wcV^V5KqQa(1~l-09P?c@e9TYm(>5I_)XGyG`Jm6` zs9MJT4+yqK*s{?f8w~xG0n*T)1VW;Tf}SyMnJ8pApCX?y^1t@x`C5BRBR{C)j2CGI z`gpzq<@A){4go zHSWwt59J=0*NV)xRy=N7D;}5DihDiuxNp08t@z>~njqesK@$X7#_7EUG!s0~F;IVn z-ye&C4`Rvp=W9Rc9Qo?7N2OOa7bZp-C9)y%;SeAnf@SaT5j>~de6yKU8viF!dEb+F zSxJ!8WueY8rO?5>7D~||*qYB_K#+7250ujA&mQe*ku>SadE*_~oq9$rTEO2Dp$e{N*{}GnTXu2f8Zv3c`OS zfozQ+0y+5l-xA1(#22s!N8#j0gT+Q1bs!F$FCy9?n!s(t(a)L3+>z7_T`6d!X)|}x zriX~&^YO)kt3Wc*$V=ufcIe0d$X%-3Imxuc>V$~(!}fBIl98*0WTqk5`ZJapoQD}C zl6kfpE3RrGncGh$ndhYp7VyN-V>v!CgY5{mPLpA-+h8aolcKU~3l}+pC~%QEJ2>II zMvhaUqR(m0M#4|Car=b+|CLnIVE`RT_$&WNQWPf;b-e1+1+736OOHm0H0a5uICJEY zk;ct^(DR%2+LiUL7JQT$$gA`smlS39nrR{i7@YT>L!q`CC zWS+K|(mG2@z7%%qfZ*BL&4yv{;~3@ugAX;fghA3eln@3F3B&Do+35p9XD6)UpETcw9AbH}a8k`thHTiGliC)kBM|mQlt2`F- zP@c>v9T3SQ{`UVgNgPt~5#Oy<8YHuQQrW{HtrY~7)j zA%7a7PnacMlyYD^F$5O7CuZ;ig01h!F!yXQl#xkMaQ9 zAM(*h*vOxR!T+5@zAsG-zA3heflR(!a>#*If=dox>Ygk)=w3hYpCyO=hcONO`_*Qm zcCRun{i=TR-72AekA60~@(3EIHmnF%J;<1TJDP;U6sH&-~+w_@^V->LtUhw82n5 zCdF)_g^Oe%3S1<(nA43&PKQ9nxdWVwSlQTpLim3r6WQ8JmKz@99!ZMip+p;|4Ct*uYu2>BtkT_ z5+Q2fhX`T!IvaZmB1AHuJfRbi%@GhG5ViJ{5S@}rQGeDz=&6Ucpq0pTV`*oE zsp*>x82+mHmmpsOb*{iCWY{ka5M>=nb1>77O+G8A)5(U6H#;1-Y4Fc>>LPoNz1~e?1Hn(g-kGph$^d#B zfTNA24@3x9`h|5JolJs&d|^`FgAXwF1$&iFcq?6jRpH{j?EcyRXM6Iw|6or(C+Na$ zR_d$@QWC&wj0IIz1%*aDg1?o`I=8~6hsdpPT*-tw!N04`Qk_*1jnqUIjoiQ6{M*TLHx^EIX^-L#fyB8~N;f2uR^3wusI*2bRtA%Il5&V?a;D}=3fvx>wmoQCV%ipPK~F0ZkC>K;z*Ew`*7^Ij5UtkURuWyzFQG94twj% z^1kikyftr8ALmrsXb^8~>{pRmBQX7W!Mj}+$H?+7;P$D1z;C_lB9%;^qB$N@q0y%Z zsikbidF(pPC}Stj3(v$Rr22$`{lA0*ui{HO>`6>qb~#xJOG!pp%4`Z-eR+ly_H`cU z7{On!BNs76mork?n4fV19T;=xcUW#nYwl;c+KFHgSH%m;(MQU0! zFnjX?mO;AfylFcIUw^YheLVOPo{1|R%(h$*CiIqzqJA+1`-8+6rMjNwbwx>EO{UOS zrP`jIk;(>N#34o0?WK!CqJ2Ut`|hIfv1G5xlPT_6qk*?JW} zrB?hj?*iHOhdmAZMx>xgHu4%DyYa=gvC|6yh_B`GpdO6ege@naE_l5zW-Mv?V#*qU zPp&?$T6=kWD+=IQv5S|4F2TQCl`*$4g(C>Err0op1cpXcXs}iG$Y%ERWtdDe7O>YZ z3tj9RF0s7JLIHjE`~_Q?G8UX@k9V7$8RN66@xTzRaqI%XrJ zezerBE)ncys}M=0UuqS$k!z?IDsdubYKSSy2fx^Bd3*!wb4Bp-?K+@BV;qchO z5Iwrk80LnMSX>qzYg8x)uqjtCIot**l{MgJ>s9=eT8Xk~(5}1!E01X9g)uk$fpb{p z4KfjyWnduM`tsHW%snPO-g&T}bf)QH2dfX$y+w7l8s< zkt1XJwiTxSz=ZaNabi^;HuqN{s9z)Mr`wxtebCgjd3!2YR(2h{$aL0R{)o+pV5QNV zAtALxOqrd?>V6fv^>gG@fTWEGov|$yy95?Dz-yhciV}Xv6Xvu^s215XSCP=eme3KA zX;MNvc&(m1p(9V2*D9e_WVw_uz?RShk#_DVw|TLe68=y~IriRg!pUeZXq7NTWIt2F za9hFvM5?8PT1xl^Pk4GgB`j=}Fj{2c*N{+WOBjwwZz*92CG_A4KjsP7wMv*Evia9= z6QMz5TdoOOtsl~$Nuw#vOX+kKnSZe^Scz8Cp{eo~u{+mojY4X1)a zq*Mu%YRd*i;o{Y3o! z+Ig=+QFOuyW5o{7+QNt1i;TjfuTMBZ#5onSqrt*DT^A;5Bl_(K(3_N@aKNC1AA4iP ziXE>rpWYFm3eYU?%@$r4-qSWHI=d3nea;-YE8ZMB0KC$5MT2^&5+3&zjW4lY4T9Fm z?h84<@g^Hb0qUq_5`;$%n@a)O!*W1?4=ZXACfheCnClG)Y7J@@enS|j$+%L}eyA!i zYgeAlQ)u%PUttSwK(NZV!oIyBgxQY?Vpnbm(J>8{&v+)8a(P@c8(xyik=d}8dk}KN z781z|fRK4oNirLLV$*H{EgEFOO<0xIgs@FFh2eqx&G?i(*o9~b@p27}$$Zq|Ipg*= zRKS^o@g>Y0fV-+J8J?`mEuph>!+W18N0~z(fj21Fv$wFH=bN4^_m(i^f1!M;+t?O_ z@`c^TX&;w9?3LTt9)xT@zK!Ptc=hov{IVME!<39|k|^X5Rlw?>?Dn8-5V^XB`w;1O zlv);%ML((K$f6qVLnN+(l_EF)q?IE#*Ki*qLys0C65Fl!J#n;kg+gAv_KA(ZFxXfLS`iSFcYvLjr=J*pTi9q#c?}kw( zx6UJs-k!MD6tVHfMbV4d!n;DZjyXMW!p3+KNAZ#165DlGc%Pk$Y}9&22F8{=7T zUef@lH19BRxTSXSGr zxRe|5DMyVrjLJ%S!M9R}hf=qq&(7T!o_UcllGK(NT{kUalsU42h=`snQk$ZCP3KXj z=vmW2EPEUgr5~uKxJA)BrMN}W&aV^QjTxw=@TJk?U*~C-M*o|=`8&>tfNnnhUC_Hk zZ|OORD7Ze2M32(wE$r^^!sL!Nn)|hvt^}k!4Tv(J+j8 zaQZK6@UIofhnwpC%8AZ&Wj3yoH1ALwrzt+nsHDAdL0QSXqPp z*v^(b?z&kDx$A8yUdehs6#PYsm$yM*9F|>y11TWhJU02EFuJEL)0TqtJB7J`gPlVG zipP2J(iv~F(T{{c*8Y*;-4lr)EAtbRm!XA5JrahCPlo@#=#en7jdODeN*abOb{GHQ zL&c@-`3{yG^5K{euNgcJmV%XAmWhE#WGmMW;6BtwOs3xGXD{9+H?XbP&px`2CAAf& zlDn_1=o4;h=VARW#z)*ynSX=cfpWnGf6e((10tJHTgKquE1A|o{Jtf>?a1$fgZMn< z(_bOpB)3;Pu?yuhvYj~Fi-=8?+ZneBn06H6H`cVc08Sig$IiDC)0(U2X3GT|=B0f7 zP!#t5Q{sX@XD2#}gYBb7u?X>js!mEJG|Mjs*SvZ z;j z(_tCyGU(gkVaA49Oo%wlubN=Ykpi6`=174!Njza;o)qZzFmD6%Q;V_oxjooawdkAf z@lT+jT@FW^abQP!?g6bF?tGNc#ANPj3;c8?`Gouf0ThR8<0%E-@=mX+{*jiYH_fTb zjN0zc=caCaX}S_0cJGb`Cz=1VJvzc=SOruHmF2djYWkDbs@fX9R(+YLP%BsMl$Ku= zw)IUO0)R2fh7l9c79*`y<9~#%ViI%}KbAIvtLe>?YhuQfQ!!huRY_X`9uI8=R;@$r zMq6*5l%@cn{PjE)Qmq_i%d%w=8;Kz6ejZPiNYQ-l!u1%DMz+sI1ZZU6LOc09;P!>FTe2+91xETX+%Zm|2lgIK(@*sRd1fL$()X5QR8s&&d5qy4F zuihx7SRX(hq?jH7A~N78lVLym<1+Sfmvs`oX|a5|li1mt7QH$wp(PoD@^tpWZ(^<` zV*_(>l_2}LiV5it%jK4CsrD5FS?kZqeP%tpA0-v1XZi>db} zNtteuPiR?$Pl$X{%OYY@}oW2ynx0=b*2g80QchOqm@ulZXzd8@m}5_B_52NmdCas zvL~U@o4l**xa(JKCD)j@ZbiNl(xl#4Pz;~mSXhE z_`v%q6&lIs%5ik-iyVgozbY3VPY-)%?!<|lM`y?pSZ*)Fd%w4ym zC4>)LT;|uhi2G?@loODyEFp)z-B}E?51+@r>@4=xzVSUDmnm@c#yc_Q_@;hOH#$j^EY-*cU zLv6Ijf#rFMn(j-#<5}dvi8F$n8{$*0>5Mz$B95vS9F;T5bYO=)#a^8XPkw@C-fSV4 zG8*K-)Lvp#pEu85!`!GSJk$x70PNB2tW$7(c{pVpm$?@i{}7k?plw@c={Sm1$ zKaF8QILEhTPkD>I`P1owDnK|lFPpfrA>St%ML=QHt0f=Z*P=4`mm{7+i+oZkUX^G? zXz(UEbeYc#go5e|D1eUO;!aYkuk>x$j}j?!5vR)PLShjREo(=0oeV&JE6FPDJPlOn zhQmnZ{}RXIB0MLf&u^E*Gc{ZRH*)zhfIz7%?ee5x{)A$8p2%>AKsJR)*s3XCVIJ$O z5jCNgKgw>eYg?@|9@OppMS=Nd9+d!jE|Ac;C?>qMHxw5RahFm~c#BQdh&_@d!u`F& zOpx#I!pxp}6QiVh(@H9r-n5Yr=#5Llkt@hQnmZeGa5%+g?$u&jWqicWw6Ca5F3s2* zsxw6$fTK4l4$ffLHDdoxls4Y9tb!89n~ExQ^H{i#IJ67poq4~*%%IHs!pt5zQw{;@ zOvMDJGnD{rnU5Gcl2^F7ap2(%S|0+LT6#Tte9X9*u`y%DzJOPxXLIo;%N^nko~FPW z1-h@794a)!`dx!oYdiGxKE7ga?SoAqvEZBdjlo0RO%c}gcZhWAmqvo7!+u`Mru&LP zdhKD{vyM}&A+j4Sy9sc?nH|Br(vH(TYic@kO3?BmtHE~HcEjM{+(Q=JV#2B%P4OrtDe0$L901P|ZmvWU(oGiuTxiK^AHbo16m|?+h5K*~eYP9=&YJ zp4(MflXOI0Us0;h|~vi87be7v=i|WHIxz`vW6m%%Sp@pY$^)){>>iviG3)y zV1LmsqGH)8+zDFbR|tyJZA<7?*Pm#wJOfK39Gv!}ZF!E5sT-nqooXLfnlOds`isFW zwV7zE%|3teZL)j~>WLL2s7kRLn9-_u2 z7cGS(1(V74f3_4QdDKIUbhhP4rTG5Y0CApubC3>g_x*{U;?*`(?!7@`61hEs#oqP_ z-?H(+VkEhX;X2C=WXRf^5Xa60izD562nL8euy$iDO_ARZ=`DW7@ty4>4imY}k}l4` zZ4OC|xVyoy{T^@*2oYZ(-19@kE*v*4qF3Jo?rkArst4i5;G@|O7n>P=ro}anjp!@x z_qEjs?=cUEYkOaB%Joae%r?U8eM?sYYVdzr+)5QW|FjrLu5&-}Lvr)_K}(U4`u(AP z;zX3N7kfHP>}!t%lf%TAbSf!0$b>L8ekX-#@jE??56@$qbZ{XIuHvYbujHtMTaRxm z4cBGI$Oy{^?y(uNujp6?M;ItYf}~dSIC!KeNdbIFl{*asS8rzi&xoNa$_Xr*=F$9T zP~_BS#9vjhO+mB)LyJ0QM)hc7ul5%M+zZj$Wy|ohwE#b*`S^(;Ti>ewqIgiv$jwQx_CIZ%v?4d?0jny5j#B_ykn!Z1J@ zb+T)a-Bf}lp%bV7kLx3L%~6jq=2ifcIzo(>XXDT_TFj;+%(z7Yen8kyM2_wxuh?I3C6U*e zwDCO?=0K$A?;3pe1oURo1i9Q1ZJc#$vPUrAEclZn&caf{N_&OrvNi)hM$3TwTQnyWjw1-p-aYa!x1$$EgmfX>w7vE)dv1{EWgqUrQU{4W?V~+d{vhxw zod+u)BnneW0-?N|9|p)iw}&L+A;;Yahu)4us1o` z{DqX7pVA_6D~Ox)O^}zgTiBq%qI>%UUcA*PUeaK(yF0DSbU4wMNAoDo(Nh)53?6Lh zV9_^n{z4K=v+&#Rls@HJtkDVqQkA;~?TeL>_xes)%Bz*R=ct)4#vAXAFpmi)5^y+* zw!S-9?Aw<*8S_+rYe50Mz72-K&h!&rI_VH#fO-8Ay z?GK%$zWV3M6UdobU2o3vqn&)lvoWP5(x$v;E_ARPVRF8MhOdc6!>4+%Ytd-<86K>2 zj2Jj&Hc+8RDGvN*aNt18sT}|=_ro3Phmk36>q$Ir?gc5{)(ael(lz(h$rd%Pt!LQR zF=DUrBoQNo?3|l1fK75AQJ-9+)hcH14BjQDQGC5|nO`lcgYx}$o>zmzPzMzwxVeLJ zJXr7$v75d3dG`Dev6ubzI+i{}9B$wHD^@u~4B}%9yzi(qaB+D%AIJ_zXLz!XL&eTf zd<@bX)YWO%A#!w@PyOtO-x%}wrid%51?3oc=plvvpOJQ0JPm`ROL?d>J3mCvP8ur4 zCr}qjl5-koeAwv8UxWbT`<>#*l^Njg2KifJfIGne_oW@wLwQOo(CE~Qb|_91TLsV4phNdb$X zhv0wZu~2*^pJZoaMX@#itL9A;;9bp|Ccv+nc-mw9ubP?({7-@nlwkuUSSXFKZ#L;!(NA4)gcx$H zpVr2W3!a6t3EX(=v!bW6g&TY1d9d@(g5{ZOS)1X+@;sT(aEamShKrhkkQT?NG~i;>4$Itgh~N&KzR^MXas{nQ~TFEP0d- z52QvYIm(X3i9r!W*=UsJ8K#-l{p%>TQd13Qbzqf9Pu#8quFUON)Ckd&_*>!#tVY1! zJ{}c;hIh$}#x z)I?xCA3P_fhTOe9fNL}#!G(hJ9vtlb(r437Hcbp1ylnN2HV3z-)j{P@qGh6995Aw> zM&SD)$<(=!l#-xLaUrQCRRK}jBd&H3@e+Th*j1#YM9T$xA{5eJ;#CX?O)xM5#PA2+ z(u&ct<$CdH`)j`JkY4o0dMyVnX*dpGoKeIN1X36ODuhaVD^$6$kiHQXd=z0Q-U>Ll zwi_0-_z3K?P|&w(fj2SQ6rpq_i;5>xja*{nt{4e`k!C+L8pCe5Y4tMVRxz0mI;gUS zkjQ~7vnqJ8A*`;h;MIe~y1IhuN2P{P<=>Ms38+JtSD# zmB8Rd3B~P|gaVbjgZ~DacpNT;c(DiZqQ{^TA7G%YE2S25QLIG>T^t8C5~wiZ123X_ za9({tmD`P0Af`!vjnp{HeAx3N#i#pIQBjJEdQ*<%Rj-pWOuU8+f6$vUq)&CRltToaG$RnTb&UDqjJ9l8rBS>Kit(ayYXL2O*~UlO;LXb6L!2ah#_T zpE#`m8ROh4zC6sP9egIC>JZyBS`1C!o59zP`EulJ@`#->P7DvqG)F4Qq(v^yJY~T#DL;9S>?Kk2;9x*` zT)`Y+6uMkt)#JqeG2H9~yCXL{nY=AzcG4S-#1XIr*BkkKpf`R>BcBYCSgqrqY;=dy z%1xS0i`lU8Vpncbi8bzuA+3EBeubI+<5Ma|nw%|^0U0(WyB6m!vSE|6n3SJj*yP48 z*hnc8ZUZFSCM4nmX`h?Il6L=@Ixlki(PMDYpu=eCVQ0rKj~89+r}tp@$BSNq^;9|b zg_&m{j&@Lktjn%?vp|E`Etc2Iw3V{fn?B_E8NZqBxe(dz)ET#Cz^6BUm_q@XyHLsf zY5VRfe&5HbF&E>_q`bS2PaTq%9)7@d;{E z(l;8v>6Zb@^3-5%k-dd?Ic}t`N`a6r1pa! zT;63NZ^WkE60w|2E6I#{xvE^_pvqd=jz)DV?w5U0^hz(@iE6%FlU+5_-NuqS>dbR$ zz`)GC?=^8&k^>kyQkA_43`S?tWSl?^TB^I%Swo7rG>I(2(dSPSoN>7hUe3&-;VD3; z$@SHh*)?TlYT51=MVE9ZWYA{jP@Q>8Euhc_r{R>l0VRrYD!;MWKWg-&CT>PABUD?9 zv6Z@%G6LA7dv}|DbuQ14?Cnbe?lr~CePo4^Vy4P8wkASYU2(!mm0bbh#1s|+f2+c` zE%nf_aW7%RR5yQ?{*veweA=37XH{w(sixy<|5Ve`0A4Iq%>X`c(rheedtVa$((e

&dgl@^a62ifu2qMu)3C#owAhxk0`QGe(h^F#TuQp)ZC=-eR!K`V ztXHzwJ)i;B#!l>3TjgR(L+m*-u0fQ8C;L~jm}2jsVP})EG@aCW$t$8Jrb3$C z4e3x|H}^F5*iEy8@Iz8R2GG*$?d|FtaTk^;>vzX$D1!!^6s$sL^R$}0h1<{UD`ZYynUF2y!( z8vP$%ze_UL3oiG_Zlskn@JSI}e5`T?(G@bHZ~!*8cOnb)mqr$!A`WZc02nAL?ep2v z6mhW7$dllfOmGWFWPtM^>sGMqDOh3I`LJ%2#2)U2*iWO!O}}~IFr_VM4O&)5JaaF4u_=>9A5qKU zv;6QHEYCL{i(CxW<&%*y4qhCZ3@xRB=1yc>&^qHFAJ*|TWE|?lqFxhwq~_r$8YT-x zICm2j>b-nYQ?464hy)bwB3?t^m@sGwpc!*=fYPM+YAWApvJwtv5zSx(f;@1uxV+a0 zdyWi3XN1xlu_xJ&uZaQE<^4Zcw;Se5Q7|wIH8JJ|%2PHcS48==-X)e!{k%7x}iHF{sP@|J_os^ZyXL zs~f)MvTq4Q50I79w-Vj913!l=e*9%+s`WPSf?iBFo z2|n!eDdIEsUYYFF6frQ8TB5AB?WaoX8=t#CW~$qC!ih%VbHIOBaTH&W=Lg}#G|Y~# zLR8sAaX82Nb1~I0xL>kdxfzq>%Hgt9>sEU{fgQGo76Dq=I_eS|<)`|v!l_W@&+uXU zr((@w?ZK{2700S(15r$AK-+w9gUx;(60J|0Ci(~&a-}m`DvdF=Vj3!){Hf*}s*X!BWxCPGH&!l)Kqmz>nucJz)x8T>O-Vc1%&d8(nxoifo~{DfG}fHIz7{aM?wfSU5=)EWisA3aCfLqfCnMY)g-|o z#2JhAg&00+O0c2TGbGr^>H-cs z*gdkk5I(Ht9jfaH8u#`iQv#3Wxe`3W+^W}60)(Ndqy*m84e6}S8=^-~YBRmb;SRNs z9u@^^RNCZ1wb2_L?ob`DeeO<0Ive_i*e#uhn?B;frukGM?6spB>rE@TlyCa3k_VPi z9d$-l_!>k*(-Nwm-Z-z~lXKYP^bu7~Z(LAURtLQmo~dW+0HrsstfXk;_f~}KjpfCZ z)W~WO$k~Q*Uc>X00(+oz5tXXkH!z!;l-F>S&LsSb--vx5v^Q4nwEDKKJaiv~p!fuV zP^hKsASUUeFcHilRs24qvV_Ofm=qg}SpPSnAWlGlkv{-p2OdlcNLp)}6waI38*hri z={HhjdoU?GTXqGXM6k6gQ?@``5m&C=JQa@^S}VTf%7XPgPOUs|OHVMm@`Ri-d;>*U zZ43iRNQAuuFi0uB3mKWPl+|`Epo{Aj?_%>Qwh4dBd}oMV(kJ1_AZi!od?K2tTy_mn z7sbIqrB;OU>e8lCwO}ocAsFrwkt_bWX&|S6#VmLL5&TSJN02QP1#k(%e?6grX3c+l zZ8Uij&#MB$Dz~8t5v$z0Jsdtf)k0Ko{x*LRP5-)GFFPhGe0eiKp_oRRe{0(Kwz_4@8g45`{5;y{Xp!Z z+zu%EqKDvI{69FzX1oQV;@UR$>06>tIv-ll5PsRf8x+rV;mxNwb96iC1>2HxV09JI zEcq5Tpji=3kCUl$tB70)ud$I*q6$?2N(B8FP7^>@6<>TI-KIuLhoGV=J~)x)OS>UR zgCO_SRYc+BU1--0VA$}mtSavh7XP+9XecDVN@7uX3j<$8Rh%uM(2exDy{h03TICt; zp=>u0V%S{8Sqb7cSA`RoLXMUAamlUXvn)QTh{&jrQsVP4mH!a=@~de4QEYyd3ukc% zyh`6iRWk-Gc}LWS9QhYl@++oqrQrd3K|h9#!iTQcO|s^+-3MD(oNnj&wy`FM#n@1t z@Q&CcT_)HsC0-`AGw5g)Z(TauLqyA47wDWVbyA}mjJ%m!S{IRXdBf7#pE@Z?11f)n zN)cqS%E`XuEsbRLC_23LRO$$qO)ZTMl9Lwb1JR81CXKDBF;EuDEsdN2_9^cR zcow#_I=;SyCb{p&*pU7qg!y_r13|h7#o@KYppd6J*ke5gCdMJT_!eq!@o~vrs-<#N zCq6FuZt}4AO3-H@(;F0}xLPz2)0Dpk5MX!XzUL#

JVTC(zgnbB04@Q+~a_3x*U( z3w3u1J7C6$qBne0hN$E@!E$9sSiAoTB=zF&1U9qP$47nso$_jNMQ3Zc8Lh9HIP6aA;3GaF&ER_3KUBEk0 z<&r1$6jX@lz6$!Oqk1+__a8w)b9k?-Tyo@*bOD7f&3p$jZ0x;nOUjTOg<1Q9} z{+CxtnHv5jy7_IF5qkh}7MEwU_`7!~_?BA`$y1cCy~FbUB_^nT0Ax&QvMjAuO44eF z_pmbiQO1#s5lJgTd1W@}J&3DEeAwIXi9vj2M&ham7Q4;jYON33@*WO7*7>k2?}^d6 zpS#>{DzB52mzN=R-&JfYB;}X4Nx2aon?(Muvkj=ty_>YMz0&zMIc@XF0uhz<7JK`B zF|aEM`DG-FS&uJtApu?o9K&D~$Xd%gA+nI|cpv6x-AbuF?!GU&4Y(-Rg|3K{xNSMvP*N&P#(U_^8;~2dPNT`RUv@~ zm%{^_{~4%te{dX3a&-kU!b-_sjmN)kz;X#~J%9Q$ssP})O9Ws?^eKuJ&tt>RT`b8K z!V_owU2m+xvQm{Bh_kc6qS?B^70+e~U$2J~DD9uo8+Yn@9b^|i02h|m4!Or@?EpTv zkAx2Fd{So}qmc|ooOMi|vDBN7@wF~S{q|$Tt{`x0Rv$29Cq?2eVoIltw zU`AXOg1<(vN;5{eno_Cy?40E-#qo4I0bnX(t{GzAo{j#bLNEk-JVmn#8D*pHAP6Y& zTDw|#qupR?CUV&u8Bh{}6Rym_=`_yKQUC2#yxAZ)8HZq9q}b9)ydEq5j!lqyFgKGd$$5YK-s>LQsu;vpjg+RTCy( zz)R~%2t`3J^12g>#my*&$|yc>M$z|i6okRMH3sZd!CRfkza2u6Y13D1xV_ zJ@qs7dC{Tf+8=7J{h{XCAL1lMwLjEc`$NSm*n_!ZSM7oQR9lze%W$do8{ncv_R^;* zc-^OL(1&7Y-^JgQYx-5t25uP3RJj=#$R?$3b|}dVipI5U)`wzWPTfQX3;C>s>2qNQ zj=h3~j}cCO>1sFAfy-wo$EVcv1o^34vcylNQ?nBfsm5qF*kEe`qBhDEnqZXcm}t!7 zhiNCCM9X|=gN3rlapL}1Q{)a1MDI)x1XUI0{0aHByf}13LQSV_d3nNY>1xR0zpR!Q z2*Ar~d5HkLOwEtrs(6vw*}!WFJhzsY3E;W4yifqoRR{1>xx7@z*SXrUs!Y+p%Mv7{ zCfdMg@g!|UA$~glAX@OQ;tFG`EKF+mmatw~*huH?%f@Gk+VlcUD^|S8L(FqZF)8?4 zwh@wMke=hAZG~li;Ev$4mmHx=Ayp8#f3S&wXSU_h*tyPur<3`D^=ZC9pmhMtX} z&7RDdjZLOweA&iqvBwZ`W4Q){t&jhm#V4Hp*%8A?>4YHFN3{IKoO2h!!yQgHgf^rw(%3izp3Yoj<2oStJmzKklnn(==Qz?cp$;E_fwelaZx zs}K)?xgMdv6284*_~invL6P)6G^`cuBnRVAK7-_YKXn*IcwkJYTSe%@?j?1oKz`HRR+IT8Z(m$t=kJ{GsE zB1h~Tz~ynQVOL9wR=&Rn@;p*)me#F&eG37*jZyRqI+(&2rBM^nuxKN-VkA6&w;&yD z1YL3VqB3CN$yfgH5On}Qt^TkAmDi&OZM8w*D$Zqa%Yn2!MU%}@}vqF3giOkNfmMV(*^<@m@VvAg&m=)1-T$oY<~Lg z6&7v6)>Bg6XEt@1nV8um%p}!4KW+`&WI*^|Dg&)jq)iO_(sJ)Ab}`#hWlD#3SgQzL zudq`lG0Z05VSfZ>A9|rvS}#JiKphIfCCDNxhb?^d2vT#fR8kZvhb=WcmA8ej0ukqJ zsg>hE89WXr1SI7!e{m7YVM~Ur9JVCel*1OAa@azvR#FaIp zOC4#rz;BQ#fs=CBl0onYbF-|Y1f(3c*p$N-D2LxFmzBfx_$RdxJ^o2UjgB!;ZRh|d zU)|%;Pbh~Mn8{RbKH+qC7r6F}^L!Jcq7DJz(_1FX3ep@948HrSuvW7B^*r%#!JXDh z9p=kxrAJ87YON##C0yidr2$XzwbJu*xoUMH$EsE?ij^mpL4@9<+zu4kRUkP&vCKlO zDr*Dgle>yFh=GM<6+UcvsInK~3zOY9xwMiB888!*7tL~cz*QkHD&=C&JS?syZK7@-bGsJhW%iY zR(9_#z)Ck)#!4G(C2J>c?S~)jx9Cg<7(An5UuNGd5J%hR`;uh}yM^<_r$Vvb1;kH_ zP;Atw5G%+3!`{1qMO}RV?CYKb{4YN?Y-tXDRK{ zKbMxb3%jNq`)f_j^l_4Z81JDC%aoStCR_(^V1CZn2uAWX)cLSZ?uTepotYB zi4+E73w*l{Y+d?BNO2}LzebBRhi3HqREyrY_KkRq+>28&a?!vY5aSG*vx~Nw#x}`J z=#M;ZV+}a%RfMeC7v?s1D<{7jnwN0Gu}pzfBFPJSOV(0x;%;2 z)p*qHs_TCUho9W4_<1|DEwibi22;yLyljr*r?1Wu)8YcmsXmB#KK`FsyoOva09@v52`CKIyKpB%&a zMk{WaTSvK8RChR6wc=yuVmP}6^3Ufgo!osh`Kh_M+;{0c(t@4UOIPZ#~qcmnGa)pht8_CzScI{3Q^97aP|QW^Dw*YqD>}=#rq~MFoHtbwytvj zU=#I1IuK7!e!wO=2oWf6IW|*>(0(TM!>EQD3b8ZWoX4-vR}$PSCht%0ZnYhM* z_^T!m&A-p$YZl>z@2Lr5!qsa+GgVu(86VV{XZK25G=;b;)7RNen|T}JcJ?pY0W5q%@h0Zy$(}#d*1hB zrG@*c_I%{WFz~;XUSovzc4}!Muy{}f*hiK_Jcj8Ai^hr>Ni^SU{63*m$*EQMzq6(v zr*%RT{T`ZtJuPf`wrV8(Nk5$Uk??zou&h~H0{})sLg62%9jf3G8u;3>#W*$|_nprB z15)KtRk82q^1#J)OxnxpnzXICk2S&p2x-zTrD+O)^m+A6+ER4}5Yxp5pV~{!s5NPq z3iBJvt*bF)EtR#S$n8fy6DP z>W;G3rd=v_K(yyCtu?R0ES*y-7EE9uZQ7-(UCw1_MM8e5u+EW9yIHBrJ|}J3rPx|e z`$P$3efvnGwlRlzLp5qwIC$YF(pY!o6Wn)FH3ci>maE2w{h1)=KijY!LM2&CPIOkL zpyYpT!(J(NYbfTjVe@xBRlLMW8tU)Q1eNhoW4`|ILG$%|RXsuG>y<77SAe-(=Iiw; zQHA+>v#N$zUrS$bn!`n2)p{Kbgnk5k+lMMqrTKckAUpDZSW961S_%4g{7eaLed7!X z&#*f~O<|oOXnYEGmIOPLV#c2=LQ7F%;gdd7T0c8-n6M#l7sH2!O`C;6-{r&tckzrI zWAT${=-Xh~H<+x*kGf&fwT0f;DMn4`&mte)2{Xi2Er94z+sANqNM@;bvTNnUBqp<@uKztMQ9u{pmdcwJ|Bua3?6 zQz`06oAXza;=<;4b)1mde}G<~&1m0HSBONG@#7pMvC`pTp+- z!(*z=dD3(q{kddg>*uvLXLuA5Hs^VgeWlI$-?thq;NN~;YjdXabq}_bY|j5rHBPlT zZ|8%TDoRSwM3*ARcBKI}@$bATZO(q;Qp0Hc!p2>TAGI6z`x^X;ojCRZ>1AXf|7NLT z4w43DyzAn$?e-$!C#b5NEUi!N7nbBUWBHw>iY0Ii7BD)>8#Vz)sY!GSM4tP*u)wC9 z*7a=Gl($>13~e$Vxp0mca_!nLU<;ir3c+}-%C&uSr{O$(!)1_brw8&*%ajO4yh7X5 zQXxvHi*rsOe|s6^+WbJiW|=bBYpx1BS}UKk^YQ1w%axb)iv_ri*e&NmA&?JS0nl3ldHxDzuzOJ;kDKKa z;GX5jJy$Bv>PrO33KitCT9A$ShbwWc^PmcMKrLJ_(C(FhTN=o3uLN8g5+si}>%u(| z$m3T5?%6>8`YNS+Mry_N``c*u2r{pJ?ehJbnhvAUxnw7AjSpA%!cMMc8o%orNqU;F zX>waxBtnBmj}UbvJFio(KKGmuD@Q}XMP}`1p)O;Cx~iJ~tV$p?msK%U;S07?IQR)G zg^|!$b+nrn-`7yiau?sauxi+uF&dyh(i;yUH(b2@8X}&r_!$AlgtkX0e`mE4>ZP45 zEP$b!48DA|65WeP4=BolpGfZr+ivO!{ITtzc_;rTT5l*d|0i`N(Qo)kC<&0dkd7o1L8>V^4sU^Z<}8#sBMM?9G@YWD*;;5@AOWd7JFWFC$Xgk^LZ9q#Z-r zLByK|As#K;fm()iDJo6-h4Sp1$UW97iJ^g|MAdWDF&cc5*_c8C zuDy6O0cobgH~C9z;Y0A51iH;~COP7i_5KdLYdtQx;Sso97}U$*oh=WC{|R;8(=bTU zZ8%-lKzyOARtd{IDzxQDYdwsEE=zunwB*C`aYS?vZYxW(KXRiv;l`^A$(V0D0kuxX zZhQmJghGU5_JyH*0(NL@oX*%A?2jf?5FGmSP8ohPVoleIH3>fVm&ZO+_KV8@7{3a6nzx zY&i#{=1HG(KyV!F?g!LGaLEA|2@b&S+~7;4b&FjK#MaYt5+NwC6af*XZ~;&JQt@v+ zGZVvXZ{N5Z!r=VDdl*kRevuj142NzLl7-#bbm=WV&)KphRSl*$X<$QaIo^OvfU1VUdSP+Q)JB!=5;y3f~%s)jYVe zFY_QjWHXr$-xa!WnHP69;RobL@&j^UVoTubv7d?pc>*ba&_z#NEIoZw)8a+U=NP27 zXtj=w9U(T}8BVc&^e~UXsx8*pF}OaUA$2gVzT-P%N9-&fg=JUW$kO&Z8qDVCizk3k zwqp@i7K(88$wMyeyeFd~x-nH)C6Xy>I)0kPR#z^#RLNw-IhiLFa%eqA@I_x^6Ymxz zwyhUGkX4bg-wXc>Jw-fKMcVpAfBxIoIAp93;$GjtuQPwjnbyMYREo{#mZ&K=G(r3gR=wA3kI?Dx`I^-XQL=Y zC7Kbln{p1BZsar(zVV4E_usl_*UoU4-Tg+i`s2UdR!!8T9m>4jE zKLi;L6)3?j1NLWPx8fp#&bD5)2d@VKlub%-_p$#XmP&;#7(9*-;AJ!ZV2#su+>7dr zv!`~^Cf)z8Z~AeU@dWt8f?_kxM;E*p0pQTR)eu-;Tt#_)O<6} z0*bD|{rXh8UQcFg;ayy9)qe!7WO29_MwRW!aX(LR+|*RONH^cJAHxiJEe7l}Xgp2t z`g}o8_$M-69fnX-B>eGs+~BiT>F1+x0s79xcG1aeR)N>DCiOcvQuX7~s@N`?=g2Xl#ggDh!A zIhrZWC_iR$?N)^)t6ly-G;dJ%*ZMg}gX~fKwXI6nf7E``(!If3yn3tB>Hj}r@Eu(PNQdwKjIUB7CU z{=EpB-^3v9S6s)AH4=GTfC_sA@n?#$`ArGpvx=4RkIqY_@r-AiY2GZK@#p>fFdlAo zNQwI}!t(Q%Xe=9IH{u7v(1Qq(=OZ=Gmk!={yJGggGn_WRRy$hQ@qWj>5VGH2NfTWm zT(#tESAwH^N>?q72_U`2TMYpU15&HJLNm8;)iT(u$0R3wwRpb5PiI(u%EEIPqu%DHNJOlWF>%tPFL{Oj{1}mZ4>aA z*I%5t;NgwBPF!?)E_}G?6izN&`sSL$fZi9vgNsi2q5^TW&Ig#uHPiw#FWaF+dI@(f zI^|nlmv1ipPJK-(6LV0MP=!>A?C{B;wiT8OZ_^P9%h|X2?cIu>%V>@o^};I-Lg5w%>~B|B0>~EzHH`!TgGjGC+t(V+ zXNCTQ(cD$n{}2w}w@2~o={rIQJerM<<3N)*Qqb;{kkqvl0%Ma5g*{|pYU6C@QArtPrWZ$9BV!5abx7#Xgek2u2n zslSk2jKPD5-Sn1uC*%f*Lj2Zj%8(l%3h`UJVz}4HKpf%Y>f!l;7E_vJdp|aQ5$MF~TDPgWtsGmw(o$XVx!68F}PCrjI&Xrno$2THP z!iIgS^!}CD+~{myiM@@qs;m$@8*IeE5YU#So<<4Vl6`_T@YGn=J|P#Qeea2V4Sir= zW1yC968joV-%IvEpf`P|v}h|h1u+};2{9SD=?WaS?Y-bkZ=VpMdttiYhxuUmcaX@& z1o5fg;WaE(Ce~%0!u&22ZRD#NFcz!Z6?3(^T`^aS?TTz_K((qDz#vtzhmlcjZA`Vg zS6Qs?Rbr~uy-H-Y*sDNJoGe=xgu_PFt~;O})#`pFzFNKCN!R6iey_A@EeC=@y3?y| z9KI%twxNgMTaVB9UWtx;-vjoDHnZW#!5mZsDq)LEM8 zY5aGTXepWseNX-|p-G~P3&(^?s|dQyy?o#SxcBJ4U(vL5sgd%J2{n?)NJ_12%IK)g z*h;ic)F?DaA|olaM8>|u_?P?PbPS5DANOOIDZoDp@V^lF>>{i}srkQ>E(^7u9|}d8 zH~0hoJEDGk%ku&>m{C8DYp4s`M~hwlF9Ih~THqwgl5R_YTJ`Tr1JM9_KNP?PrlL40 zyF~F(LwVi-CCY2aFd8&^&B+wL_ka>G;*np3j$N^I!w1yNhmmL+v{76dIs@G$T)=8N z;-@$!k6T^naIL@0T8!+aj@Te~bPw*j8xbbii*Uy@=T&qO>M96bZHcZu;{F5Z?#Ywz$V-d4`bKU2}u0C4NiIyOC~isTa6JCJcRfeQWzfQYF*% zt%@``Sta~{C^T4T+>awtUf)vc+}_%SSfS}1_8Z_A;Xm#_Y1UHgVC}NB=DzasmLDam z#dadY2Wk9+gGy-9^usiL+Dyy7A!>^o2-+;f0Vv&a(@sV_so`a4E2aTK#6C>YYkES1 z0A7h|h!q2VblvH~l##PtTGvs(u|8c+41_s80jJMYl>zK}@A`Qa; z+pZhcFG0jLmLm}Dnf{u*OkM@N5>`eD<2@|Kw%8{L3N|sFHfWo60=v;T>1iW z3i_#nNb-r_S$o*$#IVKVCWOLV>K z6eeyPJ)v?2k|m=!{H7J;r-+)DUwiT{}VI){W?@8|?=$GtMPcfOE668xg3Chf> zIUh#Hs~|?^>{Ex5ZHACRg=DFoWl9K=bpJ|7qDWb_&o%L_5HhD3aZC2OjxCw450U&f z%O!p;AZe9*9>dKwuqsX&(OYo)#JGbfn!isSc^46HSOrlP=c7S3;j4SgK6P({p@>69 zD7ayt7=%KGtv%2Lp*2T}v>*<($gn_4v-nBFGWEwi5^+uW`^11mdj3Awh`dPISCPo; za}*~*i<&8%~Qu0`)k~>lrdFi~E-n4G8;8UWm@N$9B$0hw@qXu6q;F;+z zDtoK4uRdWsl|#~G*U2$e_U)+CFLRMO(k1)qO}*9Zi(TaQaS6f8AOtr)rbMX|8mOQ% z%$1V0Ay1H)coCRLQo;~Y_mJ2|m*`weQ}Ek#*VOiai<7*1XT;?7Fl5gol6n(=Qfm$H zX3dL_Dcv%1t47h|Io{^l!@%z#%K4J-1BZd1?5KtZMLi2KO6>r0qHpFQP|{x z!@#Q%k-Ed0I}VyRyz0Ow9akFl+&D;dkj6LBwPk;QpWL!jNL?sHxn=MA0;wZP};l#WFEmwI0>ptgE+W z|KH=lFP~82+V|@Pa{&C@|En8}4|dI11iJSJVi4TaWV9tG)Y(1o1Ag$Y3o2c_&YwD| z1hqUBCuZq=C*vSG7EKcF!@tHXjnn6c@gmDdCzZ!Lh&KqNh4?bmK#*s{vnnW3d_=oG z5?vnyzUD1Y(aSP;(dCp9CSEQihg?uydQ{U}e3%~a+B4kzqo1;_Ek4Glol;C0aS1{p zd;@51tLxc3`c?95j?3OatG-wBb50+m!6)WoILIV_=PBt`eY5ZP)%ClZV&A$9k=yF} zIA4bd8w||C^X*3bpykN<<13Awy=u)*+Evx3Surnh7ym!qB&WrOh8sFO)#7(}AU=O-0}A zo1o2&6#LhCh^$AOxrnHt&0_oj&N?^eo|i-&%czb>-r+aUCe5Ee`J0mX;+rW%o2mzC z(@iabW)jK3RMzaGWL>|VR(tk3MAn145fL>|i||8G>dr_3v;@Ba^xkhupf;ops@juX ziYUO3I1TS9pLgNb(@@EcgnmD1W05AGzjj(_Wh}zYL~CyDbb7sf@XRLQ>ex3K|KzmN z&GK5O4p1=fq$BgR*k)CXpOr~ct0tunW*fxhRLmWdjhLa@?tQrT86_a>@yAs<&tmkL zaP~z|9}XEi)$cDTUIv^|+PpX%%!PY+oyk{pfgSb;M{d=v`}g}fvaS-S6uWTE4bQdI z({QLuj=BrS1AokmN0-;jPlzD@Na^WT%}>7yCkOFUXW-0ndJw;V2BR!5h_^Zm?*~N} zc-&c~bCbD(9;KkigtKr%xj2ZgI7{BpgZRF)ip~A94>zAvA}rN0M2w#UL2*HhYu4y2 zL5vetl^EAXJ~UMjGot9VpHt12~diCp%s9VHU=~j7a9-eG{H&D&wcAvO}`4A zvZ|e*HF3iO0`=1AS7AjEfBX+6@`bDz%v^gkPc()He=vwH>t8sJa#OS1LSr+lC2TGZ z&C!05(7(Jnw9YtB$;~afi)w(={Q`aP%P@Lnmtds1p^_APZYe&+RCSO>UpOy2kJmhJ z|DiN>f2$vF?8GIYz+m3hslW#e%8Hy;aQ#Ss{(=+6pXgw2cPg!76Op(n9)Ait<4@AY z$kCbPmvCZL16&xheA72lTL&eHOOIfF(y7GhQe5@gQ15hq-lm)!Pz3Y7P|L*ia8jvjm z56KzL**E(VX0(eb_SM8#_2!leMAW=!fnnHOK50=rT-4zvXZz0QF{9y0i)YR&iSDBx z1YO@i1G`H#(~16EB({KIwV! z{I51=@~ z)kT4TL+83Mu6XoC#n^V4oW>~Ld0{dk9bSv{jG+SQ&PW7L0%?EutYDsVQ3-iC$5o>h ziR;8kuGxuH$->FolGzU}H0>iJ-P?ji`K!c4>j?=%aTbqKZN83EHq3xXt6;{o_C zd^MOqen|;wKh_0!Bw|&-!>Oj~kKwRC)?uj+Ge4MraS1b&TR1;>3AczcWBG+km_cBE z^}ehGwre5HuXYrJ7Imn{J!yS4B$7-jAIg}EWkVQWJrem-m+??W&!(#J^$d)!nU^s* zH^%U9E-O|%Low${{dY1B)p;jlqwAfFdL~x7@}vLA^-jh|WMswjxeCQDcfFIb9PeZ_ zy`gmVE^s}RQ2^8Gz#B?iZ@cTI3_EPASFXV4G0dOcDwKd$!iri@$BIe{MIZ70TYfiS zZm3k6^PCFBn@Y^9P`X47yiS{8MMELZ;0;3H&^W>#G14;b@VBnk*hb+&8n#h9 z3=iArUii1&Pu0OjDymDdPo`=zi(wlTJD$Gjhv?xo6$ENLc~xoAb!v}DtX&4py`*iX z@_gYX!14y-@HEWWCO0)}JTXk(?Bj@a53kP~RY5%Zn$o75n>tZpes&&xM;r>wj>en; z_vE*u$#81uS(;)mOSbP3j);i4@%X?&WKZ4-KAL?EFRmCRAGrYp@llN#e_C8EBbB)r z>76+F;>zYmbr?x|U1{H>+oO?MfNc}aAG@yfr;eF>{r`%g=D8SZUR{P-;bN#2lA+>i z9$wib`6;62;guPZo!am1)GNs^9aZi1@HP5-!@f9TY9$j!jv)_{Wt>lwdJ>B}Kl`5+nM* zuU#BC!}-0wc5$?>0!3dRtlQ#(B5Po=f?glvxuNc`;2pZHVh@DSAkfoC|Rf`YTbe9oUrm#`R=g1}t{ z`4q$&{YClx%!C}b7(7&;^*!QlYCgk{24ijf*}F=M2Ep8L8(wjjtQkoHco_z&^Zpy4 zZ|>GHSO-u+1I;DeRvAiVbXjy;6K}!IzQ&)ujXTs;z^I3PwjSU&-N1LjfFSh>KfAkuQ_@oge`iNVdEP9^KA%49wGepZKZX*cZiV0a%WGbiv$ihaj){cweej{fWmXgs0uXqJ$c=@1Vwj5Wez`(n>MAYIM>{x?v{01a(S=19g_D-iTllcM*dRoP@OST$&TI`| zcvms^Qj_yn;7(87{6B3WS}*9LVtu??U@e7+h?e!Sjt}9DtAVw14Uevd(Agt|53W{1 zbSV<+J=u#v2e-9+DiSjWyP6V6;@=S*wMNIZD#PP3>RHI<{m5?8R`XdX&9JGOGfl+7 z7GuLs+e|k#zJLw#ea9r1j*6yPRGekmmznTZXP`^mdF5d`9*G(LF3^7SAwamaSX>=z zoiR?nMp4;-;Fd0`y~-3BKv$W*G@<^nHCLA!kG*yu3*N?9x~HvOjsT@j&k+}v?&#&g zhm~IlrIe9ESQ(GO^0IEau$bafhhDQmemh~bs_uB7oIhx1$ecgImUr5r$vgB?G$y)i z1W9p4x}51?Oyr7P5Jhd%ucj3T^=e;j$4}pLIYxqgbjej=A%-}x;3_FNp}9`|4S$pc zS4n9}KaY~-R5-!ja8($*$Z~p>l$0<)nI`muK?<7IoAl=%Wfc$0Wm7aXf=B4j6`*|v z&~M;2Q0#XW+(ycPRvi&{5RtGM5w*rsI8Qr?D;i4?2}?2Td>4SesTHb29Z1MU0x3=t zA`#^>o{py~;QR9TaWI~qgK(4fEAAvcC+a}?VHbhGG5p7E8dD0zng)mVq%yjw6XVEu z3VMrT0Bwr2%uj9WJCaYO-YvOJo8K{TWpHME_nYlmE%{Ry+cwln)6^OycGVKcm?+6-6S66Dq?t8NMHFznK|RGZ<3TdLXgoGv`{ zXo|R%bOa`{HTa~aYd*&Zkaps7ieIGY--NFa1xqsM>xme&>+(2XY4J_N+lYfT8P_7j zB`}=G7ILntc<|mBSZr1tU4u-f-`k#gx|ZA z8g}wpC*819AYs-ILb(k({NSR)4=y_V;G)A1E;{@G+i9RXYZtw!gAkOobNUGDr)CX8 z5acZpgyxA@y)n9luqkN=wBVE6S(^^ObQF@C@s=;S3lYm}(<(6q88A8=u}>qu&W^Pp zErkDqa$yy6b)8FdONW;+_dB#7QdX=hSZZ8L0eGm=V&7Xdmpti+{e;zrJvM~TY{=SVoRACaNLpCOzl0r=di^nH)HO_{ zCZIt*rHK`iW142a2=&@ZDM@OK2=`?BLMef{8#$%(t6Nc+$?)vgR!YF!^F!86RA%d- z&ddC!Aj+2@ik~C)52Ze46VN_97}bam^k61;&j3EggGIzQjoFj#7en~@#w^f%YykK6Wa+va0+vr>k_ESpS7FWZ1gyIf*5X=N zxVpUClUbU2m?3!_0^aN5`Bg9G*DOrjVeVPv0_thzZJV&RP4%vf{o}bo3n_DLW7fsp z$IRC@0SZO_{KqEDYz#oIT6qVL4J8$%H0EAjY^Y}-l9CE?8uKw;EY>HCGRhaQ)Yika zRp5ozN1M6R3$2%LBlRqCArfQerlx3pyqPCAWlx$DWerY{-3a!tg({@13Pev1;5(bL zbWf{*yt6Tn@&@DqvRp+iEpV55yf0BmP=l8tpiE5?K2@iwC z*+MuwcK;rvxrhs5dh`-|g+Y{GmsKtIXRkGtjvrD#XZ>HF+JwB@x*3DVE)c1F;`AbB(XWWOcX^=zb%S-)+svK%txc> zBhy_)t;qlC+L1I7CsNVhCJ0@FCK+I{5NM{L4v4kc`Z|^5nfZ@;*4lHfM127Nu{l$G zZ7A;6R?J`ns3w*M-B-fi#Tl2$l0{fpTzg84onr=!+I42$ zq#0{#q#=WLS5ZGogGJ#6Gq*NlQSMvJ{OxA!VfUWL_?OLC>z8Z&-Osnt?6=<<%YJ*h zIr^1s`lG(d;<8_se<^U-V((3twXmGGykkPYwdo}%)e{S0*z)#tuaKco>U4q z%}VF^us#o!3Tnl8iU~NH=NVWF{)P|c-!ci+sS?hb>j2$8^#R?S=oFt?y18My?xNd8 zGuInIw;N`jWW+3!-kIc}&5PUXr=g}hB7`qZUlIuAjtJt0QU1n5B{E-eUwav%ExH?RVJ_G7-Yj%@k8I|R z71pZdZbXu?E)E`;%3$TCM}R^km-zbr&i=+7!9U{!i--W-Kn_LIEA-xpEw5+fLvUdmBq9 zBClzx41SeCm<*=K;Ft_TWH3Pnhh@-G25-sWpbVA^@|(6J=-Kf;mP2fPV9@TN<0lw1 zX7oWWJZHT`1{0ABzvdvYro$oNk&M^SN=<7&5~{V^TF@QaJ;Dui%plU5VVoCMK}0~N zIZlMwpv(3TsW(B3|Xo`aJbgrca!>^nn9^K6^*t zjtHdo9*ER^@EUDUv6%_&8!LA;rZoD%mQ{pEvG^kb+pXBgi5n4NY^!F~e&Wj)wqPNB z)Hb~@+Jvp@0hC{=BC}LQW{zkb66t9}v^wp1b0D(4FX@z)Vn$Dge)ceL>c{%%N5lwZ zY*%RHSUSh>5q>Nvz(TR`sPQYsnmW-hUD^%sWNgP6{+S;$_pStH*o;>7wf||Q_Y70e zI;iB7Lk4-ya(_qBHp@v0sVOJ$k!&wYiNK{!ee4`HI&^hMZt!OT(SpPewgu%tS@bSw z?=5JL)|>|R17rC!{w&S?Ob5Q%pS5}9PzON4Cin_aw49_LaK#~yl>H)q*~+LXp zDRBpMxzp5rL*eqV->@G$y4>>Jeg- z{2z$ExM4=K%q41+>AHbRQRDb4fvj^dwSw~BAgsS5tpBh8Tc~aJBfmj=)xviLvS{~T zEc{j=v$T$;^5Ca~_yZvLbBIbp&7#-%h`J4y91HIq#Dd%hTKH>0ETq-*-x-=(Bep}G zp3-o8pWOJwlw5B*8|2p0kuwvAU)KgP|K|vKoSX;GkjhK@uD-cjUs!E&eJUv@wFWOQ z`eDL(47!r-RV9*d^8ch>LcbkCK^&IF#dtJwQ6!px4m%?MpxsOU1n)!!v#u|UpfsG) zN8TSO`GEX`Jle-al1IGZu`O`+D{+Mt*0W8VBXRRP#bJCKu_+GI3{kgU`yN8@ zmG(J=uwlF_qcw3dTGIz1PGqOaXk!l+nClZ<5?|lYi52K;|1o-l!uUd ze7eX+kIxiph5AYJJHm0zh_lY(3ld2&Xx+o?ix~U`ZFb2q)SQUZU;T9iKhQ${M{Cw9BOH;KrcYwhfX8dpa|U$_$4IS^qRR=S zwE~owLWR+B$uiVX2>Q1bLFl6*Fj2z=31r(RKPDTxm{>$KeHk^6n!Zl`$nZWqSIsq< za{aaKR7A9$iagNvbyT!Y+ZTq_w*3?OrnXbl7pOl()4#-)o3h=9~nb9^5F@H~l zSCLXf1kEVXOzo3(n`u5=L3l&BEdw@Swz*Ei3TJyO9^*sXu)x5U$d1nW4*b^;pVrLV z4JWK}7}qZ+QChQXIbYOGZRux9K$Fb@AJv<~OMM3?ki{xyv{C{;s}pmnY;qj7ACBO9Xh<4<5&h`D0c{0KP?dSIO)Q$qr}MjqzlEiGQ#Ji3&$XdqpOI) zmDM1#B;ViDH}0M;=PB9;InvDfI`e17RG?(d+}Zh=q-Tel&2sLefvlo!o{F@tPTGq93u2{p8Re&)Vw64f)UeTu1KiH ztOzmJc(^szhIQ!Y!h`rjy-MAWRf;a>d@iI}lo;WOflODe7m6d>I{nu|Bt*(MJz`f2 zX&0j@mpXvPi0pI3EEELCN9emv0Ez~DW^2B(Eo+fsqu*GkG#`iry0d8reOi7CpPNtO zo92Mqy6^;w$D|;graeTN@I&5A(Bs#p3dyQxZPH<1Jemi@Oj)-!hauF)Qwj2Sh3a&O zFm`O6K{5KzRcZcnSHnaLbjsI~bM#wXP0OZ+V4hju2sOha4{9NopQ)y6=tyjBg#7iS zCjl6y$ExO_{zX0!Wf-PMmP%aC_*r&4EIX-&gz@wPvP_X6!#@0yU7_!d!Kb#!M{Uuo z1Q%rVxG7uos;r2fPLnN47ZG|>dMNjgWL+#bmI&4;M}Tq~yAOCHO#23c6#K0d`#mtq zY%|fopjl|4Dmry0Wm_deV5fS7^_{nHn<34lw*R~vtMrfY7&Zi+ArGoH;gp* zbYyXeQCA}|c?;Ikr4ea;F3CMm8d?q~3YXL^&oD5GL3<-aKs2hMi9%gg0fbT7PV2JP zl30ohxR>NO)q7u3`@{PZbqFRe?Mx~XuH7eV(i}p#tv_KdIM_wDv?ss_kluVM)$O8Y zlPHk7o!SLlZ0^8LyBd*JM+z&oo+zYbs+7|7L|&lKO%%~ z2f8MmtP-LLO%DJH!B;>2icL0KT5-yS=X zvTMAsJySdrPlRc$Tg#&PiT13cyY>V(bYQ-^>&L^ix~u`HEhRm)_e$Qm12ePXJ+!ej z*f6~H{jB(#`d#w2vf2D!9azW5Mxg{HOd3E5K+h&TkR1e`#;4S@Cv0e}XtB-IkK#ZC zYQMphh+pW-@Vop{2bfM89p_;kS(}GW9z!Ov4YFx|JI0^s$ov)06%(TStmaZY22tscLp-0AI`9`nj#W5hys~E<2m!XQ<+N#P-V%Vx&?XBw-~!^d@&6W1nF^=be*=!1m*8kmA6A<)99^XqV0_S3 z*h}RYTzysB9>+!%%mx%oY4}IK+(^-{S>YmYVcq74=MKR}0!)?wLq2AcVb(}VH+`{0 z^!RaF$Z{lCY^pOcgrv@Js-SucP`~!eHH*wyK?2lPbYe&s7S+O?l5>4#BR5RMk%y9t z&o%7nm@&N#f29k1rcDXlKtQ-sK0HX?P%*LObnpbXLiSQ}I_UA$%i*zlZX1533wyyG z)7KMSp_(ae#HV*()9ANX>7% zj2eLaA5t}ab7TG#ZGEug;Q}J3tH?@XD5NTpy}KiR^@33O@EcCWfo8qaeO7x5kdcth z^!b9~1d?xyV@5@VL6<`HIRb`t6G(JEj+wkEZS2$i1kSv9JjUI@M!a)83-%$R=(5(s z_>Otst6zEyK=4t>VG-n_y1Ed#fmdoyvodOs3ror{Hj#>W9^r-Y>@jx~ZJfXohy)0^Sl^2}}qIH4Dmt!8@ zi1+Tso()$kVzQ#K&-G2;bmQ<(G;!RaW-pLzR0xv%+zq--H2+>VoD`yBf+LEf#iTT% zZ~9kNq8vO`q1+PD#N=%?y@xJY5;cbQ~H(WX(#H^YN-g7Gxw6BF_U^PA9UE+64n(o^Ll9JVUa)LG)x`60G|e zoheBy)Z@GF!?e6j5(~r-t~+!;O+x>TY{b7$Vy)cAG~$<%SWEZujkvZuYvWIVt+^hg zAYCPJ(}nGaawWL{820bZI#I_@@6JNQMxsSvFySWLwi8kUJj9KmI2{Huxgh~gS!Psw zxH}7eIo~zf_JPfXE74+n^h@{7_Q0@%TeI%~aEsuTez`seh;ufGai5IkOr0VTm*P7f zq6RcWwp=T{m`jZ5J6Vhz@$~1y0dMhVA7wtCHl!wR0j?7tWwCxDFNrZa19Au)N$A*W zdy9YnC<|@%_`Wc$cK^PaxdMl<2QMNTH{`)A9#yQ%>`vkbEFID`2UYq{0J|M|PF$$T z(^AbdRqWZ2XFKu~Al*(+`#eJppq=q`^E0GBFvMJ% zJ3@R!UaA^RAE~Rv^TT^QR&ROlY!mb`P6d^Lq&BEkhl0fn9+zxy^W%@PX^n@!jeYOr zY5XNC>*yVLZzMJudX4^kGdFIqvF3cUl|^Puo_4lLZ(ODz6nf*11|iTJcQgomKOEK| z==~fZp8&4c&c*}6IDY7Tk}9YA79hfE_dc1JL%*MapS+lpi)Ww3rX~KQ+s9|2HiS}W z8HGM4i@t=u{KFI-^`3m|2s;>3;zM5)xS7A6%wFq8^Y&bX! zW$|I&KY+?0?H;*~7tlY^pJc zxM6rv;F+DylpeY!YGI)BeF}?oUv-Y(PQj*c%X{3^mu+*Q#~_{n@IdcM8^+S!qKrlMt}V+?fzVoVl`;h>5R&%FgI2`tqst-3&HP&k;|1V{n5P zc3k66_hWH{%-nuhm!A8c7xiOH+Nd;3qQ|WWtRy?W;w9Ztod*cd9sSve<^mDSW5%ye z6FKyn?WeiN0G95KCciX*MOns+-i8a|jgoE~%ti7e;o5KNBz2WOP_)5mzH0!hX6MW3 z_pqxt?|bnrcn-tfRj;{J#*YqUFPlV*bg5g^YVa|fdJ-sTMwId4gP7v3l=1O{*ua=O zzczDwLkBi10$1T-Qoy|sg}N;JkbqD|0m=n3I)N2Ki?8$QK`bG1ZW+i18Bx4(4k8#l zsyE(6RFbakN%|R3ZM%-C#BQ#xD(52}XJLV&1X8>agN!wKT!s0X$5||q`rP9z+~js% zOlCrZ{wZ}nv_ntfAqJ?_e(K621~Y#XRj7Nv6>#2&_Ccq7+g+DK^0p&k+ispdn8o`~ zz;uU_7zF@{W*i(h65wp+%8hsVrok+*A0gS}r9F`-h2@v7*At;4KcM)Y4fj!Va7oS( zaB{rv93n_KZ%c{-pOe0g&NaE#=858cq9MpNk}fecJLEV zvVXZ(wB-YB%qO~uxCTA*yC(F!AU*w7djykMCbZSDW^Nt#LK#KBEaAuaY#Zy)^yE>= znU;%3`5_z5G2Cd&qf?n5lhv)-hr9PR7iQ?)!e>+26YfaI zxZfz^?Ve(xo_EPCSy1H3pMHvsckf%o4?o2^czQ%o>|{@_dm4FP|Ah~Ensqkymx^0$ zn+s?(*2SIple$Zwe&YyV@igo2wd1^?hi3kfZyTnukjCz1zC0|Qy+h%WbZqSrUQTCI zDIE7adlligXP;-Y8n~CW;NwTI(G-@CU`Z54zQ{hK@W&U~6olUn9?4c9qu-pD*(d~j z%*&L|kFS530Qm8!SJ+b&I$mLS!so}qm$|rZSrPUES)AL#^npoZe~Va$ zNw?Y|++R9uX;+9A`{5XtN=5EM`oPy%xKdiV zv@6BL>?(D9A-?eXbtTVxjXmvN>fo1NV+p!9_MqpIbVH#-*PCA3!@GB*AS;ojlSMqPh zu*Bv|cghBpVwoZN*|cycZ}K|p;Qsnfp71(415}*+I_ubkKs6Ylo3w`qzQzKKKu^Nn zQ5W{5*IAopvH=F1GpcD=>o-`Rmed|}*cB{hWY86+TO5j!=s5TXZ(yxBww-_f2DYZ_ zxAQx1uo3m4wscW;*8?zp`TBn`V^c{mdZeS0|MV}GqLY}UVd+c-4II4PSk|Ma%3rA= zKKz5R%*$2piMls1^dHAzTaVWIy~Q4P?^MV~zXc({P{iFn475`wM$Hf z#0l)CGicQJ0a7Vz?SS-AVW0={(;Ys2FvuwK;E%n2;WMKv@OLql%{TnXpE1g5Xy zG(h0x6Ig$}XfRBY*d9u>+(3f+4XCAvc=VMO|T%V$jjx>vs; zbf>x}M)BedY)xz#ETY?VI3t4K6axnHi={CoXG7u?IpwM246IhZiF~btky6ZhY&gu@ zWJTRtO+y{^N)jv9%!$Kz%gKO_%*m5k_qLRo&K^Ny_xzPAAs0&6fG8&i7exNGTCNP` zLZ{X*_jmY>y2ejUffNf+FHd2~twj+rcF;7@MvN~)?yU`yvRy*AnAKt!V;UR37G;!#QuWDGFHs_E&RWJROSMf7||!cGf&()GDe{v-8cr61RTFc*$#5Wcj8QDohRy0Z- z;M5^v_Sbux%lF{oP`#2GDhW!(|9$@M&~w$xe>hG;@&zawfPVqS8#`EdOSj-aLK=KUNj#A_tvR(jDQ(Cfp2)0;O88z04II$vD2IhKovhYiD+}WBIn30_8MLe`Jyk*GoWkiy#Z8pl z!RF!4cd|Um58Rmr1G>Wge3k;crqa4S21ez}(|KMFYu}j2RIn_9m*lWcjj1~d*G2F~ zxvYQ2iysc^+BY3)GD~r8sl2wI83+)BePR~+fnMF{3@vpvB588bPdzAUo;J0FK6D1n z@%aO~_OM?r*eI}a=KqSFfJ3_ru~vJEd1MXNMKxHDbZ)y3Crl2lvTRud{1Ih|W)TFc zrzC=(&t;u54$Az%WIzruq2^khPoN-bZ>82gzNYrln%c)Z+sjPuB2$ieGAgdgSElC6 z7tzi}$V!u?G2siz&ihOIL9l_u-dS(jgl{WZr|_?A8<<@iNV$+b_KQ*dNwCpt=imbp zB6)s30?JSG7{E*4X9179$()AJVTW^@bUc*X=U(QY*sbnXpU2+2v>E(WJ%-mSZ&5Wk z4G3ytuGoeD*e<4B7ygs=mgUGt83GPhRPx6@U^aKBgMab?YdzALhOH$si>Fo&>T(jH zrzelm=%b~sK3XdKXy^%{S3)(KE1#o2WLa~NP3bzvt&jv`r+VNsETughbh z(FZ9fO-phX#I`)3`XFTzngNlD4d=6S2he&F`|Mn193`m=1jD++ zU!2LzdUYNgN+M7ENlYs9XRw zsj#A6g{Nj=!5bgR8-0j#!%svG>{@S1$?k|L1w1nQQ^8xt)iT68x70x09MRCwRw$-o zC`OcM8`il-QcsTD;H%pa57Zs5*4;&|d*G*W0*I{KvU0YpdopBg zy!_8QJZKJMjZ?wr1$hzt-iNG(yC#UY$Y%kKX)-L#kKpn7m`O-dD_ju4$L6yRy_V2W zqC2Pw6Fljb-tf)j|BTc#j@YLHH-}+fJKphr_D$XUC8*fpv$g0_vv6y=GaE19EJqA3 zF1)tbhhNNRLlPFl)fG;jC&v{}s2HIewPS?t*`g7;F-2}8y6ZBsk|{Ykv)GfKSh-|( zCN(ThiQ7KWG@`qGK@zH%G@AuwoLr2#M7Pc38Bv$RXBS~^b$IV0q%j*V{E%iOpObC} z5N+4a5kI|8x;^9G??zk?hxgY+t(5d*Jt>Y3QbF^Crtzb5*Z_Bg5gga75ccLc;Dhi*&VmAC?1Q?J zQqj#yM1BEJE`rlShc1%*H*^tS##!)FD`paN4Arz1@uY&r2{K}f%!F~zb<{qDSv^ar z`E=PMaLk5Sco>S@)Wkd^u+D~h&S6?LeNb2I6ial|o|HR9ZTFenJQq?wMpC=aSZh^? zIid zkEO{%{>f_AviYA2sA;Ly;PEtZOQ&oBZ}$;PCLG@Ws0IgU8-xr8sRJ)Je1vTr1h3Jb zGGjXx_;)HQa*C=23QJ@fNlv874m~%Y2h9V}!}A5uy95-()5mU^&sVNue!Tiq+N1t+ z>krTS&$NE$JlXmU^B!pZ{CU*+mffk#+PoS^C#1^eMQD5Lwt2rGhXu?WtDA)*9R_bO z3UtcG!Vt_6&`HV^$UWZY+z#-c=3^IF=6|kbg$lj;Jz09;pE4qC+82MH{6X%NeY-lYx(3kqIK zg+hnWs^nEm*~6_=nHg%{R3C|}Fq+cq_CrH^abB{BB=!HI$=yEw-!wUX&Vx<9IQuV6 z{&6-nc@Y0#F>B=0X0mJOrK>}4>vX<+@qaz^jGwS}|B0bDDPJ<*sQd?*Z%95dUn^ev z39RuT*FTNF_)m$0{x8Pg;1A{a>+zw0Ts!_EKK$>EzY(APXU1R0S&{@HvmPLU*DNvq zI?(u=lP<3?+;u%Z+&Gr0Tfh1DCN@EkxxsqK4)PW>SZaEsxum!79)uk6-!xzaB!1m$FVlqMHBZ z5GsD3_xn{&E)T9$?|ym$UX3 zvq*J*H6JTFvd{rOvd_yZB5R74A#Pa|4_v{b+QrBsPoPNon~mgB`sbtp>bm)iI(V-j z#$iet$0wo;jhrbq?`oSFmaU*X{&w|L*GwT0W5U(dUp&{%knFp7 z#slm-Z3eNgAOB<}G(Uj%!^#>wu!0Ia%!G$#6>DFE2kvvEK`O>1jv3b5g^yfN|AI%o z^)$yW$MXccz@v6Nr`u^fhk9K6*PyQ4zC!9G{)s42tN$ZJd11OF%8=;~5G8)PAj)9! z)`hL--q%W-Vm!;^4Ol+4;|*f@)UHbvS}g4{nZY=`&Gr~bQZgf%A|Z4}zKH|V(~g5f z5TWVzB2QeyS|pS~^eQaF%CxBr%VR-eixpjyHj?b=OvWiXnoE8gODC*TwOs@ZSZU{q zwL4AY9Kl~)%Yyi~YnWfVntD=lbIeWvsjbiXgXBKVi?SxoHXFachDH1XY{@ROy;66;eILmeuVsE=10qR>kYyeYe6dsLIJODo-K%57 z_!~(C731&cNPZeM=}#cH9Diih#rQL>!}vQJ$>Y~yQoI<+N3Uc4`WrR50+9r^QKOZ?^++Q|wTW$Tp@D(&dysO zs#(ydlqFGS8EoMPzGM$4)Kze;@)3JPSC8tokG=)r93v?P0}WerDYf9MeAMqkW5tuc zVx|mN8VR&WOZ(#iZC1AjtF;V~T1m)uU@VfNJWkfHMDXeWP_+C&`2)38G=Biej9&)} zX26D9MN(D95xyZq)q&~1dI z4S#<08|LSYDnC;8JL<=30p8oXs zRoE=FTQm4e->`Y^;Tc@>EsWUck>|c;F)@oK(X6be`)yI*k5f8cvf3?Qts?gf;m~c7 z*TgFqdLl!@M9PT%u}ql6_kGJcwD~{Gy$@8>#rHQ3E35olcTr$R1VvdD6m$_*Q9waa z7Xw8_?H#h5$<=b64@%ptm2wVFqy_Q9$yI|9NZTwalxh` zjel5))l^d&Z>_|Q(WK?5E~IGQI`H?S>ZbziGkgrfEnyoLaebAXS?{gV4(t^2i<#A* zW>z|12;3oKi4)tf%KwNW80;=K>R0%QYOOad{mxct87-Qe_i6{L7Yf8QOvlhlLg27H zFm;6_7wqYp)g|I6{@CsFXZC1yA^&|srfzpBb&~30Ypzba?$|a#c6Nn-y+`Kt#~$tA z&;=q|M_!I42KM1}P>z=?TY7+zSi&A(hzUHV3PvE1;s01?XW*mcvd-^3E=y&1|FO<_ za|Po6P!3Gc%1Fhm@g0X92fFpwxo%QI_s3GvO+h!u=#(8i=+;w$U>U)VIKfU!AxcG~ zw_Ljw{|{H0!KT|~z?=6%^?2|7vqhgthNcLl32DlNH)l{2DCaEYgKM-=z1Kwc7p91vHVbDMFycm4_}pE}+O!i54@_Bcfm;3+=kilZ2K zww_|rv+YzcJh=1NfR}0{9)Lyw5*I)d0K(4`c+G%hBxAvT7&1GN`?4|mqgBB~5{09H z$GR0zK*DC(N4d>-U}beEAzL%Cqz7l3;`FFL!SyIM##yS%6%iToZRx`yTg`p5$KzG> zUE?BD3Fg-4uKfW)ooN@Ad6uwJ`ee8{x5*j(a^X!`3EyG13Np4pWCMm zoLwiI_>BTLCuj?c&TqsPsTE~#M6YSEw{o!vw*AL}3ywj!ZC!C2!+6Sm?G*OQziA{x zoVXERTmF=cO?l?ueCK{`oT5n-B}~yaiVrvd_R<{2qYmJ-!4}5T4rpg98n5v316b|Q z+L-v()}xX=kxDzRD5~#06A$A^sB@POpvBgsHbfw8P8g#|h~7sSg-0ooN6MyIlboF6 zt+U#ruRl5xrb`lgkOa@AULJ#_y3~t<5zw>V&I$2Z=|#bO)g zeqp=9j~&D*CXQ!*J*XWgz9(gTn$+WSNUle@oNm6tW9y+9)*8ku>v6eEqvxO3YX=T* zlLUYIFl{EWLXlk@3rTlsf&pV{;zj*&K1mK_t16|k(!?koTpPf*wj5rxza07GTX|xP= z+B3_ksj#u3ewApQ0xjyVa2iEV21JVEDRuBoO6UtrwsezRV|bZjz!O7ky(B)BQ}ety8dA@NR!P!H&f9dcdk-P9TjYYK$kSyB@~g`wLTjxbC>*X&sPF^Dc__yPikAVW@j4DylXKE9LZvOAU1{l+pa1 z?Kluo)^x0UgGTVsk1&WXM)BDnY2)&qxc@@C(cEJ-u{2Y8X{t)79m%uQtgYrt}xs_01*e6K(N9#C-0K+Dbf^08>Bqn#D{OqxF|A2acZpv*rd=9Ea`Z)0%|#Ers)J{ex%i=15i$iE zS?BnIg9?1A?w@akRxjafBb=$`ZT<5%@r}o!TvC|D>yB&t1-~Ry@w~GX7*nj?(ec*Y z==Q{Y-?^J(tc6*KKE+(zBj3!OKh{PB=l@*j4mE>K6EzS)l$6q9K3{F3pw(T2^gQij z?ZaO0E*=3}#^=?AJ2J?u;*TTvxsS29Ez@)7Pp}kY@9>~cK<#opPy9sdZ>Vr|n~k{F z(G|`YXoDge98pVw?=(*IxGfa*zkAVR0v1l$ z6KsC5sY}F0J#YO)+pkYEJ(+X>$AzE6gR-u;lh@Adi^Z&-8yazzN(~0%yrq}XzGzoC z<;?1C)AZ>Hae~zwgQ%7QYFbf%s*CukwBe`YxuY`34-zaxzIk8-o@-b?)8LMr+91zB zPGl`!GaRFi-UC@UorD%98bs(8PL((8_2H))K`lkN4_dm?`3E%7x`e85TV)If?~RqC-6)Szx2 zFT@`x?%B0UG)eD;yznG$)8oXj@}xE-ZyVaXwk#{#lV%}TdMzr+yilj9*@5t?GW=9J z&D)1vQ){C61QNN%oXM6;U_oqp21fR8V0Nh+mbk0uHNfjLOL)J4cgS?%y$G*ivhd!t z_`KeaEE;&JUQZY7C*a8iHT{VXh1mmQXBeA2)Fv_?VNv>K@Z3+e;}sM7@rqBikqUhY z|M^oi!VipVPH9I@ii3eJvUo)mFu%AFyI@gV^NYpsc2uUa*;eN+Y9z~Ftd-@rC?91! z|CClYpAcm6pFJQUgt9#=rbGnq=K#DaR4#P)-U1vh%+ zxmuJNTK?>5ts$g)t+sU5YU?ev;+IcrwTg?r-2F4yAnL5drp`*dVy}exb0b{=^0F9V zs>WEb&$AsTrCu+j2*WjAZ>NgT1E@o5?g!?*-cY2vs$4WBoSx38LQ@pPw%kXvRXp0g z*+KDIVtzHdKzkzf{LYS3RZe}Tv5#5R(PyH3B+V~mV~9u#vn4S8iU*y%%_@+?xR^TT zuLKNsZP!D{4aJmnry?U|vzz z`KhiZQhpkaPx0yxtzr^2CzB;{V$d});xiIyu=V1FUuZM@d=}9q^Y5JnR}BRdCJX*c zt|}*t=gwc^bSxp92Y#syywA>3gHeAFG765EO@ey$q{i45CmYA2+@D}@7hMNheUz5E zFw&D|(7oeG@4++-fW@$BV)t$_C!D|hC9FFXOCmQBtIwpTC=Z)#@DmSq)F4gP;IOF` zAE;1Rpb?I3-FrA7dc(t>=}YjSDGE2ky{a52GhYS3V)$)B_skbMQe=F}S+rNVy)ROt zNBP5NwZ7q54itT7ror_6r*h2EhGVy+m=n$`&cdJto_qV|EG}J%8RyLxXvSH33u<9F zPybfiPo0U&da#gk=Uh4mh?v0RztT=gpk)$X`Dw=VR=EyE06Cm6fzfgd!L106sKXPD zZSdG^zOG2VDz28(jjy~r@xt8DZwbVhbMsOcdTB~JSf~ZRqKk>Cz zJBmKoHX?F@y4L<_Cqozp$rN?cG3sF*Q43yA;E`WzLx+~hWUVEHY0C|#tZznlFIc%O zSm>YL^!4~HUw1hQ*4&Z0<@Hql(bw9+?mH2KN(1=X*SOYRmddrw+R&-hj$Gp?*XQ)m z6c0UboU!L|**R0Htf!Esy;+;VWv~#>{6;&9^$^LO z9@o8iXYI+I>_f@<)Xt$!_~JO3T-!HVU2kHV=yFcf6Pv^N@NcyP*lb{147yv=vdOf3 z2H`7IXBLeMSCL*8v6l^F_y$DOoB~5khP5x4Atc4DHeQWT&$yG=8r>9lnf!-uagPFg zaoBedyslQBa_c-*vKaiMc z7%N!+MV`l{o`lgn<2*J4K?}sZk(6M*TA3shGa?17nY$f|Ik^|pNKV10CYcXmfzuB` z4$$bmSF9nC+Hp_Hc;RTv;0=>RU zPpfpy3?&5BER@MK-6uG!6M|^oa&Xox@fA6{;zMfH5_~8j99tRir>JX-b#e^v&7^FO zOkt*z$v7l^9RnLv=?Qd?Y<#H68O_6gz?yC1eS#W!M2%cY4GmJel^UtC7K}2IwID3i zB0iRCkqw};76jE4%37SbSJWa9L0xN6o5q^8_qyXjb)E*#t2xp3R@Cs zU!1JQMgzeo5Zv;Z@Ec63J;CD1!>>T>_=1~5)kVep$dB3qd1L1^dF&znxX2t327e8h z2mFR0_-m0P78dl(=pu7$UxYw9`q2JG31+1$fcQ!xBp}s|f8molyTPeKgs7J*Z`=j* z3hF$UDcd5kb5{R_+xY-?bp;L*;O_WzDw1d0v_V4%-)L@#kN)hDpD=bWCSySemCE*H z8od7^&gQf57_YEt^;2kSir(D{)4`hl1&qJ##M`p(7c2KWb$*K@uj>k24+BI}hh>#{Vy=_hU9z_-#;JdFj-YBMgG6&%u>_!+B%DTflQ z#=!XKGwPx@_`#nbH3jpx{iIzTLf9RJsOkzF?FxL6#-F|blPHK*c>y}5KBFW*8FEqE zCv>a5(?eilALp*{##e4ck4OLBHdgjuY)UZcW?a|ayeoWzPUzLxr3d=2$) z(FQN`PcLdC3_E1X4d{Z@OFDUDd}X;DPL23vX9|Rc?CN;*C2hZjyJQwKkp)J26TWpF zJk{bWJ~ZQlodpn%Z6NS5cDUpKJ2zrH(Ha^I;OQ>opI$erIXIeET*COTxLb_>o(bk3 zDvyoky?@sF^^=8br4;2@x510TRq^pCjj`O&=QXYF|B_xT?`Ylq!4ZyIfRF6H=MRo>J?!a*-nx(yWz zRTBtHBG<3$t1x=c=S;_bZ#xZrDDg~!inP!L9igni=ik)B>ufK-*PHMK3fzEq>-nl* zwEgp*QzE&X0K|d@sf=ZWVl`=y8qGc~p-mnaT#@vU>m!;xt}25<#VUeKVaa^_AE2q% z9I$kFxY(SbfS969eft}|PVHbrmptMPB4Pk$^742hD^`xxLR-4R- zN>Jk|qhLjjK-+HMktp{oUpluZW5jcnCx(;i&%Mg`;EFyD>l~0Td#T!vjt7!-g+UQN z?>d+Osb9D28Awa&6vl$KzPUdW#`2GN*k!H%pp|a4$$T8k?~v{^@J1=(D+4|FgO_pe z;WQrg?9fTUCNql4cF$;02VWEeb%=w!%`px7JL*7zw(tLitM+kVcIc(%|6C@P|7yYr zY@?ymzOQ+iJGusZweZ2IlMaOg+7BnkE$FJU(v=E2%VJR7Qc#^JXq*QR`4t7#_q(N_ z8%b{bQn(v&i~cy=MdeM@0|BnYDJh&aKhXm)YmqDj4cE~A1+kYVlb`_tx=@iT$de!3 zojjo;*BlSA3JFAmLd6|DAy4cOh3q>Rf!?>0jWBIqC=HtaC?$yPd~c%3=r|)}5?INr1zqQZ$>p5N+g@!K()$NeoMk+2e6} zh(Kx5A;Jq2ZpMDGowXpl#4|=zrV#ivCx!L`hviVXr6EhUz)s+%k6rU${xt zM3xI|A(PBZ6ZZ0SzWaCWYQHyz3R$!U%bXQMEy}imdxEiHFNhr(&y%k} zzo+-ent=HBL!|7r8&tlkH1>&hLjNLJkVO^Ohp)SWt$K9GO$>&el#0c0|B&vqNEKbI zSaz{i)O@t)Vx2v#4PjBkZt3rYX!|XUgc>_nc2WdPilCZwK^WWGnQkak-psI?02B&x zF+lr~KLBEz1+iiHg7cC;MRCIcB$#dXL*gG122!Y7)t6Fzs)~!__BwHA&fI%`ewuWBXb0VpuUHp>mXj=-j?VbVQf%4iF zjR$vb)AsHE_zaB0_Bt%NlFWybEJc5iwb&$c(H|@Qc-S>49{z0l_}}&y5v*LA4+;3bNKT)#Jei*zi+M0tg#V%6S0MWmE*iYVQa*j)!Fj z!o(OoEc@;V>Y;O$zo?^~=$u~l)HFKc6vv>d9;hM0d_D~#Z9pX|f-iJ9s&bCye_qq} zOL#I_RLN+DNhXb1SjLscn>LaCTZBDlHw|oF>9+|my_Dp&DPQ_=I_>q`IzK+IP3x!b zfr7ZG3#+lMyQ=Ixf)}*mpe-qgztyH4v}n-AE9i`SWoKk4O@g`YxobB`FW@&&<*M>- ze=({503gYtQbeO=Iw#Gld}`;4gR-3~!1k%TVn0Jh+5|(agJ&1x;eTic=Pem5cwZ)l zu%lVmIhvIMZRJ1F$F`*}qV4@yWrj z*+dVj;j-7{c=JJQR+@j+pt-KMk5*ZHTIp9cAcO~Bhp=zhem?EGcCw;4f)`!a`bTV) z6+3J)g89eLjDSbiXdd|J8FXFM?r1tib;7W9P-0sI|MI#vNWH@mF%{Ux@m%#M7T3EX zc=(^%zTV}RDgUE4DgQe9RsB4Sr~av3(kn2sLSLhcni*2&g0AU?f-m1)yP9wZ7)qE`HZv+U$rbtpbJ6o{NpLMi&u5Yg zI5mm;+|&+CsKEF5c4#*oNiuJ1+5#xavaP9(T)?GpA2~72y3h@}4r#jfgy;*8_N8T< zWovUezD+l8ZQhv7SKid7xM$Z^=%<>uZf=^vPu|3dkh6gX#yr(G()F>!0dM-#6gY24 zNPh@ylq2w4u$SJ+bNY>xPPY4_D&+Iro?!QRZ_l#({I?g|eY)+{@J%wiY(I$Fsy93c zu9vvvR0H~qF+)7Nr!-pM4~*n_?b=EG)1NL-{RZ6)1XSp8h(Sjb-x{rv_32OZv+de` ziwOZHyj|)ug=ZdJ0`;uVmZ6^YD`hA~wMJK;FGF?p>trbIlfjbCMj0AfzeR>#QTu)Y z9ig?9quLi%->cW^BTLd)zq@5#^IYu7&-1sZIL})oqV{co){#A)NJ3AA7B3_o&fRN_ z{$teu=n&L_gf4$MDpnO3S#pd;_$}jfNo1aiTvT{%a5RVsg@w>)-p`r&N3?FFdLnP9 zO~T_}uaO?_`apQ>c~dNV@uQ-7rZWrlpom1lb-~g6S!Wg*5PW2f2v~1JheDQ~$Bi-Nq}~aNGAqA2ph*TUUyXRoI$O9qW`e!Ohv4(jHyXhPmzEemH@r zZ9zK4Gk=3Orj$l=@xJV2e!qf6ggU*P;ejIL$DR97PbW|F%&szIT>?S?Z_-G3qwyTy zr(kmiP(9-J7$;QdEqhy$LxRSFcU;{1rxRl z=9~m$wk2f>k=%3PBUF5lH)B1^z-=Iv>!)P16sID1mXZxroQ>dCB@6Vn@4+>QYAaYw zGw-Tj_&&UmuE6&D8;Ws0f=Bjb>57XHd~;6}ta%)-?a6e*ewR%9)hER^vxX!cmfcZU zgm$gXjR@}Ei_IZ)i-&r z2OCbglzFf_dO(cJuX><3&;l9c$^PZC5J?G9H`mzuxbTgh%;22G_gS=kS>HQxMrkPN z-MzJS_88h1=_yL6AQOAB!z`ra~Hf~2Ob65_DH-?iD&DAx7C41fwpHQ zUbRZB7=l{6AZ~LYQlM=q5V5m7c8(A4%@&8rg@|KMk7v)sD>EQ4Cfn_>_nHOG*pUGbxeloaACN*Pa4GhJi@ zWE2;ATK3LCsyHltaeI|WCH`6)l5s1D{c$L*lmmsb$xX4wK0TC|snK%|4dutw?2Z7^ zA%VGQQ|==s_xRaWjmRp#f-;P+3|-D6H7sc1I3xnHry!5`_G6Gxkgp~m5vuZ^H9^6k z1#6trW^C)E2F1?+%}_3f^YgcIYeR}(*w4Cm?-ds%DIv7F#-01H{z{DS^zr;l4a)a> zByUIN>KhK6Hhjz;&%?dgNPicD5O7#af~gG#=7J~qoag~MD(`6F|M6n|{L26p{NTKz zcgt}Q8$Na6L3lu;M_%LQUd(UgdpeNju!$%~f3)%b!8RH@#4Ip8x9$b?H1IYrbYB#1 zP#>o2?=AAT#r=miG?x{EZ{9Nj1lZP>rvpKkI;bW<{UjZ~W1cm|iQ2Dor6q)yG6vr& zV+;@ShmVq-+CFT^pe!;U+nL^+EKVx5BQ8>NXqQq7?A^K7r~HDhD>%YE6fxz*8Pud62> z^x$0VTplmJp7ukCbTiK)x7Wfe3O$(aR_}Js`ZF^dj9je$<<(4N;EdjQF-qCKpF&RfJ|y z9oQ?AL**RF#f{~?eOOSQ(GfKj_y=Xw6pDJkj5=RN4ek;(#ZeLpw2hY~DMuVBxsgZv zsg86j@ZqdXmvC(EGTr_%-QM~x38#&<;+Oa}30a$K_Q}FO9#8_7DFV zNc)FI3`qx((i}sQ0&Pnl6}cB9A+$|X7rccIJPNc;7I?}m;9XUgxIlyo@!O(+nt+_; zm=eBMgwqQH!|M~G!&bQD0YE>Sg|DlI##`@nHv7~A0bHi{i|8&E<-33oJqid3=CC&b z#TWeLyqa*^%|6db+;6uE+yaT~Epb-?O0t%aW}QRNhZt||7VO_Tw5dXpU~x-RlZ^^g zW#OkX-}}i<-LRz}AzkQ(T~%)RmIpBA7o0GF1|LR5!M9>WybD@d44*2x55OD)zmI{n z930QrF*Z_>Yv2`(=@%6`=#)Zq+Kj7%SCx}*RAF4Z%dr`eDn?^AtZ0BNCTjG8*n0x( z1Agl_G~glZYIW|OUy)1~s;Ai8`U+uJ&rEom#`C59*ht@EN4}|)@AvmD{Rl0Of(z^1r}ImS+>J`iI8NrslKjsA zwC_)hK9ze4#>3m|t}5HUrs5XP#RPcR#2frr{~obUP5cKxHZ|`QN)ulh=P6jw0axm_ zo?nRs%~lQ0C>;I!tfSNvXq!HqZi8%~9)SMMy5OC6;8CD$Fz_frX?DdL*ah*TKpX;Y zMxnMpLhP8r>WVeE3+C?z-q@c_RGe++Uj9tmqwVJBd{7gf=}z!xeN{V<4#sZ^16bhDKslwPlECad3CvXI zJ|i9KR?IODs=AKhj|{*puNh-MvB(BCwr|@8FkJu>fwsL5b@q_(iFEoOJK=_%0yhV+ zzJ@^Hfdcu68YlBy2`{SJ2f2cccpzIW$(_ r*^2;Zp}P{WMzr)R3(iVE=UH0@f&7 z{`|b_UI)87b*=xDSLuB9u&E3^7M;kcQtv2lIYJUz_}+nReE%p}-VMt-%d41x)v!;# zFYgn`M)djadbhMI1?@)7m$3_Vhal?=y1mir|1b`4izZ`xbMGKr{weQE zgGXbLE*J+A1Ou^Fz{Oi*S5{&$**?7EGE%fUK}Km@a)w4$4KYNcbq_^DmOq?ty&XbQ zhLG_mFKM7Su%e8Y#vB=yBZEY%YbC+9H_r23gIPcj0qT+ap6IDAq+PDXaG|X`jnc>P zX@fzd)M%bP7&OWp!%GIU;Gk?t?1l%alRiz!U?66SI>=ddJdWd2Un=vD;Oh^TPe8kLC}$qG$JbZqAZIgJ@FQkOTnAc!e!o+x3rif*{p zy`uS!Mo;B4QSP4Un2b>A<3XtOx-tCMAXIvztn|_)T`Qg6rP5o*@K_!55B(13GQ!vx z)t;81vX#?JRAj)OU&#-+RR0|}>9E&((T~4}n1e|*pXQSWAl63F@X+I@-Nl-#hsr&a z8b9X0=-5O-R#ePuEs;Jsqaj&#ldHhM(GMIrLSPHuU!>12}mSEIR6+MR;!pgT#q zBKphJL4W$?Ms@L;o%efuVE}CPh@d}CZiDz;6I*I)wP8otDR5bQCN&j zT7V{)1#__Zi!2KM#FX4C6q~a@6&U;@oSY`vwNHd1F4Q9?6~yc%jWZZDhyaME92{kK z6!v5zRizpFeAB(`rE`3$XypG5W&_<)FhwU@p3U~==YrX2FDg*tl6}OTFbvkEc=JIa z%-e^sk}Mlnl4IUT5N+kAhp-WLQffAUlzAb{S9E;a{>j8mN+f?g+J!#~W&k2zKHG&q zp6FnPVzkikB4$W0JJMLN<;c_|>$zQOugTO1VS=%v^g~|!ijH6B!azO^HB?77yBzKI z;vv}kwERBTC+jOddpH}TIOxsS4`;@avKyIbXczq1V}%+$a}8a|X?HQ@UMq6%9RH}% zjE2o4*wA5=lu`1;q$Q11F|2RuDKx?2Qj&|s7xbcYKjXjh!_}Ghyte22c05EW$Zyiqof7w*cc)YcX_0w7Ie7B@u_ViG+AuCM`-?9|%Zt=& zG2V8KK)2zeLYdZw`VU=uq7dB2H}8s}PxC|BWIy|u+eEzwP3)LpUqBzL2xWS=sGmRg zz-r{LpYaMRq=KbmxJMWZ3_f*6NdHP{nWV%`NUV9!Jw*$a?R8xiEM;T(zrwHv%-8c` z#2QwPnE(rtW~j!HG|9fMiLWdc3t4=z;mgWs{$&``>nh~5@w`^tZ@ofRjc7=AS<%&v z;iE<}Uq$}UeA-AzUmC{nr6aMHYaGLiMnV=k;1WMJ60=19HQqK7**D4T^~eO`;?C@k zIkJz!m)vMRaTE*h+bpv;_`1<)$#Bo@`D$J;3c1+E@Ha*wm)0@7VHAr}V8|)-3~vu( z#;FzS)6Q!-wDlquBe!0p*U@psqI?fZAhurS3wo7v&eYp&<;1q&Hp!8|tNV?O1-wj; z9oQbFeJgeS2qrYzO3nDG`;6Tt>P0J0G*lnC(OC!Yx@fKn$41A=vH8!Wjn3pW+Da{? z#MGwRpiU)->(Q5KN> z*!OJTHHe&J50E4-qNRIPT*vbEaICVnM)Tm&Y-Fs)QS@vodhq=fb)Xmm&+h~^1A)ZEXcm$gSc-c-+CQQ$!Mv)GgwK6>MkLcJ*6Dd} zBx5lf#Yfv^=)2)+h0Q)9aACq$vqgUT44=AOt!Q;@21@n3F_OLAXZ!bpC>?jwSQOsU zWW#g|#|dFo3KV#3xzPQy7~Z)BGf>{B8S*EHQxIF|BsQ=)7?>7AwnUAC<{JizQ)O@z z_Zq>v={qo>#9~iSVi%{8Tp2?Q%VD&ij%N6vXbmFg!dAt|Y5q6Uze+8B>PKoA27FFu|{H5{C;E^w=m~7pI z+kNN8qo1#f;(eo;PO0z0i$^Uwa`AzDngu=)?mlBGB^Uo5SaJ@@fh3j9^MsA49X}a-; z=ethR9Y1x5_vtOd^*>!Uj_YHXPl$|9`hR#`4d3i*+wTfu{jY=ec0&2+uH*4b5bBe2 z-3e7B3FU%kZ&dt54D(aCmGet6Aj-xrLHUy2Z&;_6UAgFipfqClbtB_bcGN^si#YW zAWD6vaQ*97=JUJ>%qOM`QBI5NhPWpIyBgo&fav%&bag0Wo1Wi7{P(|SyVGN&)?Q0&>sqbIT~fnQ<5?f$@3;km(Gvx2IQ!KjQ4BG`Tr zkT7hkA()#IaVg?R6%|+r7e7KtEy^j6Kt}cAM&O95Oo=!Nt*%Ly$epwkNq`zWlzp0n&OlJ`cOWFP=LW9S}bV_=>O^wpyX=)Ol zJe}Qhf72rM{U`AM1@0&K%Y=n~%KqFPiP4Q}>+ieJ{w^gVW0xK!4ZC=*k%gulL`i8A zWjX~9Zby5X&cdVi^)xk;8{%TBBlpmWLa+o=Bm7eBWq|t*?}ed?*=IEim%K@pmw9=l;8} z_y^C(#_*$2Gu`U_l^#4jzS7f^FG*te3?1?bZ7AZvjB=0b#XV#@Dy?R#5gT`bjct6> zoqcie>&leeC7BI$J}6Wn_}FBo6Jil@s-9U2B|*EP2FLk&0i9mGL8n&*2Q^SQ6s8^M z5FNq!&yr%`n}_Mh=e9eVR`lQ|nMzDVgEs%o5K8kwjHbrmJFKM zFEkR&%KD{zCq7N@sCP$||EW1ewF{q-)h>vA{Clz1O4%poYt2#n>>4_Y^^NeC=R!uP z0IkHqEe?R<;Kl6DNbdqk24jF+IFalaJgXPcSkIZ5-ymaCe$oBnjgb+KjR~SDr ziv?)>dJ*xIYB&~y5}`L|v1#3CS8_Yrm2{i+XV!E|Bl4 z0lzrXl7vo$wad5$J$9q9iw^VZf3e}cNSIKQ@69j$3rbR7ALi;g%rDO_TZ}wKtCwy< z(2(sNa>ZN3ifVjmnT;3_PKv8?5Kc~M!b0Hh!pZa7a4G|l5@bk_Q99(3AB)fuoaOVf zIndQ0IT8e;BuQ+^K)NWq@~~bNf32PtCQu1Jf)7_er;EzFwsYq@u^$!PMVu(!MxK!c zl2qd1oTNM1U^^zADEU$t)h4dNO!%LQ_J_SfMFQ!IoO>$#YFJacY!-O z4dXYFT(~{CdH+*#FaCk#%v+IMz^^azq`R@leKnG=yqgV(y?%~1pO>)zq??U5Kx}zk zFVVV7N5Xxq$GVv707HKG2N$}mR!v`dd@94`K_ma`ZWa_s3$VYT_i&(NV;q-?RX5zF zso3GPenlByjo(|A#otb4pJ;z4j<*gHm#RYiROaJ{Z29m_b6KE!5g=-lY&UvNDQ{)D zIN=0r%jgSHM8Jz@hpWt6bz4w=va_qNDMkeB@2z;N7GXAPgb*n{c>h8y(zfYJJMgx4 z;8B?E0pM9w6M+V6c64DxrnapEi^6O?c^>QM8Z-<>cD7y5OdVP4AQN5=ypkJ@?X*1}p2mb#RIOQ^ga^K7k)B zcCRh%i5;z@gC&>))Ci2IGy8bJHLKj{*U$^U(q2zFVpi?IPbC@ik%B>6)H+lG$r>nK zfoC-T(vfBtf|q$d+$l`#fmjxwl9%|J`OG)esUPevHNU4u&_gecR+&z&_QpGg#(m!^ zYMb#X$$ZteA75coq~I_=FrS5wCzW+9c{isDw|FzDvcq=YW>R6tI<6$YzZDy7&ysY1 za=lA73fR9S+nXZ)dDc0{aX(q8aj&JaK(pD!N^t~YJJwnaxnDb)QjolsDA-B#Ex)c; z$%ZN&Xzf&FM;L`MGJL5Isu4nh`h+v)Z8u}MFvis)c&S~5M>`O4O-v(cT`I#~bDA@05jQ%+HaJNb(SiSQ)3Tp(r+J&JxrN_xyN&~w+ z0h8N=aI!y6uB3WgNdW9HiB-hYg{0@b?sKt%SgxcZZv31p?qf*`Fs79IAVMt9;;YH6 z$l^Q5-Ic|^xQ~t3=z901gT#PyF6jBH)w{Vaoegs-pBD`K7wN38$7f%;z=q<7#rioi zfaj;P5Y5u(MynEf_@t9#QCj!&%5-QhmwEDE(wV=)?K!SmfE{z`Kt5{$>#r!=!XH|| z0u-h#_V9Fmg2Hw7@NxUN>V7sgw3HfF7?!&Jr3(&El+AU+Rf+qW6-nxM>xmCm+f6!_ zmk#E6_cNdV<^PEA8h`hGHpndp2rc(v9l;EyX<(guAt; z5pIHcLurY<={9eJFTwm$83hy^Lem{Z(`Bfdmu2d4T77yMe>j6BhD9RUH1k$hiZ{iw zH7d#uQ*44`0OP&~p_G;IA2Zl^MQI5STF73STy+A?wLbeZ~@=2!@>oeW{1;~__;-FfZy)|-c~ML z%Qod4J3J?e`z~e!rV$g7xy&%{`jMcSmR;Wyb0e5sy2z6?(RqsXf%YlU#~#%tXIz?T z)%}!g*%q@=pl$mFcX|G^m<>|oJi}jFjH=*)lJ6F?dlk4yGdYt@_o8_x$$UL=#AkwW z8zcEknJmVOrlBsu*zaCIun)~jU4jX;#q(b>nVx4YVXnS3TXp$PpzZh9cxxsbrQ46C zJ)Ke0B$zznX5zjd6}99CzU__I)K2DrO|$t|OK@s|x$)`}W^lD3di)+h5f4H@2UoR} z4bzlDR&2CZ(MO~8J%nz05XWQ?yuAM)jsWP|NBkZ@KTsUFh%?enUIuv|V8T*JqR^fN zOId-Mh>4h+u z9sV17@IRpQsadSIyGYVpScF2|o5iLj5>4oGjX82Bd8b+mKP0gW&VhH5`^a%?7Vg;G z*&h9c`bif$rp&lx-1og=s%6)1x;d3#7EVjc4Icjx3o%j~wAV+sn6V^>cbcWBoWv~& zX3yQ^K61>O6XtB4(GGJFPfo$XBn({`_x+%lWGVV@HNKlS&~M8Kdur6;_SDErscnTz zsgc_)wWqLb?~+w(J4w(B>!U7hi`~!%Z~X zDqPWH%{2r$#F!dFLBaIG4KC7<_!6Q;eTNvcpf1oD{TF3*h_WhND-tyqB5{5uo2|~< zzMl?#=BZ2vgzIC61CrmOW7v5^j+g1_-k5T)D$^aVs#3Z>yQLfOo^*%ogiBNPF044a z%+OgneQg~MR%)s#TnIBNx-M2#VnTl9VK8Vz-~aIM9%hpk)1ivE+(*_H#Yzu?ym~s0 zL8xc_Mj0wE_tD`DLUr|}GE`pfE0dw2_2n|uzQ!}vVQDSbc&5glyyy|uFM~3pwOk2( zvx_sn?WhP97;BD4yVNL07fA~aLQjBJbR_*miRV(QM9pZXl*^3VY^v4Ik8{_5v)ILS zDk5q{_7^*9MdvA^R_QWS){0J7M6I%AsI1jW85&xjFGK&iR?(E%|6;9TP%C3)T*>Af z=6e^_PE<$M0a;nG$ib0*keyE7%Oh$~x}%C>Bh6ALZj7h4OD)319Ol>*qV~QH{ri z4-*RxdqPH$9DQY#tHo#PqrCi4HYl{VcDTxVcRLmcC)Gv&b)u`&xjG}ABnBEY4ky;c zmHdKREoea1A1nA@kFo`d%`15NW0=2It>BM8hCyvSm^ z7n|iNrgpU{l9oY+v9FM9LB4cF6|8i^k@FLf4&Ycm_X##&fH;;{-K4v0pOoH$3tO`l zPq4A$--FpE>I0F~2U2ApxQ*%ANnf~-Ano6KhywBLpQzV;#zX$Yg7Zi@0xP%X{aCpb z>S9o^_PY4!!>d1Od6wpX@DVcanuHtz#VNQjOWQFBsi`!#F;cq#1Fj(U<0^Dh2;`NA zzRz?RsI3)VaROce?*#QcaRmOJ2)=1ic|RtvNa!F%IGn#4~jC6HJUF zSXPB>xys?D!Em$qTwT6-71Q0*Y2uZ4ns|M~VdAwl5r%1@X0}e8M5Cv};w!){#5&1S zMr;!k6ibRel3Nb@5tU*Li<%g`D*2jKs7d^CzIzoLD@^UK!rf9EUZY;k26wB;>~1v) z?OKyis)?RzqQA8!o0p*`vAGZNCswnr)@q;Wtjen$CU?Ol$Xu;ioF8NR2NVCWrC>K# z`=&HkyAS4SujRfEHB-@Rt}1`qien0O;d^KrykhzxYL_#vqyJDV2#d9%@J2db5VmV? zW32W_mXp(k<@P>32YuQ;tiP$D&4mTIh~rXH(EOP%&tpU5g+izmsOZQq5LU75$opZ6 z3>~=)b~_7V>;H=qt%$G~cE51TP=YDi-fNj(f2VK3H`W|9V*Nb7>$B{!V^?s`d=~6R z3i!xEQNv^NnV(?-QlyhvVQl?ZR6EgpFwuM(n@%aZ6N6HgYR_IFw!;Trgp@o8A+g6b zB$mfvZKJ=xl-_lTG20#kAGkH24f7xj8O4{P^yBesm`|UHyQvKEQbC1C;>I)A;PmG- zU$=$@^!o@4EsNoa=WeMnl!|KBu;D|cQW0LhLg8&m7sw#v>RWLGC3S`#`Pv0koV`o* z+BHJ0Xo4NL8C-+@NUvzdTB%nQYIW!p`CX;!5~^z-42NFP=`H;AwJgq^*belHDx;!! z>ssbJ6lEU9}=$Zy_7#E|j`6j*zm^4}~mj zz&Q(4aiVi{fr;j`>Y^3U$3hL3y@xhDQdOMA!%f&>g>2@tO>9Ds%%}(X#|2PeB^H66 z()tj^y^>o@gNn3qjMg2xD3n6-Wzt9L6g%6PJ0o5;SFK_b$8V{&sC*g2x!yiOvJFz;5XJ1fu z<)*uIFj_B!SaND87BC61yb(@9EOPBiUsy`ZIU;T$)p;oLvwAyW4YPraj|+pCdJ zzVXa0jRSQkG>)I2R2thc99>zM4vYsUqSpB~gL|7)BAn7Yn$*1xw0`1$+Xzqu=J~ z7N#Fy*Nl4Q{ca1bL#sc5Y%XRaf5(DlCzhcfSy*uYkP!RA+;nMmmeHJ}OTm?@q>MRyy$x6XW|82^Pb?;`yu*rYZpW3It&%IZTd{UsTqfCZ5Mg6++fk@ab{vRuc45a) zY`~3EaN)N$NG|-{1{M-)=fY>!5Eq_bbW2*DTv%^UOLAel&Qhd9cih1GjiXOC!vh^0 z8OLMh9pa57(~)>}(s3#s>xlkT7gqhk(`=I42d{VI%F8Xh*G4;6zIURpmfyFLc?;!G z;w%jy`~LzPz8BU;3t~UoZfC=qVaEb5=HoQT2!G*ojBp3F2O>p0V0hgsG z%pMS1xxSMPuh}lyupVLEngVQiS&gcVWZ)*d`2gsD@tML@F8c zxZg9(?=CS3-IkBmfgYXXx>!dr@zH~DiI0+d>i~apE)DRkd88~F;NIKLFLz!P{Blz8 zJ7fPZ>x@o#tqYf&`kLgD*PgnCcHokror6o>7;E|}Ip&|aWbao%L0V$pG~Gfqa7a*1 z%S%geeWW>>e^Y{c9&OS5j}nwJ^c?r!3?*BeJzO8dmu!~dMHJo|&G&5vekKHE_V62< z*>c6c9ef#QpDUW)=2N$@`&Bt_d(q2!XVr7Umb}e(Z(*ql_1hn+p96bQd|k#TzJLoa z-GEwKe)2MlbQ#{S)XS;GfSU$5s%S~T!Hdz7q>F-HnNo`{ zaJ|&QN7ZvwmtU3O@fCV(h!Ep^G&+JMY}LqRxC!R7S~vlDa9~-WTsS_J z!ckQT$MBK-7<&w0l0|u3II2hKqdDx_9!LW5svQNIeJ%q+qW23Z;DUexVg#o0dx5DO zBOsrz1?0m7q&g!Y)e`~=`&2+-zlTu{hU0tkC<}w{C<0>-i;uCvKuv;yQ$R^()qdgl z91u>xK{&Fyu#!*IXTRQ+2xVL8Gv+#{UWLvWDxG~uYSWol+X zmavE^8d^N*T#_I=%1p4%Stgh^?fPjQ=F**r{FgVdu8u(h?F|KO%ExA#>&WIrmluFP<2Q;0aaSkZv*S-KF9N>yygf?S1-|{94TCm^~RMd+q8b_pe1ciWP0(F-=+T&h*pLz2|1CDuz3Qt=bf3%T+Yp}g78^P9 z;fG0wl-?;+J!ak;fTUCtcaBs&8jSpV;`SVLxIt3e9g8ngw15|u>&d*P&xIi&5bFO@ zcfl6|Af-u!dMQs2;YZ$Lw%!Z_5%GVY*V;}uRcqhI!FEcpEU5a)&DeDn#NHPoTF(rC z4|)sd@>#G?iuHZAEgm=2cCZ0?S?dMQ^usO#iA|eEJky9U@XYyQTqo&VYZoG`nsoeB zrP1h5!B5A)Zj1zHwfHOYgT@r>2aGz`m_TpKlPunc6MMnt?t0e`&;jLssklLS>|{Vq~N&(f>RtR{qG-ow2gI$GWB$ ztRZqTt!;nRIVPYKIROQtXzkxh2I`fdV{-ao;3e(<2Co&<4?(ZY2PCE+f`X<1qUk43 zN8t960LA=6(A|N!Sq~N+2>+gam%+wzk!#OYP>;{mA&E#GKJ0IlNYFd9y}s&_h!6$; zyiDA>C;krqz2-}FmuTAOGRO%3{5K_P3bFsxh&rup{l_vS9bZ?^Ckq}LW$z#!^&X@L zr|#zS-eczj?5oVfZ$rJvjCb2mXJDt!k(_t_`z*vSd%VVjp4*_?LUj!u(gNzeuUIvFoO%Ma$CW}2%nmd@XY zk#fd%$lu8cFg|hp2T%oCKaOX80EMZdO?>?aEOEx5aT<>qR^4C7(rKpEb9UmsZ_14{wU5pyI6{18t!uLV!CB@PwmGLUXz*f6wIJL*&J|yAg6r- zYQQ(VB#3})`Pn8vyUNdvGU`hCtyO;0;2U(P0`X*@cwlfV?y-H9FdB&~dp^M9cC-F@ zmlx_)NlO|wf|*ujqg9Mp;wM_G`<=&4Eokxfvyp3Vur2Y!IL=V+2Rh8S5ISgsm!ioI zZIbl^;h1qCkZ64`|Cs`qANbAYJ4i%%x-&|W`5Kx?Q}j(~wu#JLH~gB123-sUOwX$O z;C4nw_qZAe)}5i8iSVvPyd??5-2KW(#Oz*ZQ4ti}8S}D5`N0^Chq#7DD$+P-C?ebL z=%_tZPrSnODm(ld zA;*viatM!W}0f*`gt?lnHhyYR3IEm z8iy%70WYn)0O@dZA8z`Ph336*zi32CNH!wb_(dZM$KHr3`a;o&zYGwKnAsVnqY<-v zKPej#Z5W5|)P_-T(T4WeXv0qsA8irZEXu4Bcd__qZf8o(@kfXr@M2r&?^%eYRD)1x^FdD-iA~-Dfp$ z6Wb5qY#=Z>`itCyAmt{Rw3j_;FOjqu$nBrt7&cHCv*zb3nKqbGOR}t`#F8xQDJ`S9 zlF`_2KLmaeC^&>Ucpnd{V&SeAzv}_}vE~w5kwsu zZ;&IX&JmQ-5!C1iN=MLibLb@+K;+W6Ah*KqHo(POrLIZQzvNn=8?}lV&7pr0HryV6 z>0jkhd)T@O4ae)n0+vbrUOBB32#%vP19jZH1{L;hFlOK_tNM*4|tBi3p>7!&#h*2^NNP; z)4Lm?7mQE-^^)nQ`Ja}axp*)hxS` zoEARZ?v~Nwg?)dG?zBuK?K>3J0l<#9XbPl4ue^lTQ@bFenA6geb`zm?0GW8!3+|Jk zPCbg8kbxb9nHswiVrl5 z6C_V7{Z{YJ8FiQFEUz-FW0h?@q&*3d%gLgI;M+%Vwvd8@_3ub`goxr>sDQ< zn7m}4&JlqfeOlgF0e0BG3i+pX?0$Q<&L|W@eyl{OO&q;C&HqZ*?rrax8b_~Av$u`9 zOZS$Yn!2}WEs)t$MssxUD_y(yl`UDaBZt~Mvh3b=KofJNYxkA_(c00A?cLh}YP_#> z@7@Ab7tX^Rk7KCMhV#Jv%r9DY;I!i3>@A?_U>x8lX@$QzxJZvb(5*DYK8V?*75#>; zykb9_Fm&$Hj;?&~T0!$-UA~~XCg2_!FeG^`KeL}LQM@0*6Ar*6pr9j?lfBf~qZK{! zQKaf1_1rT69E6=qU07r9!kw&wIx|{`7?Agst{qtbSkX}1>5g~p%yvLCH}p!^&TI!X zF~_@hW(g31uXOLs4p8HLynAN`$R`}cb%qCndC@^OTP~AGd6F7#5ne)32X_oJf5C>J zZs=c6bBH=vS1(diW299Gbl=eWI`Jj4K1GaEO-y}*{1Q`7GfILw*rh%lpO7?eir$O+ z=Jz(^--iEI{PTP2naBOq!xpKvvJkicWFPeZA8mggALI4@kK;49j3lxmk-6v2N)UVO zv1DZ!wZ%?r3A)(D5=BKqBsE5eT8>>TMO7<^P7+K)5nJs=l}goeYtlAa)mZa=Ugw^f zjK2DQJU)N?ejX2*bFOo3=Q`Ip=Q_)M-!*Lt_*oTbWK#eGs-l3ORe?q}1!~$9@Utn< zh!kLFPih0}E1pbWdqFQ(tUmHmmB-Wgis{Sa6!zhlHe{_%X*+r@Zs6_qIDoc}I;R6R z_Y}?);~TJ@r?jm)hG994p-D4vKCL5`KHzyo(TjKrm-Qc19Q+n<_gnf)Md%57PvF%$ zujzt?Q)^bM7>^Lw89;I18Hxk(4iwxlZbaeTJDItSDC{%fA_qBX!EA(A6taKH2Yru{ z{uFv0vxn@jhazO}k$IGUC|=K*jd&eTf5`1|Q5n4`2lzHAn6`NrdA=3_J3Ca2^WnCw z*qvgnE_x8qpoL-l6XFa49^1`>>^@^xJ>RRurPr-->2*@@ov}xr=KyY6`sQ{m*5NGH zUx-MDoYgjF^G|D?yA=21irT}{*D}IVC`vzEQ3GO9QZ&$$E6RWm@mBm{i{6a$WT#JS z8)?aUup+lAta#gpThXH>b3KFoy84`qTM_*-`j#&3I#^_bg-mL`|UrMMs4oVsV`k;ddpJu`C~ zlP{5f|Bm&GVQi>xchDHdpZz}^9M67W2O;b{ZX=#;#ti4Rb;IxVhU+SR+e7i&K4Ujp zU3S$^Bqq+Z>Lxd7JCuhZgC`=c2O%z%0;0`1Mx{~JbgG0-o&3P zh__@#vd=GSM>LMQPz|rKfGgry_Kza3u+#kW_Dk@XA0`A}|0IeGYAzxlV1;*G{h8sC zc4MctWhbzRaK{`zeAPM1*q1TcJTrXJIVE9T#q3s~OV8~tV?md-4T6e?Q`qON4CW;0 z_ZcK;_%Sf@xHW_IyMlx0PcCb{XwF%BS*sh^NAh;#QGH8_>JdD*w@3Ag0to6IHTl-2 z$yJ*s)v&xkOmEZWDs*{qS=&jv@gCO&xARF6wSywRv13=YS~l*A_LmgSn*v>Xefdj^ z7b)y%;pR}~p_o9ic;li_MD^x2I)j-J&n)!AI;-YJ{_wOs{#~mjcB2VMfvjm&mYB!W zBViRb?26Wl{cu%V*PAclycW^>rF)GHDAh8zYuZMg?fNNJpeJ!`3SFUvg;h~nS5iEv zXhgb^9TKl;TLn!RX4Pb|Rg)EoRhlFxvSZh@kA1gIRbaS-dm3oprghFl3l)B^?LjS7 zu6Ar*IY!!IZ>Dc92foSK;USasgk+(;E6vqXeA!N z51Z{CL!FaO(aJxg?&lQB70v97yX?>MJ9F$sp{cAznvWk#y`^;$!lGHmE!-~c8pU?q z!X2K77~; z=ucpp9n0oX{zw$tMEOgx>=^Q{C#B6it9}wV4Jy9fF zi(-@Rg3FF*mPPs7QEWfubEDb!M0(#!dPyO@3DQY%OjiQbvMAQ61gNK@&7(@RcLa}t ztvacU`Xi;TCf^V4ntS}Bji?qZjeAsSFzWN*!g=^DG3iem*5ZZ0C&`)Q*B`O1548c# zTjFpoxGRpGe25D*DIc+*N7@#4;Gjp^XTp<@SZ`UYb-VEq_?ePr`NBu|BDi+Ehq);U z-Ah7G|6qP7Yxk)Iznf3+ zN8;GN-?WE3nl`kvi@au@_Pe%)I`q{@UWal+T5`E6sU@geT_x&~M178^@g|THf8YhQ zyA{j|U{i%GLBsFMm`piOcG1OQbjKFYd`!(2EF zOM6vmEt6)h73K^)Kce&)2Z(9VD@)9V8F7U;;7L)**>ru(BOV0>THshzTTn!D8 z6=Z)kBhErYZlRDuCF_Pl-5Dm$cY(yfg%})1vH+o%BZG>;HU`1_zyMEpfC14DBl^}R zk4z%^m(*|VL=IAkC=^5{&A^dXnWIe}1FB@MfzlFTrGwn0>3|Y4K`nqRsq0awrNVK% z&>w|H&GkLHxcm@63xfBtW&dckDSrk~ZM>64nmisMSx|?FRd&uIC;kp4|H1jyAQGE{ zLZfDa17}p5)KaS54+bqAZt^e^cg0qA?uQ5UA`VmkoBd|azCUO0ZfDO8RAtq_pkO{u zB2)!2o7uDqyl|xm>@8GtMgB2D2q1*iO>BFGc9)R-C+qcG+qu@jfpGK8^msaw$($W4 z`wV33pKGr=|4!W|*ptnCq3x{pWcy!e8`@!aUuc`zGqqo8qkz!=r2|6hOKlinU%b?I z!p{Q*BlMNFxnyO#N+r`{KSq7d;{osjboQSuZ1O8@YdhOrudo=u*q2>=rM+SY?tZNu z>->x~YwF2rz2TbmeFMQZSn?ZaX3OknJ4O2{lQU40#N{MpNmkKR1uqJKpW)0p&DqqFs&edSgqa5q2>jGcvbE0-DQF*59KQP>s~Cu zNvzkRIUpuq^EbLUSm=nU6V_ts;ds`)2OX_vR^TypORSw}V?TDlNldHu+v}k$LL)A% zHt)?)Mx5FD>f#CE-a&l2Q0(R)Wq1#Ez*(&4N>ac-aWVVJS=6~#aeSu_b8-5(Rm>yIp)+_@M2|VyYLn)4`HERo49~UuPy6`C!H4VCHiMQ)^xc4JO zZ!HVhIv26NP@{kqxrpthLH~;w#}V`LSuIzwlkiEtxv#6ZMeUpqP6ZL3?C%;Pd1EU# zv7sH7;3l>N>@zo!{P41y*xX)J-Caz#Wz3(si(}Nj<+;=nWwCj4s3ndO->#m_)l(cL z+}~@S;3-OK-%$sxI2#>t)_aLR3Fr5)x!&Rm-yil{QD`!@vk9&VHYdL@FQ_TDR{MtM zSaBXX;+&}^#`@mcXDxDt3GL)TwZ%!!=SZb^)TcJD>c!fqstxwKw%E*`Y3ai&oap0# zu-QkXj#um>Qpc<2%Q517VGm1PrtEa8_C=TuKtqb}E}M_tk1HwDF} zq*wpKm|Isg`QF`PEgIlRtg9!Eb^eDqWx>+*6ie4b#ci-Z>cP^sOubOW(xDCrS)q!h zLlsLa7;Wl{%_S=pMy|E@Dl2JBr63tS`toX)TpvT1jjg%9*iy)CKx1knR=a_?!Ly3A z*Uz{CxbHRAyw@6tO>5oXK=sGP9^8QL3azs--ZoU)q#^46F^YLFdJAJ=LorNf6=~kv zP;?S%wOMC3p(nA(@npvvaTe;vU{So*%7Po&hS93A*nPl6TM;fBs`7b}KRC!U?ojnk zJg!aWAtuenRE&$pV)?Is1ng|+t>IrIRJ<`5#OA$Yr_S*-pKmNSbgK1aB^A6!1>vOA zB~PYlAx5?GT&1!&16oLtlQIT3s7tee3~q}+WJ;3%MVHhk2TjO+l+xu>LK@MlHt^Q2)vpmw;&YrhFjqQ6-JoGeg0sH-^d0t;Jb&LO$k=YQStM2mB_eN}D0rT*g|q5wH42 zNSq99VkfIFF|jQs+S@udrmZ;IxgRO|)RUcUD|WyL=+sVZ*whL`|G0RW9O!b=Z)0V(&Ubm+}d(actglGRzrbs`6q5?Zi;uYlQs+RRD8g;XTTo z^Jjmx6YB|2x-xBhF~;`^fIW=I99tjMliOoP1InKN8>Oc;t!0E5J<^(4rQ**a zDxSF;uX{9UzFDY?!%d&`!_d&A*@2v4>?M4Y$e=DVs4p3gl~jXOfAb|hH6J#egl&|0 z_=|x-CBFyPM*oR#$}U8RjfDD5+4Bgot=1DfIvk(3+oW+q%BXqRgs4iIux=eNZZw<9 z=5!GKQ*vGmRmpDVj(siRq{q3uKk_GoccQbq}MD;LbG@Q$=)pWJBmRm*(fbm z?Xw8K7RS(B7@ChNs7zhM)Ci7ev$-~vzQOLn3iwwrNV3Xzxj4fD? z!?2Xl)S5yeSU@M>n39jkCa7&Nb_oZQFiti!h0*~$Jv&_9iF=evaSa>j8d<{R`{Gkx zm%sQ=OgMx^fbhO8izv$n`D;8MA8v~CPAgIy=F?p>aH|6?WB>r)mSE&8yp zJBiL8w%|p*6nkkxc#ht&0Va{Pk&{h$payAYN{5`mpls5-%s_pMW8xL=k!8s8r&i@L zL;q=e)Pgf>&7Pk!_062F1qKh@Xl@!9q?nq&oQdzL}@QE6hen3|m_b$X1 zY$O;>xeDwY!LkX4^^+B52F#?(Jcha-VZhOn7k&O*F)E zr4?c@#ly9GPk=`YaF2N$tVvQz;lYYCerUtCI^$rTf7==36w*!#YLzoKR^as%xT7z6 zD%4ZJx6^&b_)oj2mzhJ5n=N$tVIkE zuKxyvW`satfdlJ(f3eX(_;BBAAiQ(~0{qqyVR5y0Rq{P5nEZCZPHz;Pp#r=+#gQVV z4hnLpV9MJCfz8-YG2$}8M~}}rh#jjBTtEvyb~#qmvD2|)Yavk2UdM_tLSsFPjuY#R zYy#UAbjKeaQW=a#4d@cs@=vGV!VxIQBm2nrTpv|h=8~Z^2?mf#z5p0T;S#TJREvsc z)ku2vhHoAPzrOteKz8=IO;&!m}|fM=xq?`6p9o)DG^e%uavk*)6?zNSHQ{ zt>`A|oiloLQprDiu{+&FouHm)e%?(?Ru3LImk%y8z2NfwDUF%?fHN6FWAdLw#}aJ$%6!B^usyi)U|*V&@H5jfS|fW z7_opDT1vjaM*-lQ!CwG@;%RxW9f83D9}*BTq@u)Y46dJ zor3~Gkw;SjNi87VED5{WGRR8F-U9?rLTCX5L$PMU?1?o9s6==^gj=#5INpTg2ApEe zj|sXss&cXB3{prSb?#EBWzN0mcN^)zeU$A-o#CT!RJM2O~F*SV3iH<5`dS^_{6s>4RA#2hM!{101)SC}(24skv zX*FT7*nVPdwxYlINSkm3$H~TGL+0vW6yR0pG5C>xdd0E^i1mDJrKUp$0xwZLsw|CQ z!2?BKA>jzSJ3wshl6w0@4MdhxnQovcHBtzUnYc2h)ml)Q4*BD6tg)yt#$EyM3N~_} zIHJ{pDLl^i#Wp+E8-?TS2y6d&SV`-XwI-)@lF&*2Dyg4I({BpFDE;`T7#tm#r{Y{#yleuoSu*@!$?WMmx zdst~;iw21e`>aq9bcEp2-PSf=0)ZT(8{RsZmRzQqIl!bZODl;+Blp19(j1tE*=aro z4O=i#^kd$G#dg|fld2@g+mXDVv5|wt9=@xUQoq6%(`+&i{LPLG#=eb?{XQ7$Kpkr~ z1gkF{`)G*Rs@?X9oEr`0<%QnUZ8S%oLQhSGV_`dc;g+ZD;t;WWN&}_1Mxg;k^6tNh zH5 ziW9Ww}xVp&en^Z}7{;VAD4b`RR^KECJFZ5Y<-+MRF9BdWbQ^!=&1hV-gKW~Q8prm$ zD~1S~8SJ}vMX{at44VVYdjdZXGnmqePC@Q4&fZFLVJkak|0m4& zwr{w&Q223#x%CKfgu723re1}KG5Hm3nP~RRWjn@;O@xa3?DBX~6w2?jvhiYIos#<} zYNY93sTU-_dV{$HFFGpLw7SQFCy3hqB^WNCnaWyTiR65|CsX4B?nH;AW}&k5$SWzQ z(NA-E4h5&0pE9lB3PLk#uKbM9Sd;fNTQWhc+kp7e*c=Yca13nfe3BsYoCh>g5v14& z5OxK)?x*vp&1QNPYYOt#OccWf`95nkQS2rFVeUk+ePHV$6m=(%o@l`O$nkmNOXTz8 zXRwPC#g^J$m_orMhL2YC0aub|(m%yJYeiqyY?9~^m|$NmKTo)i7oxAjLnl)SR2q-;Xi8I8 zH)#e_(iGzjllA0DK#OB&CI*qd+@vzH=Tk(lC`}ubg1ip8{3X+%`-NF{^*i1r^>Mm! z{;>y*D|(p*mC`zNc?4DBmK`)%43?%2ax~m%4r_Q=KN&9a*% z2j~_a%0{kcXQtyw4IR83$_OR*$rrS)e5AoS|1{Qeh8W;mu8b&;5+~Mpd;%Im!jFk; z>cYl&@6rL#ZLYtf+iAOQHRpSK-Vw9-Cgtr!7P6xKm14Ns<^1 zq~KX%&|63&>`4FIB_w>qaF*EKeUcr#=`PzpOPnD@e9szuEY9#aca26LvYRIF8r%6X zCcD+w*qM(p>dsDLFFzKWdLMnqI=)^&)$`MmSo_&xkFeWUIpIx%(c9#EL&;n+dc$HC zWXMXznj=WDskQw5SmA6@FJy6sb#()8(mpm0nj;VNu(Ez;WgRz1JZ8rmbi;;s&|t;$ zpoW49v2(>-;iJp!pSe(UgTj~f{6wtby}Uc2V&^|vK{bEyi8xd(ERSM^^Thsw=_0G0 zESiO#N$h+w4&lJ-MY7mVD6r}lcGV^`qpMY>*(!6&d~vgII*ECvh#}sGx>!q3TT7!; z#GZopEVh(N+uKSnTT73oh-*mJpj2^~Fe{Gbq>ArR-eZ9{N*%x^FTi?dP^?w+STe@o zSr|ZK0@#iPVk=vAOBu4(G+7&ObfNTt7oRq(-Uv_NQVUweU`{`RQWuxH|OVw>-46 zUX!BJApZ#JfMdx{vq`k;$L3p0#71go>0{&)0$7cuT#@cep@++)`p|OF24~8Neo~Ymx=zhp0_4dq8;I{mx=E| z(6HrbpYbT>aRxuvvr%R(7aIk3K@pjn?w18|F5JL2oEyuzi5Bu6rMkM6i~YT%?*qb~^*)*gSqpYh%)Eg;-DA0l2}a zzl2d|9VIbJc_W?Kl8s*>E^QTnJzrWb@ZA$-Jv_6J*gpl&E8$iJXe-dJTf@)9iJT7N z9qDdZY;fX7h#Rz0T+-mL0>bSQY%t-! z6}KVBO?ALEUtB3Vt6|O?t8g{tPBW{@yxF>tIkN(o|7x+V&@-P6SdGIqA)jqrE#CA$ zoloOGhC1{MG7D}7Qf79T{B=IdT7zlm(|l%LgJ7UbD63o}whYW|0_HfWAT*krD+=Qw zK(SizA=Zb9+VL9qZKvwR5 zh|OCoPIiyCm(4k7{(G(Hq4vEOMn)`;&Et+Y4G0C<0nBf`818J#^jXh)p=mvOp$(S5 zUW}BggVyT$$+#gjj#|owP;-MgK)9L9KHMOFDKyDtwKrm$^4URV*eHhhe$v1ylMQsvy*Lo959@K>hmk@4I0IS#}_JULBH{&9L4K`=9 z_?|uU<7RP;Flrx*-Xdxh;M&>dkz3Heop%$DO98AX8w>vc_97edY_PDc;xOl2LO3y- zE#8Wd1HZ?&0>cI@-zxSND!*dU+r%z0y1m@rtpgm!gXG<@d3{JxD>_1-OUTN@KA_&S z@$n915V2+hvqE0FpB>*OHWPXuU{AJ*9UESUTzU8&?pM3vsxgMlq#XG?Hlj_M`Uux~ zROTRo{XAsKbHQz7j?H*ovZdh|xmO9+t8Lb}1(w zuV$M!@4&p8Qpexw|JdYtRj8d~bF+-kt)8w-Fs-RH+Fm*ir34vjhYYsjqXyyf3w-Rj zG@cONw0F;G@2Zw*Rnz62Djas1_0UWJ4;7B?o# z*qf=S$Yo_;h;gl=Y$$CVQ7Vi{Pt%g0s*~sfvRg7XC$OVXii>8xBj=eD_K2I+&X1r_ zL3sev?Bxv>w-*g&gC*`2JK8h*_u}kbwVVCE7fr-5p3Y$&Uy1jG-rLxduf#sWh-?=7 zwXF~=6TTKR?Bu_FEq1YI+V2xPG_Xp++_GdSx#6P64>18#m?`hiCZpl1#qMmjW}g_3 z{_tp@*etS&hB`jXhZt>k33>h#MIOYJIj*)Pse2Qu@1 zu`7OF?Z-{0i__V@1L6u~V-Je`@U!xu*sxZ$xo=-W@eX9?4~kK~w>-&_vDBhd)O-!F z3Q!c3E6xSw`dr-NXl}z8;fQfASA6d5L+DKd*||fodLVQ823EJh+I=GquxGNq!S%90 z!j1@JYBR@>UQOtBwfjJh2U6?eY-91+wvZ7@BOfoASij_KbhN zIM2BON$MKNw&Zh3xAP&%2CGp3Nw!R@0xoG zLW&Lh7X_iN#Q`DS0?AecNDk^jk^`7ip*Y;R14$kp$UZ9Ml6Mq>&IY?u$ZPSk5HX$& z)~tvtFsaA^Z+($i&yH}S2<~sg_B_V1dmnSa{^Xbg_UFfN!_bBudt6L&?n(+xg2No= z3b}j>QX8z@w`dq!X5_b=_a;Y#bB+jqDG04jIB-Zf!K-9E!F}Y&30Tg?ul$6#$e#J+ zq?qI!PpZrdWdEGxsemPBesXYKh8js4ZYr3bO~E#+gWkBkUE!Hu8333+s=ves|S?JVq6bCOhx9GPr&^D zDOGD6X_GXUMP3n81j8q05d2m>;Dev-xXm9E?yq*-m`~X0AAsvU&)nmdxY;?N(GPaq zKT8PreF#zby3Auv_kde6&zycwoZ=D?aLJDQ@CM=DvEyEv$Gl5{o0Du_Q7VSJx?BwI zsOoS$sWBVvr}NPrRC1oUoP(5d(W2BH*Q38SbN>1};@q3g-FWS?lbPXf za9%v$eCBVllP18u$d3DcG2!mD2$hV-drUp zaS5p^C4M@pDB=E172~7Cm|iTeC=s8+LNvM*AuN?aiAiS9>bi?g0j+cF1h33Qz>hw; z*1@UlMGX+B7LX>5&7ZsJa^0NUzv)0mVOyPazM+zmi7=(})jJeLH;e?Y2A@ke_|@uv0L;E=4eNwLNkfsoZle%eF&{A#a2c`C!Raw)>i1?F{l z4TUD4^Cmm4=5xY*2m4w|Wlt|)PXcrsgq(%uWr4a3*ML`_*>O* zkeJ3sM(Zw`mp0NB)OhD?hMi#LG9nm>RhP9n7a|5ECFONj-*BxrRUv=Kk>7VfW?5~Z zNz5V!O>UYs?Q}UV0q@PTa}Qla+;7?m!WXeCQBX&fZiYH0w|5#Q+HuQ2CfuGl=TQID% z$rIe^;^HidvP>~Pv|eaw()=-Nf^F##c@F8=ydDm8tVu6j<7$tpJ!C_B>7qk50*^7O z0_(_5oP-_=$v;C{TuEn#d+BC6mr$lGowe(&YprA|(ph3}U4)VmGT1(Q#v_CMZqJAr ztXUsj2L-Ku2AkYR*G9>-%3!hzz!;uZ_XP z4ECU}jlrx878Y+qTa>}Z#oKga8RlK_x^aR!h(phj{KTQ)%VG*tKE zfkUv>)L=U5LMOy|=`<2?%$y9ibD%C+5MP?>57Gq)q3{0l-y~`pHmoG(4~OW^R}Y;~ z&QXgne-x>bs<`7moE?=79;s^}1edewYx@$+Deu9-sgXAl#|>h6T>{MAuC><}btWAlbm$K|>eWSITl*9}*P zGc_dT8)b| zPVj1+%wW?Kb?rm`y7M1sKRBS7&nD^;a0C5E)-*{MEwucBO-|Bj6_cIJFfUEg<*0>( z8!YN$2k3~8b$_c}f4%;o5*zZy)huR`PRnM@(T#zs*XN)%!OV58O=I6+bL3o|uS>u? z=eaJsq4I919GmBYn}3SBmov;$agWwXFr8rsGj#C*>x%z{yV?;q_*0#~Mo^tFM=jMI zsU~c*uvg1%>fOySOIf;$)rJ1~tZa=gTu3#uK;}>y$#iCoU^vL0ZPY~wQ}(m)O%A1f zH|gG~E^Oax-mqPFs9Hd;U0e^_h4R=uBX*k=oqx|TPu`{bSuOPWoW*~k^HFT}dj^~M zg|1;hjn6ru)wNOCU5v#KGSvp$CO+ok5dvi-7j@@12$~?m)Khl)Vl)r z>Z%EWTUqcvU2wqgEgaS62smEAZ68I$y1{J3KIo!VP^>E^=(5}a#k_T&?ylPH$Y!pK zEFau#Hth$0!E*!4I%u=ZON?U&b-}`S>)B-opt<~@PA|AQujj<&@|$%m<{NlSFnjWi zE&@M|&ALX4VOj*Ucg?zK_RJNtZbCr9ntv&O6}NyC<$*sePdBt)>1skr567@$NIObD z+;@I-Kr~;=(`nV!Le--Ak9=LKT4=VCO*?FB5inYMSl2k<;b&Y{Hry|pvuNp2CChyE zuJocL~>P0wN08||J33-`Ak=nrsPj^ zyVJU3YNb{kgV~!iy52&+MXdK(+h7IY)U&#GgdyeT!)J9mr_kaQuE%NUaatMls8X?c ze%>~9K=S;8uAY#Z!U8W=@iE6-)Rk)7YJS3Xyd=AP!rFbWJFD$HmsDHJRdab@Q|--t zroFCvsAv`+%rrN2V}!OJv+*}{A$H)38@e@u?`+oSrY=_4d5=xHsp~8}#Wx8b==|O9 z*R&}F@+&uWy@dHmEck~iaA zFrAhCXsb|su-WsrZd`S@QT%>- zrt}E<$?Po1z8riMn-!I^E7EC4XCkRes$` zuDjs<9$WSoDrf`d{G}Tly5?POA8Yp``%uMV^XRs9z7^Bl_^EDCwc1CAl0t*952dH4 z*9Cd+Q1;nBx(;4H=V)ykLb&pt!*2bfYb$KR$EhlGse;>J^U4ZcvRYU=&|K?FY(SGbocTphwDzScDnb`D`{U+d-wO@^@A zZ**;hzX!7(Z*)>hXrBo+S~hPp=9DG`DOH}g{-pKhNU=r>NUt7!27Oqpsg2}NRbH^Y z)R1-})qq22gE8rnbw64@691smc-#^J1qy=;X2j8Eu1fCK{{eQaD^#i&Rbdp0(!W6R}Z13-&_P+wux9I|NRiZv^Xv~k1$f&6jiTB&RA+8fl^Y|C?Fzxnys zW0NX%fx^&F+0sf~S7Gd@>`J9>M33)}YvWA5`@q4HNP4^r4vHQITy`>PR-*)iLruIy z9GFPaQ|gG8=%!^rp&}8hGoSCO3{Eo9bR7sIU!a-2gOc zj&Z2=XTjPiXwk2avT(K3TxfEJC8(unA@V5OirDcSN1(b>@g;lgYGt! zXLWu;w|!s)3@|Jxy9ur3j=jllxj>9R%Ay3Rakp!z;Ue5}qT5jg zMftdX*=8{eG1P7dmuxlIYkGmP0T2EZ>vK?~D*Xf^Pn+UhOuch0eHOE?1u48Xk;@(W zJ-~+tlj@rW+7!QTh6b6dsnk-km>sGn)fF^{*$>sE00E*as!2TsS0mFqNx`1xzE#q- zMmEDqs#|XtRL7m_j?fr~N%-0@sX5&NF~(@lbCPPRh1&V-oJMLV%r>%W)up;A<~&q7 zbFB}WQ0~+NraNUY-YVQ}wC!g9yp3X3%V1M#oE>*G(N-zdA6ZcSW~QqATdB5$TcuP3 z%2p}W*pKp6TDed*%r5BpI<-o&{9(tzr{@<-;blU}*^U zv7<>DlSVePoz7B7$Vp^DW<%rtg&^4X^*0=Cr#LZO%scE zm3#uixB{AKpi{aoXfRkGd*mbs=-C2SslM>=5Ia^w@?}R|B}vG&X8v%M+=ZWW*=tuR zP&k&$0%}NsZMNo8ji3HYtnU&IF0TT2^>*rTY-WFG6r`|o#hQy+U44k%ts&J3?C>8H z##ooqzQFk|m(=kW_T_Ti){hR7PzUZ#-o{v`G<`X)JZjETp>;?q_gX#+v4O>HDGPIx zS~MLLI{_C$B7a}hL1hVFYIEJY?>w;GL|RaWu>@*G{eehX>iuo{|sS=PA{2 zy||F8Tv!FuRF8tlY!2{qy)=!NrygW#FDY2~`Tz@em%=<29e_qz-so(Wd7113cd1^N z_w^V~iqpN(tY@per^57GAITIWO~V|D7e2UH_^tUK-D%`GM5qPicgkJ*dU|%wT}nvV zA4}tu=3R&=PR&L!ANtYmBoi;rs7$JbV$*)I32A^SsTB8pHFNBcG+Aw%r`kkMs8Otc zN>WN^8Zu#FT-972O#||)wB%Q+IW6Rt-LMFw_mj-@pkt?w>oPF`)}4ZGb_gkl+3CV6 zwW>72r1?1t`yddZxrv0y;|l%7n^P`jvilyAUVAWxYPUL(T8ZQ;wfm|Ii}jRRG+9b! z$~;7+4t4*q3r)aW&Bu#DgX;X1V{fLK!uhI<(mr2tK%kJB!y6{*@V+V+dFr)%3``3i zgAns@lX)##qj2p)5cFNm5+cY_Q46Y;u~A-4Wlm?G!IFcPF}1hklT!ROIHbBj zDrPH06_F#sQm#oBLyIGAJM5=v^&OtadH)l%7xEpIe6akpl5ecw19i5GJhPnFxQ>G3 zEgw~Isw?>j`76o?nj)V;19>Yl@|`c#xO%C$M!3P`(e!I}z*}mAZ(@=fdGR!iJ;6aH zwEX2O_R?FbpK=66iql0_Vn1TOmxb=u6(*v_^6CjRvT8%Z!C%J?%K5Mrm8ZNvdlKc!-=_6LbN% zkT~{Rqfa_V>;lB|gb25NTDWc*J5p2fPjMpc5i`w!!7BFJ)U`HI4mHhKd(&iQ!J)hH z(H&175L3KBvYnDwgjg=WP4ONml=99T-$Fy491nA*O@5_Dhhjr&Ni~I^maz%7FuD!i z%NEy?A_V`v?079{u&#o*h1um#SWYGzRAPx)&RW%$B89}|Y+7x}uj$M+fmH;4f(A}G9f{zsPG zS#QkBCWxT+*KV^)Td$Rp_yZw6a)? zuhcbV=od;W)`eqWsG(=AO`0wQRH6yQ;}!BsC|&ABQ`OjV4pza`4P>I&?}Z; zmgc0;_$UZMk8AG04rP_7fV>GxybTUM9DrCY18`d)n^vYZCf_$El|T#2ZWdezZJWKD zMb(i;3DLXRmO7YLbi3J!I#Ls1({A>pjx;#Zw=>ncg5Iw+r>6Wda)<)eD(NEXTq&{%+F`rdq?xcw8|P)Nkmt5zi~P{t>hS_)oUSPoL$DBug(q0R+ni>i2jX90 z1OcDpRvOu*X8-|^RjKS4&a!R=%g{-UQG5llEY5u-yxw$07CdDOg59k%xhiDe~KG z7(YK8s8-44X=?Je<6F76VNvcoBnd&tH>6z5AxPFj2B0n9h6;enLBGvK*Eebg@1U_( z)0ci=PHSuCp)QgX+-%Bra(KQH08#>Sy)v`;y5N3mG6dbB?;45+Z=6DZT){SgM7X@2 z9hIcekw23bRSV0l89W|e4Hk$fF+6I)@Ka)697E%geIgh}aMXx~P#-8imT*j(nFKP% zg_YLDbNPL@vuJ|5l^ECapp@o zIkgR%pg5hNGbgb*0a9Sd2k;gIAOunZ5L)xe(LltP=rHPSWqAS8OkGYkulO+Hortj8 zcZ&irY-Qa7rI0#FN_Glypjp2T3|@4pq4-n)r1gr*6K5mY76@_NNSRDktFQHm*PqT=$Ve0e)M7}nOIbB)VL8~XFJ|_eK$MQBG$w<21`CYy}?KJhZ3mOB|;c< z1edP$dFe&7T};V&a_6S#NXfV5Huy!8U9ty?yd~a{*y418qRCzcOQFJ@RxBh$^66ye z8U@6Ir(9BkxuuJfY$oZ{M^J*YgjC(|OX^fT{3(I1hT==#X zertxJ&Um2$8gVo+z=JoLK%sGt51C$z=;=O_Zv>+6B$ssB~nn)eBioAa_cBG!vSXi-=$@Qcj zp693q{-dcCI5s*jjfj&&}4#m2fv&#SX#_ z{Bajc#Y#4^zT`i83@^{)1N14NV6zDbr)ag&-A4K~hilqf^OhKry^%-Rnz|equeF4& zdaJ`0&f{IF+B)`QeW`=T>9wR(B1UlEqib1614*jwO$uQK!h3eOJm2L?f$KePdEbdV zt7DlBBo85OE!)@tqfU()o2mj5!{SSV)+p6K1bskzrCxAy6swh;c&LQ;1D;l{~o_TM;Hj3F}v} z%VAP*O6h8{dzs@Nf;+uc=R3u#=dB?J!CNyp%CQnByn$N4e1-Lb61`gT$TC&aTKpX9 z7f)4l_!6K5g>rI#TGf<8I`xye5Qw@}Jk~-&VG7PiRPv|PInq^sQ8%DLlwRSwdD{$KQ#Nxf0O=yfYW`96! zu{m}`uFw$LaW%_sjGZQZ6PDi?vHi=H?3cz;+qwfod5;;o`mNFZr}_^n3uv&nB&=o~ zn@BpJ9L6`Bbr=>dWuw5PnZJ^aYa%re7O!SmO~CPK16I%ki;SGr>`oI&6lc`~=VG}M z{gT!inm1@atBaNc|l(UrRkTHmB2+(MSl~o)^elGhMR>It65@G_)_yV zY*kaKyVQIQl#IY1W$he46P=QpvT)oQ_N=LdH<<Ue) z$nxCre5@>>JjTeXu*H;qQ{fX`kNwe1@@vw7_~CwgdWizB!};em$IeLleFapFgZiyv zEt^XL-oH0M{F#0cMdYTbS!`r;N$YtXjbie3qQ>>;-<&OKE;SN%uVMR|OU-+wjP5|8 z=%wG$)c0T^ISMQ=1`PQ(yaB;8SBDcKw;i8WFVzLKIGv!FRvWdDLSy%#H4q6A$RQFk z0@0LIggdeFyI9?tH19W?fOT<_S8=*OFp1;imME~?U&EHQkaWFHph*xw_=W<{@`4($ zDuytGkd6Vz$i)4w@EIFB4Fa;L^_TcjVyh?1IAHy9_Ou0Jt70Wvgdclsb#NJL5{~)M zz-eQGXp5HkGiOEMK$+AjdLc0&8e89MAVD1L!&GFjh}LL=Mm5T1uT8d`%|j z{iv4Gk0MBDBncgDW7sj1?Pw`=N%?yz7~>2j?dZ}cm6huG-ljv8C`=@h?{KfHSaY^9 zj@9tb#8Kp)*!wdWHScqc2Ib)n|B=FA6w4dE$V9$9QGhwsD42TZA-2PU81?D~1f|n< zNQCzMRUFjuY8%rNp*Mv$#qtvB1L;dyU^_`-t6E8oT19gRVJHz&3!cwHUS8!7V}E! zqx?Y=yNY}-)mo@q6%H>$ZIy5sfw@Y4SE4R>Bn&;b_f5RV!qR}yt33J^w34&2{Dy`4 zm{?33$-ip^P~^n!Gw}aU|KLk#Je27=#p8myF-^87QnkCLD40%+eo23 zBhawuk_2+-lC=oY6!7H|^rBAR@m?g)*TFh)t}FkCb4kprEq3}37+KS{l6LG`W0iZg zUW`%oL#kHQx}w=+ob}Yjo}MZBguKD;L1iJXHemD)rUv5;h%5Fpc#ay!lzd74fah!t zGbEVpYAYoQ4{%AUoz%y(J_K?*pm)?XvZQvH{<)pn>*1x-Zb5DRH6^Cqs?7eE7tL~l(H@9!w*gF}x7Rl* zc*&91A{<^L#2t-h!f2X+x>&XYLvh_3A{knf{V8;}2DwM$-$uz*Q0hZj9>>PKUA$T; zuFmUX4Ryn)?WS@rZ+o#~$c6&9qG2L24EE9F2(x z$dpdu4d9P?zRZ+Pp$+ms@O(M$v?}>CJpa^`-bTsi`>`bO5n|S{FFHumg{*Zfprdq4 zIK7TN?}){1JwH~~Nvi9SRu%!fCgZQ=@;WxR6FTQ_>)85EKv`HvL3tL8UgcYkzm{j~ z@a8$7_^fB0I!nVnMwAlu5d5_Su4g+squ;h&&x$)sqdczsN=4`K*Al&+wT?v5;Pq^9 zq%(TnSRcf{Iar98Ueu~B`Y}6O?n;BEqvj?E^$a_o-58$t5)_T@2 z3JlZMvzbvS>JUf_TjQ@~$$C~2g&x!r;ck=^(_lLU$aB#Q7)%k)VOwver-8QH2G=G7 z-^P3WHOpl-Hd+d6am0^pEUFqa<{QFeROrJMt>qMEcw5?l{s7 zB03G|&fsNYQj@j`R8^`@9aokS#R+Vyy?n|Om z3<+9%HKjrx3wwB(_4mBYP8ISE57xa4hKWlX*t{-MK*TT9jCQB0Z|D3YcPcMB1gRjt z-r!q`Db#?t{BIz%wg_&DM-7R7*s9nhHwVH8+fVKXEx%wBv~$ihawUkg=u!` z95z)ig?jD*o!p@viSvz|!?x(Y+}c|Nu9hZt5@}s!cR9b_wG{TRt>o8DaQfs@?zu^`@^4bKmaS_zIJwO^QMU$p@E<4;EM_v!0ZY5)913 z&i00m1|yyFb~$fX>_G(CZYmM(xXmolAa$wz1MdE&h%kT7M^E5%H!-SO9QdNW(tL+X@L2qlgBBK~-}yR3!tB^U8DZABm;hG}lrvMO+q68h6>TynY-fpODo z(MeR^z&gJp&2Z~s!-}cJ3f_@I8$?wjtz>$Z$;+N-p$^4_#Uzb&><j1o@pJ;ki&l`5^LD*X=kR&3}e-?OLP1_BiLmmfJM7SSndSkD?IYE0JzG$lA#j@*q-lFL+H z>8G%*gUD5>G0o@|Z?|v=*-JAW)E)G%NCsY_^}Ywo=!+KZdz0}-5m%6LNw zxbKi5eLn^wg;JJpP^J`|paRWw;^X^1{q-0_f7=eEzXKxQ!O#D)KJCal4IFrU_A3(B z!%Jhb?G~dR40sTM8;rOb<~D&<^pnD>Ep>Xp>h+fz4sh9uzErhHjEKjY7L`@WJ%}wu zfF^n~5`G)uK3GNP`E=jFV=|xbX4XeqO=;_!8+?&}GKp>KF9o?rfU#1=Zg1G>{!&|E z-wRgRAA3k^&<6A_t0w%FOD;8F6KsDPjGEW3sI#ULfBBgB%yj~b9e@jtBP!Xn0a6d) z$}4tcfE3_$u<||_;L;gBqx6yL0h56gT<6Vwv_FqylJLf+3c4yf-L6AK1xp=-t;RkB zr5eH4UjHxZay#m?e-zX#LjCMNQ1{wVa~0IaE-;w#-rxBDz&~ZjpKitHZvdsJMfUs; z{1Q8UxD}t4uL}S3ul`r{S9bj0pONZygO~gVmUf%1J@#@eExR=c6*T+@Y8yN1glEiY zurxvV`UOiIj8lvFZEWLUH0A7V?CM}Cbjar~(GrE#cG6X}#-+wdLp;BDRv=??G&g>!2 z06Gm7Q)g=BkF05@neOs?*0ftv9gI>=Dc))NGPQE=qom?~W$9kJL^hC=Xjcz|;3lQp zeDD<9lJ6@!XS@I6895$6d!2*X*`ZRMkiAOFFX;VB1^1y>g(jC-Xm3vGG?}lNdKhNU zKI2%MVbVLUzyA%LaaZdV`*auS5ui%U|r^jHJ$jspvKQk4@GV_YC zdnN}~u<+s1Fd=(8GYyv-1esu5gB^u-y$fj1(!wt}OsQa(2>JAO)?@_Y*++k|?jtZX zZ2XITHbQC?J`EP<`_oR!qJA{b-#4ZEDEWRoe;XU~l}TQO<=a{52x*Eocn1c-s-V14 zS02>|jAqkD;{51M0?QhS1@g}c?5mNu0COaP;C}4!NXc7RnZRN*aNX2sGLyzd(PgeF^35xV&P;k$z=tdOPp;3; z1hne&J<=BWZGBdhzok!i2Ytdnrw+sQ8D-aJ5KX&Ie= z94&u=uy`&YN}ZqoaUTZ@bZwnZ3;6Z*_sL|Tx#X2S6IkofQY-gVJO1lm*|gD89UxS|6b_`+#3yXP7^#tO5!njY?&&?Grqwa1gk{`LwqlGF)Vrz{{b72! z-`BKBc!G8jHFI8!uK`^U6t%*zKu1*TNQcjf^Y<{T0p5*GnG%)Pq6+K+S@L(X*JGsC zHQk%hsR^c0lM5{Yzu(DX$70#}V^cPEtkg#^HDw3KVgP@*lieLFwI0y8sSQ0G){DnQ zP`M#-SJDoJ-b?AWm&MP0CBheTtGQBh zN4``%-F<;9y`o7D>$f)eZ&e&IugGu_TVs2Io@VXvN2E0fd78$7{#1!{nM9Y zum~6gBjDoP;}kkhsa$T>V<>>dI27GFcr|XEQpdfY#!4nINHpAX*L3s8bYh$`tM*PP zfjo(Mg(RRf+oj@Qot?HBh5{Rg9tfe3@k*Uf!Z~SJKmbUx5s3YPXgt9>Xoq<Zc|9*r63_duo1V%N?{RM{pqQi_D|_6R`vFyI|h&P($Z~YxXCh z(Cqn)T24ZRVfc)OOhO|V`Weliq%?33W#iZuAAW9uqSD+Hvx`zEDRmp2N35pdwFfj> z-+pFk0eK&UWs?I>4~NB@{@q2+la&@e14KGcxbT#R=-ur`5|MBQNMo@VXw#8q?ca5r zqqo3%WKWts8J(jRJ!$V`WuUvq?m|j^g}D%5P3%b?Q>*r(pE1?WT8Alm=~og6dI$v3y6< zMG@R)4Ez>3bwYf^8&5bPQQ86V6$kUD@oOdN8eZiHor)fT_a2%#6;l}UyK9$5ATLNPh)CZiEay( z!MjNi4V{TjuS*ckpQ%)F%kPTJoK+GHgf?aq%HhX2)S-XWmG;a;W#N8+uFO>2YWp52 z#A;JROeVl9Ff#nbYBz^j*gGD2fLhH`YL{EfzLy@EhcO{mhDXd&>eQHy^mAWh}&k9=x7$48TUE307?6JfcwouIbq(%L1%ES?x z*-C=WJD!5RQoNOgB0F)y!9|-bf_sf(OQ1IHXIlN0(x}4K&d@d@%`XV!UvN$BOc`G( z{dB?cQg-jY&x|n!!nXB~HrNz_8xr(15KOR0`|Gg-2KL=P+ zex^vu^NjN@YmH$3qh)gAXls3f?TFtCt!H`=7{zWT$@K!NWe1@ylb2n zuRRb!Ey&nd|D~1c3Io|RpG%Oj$9edR)imA*DR`bTMHh3BPS3+q-pPZ=Mb2GWRyJTL zZ@P1k-py0o3{P$fsj>%nM|@<1aj3sV!*c=#1@zsmutNg&RuXL&A9<-fH>0f=AJ1fr zQGB4+6oypYm8ZHr1Qxa&@ZO8Bt~#Oy>T}nWe~`YNuN>F4@}vF+G=i>vG~1wz(*4_! z9vD!h9(1IC4N4uw<&dSoJA1+vI~gszJakv7LpaJ@>J=Iij_K%L*JxfiHk##hr0onp z*O3|4rrdBP)I9`T3V3xJutM@0b)?}7lpeaqzI14TGQqW6hOmsd%cLSti>GU^uTrb8 z6(2*|RTLd@Sreu|a=XjOJr-aI2DXvFbrztGfwd%Xfdwcqv9yqtx&$g|#8x2sk0-(xLv_k=q zilfiK`typ7R~@;l=0O3FyD{)Gu&y%b9Gn|MX>5d2u~QaXv4+*FO4SrreBGW)MhJ%E zOtlPD)&f$(7=*eEX$Y=k!c`~7B9xjghYF#0zCuqUlrMGd+gnfS=}Wal-l%54qJ?md zoOUucc_9u)RcuF>7b+JzEk4h=C6|Ppv<65fw`?V+pT(Nv`>^`*;TqHE!-aA_T}4xc z)yU|>bUhN2IvMBbeIz7IZp#x7m7V=cwihqagw=o!J=*dFgokd94-H?Wbgl5vN7N^i zkuB#Dea(lCEmAh=;t$h+#mX36=3zRw7_Gvehv~&))bHhwkkb-OYIq!>=1Ua6vc?Od zzHv|WJwkJrC=+#G;5N-A*nlzrBXWttaADjL>KBFe;{``(ew0$R)yT`7LQNK}5b=>O zKe~wz)I7H|KM~B9^K&gP)1@d(vabQ>D5XZduUkW7o@L*JT!YF}`P=BnR}DKaTqxMz=JJ zcp}+3wlaU;l;8O+X`K|sK2Vve?nRIjC#d%>Vv|!rnbJp*_ajr~zYW^R^taYxog zqaS$T2)RWoLv@u~2wiA-H1;yTY|bA-k8+e2t$2IhO=Gz{-~E32J>EtTHY7dpIFe(R z3+w6U34$8BLCvZ8a=3@ln|d!tjWgPtW-Le7`B!h+#c=HiU0SZRY1k09i^y`sq)t0y zk9=dF%O>}PLQXjmgJSR<=1q-Ppe74WpifsQ8$9Ehac1#6*IjFg(g`0A8wB*IW~7f% zhSZqV6cx95srs(-im~Xk@_JG(cbcB?SpDQ%|WH0hid9)kc-m2Eg897t@Wx3>?4!NPjd$q>q+{ zvQ-*e*d}Dzrw86*1Lq`2BmCHqji6HI8l{YGcSE*B!*=sQ1&44nVx%V8BC)sK8~jGd z7_djlMd zCkRYBN*hV()IvjIWG|;Lwuo@c>5+gAZJ>bVj6+^A%n2~r9@a`Gr}c_Yqxy-3jL;E7 ztDJvfe4Z*y*Dk^m-1uU?FDQ{FtygM0Z!m*IGZ&pio7Z!@kw|IlmAdu27L05sKE&&W z+um~5IS&_jfN8BHa{30PCN7b@zENsd)}Vq^{Z}4XLCph)X~;LYzoSGwVGrczk{old>ADljNJ_2{s!7P=C=7>M5IS#$BUt#ym#uT?tC zX3A@Y1}-NJrW2e;YA2Ebb_CscNe2%stQTHEtV^vnD0KqelPqbv#iK&3|B&pD`EMppsm9#wXExfOS32 zkmp8ZLDMtjw^30Wx|q?@kwnzgeR*j;%c@P99U@8>37c*Ye&G)B0p;{jJj8)9DbaihAWdZx-m8C~aPH`Z?J$ zS5Kjp-zq^(&x5=6$30H|KtO*`#1 zyDYHLqseV-Okh<~^Q}71-H&OTn~dU(oyGL-JCr@Y6l$|c8Bk$)Ek1=Y8>cYzKc|f; zvm}MKZc_Zau0eQ9%2>Pf$Yq!zh_MwCBW_G7Od$K~a656O2JM zH1)CZ;OSKG$OnOihr8s_d5hA%(W~Ph@YsZ@4e)TZ@vxHwySFGI&D#Bj8AGw0z;oqc zzhHe5^FnFBFvTFf<_cjStTXS7Y4tH`z7>6nJI`puR;8Vj4P(SU+PxLUVCplvycP9g z_hjY0y_HAiUh23_>DVy~J7Tr=a9iWrxqJX0!njXlV>M|naymMJp*(<%L?wYk zo4c5-sQtuo=ur#@db74#nHMB+PCpflk^e z&IC~cvBM7g7;9>uGsH)0!s4Rze<#tB9g0_($<^eU`_4NlWd{z$?1vHL5I9fnZM_0~ z+wQsym|L=yyX#c#1VenZb-M-IAxTtor!vIwTof(Kc0WHW)oroeuWITp=`sO>1<_khMC;CW`}eB^zTlkfnVPpth#7u zkag<|(&Ofr+Nrmh-~r4cmAJ>BGeIwxKW}ZPQE^IpL+oK364QSUFxSn}#b<64>jF)+ z^32pndxAWNKZHL)@j%}+51b_8L~u_JyvvEqorgxKX+<<%a7F|h$)M((sP{q;`-=0h z_w{i^);|b7#(vl=Qz=L#k6lXHM)nqg>tSaIoFWC5F$*kp+#)c4FZJD}cytn4GkpQA zw`?_Q-BvQGD4FbeEVZ^V`DQOA>{40>s#dnMwul4{1*&$OiyXF_A}sGe@%REY=A!MW z)O&JfikZ1KP?#5^Jief2yOjnC<6Jl$6Jze8`Ehpu$7Hj#pSRMq-O9&akKxkR%@A09 zG)Ehta}c(R!D!fXsaeQEnI9*i78X7vG1hfkhaxEAwZ}*R^6)bNv`=@_^u5ZOkhDZ0Hh33>?o+CEXFe&%na}yc ze7+KV8r%3(wetC1@^NH7B~VtAUoexBC+O@x#oKW0sF-wL7!N&>L*-cKQ8t|`ocK`Z zY~-v_zfKTN#|3k(BM_82mzJ`o0-#NRujCHIrdf#yi`;i&?h^}gZ~r0tMS{JLq+*lQ zwX)wMvevgUvv-c81N)W8kTnSwgX;wQ2pjwQ#VEgPGb=wp5;6B61f}v6}VwjAiNj_qBpSuog@(_u`z;D z_#x5f zzGDH8vOV zH3zKh7a%CvJ3unnPX<662SZxf4@yub>9Y1Sdq2s!b}^iP-N2k_zm@Ys1SRJ(-wMvt z0MI7FN35LtA60(Th3})LiOQDH{Jl)-ys#y5)#y5`1m^}%Y56Cyv66jQ<{(0(sXOTxYhZ4aGGQu_Cg z+avTxv8|$G1y0%&m|TnkCx{i8B#Ah;1|lehKU^<_cLPA{0@Ko)zg17#n5;Bz^wSzd zMd|PD#+U~ONBIYxL}ygrap`uv{V(US=$`41@220Am38gv0EOSb)S+f~H-`hTp^opw zsqP>E*TopJ1p4?-Rx|gHc_{a67oAGMOiIlOl$)Y7bzQxSB?h}g(k;9wiSpnYzKfb3 z!{p28UDV~6GEg^aJZ(Fsc()q;-Yozp@4)10+?X=y=F8=(pTq}7a8_ZPS6PMah$F}2 zn4F&cbv9F0DXQz{4F(RasjeaZ57ZkXrLzt~Bs$CY8a^(H!S z0uyv?PblRAH^9;MD~m4 zwe}bM5lXMxA#!Z`Q1j#oK!zRE%%t=(9A5#4IFSvW+Cc=Z4K-eVXaXwC55l!JzIB6Z z-4d>q0m;dC@W=EFe+u|^04!_N|GC{-o*p15UF%EM(de5AfOZKUsNI2fnnPPVyXp|2 z*UBJ-yFVnUUhCCUYQ3G*R4jAkzi|uDDr2)3SY&h9QH1q=z!z7N-pu|O!q!Ca z<~DRypf}hzk?c7+VB(+bh>Z4663Ka6Tyj>5l+O5A7I(6ksAx5KbQtxy=xic1(ay zkXr))tt#Z^;_+9#-8R~vrUV-)F@`7o+<7<*d^|NyMseLQtw+M{Xqg7BPE_`iCOKMItz#?a;xd=-6Tu{U@3g z-6e@w(RBz)MO9b`Ss4j{7QUJ~p2ieh{0QoQTA5ZY0<2gyTs;<;XNtjB669djH=3TD zR_Yi|#9GYN=pWX?9g+S?Nx(}!!&+R&A0b87IX^CAEfz{5)?x~RQVUiPT7&|i4G`sb zs6<2eJL7M+0Ar84#;|N`Z*!>%8NAQUF)6h>cgM4A-SKRenO9im5FxXIP3F7rEixBM znZE&`X)Ec*8715>6(3woOrn_F{fkr8z?Q1IX&{7w`zUuI)I z+sgbs2S7^{ z)ximg2Gtu0-d%0HR~D!Gk4r?UurZ%vW&RK3a;aeE1C}QaKd;QN*9~8k`11v2ue~l| zAw^xn+<(Q4#2uHE%Jzox>xF_SGIO7Ek-~hGeZ39AJl@ z&)CLug&kOhWc!m4TOEH2i2Z8;i`^-S*t6FlD8*Kb5Mm<$(B>~DzYL6QnNLa+=HpYC z&j!J#yNypDD}qwz22$240JP{ua%8(wBEll?pECEw1-bLcwg~$j;cSu+NyR1!w6ebh z$?%L;%)Z$oT9K*j@Gc9cqI;>A>l!OoqjBMtB23bim|lag@#NJbbL^DWH1Y?^Nuj48Y%>0sR^U$o zuNpd=O;|}1u?g)ElsUBMD`CPH%V5H%^Xd9cC02KSX5x%n%J=rV`ZEZHtX*QSJIZi- z-ID3nl6LK9CELDKCZxR2q6hb|BjEZk%8QDg*`x9F!W2$jEaFn)Pti~JlmWV=)70X= za;D<*haA7l8Xt3-9J7>FC4ZcN@lEi$s;+c6OX*tWKL>p5Qutyod8%mE8O#^nn@%nd zlmk_w_kS2ybvpg@0AquN6R6BXWqg$z`#!`OHjS1&R9fjWThOV8%BCvM_I`*nYbwon zr2JXs;hqoUCQhO7Y@GbLGnS5ID~qaBECy%OWD5ROnNjXyGd}2^gqs>%f4)oCe#I6h zyR(TaA1mW^6`$N@6TCqOLYgS%3_0g0z7^LCxIH@J5`OCp4bD+E>)g*J+CNpsm8>}H zIup*dB7kuIb7g$R*Vh=%^YN1K{8@VYTu~}s5pX2p3>0C8#9yF(FO{~=;fS&B z);}0|qaNXTj{^V^8C z>9uiS_IqIs^FYXaI#hhocYi3&3`;I@K@w7MsKo5{E&yt!z$xc1z(gc(=9Fck3PIl>$Su0nkVumzNZo!IVAbu; z>Ed-;{zVbps!I)OKu!_eO30X!tQ0Q1A8*s`oApAsrvORaqUOCt0PhDWWg9#KR2)0M zQ7~FSE?XhEG<-aj&sRQn_Je`&0D-jJ^Fb7vuk`4?1VC$TvI@>*HbKNQ59BI*O#j=Pc;OmqI%hbQeJ> zXc%;Wpi2N~=TO{e!D*!unNBOthMC|5uQg9kgtIlo^u#UbQD`NQog8<|9{vci(&#I|$f)3Suc0!g~?5@ls# zwWIV^5Di~;{*u!FRhkaCKMJ3`6A*G?CXAj6^vF+HBK^3vD;m4a8p|8Yi^eV*MZxdT zffzlCCi3s6qi7lbHXcR0@#|LJO06=rD6IOS$LW`M${^kI%hch$GRNR~+${i`GwgCd z(y|@+a4K)Mu@7@f*E=AFO`EF%qGQNk$J_!?EbMYI%bSBR)8Q6ggbF6<(g>s%hlBJN z5rG@~^!17$>}CWuh+jz^54MbYeG!Z(D~2PR#i-9tDJ)~O6~T!uW7MB6g3#59;37F} zDS}W>BD8}Rl_iS>MKJVM7Vf;tVAKz^A|S61^jP~sRSYdGuo6+H;KIj-qvrNK3!r~8 z+s;4f*oA?1$*h9rbB3!pH0|$tvUlrOFfI?%oY$l|A5DwWF9HCU>@r9OF0^ z@tM8=$}wJBin3R$=voiQBV%f1LruoUmaxJuR@#E@hnIz%BlE!?oc|ccxJ6n20;pi^ z=g7F1C2qRF#UA@Yu6xjm>%_RRcF0RG-YAfZE zl2|qc%MeEWuS2;$!g*)lm~TAJ`2}I4zL^__51bXo zh`E-sM*Uog9EQ#mE@D8}%JLA93P3*g*XZWpfUARAk9L((+YWp^NGd}gvc8EZi!#@a z2xY+k0zyzGY)lc=cUiD4io_nFjt*)~UB)LYu34onjDQ{!j-L3oLsNf_)UQVo4l9qy50QB80FyQWNc8so*Ht6PL@`uyOljCM0#4*QgwKx!*|0$>Rv{z`_UXk zrt3%IuarC-Aza0ezmS|(RPy*8EV=7J+F3^J-Du0lLNeOCTT*hKkbEmO<_ZQK$&4KiHM&?%^={b(h~15@1Ay2WcM!OAj_O{=F{-lMB9(^M z8E_+&uBBd-i%ZY~_K+%RG5>Xd9iaQxH z>JUeD5mC^AH``bxZ~^_6B^>E|3l3xs-tzt<8Lae`*r(z(I=G8VEU-Cnx^Zy zgYqk@0Tp-e;|aDSuyS$+B&6KBO5I&mMc29wjd4Xy3cBO2>Tu@oSw*cg=s-`n9S+NG zcME`OSaQ%OBN&51j^H{QbTxwEfTE9XIZqLUE_zfLPFiAM=j{tip~jTcAQ-))pXAgb zaZ437z+UH=L669R^&~dC@X>S*cuquHiK5XtAooO zYb&;C8t1*$V%yL=ceQrS0H)i~3UnO`(X9tvOlSuARaHZD<1=VORdrq6S&U3Af!u^Q zQCoyWibsjlBSTTjDTDe{Q@_-0#p(zD?#rP1in_ufiAgK8z?(s;s=Jv%KP&2D-MtJN zp{i}`KIF)T&A~SfjhcS{aP+AdVChU%gFD3hAiP}@4RV`)R0(WDyC zx_2f;TY>h?=n4Y|WKwPo)lcX8Ej6hL9~zQLBWohvSuOBhj@nQ+B9mU%R694C%$%Z{ zpkUOJ8hEF!07#bN3XK6Tle(92MmC`_9%>KW<0gqo9%@y4-KEBf*F4p9`)XT&1zKDh zfz0Kt#$mq*KUvzCj?`BF(5-DmyXvU@oX%VM1~ez9x@vTz5?xs?Cm>lB~q{Tnc4`{I#)s;AXgC2h*7zah^%dg`m)4gEK zI2rR6Fv|fUA8g{u#0Uh0)tN;lvnX8Tm^_lDO~oJSX~CTLM&EU6EgZ>M%=)%md z!kxja88d4E##nfUGNOYNv6?n|scj8^wikwl9fpCmfAUP59b%bT5j&KI*I+xIBw`!K zAt-I!6efZ&0zg|O1iQWgOJm@+LV5h85RB$ERGn)50+@J4^g2iC`%Cu2jjhk%8(F?@ z6)k9>)-arhMg?X)2G?h0Tx`nx+s>j)1bes9_Za}%6BJ8Kj#y;)AdrK{ez>B^;<0QQ z=SaS}1Y>_-%PBgam23;{KAU|)*rIn4W86m(#D*YA#m1O#m0wcIpTP1*i)z932k0vjW!;l)3Q*Yy$(F z0zf;_i0m7wUWV@_BJ#Ri45yDDLN%xF&tmfWNL^0eC>!_QR_?bU7~BJxyRRr)`lbkT zR}LP3V1;l}6%ZsC9?ydX|Kz&=#pR=A`FZXGW-lAkl}2iN!(M!VoAW|06-L(vlH)o+ z3v#qDEaLVKlHq7(I1b_58J4Ur8#WH7ECH9<{Nr*q123|e&EWE*ba_KTH=A9D?a)Y4 zv6bBslvdsZ6TuD+EJ+7Rm~VlmA}4#Z$`NNq53tT*ig-gy?@=$3VbdO9k;3hnGNe!=BBt-Hs)ojj*#fWSo zMBe|%>a&*-l*##zlz8l;F@`eQQBl(zmv~4|L&2Qg!OT3S80J6JVp~N>B2Ld_EAzi0 z7s(j{fYu+pEy-~e$%zAZnVe6V*dR7>CM{zV@&cV%WKuCAhX|1sq)5)5cdaeyStv#R z27soCy6QQC()9)k-Y0ClvkLO=X+EP-LNHH|RLp#fmARK>zLJ?Q19Qum%J&~|)?Ryv z)IQ?ya}Nq`hMrG~k(M+=HQ+AU%|M13-2#&BuEFQ4<4*yf}ihc05zAByvNoz5#i)^NKQ&!=I4z+vO#Mqs|sS=RUD>f-l|)-iTLK~qBDx7 zX7qEyME6orYxF?`stkX&OfvK0MzSsQ>|*74LHd0|=Gmkkt@Ktu?w{4ND8v4eVLBo{ zU^uie!}gNlw?NgtM;O;NBPbfm%$C%&ImRHNHRxV*wXv>U6gjm}>+A56N$VEsM}|Qy zgppv)OG{wQ$;`NjHRso0j@}ZHtyvvGY0a%QVUg&tSXj^zK&_8SSo3XjSaW$X)|@7D z{Vw9Qn;ag5$N7wFr=w$U@&Ue<^CL6R9|3}_jRW@@U0XmiNcbK=SYqw}GHoR(U^)w! zS)01HR6BKTT8P=+#e!MY;+PdNYqanc-{;<7_OcdTX{q)#Y{v)k9rmgOM#(Y7*z26i z_L?OT*WpymzGCck42}%kI*ePBwV^Go)aHhtnjv@j z-SW!_pi0JHZfsJ)0UK2paj}=x7&~BcJnMK1e+rb4e-zenmzi)82%+aHd`dmtg`U0( z0MO<_2VRp8%uDwZiyB6QK1>kucFISQERDA)`6mf!S~1d=3TYiA5!V9^tdb7ESV*Be zOR6MN%W^!ps>YsZKt(#+B4BHX3_(~U$3@glMOHwGAwmhBVlXS=c>Tj9ml8@ONg`Ha zJAyK~jieH50MJ&T>M`oqN;Fj5B?Rw_Hs0RX4IeV!U5%**N-D0oKd~~;fLx@|hncqo zb4$(rd<$0TeWG$h5|mOApCM$b(lj6 zD;MiQt=p*`>^*2|JGH(Q7TZppVg0DyUL9)vh-|NhSwHMMsNE{AU&Q+G*e>}jvyAtk z!5!50V7Z}#s=78sH10Mif^n&X+S~P9q&bRr+$LXR;xrFDIixnMxEN9XNuLyiPx4he zg6V!=Fs){xEQE0%Q5AC*G9^x;+BlZ$sMe_Q1K@mm4L7R#?h`n|gchlvbW~$2zKLMk zZcKZhwaN6LHyu@fNcHUmsYXN_!;*?%OzfogaBX0T>Q#_9vyek>wBd+M69A7On}Z{5yrw6m`|$RUJ9 zd3aLUenM1GKZvrxX7mFK%g641LR3yc1h)_&s%MDW)F~8`A@*3*BP+LpKY|)E{QBd_3+ibgMg{07CBp zLbs&@)V8q3nE_D9g8pg%6ta9Y90(mOAAJX^E3F^T5o7QjVx4qv=QyU!Ejj7_nGM<) zP`d;%6<^v0tpRAJ#BFbbx&Z1fahuqnIzT-n^yXlzv_Bojl*u~MwJ77q0DDQ~pKZvu z0Ckbj6E^5YKpg>1${Iws2dV8GQrVz>o>XnHFlfKQu$KiEIaqB5LnRDWKgQ3i!N__` zRFfgKt#-_Mz&i5Qg6dzpZY?1o4g%sJU@$O2FaSSh0U`fT z|B0$k!zwl&&Wt1l#7hD8w#e6i)A5Pw$GW;B$$pX=rK@O|d=8$6%iS-0#4CGhWx8}x>M z*WDohDfr!RgBDLwgLU8Epxaa63|ntd^QrjVdxN6*H~9uV;NO!ssO>cTrr)5I{F`xu za`^WK_)N#|!yEJs|31AzFZuWP8}vySe)Dh8X8wJ9gI@7(iJR1G27W8tq+R@5^(NWR z#BYO}^acMmyGaN6x6Mr|Jqy2nH)$yU2HvD({_Sy-D$K@jpPMv>e}~teBxGblCwCVe$Wt<&)N$7qMpAvM3( zkOfqY8%CJ%8lsGT-lB`_dhTO7KS!I}l#HpB(d+rv4JNl|kG|0M=&`6vH5F z5@1kg37W?sZqxy4D?wu!6fQwcC8!^Mawh|&p8Pg+Wk4tZH6)-7fAf~6D)PHNfBWIP zy!>|KZ*P2;lHU&e?O{yd#HEH?jpTC`7D?Ct`ovuLjQV>Ba;}aIie4+OED|Pk6j`q= zy+$MEtKOqVv-!GR1;_v%R%kXeKqHIH6Y*kLz|MHmg z9UT&W9?Oqu`n)y8-lo^{Ri*K<+sL^@?B>qxj{DLau#pD0o?T(!76!Hf&`|>K-6ns7 zT1kDuk+V1w8$WQV?j3xN!DqVuKaXj$L9JW09~=*TVRot@9;C@7*uFCd(&V{nbwl&( zB6&r8t}GK_XFc9u5w~`xx-jEAyxp7^7co$nUnL1V?1I_`=1=FnL|AduC;SR~N>j?> z!^`?wD*PP^h=cG_0S+=T9wMMm!_}IG)i9>n#?tWx;RGf$E5QJ%M2G)FiJDRg5B6*j z*`;!iUGtPmFw|@X4oW5BNMj}b;xtDmFkC7j2s1KmN{GI{u*4?ZvGuh;eg0Oi3B^Urp(A5Q4gMODmc?;BL zx?g@E&#%?W0}|O6vFQ0oI$5sA|5Ea6U_nVgxQkShJLlST;m(l^H7m)%zB4K2YqgFc zi0v4iz#yrgpsB?)=A2@H%&C`n7vX=&e};2jkRQuO5s5N`;W^+U*FkC7j2p2M;SqTP6C3^oKO7wk5u@P!zcTqdwxni4G4kFo?Bamz- zDUm@^A{Sxp@fDjyG2Rlsk$`tv{#UZo9*AV~g>o|4yai0#z`RgQ*|E&U6hWD6jV3L` zuC$366thsRJR+!oSf-T;b^AZWHk4x1aMzg>%i}Ta?PVdBp=PlhM6w+t)jA_G*`%oZ z0FucTG`pF`Y-lm46Um;9*IfQrve#sZWP4cU^OhWK@MVgLL=y;!J0Q`}LP}&TDN$fK z6_CgPnc|=S`ri`Y-4_zW;Q=zm)mdWNC6Qu=np4a{OCkJZkyH;IDBc*2K(F}ehpss-8&Nm!@!DO@-C^5?(iF<1C-T``W zK~ef6t(Z)|kAK80CeCg}q&u2~TAiR4j{G2f(ZRyKqg)^Y*@gawzzfpUOBLCp0`t#> z2T3Ei4r@i7qtqZ>+C^F(rMl@HF3`>>wT|v~OS;0}-?pa5QCJQee1~c*RY#NyJqimX zAZ_RLJzCLw|_*x z7}XZKDR-#CGC;irbW4((m8PA=z%fv2W`_c{GPO{6j%S3nuMLxWc!K8@qYK*~A& zS#x|I$A5o^Tw>JVI^NBspXAg>D3LhE-56;|?8Og_JB$<&-RINcC2uO}u@F`mI*K zsF2x2=6NbS!1<`YdP6$7T6L==Y(C^9>-w@GJUa>31Lv+*8-30Z>2^&ge`#lWfFhbI z5ErC~Vkuws3ago}|F(f!z~rfuhGVlHLSIgu6gDbdzmkD=xuxM7S(+*B(fbr8Ha$XK ztx=a5xD2Ph1y4DZ_GKcvkZwPt7C4cFkT-`8b0~yEyEqiiq3<~q$Dy?xy2T+QhwSbm zw17ii9Gc0Y5Drb?(0UFH=g=(^t261IUJI4bG0?ooyHpl^Fr(ATPl6mZc7 zt%hIC)|r=jHH6OqpVqC%K=N<`fB5KTCs5sQ)K*m@>SCxB?udOp7-LLIfooyqG#LkHR;h7RlUzor!t1 z>G=liQk{CA%5FrNiMdZ5HbP$7eHyqC_8VTCnlp0DMr>2G%cA2O)v7uNGw|6)wSw+` zE%NwQbuW$4ZYboM#AglNrds6pty)j#lSQMyRhxK^&VpxnAuFW^{ia9x=N2vui(dLW zwRlYGZ5v;2&!V&6svUG`SybXXwS_|-s5QDrLpQ3GDfm0JtZsp)RBY;ZFrvo;TF1Y2 zJXx1&<>YSIu%^)GUk`flo!V2k&x7%6>OvmSe>P$1e7FbA*@T3C>_HnhsYB~z)fA1u zZT=B@jDD%JOO1H|xhZ$KiPoEHCVG6Yy4u%nbAYo7mH&ctekcADxO-M^x_b>4rVNT<$FW zgdk69w^{vgj?S|t4c)4`N_m-9E>GfwykLcv zZ$Lok`OuOPcyIv%ab0?R zNtB7yuOHIYZK|94ofV;*K)@@73(i$$jHHKTza35UwTDz~yIQkuZ>doh9s{jz*61c% zSAj8wMp>zOkiH#9eyT94cwM2u8)}`6q1g7We_gehIP^`v2Ctx~(^|?dW@qg4EHEl7 zDC%~q$0JJ$CSt6?kJ*BW9!xCnGCVUxBVAeOHhpSFt!Jlw%%c{DPA-`mYUR+x3UyDN z@raZiY7>K3wwT+&39V(o%bkP`y?JXmG?GI*IW&Mn$2ioBLzg(zl|wf;deCUAR;iEbI7?0WyGnC>TTJMv~nj>v{TNelA(HZAV8s= zz^0fK{xmK56_*IB%^gJ7ApTbh*`>B<`#ZZ;y4DTyKyU8-mxHy??7&fQ<5_9#>Q)h% zXSxoCvRjh7jZW`USLtX6_1mqkce-I!Zuky*vs?A4t63rcVx*Gl_t>KSb9~BS(Zt6T zvPbRjAC5S!S|+>FyiC+?+?&PtBTrj^%n;_%c5=3)Yj)cTsA-uLjeAUg>{0z|2sZ08 zghxVq?kHQhX>WJZfA*q@%zRAC_Np~2zuW>w+#z3zG7ZEuZAcuY?p6Eg&Q&1yed=eG zer1ao=V4fpj=p|ans$8$eYH>hB;W#*qG`rK5Lvg5ii%l}EXAdh&WZV2wOD8>>RiA# zh^z*a1?k+K03R3alO3erua4I_KA~y*)$Y10E_8CgI!+hzgqj{uKh;%sp|1|8Ul@{| zxdi*6+s`*z>UUc|{XFniV;H|^vCaZZ_j3n(_NoXQ$b&&SOC@}q1km=WBkJ1{!^*f(lLWP8iN**_@lq;P$7W|oKBV?Gb(RKq>wb>Q&|5A; z-AlXC>I8Lfi5>_9990|W;x(FZ6zzIu4y`<@c5addiUMzM?aO7K(QkHSy(YtYSn%L6 z1Zq>0V-D#O)q09o4oLb#Nm+yR2T5)X+>VzToI@Wcs-7jh9Np;4L^VPeo`r&u=LfLMgD)D~6&CJh4opp~7T zwF=Kd+Y%&3m9EcAy3xyIoX*@*m}D+UOo>lPO;PJL-cXXsVg#A!cLsBBZlQp3H}q>6 z1HqS{(!>b44d-&HYp!H_rEsWBi*Q+->+=N2;G=MQ+h(}K5E0{Be}{ag{q zHtJ`JfQDD0-csmE)lg&KzXjOcO}zy++P!4XntYSpGy3Kbj^#D`cn^_t;PafR96J(;FD|!3g46 zZ)aomE(mEWJG^_}_VipAW9v5fOpOP%)-mzD0Yr^#L>^Y6DoixUO60&qx0t9*A`5zx zZxxh>pcM2NA+3Rx<|aNnF-lE-3CJJJrErMvHjVQ)K zRDBWCoV3!+WSUvOQRrDLvQ^2aiRVYrR98i-7-%S*|1 zI!+bp_xytl6GIC4^O@9Lza{>juHLiP&22;vE~%Ah;3edK8}moQi`Z(AO<9-J?)Jn# zmFeO|b)LPe3=7=M29j{|CAii+@zG7^Z3Y7Slfnsybf%D#GZ z@~Ya^egsX*R0q(zE10f$T$k2opibUimu_bOr(a!xqbpxGadIYR)k@^998DPsiaSlb zrdFWee#FZA7X?6bL+-_hIx7z7F2*Gk3t(6KkvNg*$yEoK^&%?asi6LYB; zF(rkVqmqadvK2v@kOorBDgd--12w;?HZV-Ia=-hCx%1Sse^M40C+9ME-HSpF6fv6< z(b~qnmX&)P1S1g@n7b2Zn2h?00?m0L-=+X_KYBnnZ+9@|WQ5F^`s2N219s;!>z z61eM)M+Q_5wliV!*2nsWINTp87_)Ppd&qX_VbgmF7#3A~!Yod3O-aO7cS2CAy$UIU zI&ZKMR{L8xs_PJFD8)L7cge(g!)}jg-V02sct4_dw=kHj2PCoSzdB>GL~kW5Wi-nRzRc_Yy#g<2}AG?^n`;`!nxBLXN(t zjaSBfp=SpxuZe~9oNeJ%SsG=ZWLBP;RhUEjepUmzo?T+qtc&D!7!avhVQvNsH_5Dy zWEKf*ZOK>k(OvbkAs^#I*3Sp;aS`B|3UkqoWa=VSL&$W_?=q5zz3ZRFmi(ROd5DH_W1|uwSVi;E-;pl(q`}D&fD(>b1G5bHy z8;Cx>>+O5Y!lPX*lo5Up z|Hck+jf=X-7e5t4_~ksZHtIFRfNCm7V6-@yCq75WaV-uOoHVxfg9fk?-%8FW1A|~` zStd_@f@=|fH{}=3*Iy>HE-NHg)@3e&QWu@nWgP1=Y6fj=T)m+#WfG+~t{zAivQ)Qn zBkr)_Fo7|^4>N|Qa@D=5`UADwkWlPKm*%*7o6V7FGe@(RAG&zhBvzrmBw`gRBPdna z0PSGx5&&rLgqyiex zT@j_@nn1Jka(o5RWRmW&SJ2$JPaXvf5r83nhLeE z=3DJ@1wm<--Y^;b?l=Hiq9_Zl9x#RMpcLrkINcDv9Q&8_?=9S#@C0IoliWi92a6LW z-2`4Y>Oa0t?;op8bsOi&?#|n5Lel?Fcjvn?qD+D}@@Ej2Nd(Ph5-ORyJM~%i+p?nf zq9C075j>@6cV`tB?}FW(-(3Vj?pzQ*Mr48R&cD?~BXJ&4=ECy{bVe$V0wNp!&g_iV zGF_y*Q=i!xeU~t6qhO8hj(1IScPBtYcc+VuclwWlcdP%xyGVCuyL9DJ%)7LZqkoM9 zFlIgHNM0Hri4onhu7&h0+THm=GD`uvc47kA=b*bY#m4RTAB1K@{tLGv-JMM7t<9NP zs|mC;2ji>^9HWtSgfDpEj&xfY-cn2*kv&QjVM)YwLt}7ujJR;E%`*Suf`d zU%Z0BEaG>d>k*%fmQ6{~~>whgJJ~$7oX?W?JkeG0vJ#x>zM3IgL$mv#^v} zp=^rl(}Wa0Ykm?zDWxkEFt;Il0mPfNoDIIQ2q({ifm7J;O!vW0dYc=n2E>Om?i>z} zkwavYH;}cM%3KJ85h={n6#-0@cIO|Y4`b#l7lb3U14LHNb2$@}SqP7rHCFyFtFS%N zf1QA>IsZS_-aH_x>iq*|E{-As1M1+$y)!B*?kM7pG735EJZE7h?Ti(ChzAt=gtfu-{1TG@y;J}&w0*sp6xv6Ip>~x z?zwNUo&N-N=>E+#7qOm#*g-(bBIf^~1hIyK*g{~dX|J;u_k(g`iEpM%cp>;J)-Il- z$YT57aka}AND7OEjOG?t27tN<{-4nsRWo0Iz&bv_L%kiRT=CdwE@(-EsB!iwQu>v! z{KhE}maoKfF2%xfI45FGoKaG!Uq_Hoiv>D4%Lhmbp>&WJ&GHri>KnYjP7-LCg8h7w zdif4_Z&^(Wmx>}uoOVk$ZBzUN=h;u2MsnhQM7-i_Z2!ZcuM)4H2;>jEQ|n4}Q#NcW z*8$v4p}>-H(#`E)8Qi80CrxULN~DP&l0uW^5Coe1HwDa|!fz?qlksq?7i^_32htPF zKJ4nFAmgZ^tJ-*E=YziR%^rj<%JEDqq63xQbCovXrP%ChkEo3jHB>4p%J6!~+Ya`? zI3>QFtEQcN3E^hanfO63@NW;Z(cb)V^!OS2#Zu62KJ-kCNE`xWz6jOn*Ft#Eue` z$Q4>3*<8r&fJ%_Z42W8bd$=*BBwul&+A)^>G^j?$fhEhts%s58EVd^~ctq+#DEd>A zxRJ)&!&@Sc9$M=dtMV+UPGYI(d}=VsHcMcWY~zs>qWuZ6A=)4S)V}B+cKu+12E9)l zA-(Bq8QQQN4<9MrT$4F%#Z9}?qzSDx;>!f_=Wl`dBi=E?nz!+=MT`6121wiWk6;w8 z(%s4I&NIBRKl>SmF>HRFOLEbdEH$}Slp$BYL8MGM zQHgZ^XQET*_aQQL&Idrf!kyU|d&IRC5mcil^hW=q4HLzeAv}+WWr&%m2SJK0Lwujh zmmxZpigU9Dk_b%%I*CxjCBiyL2NAqT1Wz7B=H{>?|Kd&4&5zleFM=8c?8>HR$EWPk zyKK?l;@N#RTmJ%+s!9_$bBvNRfspYvXMu^;D|Dj zeA@g!hQ;H;J?atlkfH3;|1d1xeA7kjbwTU`AY~CNH7s@!#5Mq1-8_U zhYr!P8}Db4+w^g}O$t1 zy4-QB6L1V%7G{8GrbM#@cLF<{NZl# z%Zfr*`jYr*qEcP*xmidH`8|aE69G_P=Uu?~T^1W#gnh3Eve=BGAh|mO58?TxWZ}`r zhEm>DlaRnmgo4Xd9NgusHe&*UP`@npYf(_$p{0V*%RVI9uGhIfB-t7yg=BSMbXaN; z0P1|+Z%>3!oOsB&Wgv~Olf%+HJLk<6L*#DGFhMI>T9{a|OKvNT$XszpHYa9}bDFJ5 zCbjxJx7qfW5iGv%O|qqmN+jDfB!z5GAvR+J*e791`I05y~IiB_H8ZDvjUIf5JNv@i1xhlBiN`uId>+xvF^-o_s zz=joXAXCy+Mt}>jPNM);q}k~Ibd?CiDxj_YJRn`-WTI4IG zTxHwWej}2?VJd@;C41*=a1P?sUBA=*pa3iyQ6(mO%bSlZ>AmFWL}@{ZyQl};U-4Zt zJM9dN_*#k1A`B6dqp*WN>5Qwqd?z!{T?K zdqTRA4PO_v$PfD>DQx&tcP?!t5$_PolD*}j!|o1sk{`B<$onAvX&p*>3|&ht6zDkh zy`mCPPe)QvuP>-C0YF^{k7w^Z$79MKmE>6!dzTw28ikp!1=Sdio%q*=IN8SSB(|Y$ zwsIM4A4ZW{M z_F^Q3234cE1|I^T&WK^%eC7VW24uLKmPca6J92e;ygX29v7H(H3SipLpQ70qR55Z=g0f5^qB9i3GAEDFg}-0?h(Ioen3;OPvjY=vR=7cLlLyV!(t%t?T{r6)E4z&Fi}|c+KU! z!rZ*fE?%2q7x#fw~^LvNB{bg$G_o# zBqCnX8Gn`xYI4g#vQ9=LVitx!(dOTxZ$h`GL@bnu<)xBEqsQaYOfh^6Nv9oEqdBoIi}aqQOsx&Gj*5XhFA1zZH|P5p$RUF{rVH=2dGX@HlM z7AwlVN{XrzqP_-H^^GVtw6a_)aR4%iuW#6mN4HWV)6s>RLk%v&suMerRcnb#p|GkK zlESKsVQ?7YNnZ$vLk%FV8gZ4c6#Nd5dW|ALDuL<4MN3j~hJ;=JqpmM|SXmBE3<2{J z`@S>Y0(b0xn}G|buUR{S_|E}B2;B$#Cm|{L{{zv$e^@d8A^DttcYrd6K(S0}#_R(i zDX3+OKG2}A9s<_s%2rpAH%PWV%u-dZHZBKfg_Y2pNs##@GT0ulnM^d*Wg@FRjS90b zloWDSM-sA0A1)-hUJWFLkn12ng!BSH_3Xk9Rh37_kx-e1Ad&6yw1l!hcOW|U-Yz;b zkQ8)Yf(7V|2S9xd?wrv8RWmz2eT$7Z%0mRn7=TKXY{g05>g6K&J(7atP(ku20P11% zWj9HmKqtvzWsqFbo}{$6Nj7tl+y`!uQYMnsOOV_hD0gn&XCv=v1825@%PoRcc{j_B z2NTgg9fV7@0)o1(Gc#3_2MN_Slp)8Qb|gn=oJ%zsNuk;XhzL1+08lG{rdze6)tsvB zD}&_EZFvL4x=7AIQfmNkqXqy#eGQ)HCON*kljQL-NVei6Z}oJM{2ocI0R+jT0H}xI zCvK8HHRR59AFb!sdj1|+YeN~<%J0ag)R3EchCX|Xt*s#|!c;$$Aw-)tq*p-?mk>W9 zDTEjS`JmSc0MuM~gjC*2s5Jw}3OK`xEs_T$2EfW=L5Mnt1V> z({JRazZIktOEaj$8C79&IS)=keoxdBtAU{#D8P9dFRo)Ff)LI7a!R!IC}%AhLYuFkW`_CstA=>9 z6&a$ss6>HEkEDaJszWC#<8 z0zSI?5{CX0kV4R&Y!Ckrvf(E17A_^};h}|>-FRb-6RvGWvO@G?&9^v=q>dEiNb06Y z3aR%(AxIrWQcKm^u`RM}mHMt>|HyJ#SyGlUy~L=wK(f*tB`Rq=;E9NW>|E z3K?KHl0wAmkRG!2Aa>Dh*r@t)h))B7SkJDn5zV%n3XtEqR)RuI~$oa1YFD_;!a3VU*mAXb73z4}ZeQ9|&LlwJXS73uo8NxD8!| zj7HB_(3=Iw|DgAFNqVaAq8OqV%e!(vBq1F7RqkihuSU27KoZq06=23UZ$S2{A!<bC0NkRQi zb56ZKQSZfj_W+LOj9=L+N&I-X_+`egH#mc8g28AoDCc6ZP%wC40fWELA1Hp^M+Ubi zh+pN5t2YY-Sg%`+%^C4O~7JrTd&T`l65@iP&>mUBvEXNe2SH%JIWloh|eY)Xb0 zE-H~BtVjw&oP`SD-v$7+CG}go@pF(Y8E<&}N^yfl{Cabxh+jidz~fgR!qER1$#p~g zibNjt8oDcrt@ZRE81btfbMh%WeqCrnQYQ;?By~KJLh8p*2vUy*K$V8I6!B~Mrxd>$ zvY&$G5b1Ph(O+wS`hNwnoW{iBoz7fh3Sy&>6fAxRcXxm72Dn=5Ebp)FkMWHOGo*a^Ry%Q&1W)O>5K^EAD*hWhP=^#l<1pik^`>7ELY!fueChs9B z1bzWsV3RihP{&Xl;)L0o^<}e97f}JBtyQODp)D2)+4WUA2~$m4&P|nAc4(`}IUkB} znQAMNf^#$C>5MI9nCf5ZIXs-x69#MAVSXPp@B(TLwny|L5;437 z>Dwc%1*k)x@V*iPh$}#C2XS;%J_@`N@IDpJ{iGwvJ!e(3E+|(YtO|eNp>MA zBxxPOm0bsbx~d5aZY1}U`n6{>8p+C#ua=@`h}SdDLe>AbZqdCyHN>-aE-tr_6kH}l z0CbBV08r02W`8x3zZlk5AR>HjUP3LVx~=K;;?-d)t{zb@YU`qY7fC^V8W@B66# z(L-3zYj`v+3|H)Rlue>;iPurEK#%Q8;%$`w7Q57?mmvP%)Lj)!0>!!oYUdIt2eM+p zIwFK5s@q7sj)KqE;B}P!(y25yr>Wd@wA{w&P4+a>zdT%`~ywW2m4>Zlt|*vNuhD9&8mI_~dt+lbrNaup9SGU@(wEx(eBUvmq3)AYW)z7cKt7OcH5N2v2%ZXc|rZ~CA z`4LI%*9~(v=PesGr|$Q0iXuL zlk%c-E0RC*jVW2#!5-{T3)#E--z`P_#9qyKaD*X#1H>Mkh0%-O^JNfo+N(LA_%Fww zVBJf&%T8dcdxG)#D7i!Tx8214TEK}7{~yG5mL&GAX!xc?EHs!cZYkFsVn#;9;>Dn4 z$)KY_EC;zMa;bJHQ-mvIirXz*Eqn<{VTxg}5)?ZIfSO(R(=fSelMoV*e{&p%&wNk| z?|33|3^TTuBLk~7 z6K85CxA&N1K7^L{z&nG@9FyA1zj`(!0;<`7<9Ixi^|cyJ@Gi$`eD9)x;`ITd_(elD zy_0ONMs@6!t@hUHpZ+L`_ON^E^d}p#ZJp#z@=}P4mU-Bxjpp2U0-e+K^L~ygo#pzv z;Y5IYeqjsDkgdr_@jdFQ)9c~Q(zU(Ycw{ONQ9KPj4`ON~qmw|q@6DpvcI~HsV_lha zFdszTH>5`3@M)_(A-H<1tw>GuVSh);%@eaL<4mLn0VuIuCHPf8?zKPFBX=w^q^5&I zl|4vBb>%!NKx#VNF3DWS^?q3>D8Jtm51v2DTV(hgJr z;dG>_-%^wO9`~v-;$3tPR6>)G=oXSap6c24(%4|Ori(mWsv69mcag&b8y@%dh&F_L z^T6QWv<1@l4gU2i9(P1{mA!Qx^~ZcYrujWE4E20q7^468sINz%kI0SC|B2j#D1*QJ z6p1LqfXmT_s#l^7!>+s;WoRZJJId@)a!zy|FG!vCZaHe|K#}&Mv^P($4yKQtX`b+; zf*Z9^q&e!f3T#QVY?5{yVY{MbSz2<0oug~~5%yQKyiQ6qvnAc+IM1c!Z?bFMMozxg$5`v+-{t2VqYGdf<}Tf;xMd;=Q6;n1bBe}V`p*1O_|k-k-2k=zt;IZh%I&3`TC8(VxwGf$mv6DT zJ>|ff5CexC9=T&eSiA5v%67v#?zG(}4PdsrrySlruohNQXO#0u@J#l=IZol_ktfqk z(ZLRO{gav$n-C8D2{_a9W|3iMRPgHs&+vT3nwAheo4{RkH(AqIS*dbQ^zG+eoqhXW zMK&r{Zk*U3mMmC6bwq!A7la`7WTIZWzuhLzHhmvnCR{891WWff|B6o69fS(ew%_l^ z7#ba?{?;qAWPkfqC;HppHQY`cRtCi!c!L;+^jvwZzvZG@$^NzjkdpmvBRP^yzor<6 z3tikYyZYNm7mE!ZC58Sxg9}}(zga*`jTMB$ixHk#jIgsG>s@qvg08#2>8T$2+vDoY ztCw6argPDaq8KzA?+Gon4EOJP$i${?Pue+vVnc%x>8CYo7G3|FEz(Oe|_X2DIk#j*++g|`r7E|-&gLf`BWr3=LbZc^Fzg({ zt_6HtA!2CN3EtBi?$s4GLY%zR5e{KSff%|%Y0wxWIbCk^bGEX-ERRpeIg9FR_N9n5s8YgOH^e+ z1LT^Weyfa;e|iWXYBQ{02Bv6B$WF(AN329@`rSPJUZ4@Um~P1teXFp90az4R0=5?V z46R3u?O|cVj$;$5cC;k;XMfD$RE^*P*~?vcmMp5Ho_Tho$U5$OtF7D*q%za`>B1%iNe`5v#owuMuUtW3`#`)OX>9_*MduYVrdi@Pd<8+u!Pt zm#o`B`EP0bQ>Gh?Z63V`$)-+cL9VbpMK7btE$>#&88_f>(Qa^siwhe+Ot$9KO+ehk%R>#t`>{ZkS@b*%)7Qq-a6Vkb;9eYaD zaER?-xl$rYuJ(O&gU__G{3m!zugY z+QW8gzLfk-m)H}B>;|hFAKxhAAHq}bAoT!KDxYBb&qY)?^}u2X@Cg>hXS8C32`WE@ z@*`9p6=$jXD*Z7T(5hg7G54WcO&MrT zHAfIXP@L(D=+(r2m5m8X0U9K(PRn-A)*{2uGHNtS8^&crW@^4P@hvEWx|; zrL@0Tz!Jh>WWD~h!}&Lrnl-@eWMd0QSEm*p`DADP7;-U+m197F=7HbE~- z(#GIZ2+mIm>)?*~ycGc?JG}(*0)ae(i~tO^cRpJ;MIKfypRms3&vKCH#n?`RK zf2!QN+9hWpV42son#-=SkyGU+m6C-D&D8e4v*lCeC~1a=ZBDvurCwkmVV~fPIzZu_UElq?*c1Glxx)@7!(b_-8E*B+1n~i!mR%&Ab+1{rX)G3 z)_2ESd&G+GyT;QV%p}>O>*RQmB=_<3^YCfoagu-f(_4L*$A+aq z$@~CjPmwQH?ES}heBI0#`3HM5Rh}b-}CX5ei{IX z*7_vH?)_AYlM(&pBubrv-XY9Ho4#v1A*ms?J?4FwJlBM@AYn)eN4|jm>`RZ+M+oe` zMVSc6#*BQ(oO(K;3J$S7MFlqFVt_a*I{N*#AZTAeC43H>se@0eJ}1BqOLaEmYlK@7 zWS3ggSht0;J@_FMR}WyDCDsc3a{L33+sbnZNfr%%`L)D5`xpcaVguqzh=8dApUS@Z3(F=i4l zoK%OGqG}LSC%C8{5L7!jsdmz+@^<~kj`<3A`PY|BhH-v@QwKu(Lj*&hJ(c4T2_YV< zeSg7W%t!3TO;^Wzr(T?n)0P=h6xi&>6#~{SPd{IS+2Yc3BFQwf;2-w5bP~2Eo+bV3 ziTBNrwdG}Rd=7t^bPUDibD*nbcrO8(Rr>l9duMX0H{_`vQFHP<{&`NqtB#(d+ja;G+hGsFg z<8i6KCm1nC;sz7aT_mY&x7AfA;k#p~qDL0@6nrBoe7_EFxbV^R>tk%gV!2Zy?=wFh z2kErK@h{8jGwnb~D-?aEI$^u}4Am;uXL_L2>0VO^6Tv9V*=3&dCq5&VM|M-PEZBc^1+Ve7wtIpG(2LP_-5e=ZX_$=Fnqu`K4CLWAs zBXq{VoTZeD5jn3Y+Y|NA!#9dlHH!^eDz{7IQNcKRA8CIv!N+P}Nxi;6e7-MYbjwnK zfL`NJXJ(V=#|o-J;wbx85m}72O4A773Psc^MFSqIw$Uj3#r&4Z^Sce+D|#+P^sIRW#_w+TXqxi|=wBB#6~^ zcjPhApfibJ+TnCqR)pfzTz54774~x$@@RZ07XWvIQmtYQ`X@@Af(CFw6ZLO}I$N}| zh{l#Znrrz|G^W2C5-F2r=jvoIEa(TAD?(QWy3P3QPa;C2MRxHPc?{0zoIXUoSZKyA zuo{v@COTPw?NUX|{E2*8O3uPZlJG*RM`c!Tx%^RZ%q}j%^!A`Iuo=#Q&dsDMBkzUf zq9Ji-{9954JGWe}CVB5->T)?E7NI4^mS?g37-Rbuz4YMD)*jJmJB~tRYwBYjxYq+z zyrBm2Hr4NFs;QsSh>-L2b9b_LR>)O#wb@52R;2PyG@)yT;?upUO(2V33LV5WdqyGTBGoE7tsGqL_BL z54FuJ{W+QNMWN`=uaRlp%}=$8HUDyyI-CC>Zv=fnqEpn=alFOC)$OF-V?)X)y4wVpu3W^Eh;}#@Q4Hc5u8aw^)0FW^&7{dj@tYA0t z1@!0gxo8yGj71k+J}068;lN`$6QyE4mzhVNJJbP*5Cs+i$zoqAqCoh+VcS4T-p@%r zPVkA*0*d%@M8u;;fn8#Xks|jEa5$Q-lt*JqcYrPaOui;zsxWnxoRWCr97a_ke-SOKRor}S&b`?$_sb!x(cE)^Ia`ht5AIpf^n7Itnq63HBx-ZYI%9$itn6? z&qqoqo+@Ba{Cy236n`7?k%yAv|J*O8`0JpI7zo9?pn_ZRj#>q`;*DU6R~6qxhJ)g7 zqn(A~-*0m%z5twr;&b+~^J}2^&)+%~@B9r{{5SxdD_4A&sLK_PSPR9~olyMd4mNzP zd@;^`#;Nd!NC|~M5HKkGwgwXlkAqxJg>T<0rtq*`H}G0a$1`l!I(ecrbU*uJo!qnH zMht&pk2BJ1vv%q7;GkbOqJ}-q3$t~r&G$#->}&jyvB?)VvTf;dgGn?021Z(1B+ciy zp>iyrVU61lu6F&44Jd@QX{5S~G*?iYxu(P5h*i&Oi+-0d9ysSU9QAt~rtgeX%RT7r zLqp0Xc4W6)1)nQ1T2I4x_K1J}YAvRDaHv1_v5D*PMn0bnwItHm1crNoSI4Xsd)bpQ(J z&lNO&M3K$7^ppr1#cn0u0EnYPrPJn8v4d1sZ*C*LbSu&B6nozl%}X{&jmaSqR|*oa(|U7qm;>$X9z9UQ%bBtMpO z5T1c?3{Rk-j{n!_ZSGkk(Y{8grazl5{D{W9 zY^00((KPf7G|Cx8i{B|f;qD7~Yc)J|{XOAJ9af4Pphfh&EjicUT6EMp_c^^}Kl^vI z-CM!CUAR+bDu!3pvWxw< zQLZO7xyph!;pq#mF`MKYRj+>q1?(eFVb0Ho`ul3p4%T@yoO#(=x7u@&F0OX?cCPl~ zAg9{h#Vy)c4NrX?j7xW+uL-B5>iIdP?%X;;9Eg%_e$D#?Zh!8pBhZGtJ^Tnvx8np2 z+rcVradx<+t?$X0VvpwKTbjQ5`x?#_kBf>NkR}R6oT|9DF_u56J$0@or0tjCW2K> zryw5-LC)(|v-(@*mVNha#l-Y1-SyyW^s7a)QPi7(bn#|uA_%2wgzz>(o_>UeqmIT? zI%kuyFSp8a?NV*i23$njh^865tym-MBR_(j%hbJ^)Qw0i!nElxRd=e?O*H4%t2C7?$QRI`D||0S zkhw%a(3Ti$Y4aFwEhsKqmzKLpQ8*+!E)Iio{U?B zx!z8moW2~AY~#rfS7QkI63JLw^hOLHn|U&BTdduU!xwz9d^U|5OSWOuh@nvfsfdyB)B&F&Do5E53)%I)D|u`3+pqsv$$q$d zGxq@RK+OYEOd@>#rs1jiw?$u!>cE#Sx68F+wxW#U7L7tHOVNuJ^fnot^tuRoGo183 z(CG02B5gYch5nA2-koevRbVQw2rlZcq(q(AAFJM zWvuOn+H5oP---B}yTUEhE~JYGo7Z`J>kn6P3Y8#)TB_lxpZwttIL>L-<^OYxh_yY( zY-`f`8%08zIv6J?7Tr)6puq~O2>n+)&>IkR3^q8?c8v<&KGbb~b+l@3^654iHzoEC{`)5yBZ~dHOgFN9}Xd-FEEY?&3?ZqLD(tMQaXc8%4uJ1>ZSW z+0+AQMlyDp2B@Jmlgj{4(}C?Cwy9Pz12kHn=x&N$MA)X^;_tLTQy%#Bb3WFZB7{Z^ z`g6tCaVWAG<%3#$jkO=->o|mi5HgY|y%JvEU(I7gfhUl1o+i@6gFi?}v?)ceS0(^f zvZp8%ZQ7uuN>rxjhNQ6pNiwF9TQD!s7I!dWT zI8bR3k$Od?&7TXE{>Eyc%Qi8bkf%nd*oblY07ikEd=!Z2m{^)1A2J4kAXd9DWNag3 zhZr)RE+w%sVrX_QR3Op6ekCP}vOQO;33CEQ)ogKU z6yBkwYPNy+Y;Fcpw&-*e?-j)uH;lV@av;VHc{@*z+y_!$A!)TmXVnAp7J+;m$QuRn zw?JMmka05px~0stUmlS7YzgXM4>azp9wTXwyJz!#L@*Lm6Y&S0=OSK2QWNnUl0wAO zNC^>-iDD8lmnXT1hk25Vn298b2nihom2Gh(;y!^)BJLK*5OL=cW;h`C8RbiLgoxfq zauF*Mj6}4iy~izyjo|yndw=PH!7rh<-S`GFXxeHyO>k?&A4nl$Z~UGH?QR?oV8XNP zW9uDq6?XoBT)vIMOK>O~mB>yCjf+tjz$rZ5OPsJ(fbgE}W&aQbvqLU#ILk|Lqmxhz zM>gzb;SM>x%|Z^;1e=S@D}v=-B*9+ec$#1%_mW^W+O+3DO{R9v%Ee`h=anmSJWVDe zm#N06J9|h_O`Ly_0q206kYWm%Q5jyJfwDaPI{c#yor0bi72*LJX3F*1&l&O_orRr0B)4ZLGvzuyt-OFT94K|YILZ~tcNM#ENRF;jzCuZ=kIM(L zhFP-g`J*#&0EvlNa($n)@*vU#6S3KVe4ZtLE*)6O+8&l?SD&B{>|#g@go-HbkBoI( zE;fuEVarC!4IB>+%QGb@=_@uRSFY|o<*V`Dw&?RYNxj(8Tzr1U+?!?PV$V*m6vw?> z`A40S-g}ZqQGU)s7z2A6a@F_p5cb?9ya|kBuj-kyMD)NW<4u6l#*BD>xpvT3ZXz! zt0b~BXXP4_*F1LXEVfL{OJ+^Z;eq|eUaZAdrLp6ubF!@KeQ+*z2SqO}=!{z;a;em! zIgxh#U!S3U$|o1;=7ibxe^91dx#S{g4&KMS!gF59MV@o2+4UEA&L_F3+?jgPx=zyvG4nEd)9ZmQBEKCych@wHxwqE?f;@|r+8MRJtsIND%xf_{qOSW~<`S?LxLPUyewuh7vmdMemISc?2Jiv-i=m9kP#)q5p6s8;vZArN3s#^37PZ)i|3QKscgv_d`qgSioDBxd5_K~O@(N$a z<3HsFI?4PFGyN?`O1q|R8T+@4&B~<(F|u{{P(N3bCcT1UWWN#Ql%`4fe-OOW-(mCq z!LG4gQ`wP!WJ@jcGz7QnR{LQO_(DcLI*Vq}CZ6n_`}kl+i~D#7@a|Oh=6%Gdr&C!b zUCYHYzXx(ZAD?&^{;$*mGP6C98~apugBOT~`QZVm1jjS)hp4^!C&#FVa+HpAo$^dJ zv1Z5Xda*N)ge`e{Gv#SPf`KcSe@8Ee1lOYGc#*nt=u z&zio3LLl}2OZi>8LP#sYd2{%G3mHZhf!ct0mQ;k25%HoIJuh-gu%eCPY=Vv}vK8*R z)C?FVnhqhFM$vWu#ua0yMUZbhY` zw3PF;N-H&PT%nwbZf>lWH_Q!+aPQ`9h&N<~?Mk?hkzFI4;!VQjO0P8Muu94ns!Ofy zH@y+pAr$dm)8Tcp@)jRhJ83%W>#tN{FMO04l1Bo2&sX_XdcKbh@Kd6t4f|LND%|0x zR4VT=9bxDO`^Qf)Ij;ICeRY!WUMg0kqy(a2lD^%;rui$6{U^Mq1*~}DxG|p12vDp( z2i+0pQxS1^|0)Q8{m~*mm0`@|ntSth_^>iczKv(;RC1oXcP++aX3Z+a%rv-)(qFn9 z&$d-jdPw>4>}eIHoy&%=RaM@Q)OdEfD%5!x&-{(JLZZROVn|1fN@pLqnKQ0>i#XXZ zP-(;i)AlOxK0a>E4piz=%dZba^%wCX3_S@{)<_|3*{9W%mXgPNj&G_dg?bta9kQ}V zN}tLmDavT7^{t{r`xfJK@(kM*t^~36Cgm$#TNYVc31t78pfqq5v+|`ha3r(T#u#zc ztc;a@8$p@YR5-J?@}=kV;l9j#5rMW{9hA-+E>L&ZQEL05cR6*bdxoV4gU7#hl&R95 zVFGDxU8Muj$*!yXfU9Fgu;Qchz2vsQ3|_0Mh=AYJQ<@X@zx9+=y7^2O0x`GMS4Qfp zh_tzZGC^8CNRVFF0Kx=mnQ9@(Y#b;`mV_t?QVlIrvmr{>4-h3EH&l8OgKG_y?Q~t- zNLfNxQ)A_v)U2OC(l-%?32CByLCyPZ6XhCRw>JecJiSvhYrme$&)*`=dt-`Gw{jwCgy>ZoH;bmgQG@3DH(2n~_%JGwkyh>xOh!Fo8XvbF$@jF{7oE?a;V2iQ^w3e&K0Op!%Adie_E5T1uT+s1qE6Di zL62xdb|>03XHg$j#7mb-El;oVH`whSN>}fsS=5i!#^rA~TJ=;e>!g%f%r8!(@8_-un&7Fou%~0EV~zEOML9O)=Sx^ld@*96@8T1fw}LW@Wv*{nB<~~hDEDL zJo`td-e(Q^DjR*M49|pO?DGNnsV_YL=NZh>Px(#CpT*4mm2Zg8-Tq1+9TKe5Kn!Fl zvmCnyDs^==qZ71SdRz46f^mFzUG&lz8)!TJ9E2D`RTm9a`st)OcUb-~rJD4{9rkRP za)NZo9j??TlIn2fM?%aUq15KO+#IQNk=op54Mw4DE8lj+j#6TH0UNE1q8d*|BS3m2 zve+@oF`^VOR+%oPe@OX;Z1-4Y6-gNP8kq*zE5<4BNRhKeX^Yo+Df{kqWpCm*ukqed zSOn@&3HOv4STp25!vUkWAKhg7F0a5Z^~#U;wj}4@wj`hOidvv=Dr)IZ_ye4gJ`SeM z#K_2hSdvSuL0ejV7mTEP4r2>%${CNMjwSgfNSyJ?NqQ46iY<^&B%;h}ix`axxQsys z9NTc-nyt~onqv6(KDeR2frr-NVjQ+>yK9~?808ciR?tLnq4Y6d1I{70ff z_!$N+d_|~2f?F~oClgz}0b)bGXVu3i()IobW0&mZs+IYD)Vi>mf=$=3x! z{)3bUQO|0e=yKFjJA)|Nb{&CIa}Sc}kUI}I$M<03O6M0CQ&5zVpFpPdjR6N-Byg~f z6H9^4Rn_FX{>c$Bp1657q}IZ%XL3ZO$oTP01CgN}>d6s`$j~}*&T3d$%`Ak3wmdAd z(6Rd-D5gd$oXUFNtKEQECMZojH%Q}I`~<~VYl$@8J7*i!YFeV!`#?yapbThW1_CvE zVR@UrIw9v0@*ZkL7mItk@od>dr5THvsMK!og$_6WSd9A=SE`wFo@#U~QDcs%(YsQp zh8zq);Y8(>G$x7de@mGo<*#*wPf|X@2D!ED@ML8*3>IVjY0~S;kX!5zJqlP*`Boe$M1`v}J5!VzExvG3 zFr*v@cf!9*_zj&@Hfqp^1g&zD9hyQj`WzNIRcTpm)$s9n(Zpu_6xWU_dG!U5+LSGwih$R0I6E~}Y0@Mq=Y+Rm(HOw75d}*-Z>w0D$+v|+95&v&i>>OW zq}&tUmZIZPwtBaQF>}09-CxuQLJd*Qtno@6Y4I>NIbJaZO?TD<-IDc34`W;6mGf>PCAkfLqL0*kFkP?`kx2A+#^!2}FodHN26*iQ*cj{&y^ za?hbp2ITM_z3?ixexCj`N{V^T9%QjQ)h2I8!X|%>e?!UuRI?beD^Pc~a`WRgB&|D3 zTHe{6f1a-RCU*Oc`z5`i5lQcD@$P)#3i-@aL&{983h&N`G-&Em*eg$ed4T9@hhV3o zxU|{9I;!6uW$Hh_I*A%mgvS<%9zMfKnA9Wm3cQQIc7;uTPnktsTzyYznRuhW=;Bv# zb#?I{kWs5wA>TNOq~;qrgj&ou&?7b9K%X`&Zd$@O76KU&;4&6?5dq%DgSqMFX$azL z+ID#oipepmj7EaA;;NBn^y<&Hy|2Vh6k!2hlbj3#VCf%)=NGZ&`AZ==vJ2D{&o$g3oa6XUWN@YY$6K+thF7nUz=m*jEE!6?W!&m%^ zK>E=!@~so-4nW}D;dIy)n{OU+ajAdWd^3@-`DV3eqm~wnqaSd8``Z~u*>6h~FG&lc ztm-la??@lLj<>Mz1`6S0(*3@0b1L&0;_F$PZ zj{@lXAET2@YD)ohEdI3sItoc1Ky6QOP^E8oWZ`3ttmE`iRB{n&%?XO?5WIqd(rj;@ zLfD#Pp`D@~&d>hc?pqCr6e}y?N?|g?87rT+5fLlH87m8PZCJxku;epi0h{!R(o8bX zW1oMbgwypR@?Im3U`havENMAzy8vylT#2CTo6D6pbX~U`3o#+{Siy3|Lf0lMaC4i! zfMu)zwJ5+>fEupmPn8yQ?XO)w`cxSz^_j=6e+oLd)?~Q39WsxNW}r7lqlfEVhCAp9 z^H}&wz!T=N#FfCu_25d-!?kcFZlarHv6i1HU2EPxbiJrB5HEOoPM0nA9C~enf$Aw0a(!y9$zQoX1YCQd-gV z#VXunonOF$KgT`&u6b72!&N=Jj|HrQy_Ra%as1kdtyqWKN)Mak=XHu%H=rtrWLWVW3il%23tko_N4#jr zd;7j%(cR6|-TCOZa*sCp{R9l&-Nn2q7g;{~9YVq;XEbDS>y_$~bF6y+R2pBLW8Inc zif`nA-845&rFBH=;@w>1-Ibl%co&Eb+yv$0-3bkv>cu?j`)dO+zFo%EHNKrgMy-4sU02RUGW81Yx*3G( z?7FR1&^SR|7by%rb3$ERS6%=_8oXxX3I*L`*CYVQaI_QLBlU_QC4{Zpp!iiuKyXX` zSBJA#RtKMfy|a_%vfK?yvb0akE!nh<=pai)#_wy?#&brpUCv4k_C_(-Hm%Z#O;}9b zrDdAuD&@UI9T2B`3cq~v7mdzV4r|ORZC1Rcbe?JA=(<_KgQD!hTgz>9d3|o_ihEKwKPQipMjkkn;rRaGTb?-+~%ns4;BWb=7PcS|;(cXS3>ns>ATA)0SA z2O+vP06xt-OeNv^W)+rQpQ;ud|L;_xu4%BNa8KLwPQ5q{9>@Tt~kPN|=w zQW9yd6`6&A{RI~CY{r%N*XCL)kmPf%-0xoEg`n^ck&nh&GR?MTQkgSkzcSm3c7|-! z>IuxkR(bl)xYA6^lFjE^b@KF00imgug`eC~zR*8UU(1g@$x_Bl6hpT!;t-Y|4vV|f zO}^CM^P+4K8;~lf;Vi@`??y^;ATE8CnAkX_40&?*-FDwNC5(ZV$Aor5wh_Ej1p!d-rjGe+l7sTTVx zU4qI8r1?3{0F4o(qBB5O#Mv8ErbTDRXSqr6ZA* zsc?_3hV0o99;#sp<}F1h;yU~_`xd=-S%__|;h8AUIWJC7Si2C8iVs>6C|`i=92Bt} zAocIXR2&gc>337E7vTj~>Xez-y)G10BY=$qNen3mQ9y6X*%KqW5PCF6R~M&VNBd5X zp(h(rYM=R>UOOOUzW}>T+UjFFf`Khia57QVSxDzlNp_#3qde3@V4>CrxdIh1&LiSl z9`J4uHA8l8^3$=iaY*Z|M9<{h{4Ol%l#*HD9;mBvsmyX3^X0HKHt95;H&t869H*6q zQsf#(*Kd@;p3<0gZ1s0a|A4naNtP2OXPD)zin12ZmH$d6Z>nG(5T4{}=*3U|N z?|=%^h{s`?)Dw^DQyp*KRD30A=oB{n52d{%Phq?NP#Q=N-==H*PjBHl=KLw_r(4Q* z(y1wI^KIO4ygh~KROM^QJc;d4aYOOrTXb#2>J%v1y=PB?Ic&!)_H5!2*Z4o$NKDP8 zzD6ZY4FS)bB0t6&z*ATlQcKF&+45o24da^bFGUsm%;toa?KhxhmSZ+a(SwKy-I zCEvlV%(Q&=?H#42xgX#}+ph0}lz1b$iw4Wnx5{Vb3zgssN$b!G+uvj@3UU9r=uOtI z5KrW1U1Q%CDm4>_U2~Ecf)wpDn-79T`hLjKqX_G`U6(rH&3w;Yr*H{7oqX^zt{!u0 z=20Z@u#P);o)JM!VUdZAyuCK&{a17u3}333XuPw zGB4)hOhgj;;IV_F-k(Yue-63tFU1qj6w)0Te=AIqe8xHY-B8$BY^Eg($yvZmfr8}CJH(4afobH(BW%|cYYMbtOqnc?Jyfoc$ zy}GGlc?laYq4NUFKj8n@!!M^9|@4$bxE{ zW=n?}uvN89;kY_3)HXHHRe4%_vWLOZ@w*qe;%uFA-@UBOD%CY@mo_$ZWY#r3s;FVK z`p=2+-^<#LqfJdSE6_97h;Y+w>^|GzsNcpkQ746Na4c(Ude>iy#4+(PCbJY7!a`z9 z?WGBu9k0ijs+E_g@r zxr|fRjPu8_cZQoFJ-~E9C#X<%;2;y8+a_&d>j#@^NDD`bD)$DP z%1dsfmqSg1z-qI`Dios=SS?uUV)esN2UvwvlF~OjK7Z4+N|MI^=4dm~)Js<(vf*Tp zgV1KtTc%l($5#%oNv4nVPN-u=ys4&68nd14e#cZ(n%mX!-8-g{o@AgV(@it5B)8qM z`90G|k`(%@quESTXPxx-FOI3ROie3Gp?QwAi%kFOq_7hViItA9C8kPsrDhvhGTG+X^-i;)GghyV6SO2cd*d?rYkz>?skW-!{j4L6LvUiWtajadZ^#*kf{T0Nx-$a zW7Q!Og5urn>|~bdpmdcvk`9|1U}1NMic$vj^g z(Sud?F+Y}$cXN3An(LS2jhum|Zxdj~8q|Kr+yHZ<@{)OvV|z7obTJ=%UfsM5U34!? zt7)zw_1eaK>zi9SeywR9>*;p)XvO>kI{qF!1CaPQ%Dfk9vF_34Yot|3H?x}v^VRo#^NVu45&!wLIVR>moJ>@_d@#!K z*>#nGne@ljuaJXTO)F%??x)FCbC%?h%dYe^D-LUn*#ZyEaoBs9d!c=D8~|73kXy0l zx;oD=k9_9S3m))wk>itI=2nugZPpunzjauV`qqE!QXg|@cprf9N{$up;#h6}#*X_- zC>0K{P$~>5Qfd}fstgC>9^~oeS*%@O^XQ=Bg0J+<$LouHRq0S9$<5yfywca)rUaT& z42`SD(FXQ2Pa^e>_A~z}CFMGH_czF+bk znqNpk4;^)eneCGAhAA%PQvP9?Bg~x}3b7n+>m@pvso z3y9?h1Dj366tGn?=i(07QsLC0eQ zqzXqHniz-uNo$;gzjMTm&sA8-$@phbeW<$npcjZK=!A39l9OxrjYW)p{Oerhi~dsCIHVp&vRvhD1V}~RpeR~o z$YqStB2Qz8OJKe7Fh;P*>}OJuAB@lOK8A75#>R|~KF>J72%$fzd-*?GwWhdWDrUytxQ(BlJSZ+4mr37-Fm8KF zEo$m5^f~MZ@ngvPN!@}NA3?ccY9XXXKU0wb7-L0N!w4Ph_Oe#Uh(N zhaZQj>xCSTHD%4h7|VJXBQ)zC3~AO)7-H6KC=bhe?HOo>tG${;i$Gt`{~wf+TJmD3aSI}=15e@UaCMA-n-!?PX_%SnukRz&U!0`B3P@?8zqEYlH9{RpV)9|_ z2(>n3VP8{`^D)MXoQn}!8CK%E05w|+%$JK zWn=7tOsZ(2J z_mV0ciH-toKYwKgH+if ztgL`V8hC?0yGs_T%fV=zBettB{D-J7Zoqv5+$HJh_GOjCEJ zbJYcD>el2RyeBYC9Yg1`)fcH#Dg4MHbwdorkBihln-)`bO>LZ zy=f8k9x?MW)EC(zs?YE0!zk8qvGNvmtTlPKQJlJ6jmsIU6L5Nm`V+;R*r~Qxk>WJ* zVx~F_R~n1KIa{4Ujw}*)Wvd+(#ATs)^qBgV4XHOzT$-zPb|(Ac#ZA}MNAc+2332Ex zb$M36gxl(^(d)z7RejJVGbL7k;Ar15=N`&!+(LOB`!=RJlGxp7ald!tTK zRv36w$`B)kA$4!U<`3#F75=>;!JkTD$oU(hYmpjkD|EgnAsS0zh;UIn_*LE6p0xcz zbg|RmhR%jI;sJY&&YGxm#E0cIs1a1!pH7-)sH+^Ywn{S-6>%K)yK1VrPHq0cau;cS zxcJ&tgVW(w;bJ9sO*aMU8wR62G}TB53%$kN9-7-YP011GdunDVykkPq^O-krq7D1# z9x?94)C~oHZ_P@wq!FC*)+EvL+EvE#o;9T9vE3fJqf}H6W>?l6BZ>9lbrp>l46LH5 zOzt;;=~Xm8le~Hq*w{0@9+p9G++ITWdiLwE2NmWS=hlN3KAJkDOFfwEqp3|a^`V$DDe-npE0l1)xUoCywP)PBJlX9XGT0}X0w?#fHo8p^o#nWok2#9Epv&ZME6 zxVWjNqJo@oht1)d?qrxd*hFZ~Iec-IH3X2+R5MQ;+)T5;hJ@#eMJ+Y8QQT8vK$He& z#VFXNXw4hk>N^Dp=_GpmpusPs5j?c5W|fjU0o%@+5R!fhnsnCq)6PGvv!*Exw{+G- z)6V{(v!*BQ>>aykI#4IDp^K(Hg%@?vETZt_u1Jqg;6hi8y@D-Qah2-Ewp1`u1-Deh z!fqO0XA`PCa}X3o9Yoc^nl9z!1uQCH6jcDOVQY%FMrd9$KX+!NrU@>~PKyp>G`M)2 z`cQm0PBR2B zF|Rl}^kiIJSTI}T4ozok?vY(*MV~nuk8*64dl1)vjpl1wC`tZVF(FM;jCwpHPG77+ z_k_ha1|3od;P&iUK$^Z{##&8Z23D-sSlf}f)7K7Y7s5#?E_Bp|(lbX&X)=B(&QWI)jF7_NQI-bFs3z{Ht#2Kn2W^n>`f*sC}9gFSCG;bdq(@xxn9a|PjJA@n^W z{`?U}zemK@9{~}y;PHFSUC-@pYvW>oX>t_KdEN8y zH-jJY>A{b+ci_uE8ei`Yt<7B9VpQhZ2BS1*WoZ9dvw*zn1`j`LT6ngKu8p69M9zu9 zZ{abDx4EMTP7%>~no%>=lT<=8!$dQ^rHFf$_0Z7H!=Fm7(h!~YMuqRYJW6uQ=GAmO2=*ZbC8ooY`w-Dcm%qv#; zDStdVz(G|#a;M7AX&{UKkfri-|A|GrT*3qRBQc6UjpiHNM;wJlPFw)VZ307_IL?-_ zS=|IuoVdmkPe+O8q9crFI`Uk`qCX&e_th-gB@213!zlf^)!?3S1lp)L@A6Jf^6+5% zlW9IiJ`w_)IbVtU{V?d@%+->(cWJ>S&O2u4l~h7 zZetjt;<{JtgH?#o=alg8-59Q@xS|TpbL_3~NjaycQm75hfx9kTBSLbZk}FrM!nG3; z{*n~>oI3$MUAYEi@d;Su%3ZH8;J7_~iq1(6wF8dBuN5$VjpHCz!2C8cUJiY1j>A6{ zF#qLaP`4sC#HQ|@;Z`tx4(AOADso=JN=!x>+PJhZw87U1|2mp=0e^nmgTRRWoA56> zPtP#;P?2jF7;~3iUC6*JaTVk1 zGGvT^4{{jNCtjIDye800-E#*nyQ299=Pz zY0>W(bdhih;|IHAHj2o?#7l;lC)~m~YRr$qFJb%%gYOZH7(c4MC}qgU#K3zDb6EI@ z3Dhmk@EW7n5FCT$(l56n_IH+xpzH8+3^PxlUk$_<+SH^uTrhru!50aB7^g5bhKCVm z^b3XFK3r9>@!)F2FJ+vtQBp9{LXYo7;YN3RloOkTiqe8M9)h~-D5&NfN{&>1NF-25 zcmjjqLw+i8G)E!r;}D{sB1EagNoop+jSC)zd|C+Ikxw|@#nwU?I+T^RNPzlPIcL)Q zE@XRfZZ+?H`N;~OZ=w1|{1PV~CLo53gaiyD_NP;Gph z1VS$#?Ao6N&fYB++x*~RR8qwLo%k1Z^dSH|wOj|=Q=Ed%ZS3;_eYhX?fTM5^6oVJF1B(b-D zUUA~oYgVe6^c!;AL@B7rHu2CS<5w&)QGI`?R*f6retZ1@JdQHcmKrx+$+W_?S!V1B zSW}IwPVx`I86nT<@yqh3Qu`G zTZ_&=Qzj`s>Pcx*O*FKJBh8u8G=7w3q={y|M60E_CZq|!8$q*2Wrg#uz@+EbD71YZWk_;bPjre-|7)U_GDMw^(W z)S{D4m}-}3R3x5CD8}FBaa666gU!CdpYt6aPK&_LfLy7`-A%_ts4@^?|h9lUc16(EhVG&r<2Owrg;j+!+n ztp&KThC;_JfwO^}&il}63+yj0QTS?=isE;#2FD=oC>gg{JR8LMC~6E`M7jU!Ns;+0 zX{KLYXuL7P3m)OQTAElx5qRg}O7IBgG-2+Di^i7$S*8@k(FDs?m}ZtW#?ZezPO-*k z7i`9#rA>M`lLm3YT!y*71*d^;P0p9s9M1?M)V==wBehD2y zqV#U(QHJ1JTs^aF7Z<{)THGk_kqc>cr?J|;SVn6*ja9bgLikvVyGeddfy=eI5YlHE z*wx|u;;W>%Hp6x;r%HZ`oHJ7-L%M>qxfe@m?a+IyLj;w#0|gk|udv`?Iu#_em8U8x zk;Y`xIkJH2)P1`Jjf~kXVHQyg9x%iJvsuB8I-Hl+OopS=-?{0OnPGB!THt$thjlpj znk^A+lFYp!CUA>3&Lzr#$3G1FX@-I>xCeyA22n!vmb$u}hPG04T~60*F|c~X@lpC@ zdKVMrT%E!UEQxJ44pXY6Za8vA8IqW5rqyo1(oEC4>T=V~nkMt1aR^t(oX$yx$sycu z_t<1wb&028Jc?#R8|hOB*SeBDqRb}oa-M55DnsYR(6b)bia0KYb@jN$kv`k)tyI;M z!cjz=Vf(UFa(k9?*Ra&$SeEkPS!&g2meOijYES|;ng4bW>T~LPc34Do;z>0wrD;h8 z25NLih9W(FHcbnl&;g532gyfF$rA?nr9L;9oJ)g8^|?``a4vLez-elpn(Nw(e&CL8 z4#_RK4GFuS6*eAMjp95O4G2B>!6879=ZNmSc;D{axF0tC16d^ zbL5pKVk6gBiH40_P4rloOj(xVqip2rDC6nKr7MkRBUf!1Pe-l~b4>Z;DHCbrsvr@t zk*gUBU^#L%!n8bc)yJqja@EDCJaYYx9OaQ~BSz(s%YadNyy3ew0S8 zPbsBz!bYwnZ&|nU$km04jCYQvED7ayk)8L%-54sojY$ZW{qey0$VT?ZSxGQ0jGIrw zlfbzNr?tsQ9^e2jO}Hv{jmy#Ie>@XQV70+Bq%sQ&&=sy{49Aj?ruD z&O&LElP94eVA-Cy{!XvcpSj!RNdwsL|MX{f+>EHWnz;ux5~6!>XQ^b`pKuLciKQ0P zuB`I&@nWe}lIw8QR%Xc!v9tt((q}b{Y01^;wrPrmPc>iydndgY4jlw7f=a4H0T60R z4o0FvH?tq^hDqb2@_EGuPqSj0Pl3-ZxrD0OPG~;pO7Wi7lQWeKFevd58-9glt++*1 zRsS2Bx4(#OqBuWA)zgmunbEe%urQjd${%sCXqtq{CE7K$<%r2}C7PR3^-m=HPf}f( z1S48=jm-XP{v@W65jbC`s<537nXNhB_!-l`@2@6M))q7EjR~l_Ow=R$C;6+6CK}ma z%`HvC{8bGTjqI;RmZp*Xm4k^Wff}q{*|9Vc^HT^Op8Bih zrSZ&PZItoUUkx+k<0%u#U(J#T#t+58d|$leuP}<2g_vB`PK?T~Y8ysnSH&SS*;V;s zRCZN17?oX>9%*G)H4CH6RVCs1HWXy676BGDVXo?{ovivelnsfx#zR63mqhx;i?%=D zq_MKx%Qg5iTA_8M{+-!cRpX&)TWO-uzb&V&K6NT`$N4q$BAzr6s{9E9RnkygjG42| zj}v#c<@zejwxS!yY{d%SouRg}=2xiJo>Qxo2sURjjupGM=PD>XcH+X|z)mGwq~B`r zndPYY3ry?4-HB?E%DOmZgk8KPOC{4cZm^3-vD9KUOZl{Bsa5ppN|m2BhNU)yV=BfF z(l!;+J91%>qeg$*71|&S*PQr>E0y&8qygxf*c72QA`FqQvGywL^4*aWC0IX627gb`GO*hw;Uz++h|WGr7Yo z#Hie1CSX+VFrSB+JIqInvJTUPUOKK5VqzkPQu(Z5& zl!)O{AgA%}WJzMN#%=$lN{ICw+?=XGul}o8BaURjM8}4 zVdl$t+F?4H@fICsq(m@&5cZPQ?aUo!1xDo#vlOFphgpnKxx+XhGr7aqVpQ%hFI$>B z%owDtDQ)A7#3=1Bg#joT+be{_>xia zBRoT7=Um&$Xnp2B{#Y`bo=?%|8sB3oTA%d;b_#oZujDoR91Pc8uV3G%dztia;YiGS z-wCsxI&GQt;2HeMm~#`1jFSE>tcR4zlrp6h<%~0s|A2FI%4d}HFMb;Y;TO0-@Ji$s z*ndO_&XoI{hh2%>X!p?a$U%3?vMkTjjDvqkS;(PaGP~nH>|aNuH|AQ>-#NwT?M(EL zGLv(6u|q}FIo(1Zxf5%c?v@98XL5ngcQ2sI@ZkXZ7UKph7_$YhFY`>obwXWyGA@bB zCS%S(*eu+bQD201T!Pjr_U$cnlCrK#kU; zMP)K@c@sGK$RcQx$Eo4oY~1^*e-4UfbDeA%&Ch3{!yL{-O0+)0tw z<@6_{%9+#&59e^&a_oTGF36wG)zch2ue2&?iB|z&dweK|3_cyRWKej#41;(*=VN~Y zkAY(={FDnD^jsHR>qE7yLX}k-y5e=}In>J;oNO^ccMWmx&=8mX5!a%JKs}esDes3h zm)`jHISFs(a+>lbuYSMI5vv-w3rdoeC;pkjEy05%dE%^8?txM=@{WgK#8R%MWaP?2 za9}A~JUe=K=%8r5j0;tew!6jf>j6C7z>TCLblJ$=RQlF@Qp?I% zIsHDqa=)E+i58*8INS&ev!ih9bZE1ct4+f5#Q3dTS4CCGpuKDH>+QwG`Xsv@_yQdM zU_wtqq25+(65npa-*`#-YWTXHYe)vIhK4)14J2<3A1!t}jy?1hWl%v)<2>Ju< z3qMU?@CVnF%wG$a{y_Wsc`dy81MMn&1vJ^sH6i&KFl{#%>9~xR$`1JHq1_&?Iuz~Z zDw2*FP<{_rh1AUe|2b0X4tf!YfbPMi+B6Epz@(BO)_nE#8)&p zcj$eBvlCk%z@O4d{4#iO2piKu1uloVCnk^Px`^uhNX?7r(e^F@`y*VRc&i7pN4tLo zo9WhkYTUDAk9KB(*`t-mNBsOSUqLdp-&_{A@N2(dJ8z{7pcDgr2?KPAEK^}SIk)6b zsxoKS7_)b!*?W}A-ev(LU*Nn;IlCv4v+H`4OLLK(U6oW?t;IDjLi-z>4tz7Yw(fsj zLT-2FS=Q!UGUHat@jWTHdW&`KEtGyqDf&4QeTm~UW^!teJqsz%oJc*h@q#FP(Z;wl zO{|*5X%&?wr`$(tzC~?17a!(6h%+uLqs=1dna%n5E0gaR8;&q!Hol03L}=;>24p9F z^oBbMjQ&U(WFS3Rod#LiTsyLD0k|IHJV~822sp-7;X;^{cx%>k%)fu`H~HVE!cWJz zx+F6d)*R!i_58qeiWjjxk1DZIR?-xzIMy4s;LRGip^%S4A>BbdaAIYgMn%)h*S&Q+`01M$bQ<9r8Sl%nJ749SX)BsEw7*%)Zp*=aPI^pt&NOEIJqymA2PwTW-|{?uw8F-?cC%pAc@sUBIPW|c z=|1il+VO;GwB<~_cxXJcdpq+OXLo_qN{6uLCQ&EQ07hKk%DbP-#;g~oT4v3jRwnC? z3niml!x?b>0{YeiGojXH&fnTN&lLI56sc{BC}+}E3m~@=W!f!?5@4Dfb}%6XQ>18y zOnPR9M7oLrqDe;+LTieAoGwwE#oG~>B&%h>7#8}&>?_y^I))8}$5-$>q9gba2NfuV zh5q8ut6X(?s;G;HrPoYT#e37>(KXXlaq%><`gN|Vf<#Ufqi=97=BeV=NzAeCq>i=y zuXL&y0_$#Z+8*jhvUmMer0p_}DmO{?u0!L@-t~VwUu;jahL*}26!+cvq7|jIE=Bo% z9Gjnf&xLNh%_W%Tiw`D1@EvZf`=PzaVdEG}F_(^I9Ll)O3(|aXDy1JtaFc^_w!^Tt85``FQ~Cc(7(*s(W`0^@y7E3ode zc|7(cL()=OG)^cR<9I~l(ws7RJk-j=(Rtr^n3Tu0lRDVzIJl5!>R?ynpfC?7k(G8~ zjlF-i)KK}6W#)i=ADB8=-8jh@G9O?Eo4gaVP8ngDb>z=wvVM6`(!pMi7P)*5&u30? zfU%FzF*R`z_dnu%@c{25xc!*xOmgkS;3piu>fu`sE#A zA$N?ZpZz~6`_sSB(Puq_jjy>hv);qsSm^!7H>ezw-qYT2vrFmyuB1{gy|;zl|K<5g z(EcsAvPAjj7DCF>%HJ8uy956YOEk4Wvv(XHCbz%{tC9lhM<2hQ7eDNUsKL&pzXHQNMgPILUlgb!StLL<|am{XJi zzwWJF=y^cSB(ApOZ56^P$|4K@{E&2-Nhi@2?4dTbpCWhqL-;2y*jA>5VtZa|Yr+IL z@Ln1tCCmSY2bOhBf6QiVg2*MrYRJ-L+TZ zybqYwZn7J56U%CcSa<#%TvGDYNO%E!Qu6!#Hqi!2$3K>B-P;ClZ7<4J(j@ITC?08Q#5)OF8^ z;HxOiE_i1pzLI(9yHJT2%r5v-C4Ri*f)7~X03;joSwkH<^c|u@-vJyglfC%gnfEXp{`!Aa#p*_& zFI2yVa?SV~->cZ|@3=zJoDVd&SV(jJ|HInB0lu{0Q%l;;WK4!{+b)tXS-m}p z&Mhq)%#wq}QlUjl{yE8dEe5sX{YpAS?}3A`2Pbp98{YuE{@>!i z-T5L#3%6T#_{$V2n2f*e;P+|&-jtHVFe%>wQL`u)6IO9+3i~sDr_XcB8h+nBFGj2e?-h5;7>kU}boA)BIH=x$9yq9yB1&!AYc-Wi& zhlIbCMXGzXlt?~u@;`{AlhCC^a=0pqbnJ>G()G(FB4uBeL|SoK5-H)bBvKy>nueDl zyB}Xf^52RF`}0>6u2U{ZBAt_}Jm>L32czWfCgj#z_R1f3pDV%>nI5skC zlEJw!a5x`IB61;PIPXogxo~7SU#Y5%Oyi9oYm`U6qq;u484etpx6M6c)tca@OIfxId0>qi{Zd zxI~Zxhrx9M-@Ma28P+cGxdP|2xVW{7bCDG^TE^8u1XVjljK|O7mF;ANN!o4}jGG@8 zf1AML z`m;`<4$B?14@S)4Lr9H%kp3(GE3qn)i}-E#w?(AwmW$Z*hg3xJA5szh|0pSX5BzVB@ux<_to^LMWw~gPHk+xATW5WiijJX@6GJf1pQbrvq zUQ$Nw4N@7O*TdC${CMkxPpF(^rku61a@LBy7VvnvNvjM=Ie{6HaugXQe6Od=%E?K$ zP|kpK7`~97Vy*sXxcFir|Cb`*@hX-FKRbBHVy*2Y8#*rO>4X`5Y?WxU2&crgAFedz zc)((Mu*H)2UbGZV%|G4$qY#}<#R{Ewq|gQ43>0J3vtcN zxNsQwmbq4SmYHO%#bRY@2cBU>5s+ ztsKo%_DiK&T?bRXt1O23XsuS8!7F8ONv%@NxD**@QLD~oWJiFR%lRzp*w4eoRx9{? zMft^xOl74lf;y}C>g8k2kiLt=p{w}%it<0CnTXn?!Iss$cll~&h&Bx_BZPmGYNGjz z#blumn!)>1!Fdf|x%@gaBqJ3<*6>}+N0=c^QvueXd{$qEi#^l%Foo-wg^X>%uafQb zTnL*ocwdix61ddZ8o3beBaVDYfv*|-Kh7?AgQ#h2`LY1Yt>YW}+*lyN!lR3hGwZ6r ztcwmShZew(>u}^-Dw9wb9=Cwb04l<=b$p(6x>=|W$)$v9lq?AqA%RN?rA?NEx;IY} z>b0RnsCx!UsC_c*J3_56NJ34r$ky2)33cE%{;qZSzr#h?gkHzBi(V2+p8Pb?!-?N< zCeTO^uYbqU)I$&DH}kd0!8v01X5K~Nynl9yB={t1$V-6WtSVM{6T9SJ=XWC=e_Gf7xD zO_K1?G)clW66PBT6D7Dw!UQvevC|-G4}Z^ET|8W@wwLD>s{T`$gb$fgI!u8c`}pdr zMiTIM2CO~>7VhH(^4G7TxQ}nDD8KI)lRTS#0kWTO)^4-}X@f6hnkNIOt-Dk`oh4kb zX)ZwFRErHHILgS#Ld;C7{Q_zG`FGY?DC3#K*!?R`pyf#}JQ2Ggo&cO6x*g>!D!dt{ zuu-%;A$MV&2@8ES9wIV%PtACfq{~@M@>haExq>Az8;rq8cO`toi=IlwYdrDpMuT#g zldJm4|Wgjdvw56&?I2%4QTgOpR@%4HY#R%T!+a%frbK|2 z(v!u^;`Cz4|0IsTluZ`rUMBBHtQ2CoEIRF+J{rQZv2l8h76)YW^nqzxIx zgwdGP&QvoVRa0IC%H8eJ{;pakff0ieVpItGRI~F-q49(C}&}RIe^#d zt4{Dy^sSK|7&@i1Z~dY|kExP763~3{sRCzC@EUr-{wqqCG9BvYV7PQTOwZxlmrp36 z=cGrr|IXp7(|i7XPV#L?f-MX?$ycV20I(<{N{123LaxUMM zs0m!oOHmlD7Y=AYqP(VLj?+4IKEy>M2E3EPKaKT7Nw zw)b>MDE4U#j^|PE_OgQNo-d;yH<)#v??%$C;lX)H3so=RDn@Msy)K{tR4W(IQTJ|Y z2X6wZx&d6|`&mC}BBxZBP}mVo!1oINs5%=Hig!@;3U2KdU*bzW+kN%D^ageGWxhAQ z+r?-OU&hRtjcMiyq!kO@Ve1tHUJM1*RRneml{l}wiY9_@G-qDLY3%Ywa^XF$At~2L zBDqWxn;S}r=Le5D% z;v%@?8c8Kya}}E4ml3X_TSZ|M?z6axNp8Yej8uU3m4teDRh?if*7LwiN=SYM5kUhK zj#(A)M(5(kEew4wL4t?i0d2hmAMuT+5T_su>|uzv(1WDA!7*>43CVJY(LREk=uuhF zV1bpS)DKl?%F0VbTqj)hRu*%r3Qus?#9Q2=7VsYO^vYrB0TMhhm zLT_^PCzzwdT5iyazv~2C2940d-_?W*WMv>6^Ar9dE_ioE1HoT3Ru}M7*sLn>)?c_r z_HuAKKxjt>a-w&jfZuxV(1|;02+wTDb8mn;LPN6ETf9<7z@3|8RT%@Q7b3JI`M%<` z5Mix?Y^_3}0VG}yJF?IpHr7XBY-Qk`$`a8wIZR-o21Ydy=8zjfBPILPglw2%9IhBD`!QEGHi-z?@J_AFLpr2o>;RsVg3!2@^PL<2DZwBVQH4 zkJR*9^P`93A7X@t`55Atb&+#Mj-ZBRubN$m(?UIdxnuKheigB8Q{fKEvC3ubI z!Ym5k*Ia_%l;K~R3*i*rqy@smeZ(JI2sM=Cky>03E%a9s!xK<<7HR_DP8d$UK8BQb z!UvKN2y@yC=$HfHPY*6iQTR(iRzILwR}z;8G?xcl>nuE^ zS;Q`=I}G1;!K@YU)2s$E;hs3On}AmXBH~JSVGRw(^$?25=Gze0Q`kgYZbQ{x!Vc2z zmUyw3FoqE}>mw09?t_FqufvnRNSJjE=KqL<#aE$etne#Ydle4H3L#|6Rq<`Ckbyf2 zmtjeNVKV7+8C(Zo_~;VMqv4QCU^`G)LW(bnYX%DY6$&l19U@eMQG1R2 zK@-8}q1_P7vF98OJ;eMWsAHl(!%|Rr7?L=jq4bkU+G&XtPbW}PK+;y?)!{;#f`kV_ z&(T6#ao^9vT0+8WikPysA%{-FfH>?&_iu~YaY7s+wzpx-FM=AnO%yH@w_8%8^(0i< zkee(a7EKZ+DaiTjBGOGJq~lfSuu%wrN>c?7qPi+&Xg5^|aPNP_)=GaT@sVxO9qX%g zvIeU>lueJof~i6v>2L&&Ocj=qXO|`V&+7y~NS`KLC8sY*5YG)lE4UXgye6YBN(FpM zzyg9Ug6njlh2lAk{zcG;6Q>KfL!EtI%Cl;Q0K`sCbWIdeN#}Ery+zQ1YNilPo}8tL zsxWD$P}5%6M=z{b2JM4gGle?DZ=d*VrVy(jA5K%E_ONu8FpM-}iK?(-D~i{8w$O?A z?uIoq^xqBdW((a&hm+zDbFke>Tn?O>D?B0dPry!tu#~(zF1DU03|ElZ$3!t1T@9(1 z1$HSy5V6gI`YA$v_xYQ@QP1$rl6nShhFvMhxD#Ze!;`n7`35x@x>AWuc@oJ9|D#N>&QqEE-e*CkU1I9WSQ`o{F(v$a`fWr zJ>sNgejz8mjpq3aTXI-3&ZfqoShW3N}QK2 z+*6Qi+oXnFycY8>+$K?@<=DfGwE|ap;L=k1QMoB=BLs~obSa2?(f9UW3gPR}hz4wx zvZ4`vCM~zHgoyTo7lpq1ofZ*6Hvdk|rUo3_h(!(jU84VIqmWPfZ;}#UenZ>&{WmGG zcN5yq`;BJX@rC5y1%HynAXVY!?}Dc4z=am#sr)Y0$x^ww2P07B;oe{&oH-;kb@=yV zaWTE_t@%Rn$IaBbrmm+v50dP4k}0BLjU~SGC7WtA9|mp{I+G{q;=ye~V}j3cz{eeE zQ}`lL-cB@!ldHu^yU?Z_d#t)!ydP(JRs}C0D_NK;PTDQtt(kT!px$1zu-nUF&|aZ_ z<=06TB2xL3M-hS=%uSLscq|E?>_x^|%c!0GOR|@WXkyhAWGTSL{b*jp@V?VD^tCnz zP;VSSl>=xEVM{=95KSy&F|0Z$%(C}de7D#TxxQd4_$(2sLzhFSDm+v)9Y4~jnjdc= zf=NqT5QtjZ6Hm3&iloJh6%SMMdyxvAkD&QQroyKq!Z>nj3XD7|%p?b=z`LWuHd1j4 ztjoksw|2hxB~#c*NJ=uO&ZD!sa7?&Pmd%qAImgkhJ~YsTMx1s+n26hda~VpkbW)f| z$oAQgate(Cw*_c!@$D(Rjg3Uil2SfrXsS|@lt1dM&{#qCC(;}Nu~dqWMK3)pke%)yzuh@RzR_yuT>4w=IeT&q83HP}|w#Y>-vKe6%oxIh++o|t@^D`AY1 zT#o{;x9Ff3?h}*V3RBCG7ZIY(KRC|WU*8^tx92%c+X3mH(dVz*4uziufh27M*Dr#v z%OXU#R%N=4E$kL!@EM8Q;a`O6Byl?o{~}a%Pn5C0#2Az}w^2s!3ERchUj!cymuO&; zgxeK5Vl6`f&Q{O{xH!vDCZEy@pj<`m9#Y#64p-FrkQIx?yA`$gSkI7XD00(YBMle9 zd3UWR@m(Z7ch}Y;r1wJ6+f!SPR2jXd9RB`#f-V4~l6|gdY^|bHk+%wMF)PK&{TXcxn(n6(^Q=#o8aA0#^gIZOHs7pbpYj zcRG%^h{Q*}sNtnkp=*#+=cXZCn;RERd%)_&H{6d%-X zr1)E7t&c*LG6M0c%z>`u@CKtTBgCs=+IU-gGKf-z@q?gOq&C6+_W?BNw0QtLiPZKd zO9ntxORbKS8wjIYqWathz}%MFDAIEvTx+S-RLn$jCYJT-=mDouIFA8f*Gk*00*44p zp2eWBKT26)0Q7F970Ai~;*3_>1p)SkdaR({^+oS-+O5RrO!IR1Ayux_3_*b>F{O$$ z(q;&{n*)!>Yp(}fYi3DOFGnU}O|Z$L34)%V5Fby_)=`jhCq&Ol+Q)X}jUW7*psnt@ zv3d}FTgV3QK|2;>&^E>Aex_sf{_=w#r)zoFj)-oB=qVEVaWzOoFgaRH+&^9GY3sUD zLxtWWsOfnlgL@c<2QIadE`t#qV+aBkgvF|%o?aVk@1ds9kWm^~qt~|aA&6~bXz>*H zknv_qYHbGhk&HxHNh8|M)&5~?KirdM@u}-29$To@+uGlDq2&dwbrm}=(>f?rA5@6P zCiI|9=;|W=yj=U(*1^V(Qu%1@aHhFdJJDfxIhqdHQ!WVZuGPjmWMCQvscjnsk?XV# ztbGxYuuj{^VXQ4hguTV|iFMjA>&h6hS+9+#SdHaSUc!L3p0W}my06#r*2qenwqCn1 zz&`nQAQlqV_YOWArNt}2-!6cF%i7lDuUjzjvbHv%pV6 ztgXUM+$}^0@oT2|YoWHHLUr;;pw$nk4o_5v&%-0)s+Za!w)XA!QR<*E`@rd)c7eTd zPaw@yum?80(+(r&@%8EV+J^QW890RB3!UC;o0BDbVd;CVpYJ;aqXjhX5UKwfk@(Cv zBKt38wDrxX0mo3|P4~cq_uAIgnj;Y^_$3B~Es%E|j6|z4sn|87l@7C36%e#*FiSk?| zgfkOWIt>HY2wkL0Jp`kP4q-u9&7m+jLKkcQYzT#hH2n!KM(Eo3Tp1dOCfb>r=prEt zm5oi`1Yua$=R?K%&2+nM?Hk3?EI!u##JABpUt9YMJt#itZV$0?2OXMdaCgLGn|RSS zY296{+fnzx);^*=qw3!ts`S)NvVYx{Cf(n(g;hOu{p|BFNqb5=uDm3@9jToMBT{s|NuU>;NzrxkEWWCwBb!hCYf60SpxZ0@`W%mO@Li&-4MP^{bQRm3 zMUn`WOZoPLRb8*gBrBU7hV+HHnhtSN-k{!B;m$&x#yf(gd))q8)gv2uJ;JbXu&THA z^c8SV)vYJ|890=xtI|+=g_ha<&EKltNYlL-%M6NUu-^HY{)%a(l|mU^D=Bt(~b83dWls{tGi6;{hUS~py?i8 zXuh#5-upSmeI^`$s6{$0S#t?SEkZN;`4TK#qS8O~ zKB2hLg)LA*pMM@R2`*9zsy>0&OLdJ%$8!+4Oczw4(>cZ@C_oC8b*X zFHJ94-4s85xs;f%us&sd8gU5^VcJSvV{dQ9rUyQy*y9AY*n13*Vd(RBAzWLDa&FHD zmsPsTz8&%HNI=GjNX=$l&8(Rrk-x>;lGv8WqC4 zRl2VHnHN%J)?$cOf2?3E4riz#q0AU#q>cd+ySF11Bv3r!MA{N^b096!t6D=E@Y<>@(@n+Mo^~fc+%Mj{^`1C>*@}H zFdSr#YC4-cM)Zm38}Z}usN^uK*GhcQ&Nz7|&5#qL4+=(>(TV>SkKc+| z|E74P=V!!4C0A}N;K9Lz)Xy95?V^yz!qFO7r19tu7`;xXi7%x5lfxGM#W*^dIUe_u zII5Bw7vp(AnopWIxhvk%@xiG-&5>m++=TfJ%0MbH5~0+_q1zFZtDK4)=!7*_nfM!4 z9sd>BGFI&IwW#Dw3Idn)y7JbZwt?WgUZ?Y#_<+tU+)fogiZ<9rW#?vh%ReQuZvF+M_3bFD0i zE`0+z`VD03H<0BFVj-Au&Nq+=G9=zCy>Z|-j5>Y;3I7ICLuORMr^+`p4&OjNZj-AT zZ&IG|nF(ZuT>A!ctSkf@yQ)nfz3JXo6>K%Z3DZlgS=x%Oj9+EbjU}cys^OIHzCes_h>J zV9V5rEE;jQsZm)rukr2H__9s@5c^$eBe+-=g8X-rKr4ewguaq-7sFas$)FsMMMfF$ znVm|;)Us$}LMe9fv=1AUF=iqAm(76Kqvq0Dd;1^mo1n*%Fy19RwkF>EjkFwX0X8j_8t5dWPjI>s$c&d?)T%(=;7bVoN31X z@EvR|#7d12{2kVB5i2)>%XiTCB-ZgL*x#GWRNI)(AQlxhUib!b_#4RPZy-y*fy^oc zv8Ap5$SM%}{I2ut+KpjLH^6QysXwWLZ6`P*ae$P@O&DtEJ~CG7UzNU>zZlN`uB#IN z^!GBg#N5-116WVPt|u{HitMoK$$KAjr4*f5Vb@z-h^2J-!ie((hsA!*^Kr6C?C0UQHg2hTEQ@d=DMm2+2wv6*PkS{n2 zmFmLCK0p3zfNU&z3~eC}qSs>Svaw`-2W>Fb$e2+UYh3URWa>AN;hS#9m+o1{9^VkP z`UVp64TNV9%j&z9gC0%mj_8iw?i~~Y^w-UtDpRr0c4ZfFQu#`llsHV zL1th~XAnyn=9h(FA^ylk*61jTjxXa^91%~QJkC00+tZ*}CAL>lO3Aht^oMv0`6;m`ODWvXwy#~`J1 zq+=aS-v016IW~VM$5$*v((t^p^wU3iDDaE9*?W58PtuyxxT|pIINboUITKNwZ%`TP zMHrguV+5C^EJV$I2Jzc#P8;b9I%7?=uL_)>Ud-2Uqe3 zEwFe!ZPMo+c#-LJoZcDJ@k&+Wmi4r!3!Cu9}w~7j#Kk zxi$i!b5HtO%XoZ5$G!C@n7I?n;Xc9Eox18?z9uqMm`p~ing0>~+^O^N$X@$xvD-d^ zW|yuaS-KV+j_Z6$(POH>N}xWav#HHetfj8J!~%Qh&h)oV+4X6ze=~4u1@=f?BV1?qqicT)wXJgflyIuEgpw zRisxa&i&mV7L^gAD;1*oYZRhwhAhO9(lm}nyi`oFmsX=d=fLT4^=guY9suydLa8LG zo~M{Fr;DKN-#TydLlOLG)OnGE>Co>yW)9e`^Yr?J%h)oir&5jm0KIqXysC6dmqlp% zp_G`8#;|l)xLc>z85jde4|Is)QP$g5%s1p?p1ZUmi{3+r3pzh?ehn!0=qd&7S@TUx zIk_M)Zn5hzXkoB#fhO;X27mAYzQ?Bv_Q*zXVgsx34w+-AdK&$S>WN$f)3dP(PIun1 ztSjEr0I$7?5!9Hq@dG%xM_0=u+MIO^&3cU9-gJI7)t|TbY0P=I`rRo)&ATInnm7*M z4Zd3gH`bQDgV=XEZ~s-eVZy409n1q)O&YA=Wh_P#Wi;j2n)2(inkvJ?8|%6mS>j$j z6$TBOS!%NKX&$6J(s`24?_i9ms|}tqM-< z-qQLg=(A6>K2dql^o7o^ejb8NeV#7lGDlJ|!tu^mV;jnmTJ6EoG>*nvt5}6e&|ApA zqrK%4r0n@gp6VQ#azJW*#ru-L4GB z1`hLQ{RgI(pYX)9cTf;JJCnLSYwj0Z!#Se>h<=q4mzrdTEXx636E+8Atf{2sZ8U#WJfu3K^?)4CzPoi!GYg)`Agj+EJ`n<*7o}v{ihl z`pP{)!CWaf+P?V`x5ecuj323J(e@7YGh1WsrG%2 zJMNZjyCJ>AHbi0zN3*bfiHTpo0-4`yqhv3+sjPj$CtK%2e!EKNMwQ9f0*J}R-aaH1 z#h~u8EoLj#+kZ%Ivn5qSY(A=CxQSE$(ln074ht>Bj=sX?ENn{mr4*$qDGa$~wxt~Lh4NzK ztCVjBR`=6ovN&KClS25o6TbxrImWA^)dmJh8Oeh_WA6<~A8#u1l zKoo8lW>2VqbB;F>skGWGY>JQv5=7oJEDW@yUiVm<0J61dAzqiOth7)9$>j2!Bkl?8 zD#CgDpkz8X^@{)J5nEeY2&A?UCoO6C;lA7 znt^GJO~3>02D8N}t?M{sdD{x-a=3IYY=nUR6cBDBL;NgAT@b^TWt0>PSI(wo(0w=r zNK--g@(8*O#He$#tANeoXnbXWhq<^a$vMxwN&TfrVBtK1Gx5hDTr=H43rjZ0t|G29 zjiYg#L2@vS&oc+ZRstCh%P?v}8Cg?C&L&1vOVc|3m{*4B!(YN@5j|J-}d z&CEPfZGZj!{^_T_@2vOSbI&_(nKy6vvBUG(A*&UffI}zp_z5nXI{gBtTc-~Ncon$z zIhcp=^$>R>JkqaBe+6Z`?sE~aJcYV?(CP+?(PIWUfg^X%pV+ad0sd_GVK~m#uqz&fcj9 ztPwPYLB@zdj2AAmK1Twod-+X(!K(rP@ntdi7XlQvb}LlVt(Li9 zZP&x+0ma267 z6xUQ^Q&mubLk)aU2HKUe&mO!e zn+eaf^DEMtC*g{8>=+0^UYR8b3BNLn9V3np?hnrLBxYZbp4!dkorDY0k>_;XrVF3b zPyD^LcSeIleufomuj7&lznH~uLKq>iBWL-wnOZ-1w{?2rgbRkVYpn6yZ)@(ill0rx zUdJV~-stRk&*HL4rY~S<>%7;c;=J#j#d+U91@k@w_Z_q6y;(^3yjPfU-nGuy&D%Q_ z=Y1U5cJX!M@s&RY@m1#z`Mg)y>$qf=GH1`b_!%+p0t0v1&->_U<^YG|V(UhclVzvv z26D-qF)DkK_qlA6=?aWvo#gYKILR7kaFVmmz$8`fPA;yqknl;q9*L9u`jp)yJMP3u zmb4$c+|CYsl4Fm+Bqxug_+EplO(I+}lSXDwa=m)z>5hpnXzGMLv?A?0&YG8VR|}GjR{`oBCfZWequf3dAHO#nhetb z-Ht++-wN>HVTB%ou&POaLFlh2`E&S$ZhTBXVYdYHwu>cD{L|sZ%#fXU(KlNklA=x`& zo4t?4Lf4pe9Pc6wzW{d;qNF1)bhHLumCx@YI15Kbl-$R0w@!vfqU5Or->o+uvx~mm z&h|V*U+#wt%^XHE{K{U(C3EyJQ4H+rFK{!Vl#NGzv6*lj`k=U(Q1_VVt8DeffP4kr zxi@}*8vx!!L(O8>j>6f;*`d}moub3w2`m{NceWjr(b-I{9RRP3246jflCOP7$yaOi zRYv#{cmBqJ2&yy@99XM#`(~`tlkhzpUZp+wWY?s@WVa0gmvVocE5y7?zhWoOz|GnI z58)n6xy{ztc$E%?5umuDj$)P0z6@3B$KCKMEqFu-a|xlQ+!<_BrJq~u9i2ek1xJJ< zs8UxRR^na=Yvf?^*XOYC*IwvoN}a(}r5T6ps`L!JBLBkJqak#Cr*-)_4&2Rz!({AF|=cqMaM84tdc&J771Nay#2` zm+7hC@=_vA_>{elOXhC)N;*F$5=9$h@o{f&KpU&}(tderVd5dq-31{o8%R#`N~cU{sY<_r+^HzvJ^MxZ zZU7Z)`94}F%GaR~OFtD*%)2{x`3T-{p80wJxRlj<6~5vRJr<58rv~6jm?+=w>#RZY z^4$U>K>1GGkL4S81Ijmn`{Cu=dY=&16GC`eV}MQh{eZ=jA&d^z6#_RX>~ZO@<%w zlU;+C?=|7oSTzkBWKFoPDKNGlxZ;)D5<|JW7i%rDpH1bSTy5_t2px^xi~9k5AJveV zx*aBVq%V1!wO3565_y~47b|xn{Adub+^4Io4teEP*<&}4ay#J@Jws+e?y@*{$>-`} zuj7)L3!G+G?qnN}yw>i3HrCpK-B`K7x1e&T^#O0Za-Zy`${j1jsuI`7rgCqtw8qE1 z)yno(9KFGvVE%r$%&S`=#Jj!8X+!Dsd@3JPRo3+8PJK%x{9yGbo~#1J>Qp4Z2u;(2{qFD!vhCj#;W^s+JLXXDFL zAuV_|-UBwp+4!hkcs3s0EBjn#*)Fl0%>)(L&FJ%?4a@N;@p6|qO0b{cb3NA^Jz?&0 z7uzQ!d>7l&lPbCCPF@JvmF%?~KPR~iY@5O@w-fGq88T~bhHN(E9{GZAvDb0Q4DE?k zRAwiyqU_IydM~pkh!@kvA9cRr_Lw1aqXm5L`iOj6>~&l+7k`x9WB%Z>Nv3-+ymj&q zmg3|a@5ITc+=a=9ad&d^g@uG49bMRh8v$PMEySGC%EsvmdoX%wB#<^!*b1*@x_43+_RWC?FjFMi9T^fqzx zP2rC*!BC67Co5P8ej`mhHl@P2)~8Fnx3iQ7a8R7H4a?sdoq39TG`Yat#UOm73EX*n z&whIl;89}JR$lsauPwLTowOAoVh))B9&{t^i*9142p8@qaJwLW6AzrtT%?PQ?_V2* zXYs*uDL%FabE>ix_b*p;C62V-Jr`P+jo*D}0)}wd*|!z%KKMKg$mg=KE7StNk2P^C z-p3l;)q1=szW+O`hx*{*@n+#+!xj$W27w>j#@!gX8-8OeX$#)iI@!hA+lv;palW_#ZvW;6D;OBP(<2AysC+YlRLp8v97O#SVyh4QahF#j2y_)>ax z+1ZKTQZEJNB%gy?`4(r^TbyJ)2X^nDH}PD1bbyg;9tRjYA0G#p4s84k{sX>yyRL@a zyGSR|7rjlZHwx1M!bA4s059g@;{e68O~be;<3F+VT}QG17nuu|?|K}d-$r~KAZ{M7 zOL#oR=5c_1BZ7Hkz;4_-PCLpO+meYk;pC|}@cdoboFS=-kO z{>lyf#7R@8w&yAHtN=gWQ4m(RI#`Kkv$CIpGo=dykA@(zS%yY)_%Z~uRlf)1Qwj(t z@EAr9)V&H|qkC;OyZ?JYh_Wu87pAv44~U@#9jNUs2?m?i~S%g&c;o~OnWyY)(AJ@ zHg1Zy+F6d5(b#2BMw8>f8$W?;kK_Hm22UWHqtlA8Z2YabAA%cvLe9EwM^;o)!P*h$m#pQ%pRdNewp6tr2fIJ~8m-0iVb@}f7@a{&m{Rh#A zly9c9vag|z$F&iS#s~5;CI^!iKd_OYS}1V3eeZI~EZs&Nf8pacldmv_eev-$cKmgK zZ=0vUuzqF+HE6uSIr3w*VE7=0AFBry94qxvPeP|?kZE%z|c@$7oX|Ws+oj#}z* z-o$0N5>3Ig?NP)fGrpC`1sk0n;2coIW?+8YtqnobaW{*5=I1@0@FEZXK;6BTXvps{ zcu)M=8Zqpk9w$K?8r;HV@+#Sn*LczbsBv>ks7!u9=(kkpbQC(864_E5mgGljH@>p> z7>piYEyWA?Ob0bzfs7X9YX1`9YO@e(%G?$Gu z-tC^EqsdFK+hsP#7Al@f8ac%p5qES{=o$l-z^@Rg;RX6PCm%J?$GOGmqdfT#&#L_R zrPT+&0`&x4yqu409BuKIu~ zx@t(SmgNFhUeeW&@5z-BT`8BETDyvO!^zgb_yX<*ZCJpm-^&He%@?rS99Y2qO)2Xg z773kZLPt}=n%XVk!W4UtMbYEEMYw>^jj(_Rnvg5YLg8wu5NgWgCN>NB{0plip7$g% ztnuhVT)-c4!vcD8M|=T)Mn|I-V)8Q@+bm#&&e0B`YxG>mLi4EM?j0MGkFw}vKWp{^ zP992<3$cEmPO`?r*Dp7yV$yFe!1Z&@3rSzw2wd^?bNh~xK2L~MWk@5Dbomfj#zcF6 z@t}|Xs*=Ag++QB)FJS@sdpe&Frz%GpiY^=-m9ilKRtOHp#@|2(hW>6o!1$~v*SgQ6 zlB>e^5b=wAlZ;zX{xT=|w_E^sjux;!PHK49^>E^u;2JBwYRq8!k!wggdDJ4jJVVJO1bJ@UrYIpX~S@o$flncV$MvpYXH&Bh8fx3MP z4#n^-V4uc70299N8o!4ND{81NiRlr{A)8H#OYV5zdS@w}Fp>U?swGf6JD- zsnvqc!&wQ?#%q9(vBQwTpTOoiOyW^(*VyU~kisLtNdK0Z+||~~*Yj96ceRw#W(ND* zT@7))1t%fdPVX@8)SumUS5@WGTvnifS|;CO$p2k$IJ+`_GnX|ippN(aez}M`*KSxJ zx4M20`6^Xe&VDYSmUj+7nNN-7%(tN0Lzy;*B^Ol7)G)(MAke$bzqvdWx8eKXF(Dmo z_=9ZtHEsBS5V1yY!2v^ zu#iKrMfa@tTnRHpQy!i^K|xJ%OZ-Z#aXA%RaX}3p?bP{f-wXvO84a& zBb)!ZTA6*}p?;tgSj(!GQX?GMY9rgZUj5Ao-{YOXioNkv_c>?4ZB8Cq#wvEqON|a* z4m5Z<06dTBd^-x`JjCN1DTG+75vy2}!m6*YEASv@4Q{NYUe|{lv`U2BOqsHhT`8;< zaqR~8L1mCpD@BllED!xv1%8122R5pRS~;LCe5wJ<6jom#?){Ep8xsaHA9z*9#Ug4+ z-(B$W0t|j0Zc4(Aq96Uj23D-7T3YG5f#<4D{=%Rw2YW!Cb*OR%}0sKr>vqN;1&_+xz0zg{D@ zTw{TW3~^8@tY+JN)qt>Gn~es4gCWZd-=z)>g=e7B+*~IFLa*Y>3SK;%Kmj{YRhNUJ zgQ=UDS4q{UYmRJ zE@Vmr5598mM;7_~CROqSmqoxl{t)~VYg*v2iTMYsS`EfWi{yu`y3pa4aVo+!_BnV9 zPqGtzmMzNG?!Adk3Rd?hzied9%cyEXB<`~QO%Qzu!`cH4z2-Ay!)_J>nRCzO*Eq#A z9C(dWJU$WN^99#{DdzHQX&Fk6pvTB&J zc0D`FvDbQ*tDIU{DY>47ms6vQxIilTt~V9YgJ_?E7Pg|CS|k4r$POHQ&x20LtxfF8 z2Wn9x9K9y2V_u=EmjAL4Uwo6`+CMCH6KfKx)>is%Vqb--#g*_)mbIa((V_5Sk)POI z`|J9-@egh9Cc>H-KZhG(<<+wJnuGLiEa%kN$nsWD%P4s_@`@_TT2xSdl;S(sfC_3Q z&kT3~0+Mnb_LI{v=^KC{N4B%A71UzN;tedLg4(lUH5(mxSq8k@1oeV}pWr&`DwO%@ ztqTNbPKNY)5JY{CAEN zBn)AsFm+pzMyZ(e8}K|E?^s0pv`S@@K2QT4KT0*S6XjIz{KbSKX5TlJXFno;h%myG zyQg8wckIQKr|h+SRhg&!cX+4_=AcV?#vV-he2`+wC-1?O$LwLARbVIHkR_NIsMn@8blpvO_56I{JuXP}?hhM_7fL>UpKXVP>kOwpB8Z zu!LG_A7$$i77_smpvSe;50&zQ_(BTI$zU;RTU%4_XG)QOh`ZkA^2V zp_cg3PXW9JL+p)83H$f}+gMkvq_jG~?$%Z7d(H$k*NIOdP}fh-!oLZwWsO4)SfV1; zDh?jK;VDkniR*-LD*v~vF>1eMdOfw7gOe9LSqZf7KK7u#dd|76SmCn9j%n<86m(vn zqijqA)!ShmtKLX0!ZyOT(r+(2(Lk->90v)267qo`f9l)}&c{nMRGlmGH{?K^M|o|v z#SFnKcLv<93xS6hM+QIH+Xs90&;020@-T~UsQ#q5A7yQu9#VXkic_XIz1X1@9~RlX|!6B#YDrYe9A>OCR+7ZItb?1j@Pf#+*`MXxlg-;bDwiZ z%)QjtK+CyL7u!6y+HH7Em6(6r9m}QG>Sqp~LAT#7z}&Yj!`ku!{NvVJ1(u-CP85Y&@yPIEzqC`o_89k+AK`p1 z13+KB0|EJudCF4ap*V*;WlQ6sclUV8_Q$K$l!=}!S9`Ui^6d@Qy}er5d6x(Gr}-T4 zV2j(UFFlJ1I`M?!+G-b!^Ee*}!@St&j%p=#r-M4$t7Rc>pi^j4h>hu}CMquqvZo!@ zKAy9#iZsF1uNMAm0UY630XDP~WdB3~%c@T50Y{~tD|^`u_I%b&?X2w0&xsVJb$&~7 zcXgOjxgBH|=IFoBB{xheHiPdQOl-&Bfo;=N*oGbH6uhIV&|O%P%fK{_a$z5Sr1mS` z2C@&C_!an1fDbPhi{Y7ZbtisGL_rc2N+F#*`%-{VUs%db7vMGnqY;AM>ot_3_w z)@E~ht07)33q$m9vQvFD+^#QBX0+ojIAZ&_v1`56n$7$`8LWSTJf91Iw_xoD(`f(c z5xEWiS2}8RjbAJ^i?A(76TcyEI0{@_i@8**n#8sIPSv#z-_uw#HWedBw?*;vTFU* z5T$NS)~g?!k`$`RCia6ud|ZRw?We9NSg!_mI4HeRg6m6oGg<+kX*Jlg{%Tq0r!aS( z(#zrOT7R{j^Aw_og|m7C)S61$a5iCp>gzSQAWqCK)wNvMj|0^DilsWsGZ4ZrB)0v1()1cqmw3YsC5vg&lugBlg8mwV3+`{u)tnPYPbg z7i-$lh;0}qVhhHp!TEo0DAwAS-;KJ^kj?vCjbm3wsd-uT@v2J+$3`&XahN!y z$>#y8@|#fn#cMui8?s%))GA8X25iB2^{Hc@sN5{eQ?0-bf2K}#SrjGW3Ik-vz0_7g zLx2Hh;neGfYusKpp{aZI7E`n)Wtj7e+#w_C?yI>3CC? zXOvo3iD-&x%GK2BKeI`8nhKV12SIj*UNsR~*%`Xo1T!?SF=l9ZBijtEgXBV9!vHZu zfI1CRMTdmOE;tpxinyV#;WB? z$F+psqradJv0l)Jy=cKa#;GNO547NgJm4xnje&EC0tewHC?6YM-Uv%*Q)lN|V4XE- zfeCa2L%BdtnrA1F>dXhE*;&kss@Yk5*c`JsvKeOav!=FL{1Fy_XAuyyI0ctV)Y%BJ zZOvjgL1PxRrkKUwVbLIdS15qDv*_LwvpBQ~X0Z{ju&A?gO(=_AjhWX(HL{9tSFxAF zIV!)2ta%s&jW?5TcHy#kUhN?t0iWMU4$K2A&3{W5Hf^HnTX6!YL^-BMrCw72;K-B- z(i32`m(S0g8>L>)1))t7K;=rBn=rMPur^QWlInEw~*2OYqV@l@1cIwq!)}%aW z&pLex?Q91;1Oam_)Q~HP*=N+l*^h3|Xa7*C*WLmX@9Lm9#53d9YW*rF61hTb_A;yy zG%mw8VjIUSJjiYtdM4sBR0frtmm!r)F_5P1Fp%E|+APDafi}xfe&E~7U_zc)hTjGV zx!68qv%Lkk?Ux}J8fGuUy#crkW%}bXg!QvshLQbn831jT!L=WAWv~pl`dXLau-L|w zGy^NUWtiI+m!WT8T!xpRjI;l|4bDEaFD}FHeP|ih_GVANRZA4y)tf8yhi(f8cc@^W zCB2zCQ!V2VnVd$A`o)pE+muFQWHd^m7B zKifD<9o^b1DYqf*g%;8b@jzO7OeWC&y@=ln|L@`MYI5F-_*Cw$wAj{6E`!*q z*=j)5Q&0(Mzr~ogal96rk@j2cfwXHeKC_5FR>$v3{6>lJOOa|+Y&xe8Mn44K;TwhT zzqLBi;%l}Fn`FG7IK2qzr`O)OTTzG?L|y#TKcac z+l9`ruspH5K*#456g3~`<^c9`u3D?=*PMp@4d-Z+zc}L8*6~Xb-+2H_nx}^QHR3d1 z8&Luf za<1q)L->%|37C>m#qEr=)aY;*+zxp>SjfXJa)eUY1IR0H7hlit?}fDaeiwL4(|S11 z2T$Q+2DAI$s}-HAlcnEa7PMF`QuR5n=Yug}S4Ex2?oB%w`veke+(rDQI{xRxACSaG zEmm6w9TENlNAUX5!t-+~HTosgQ8d)i?P!l9!d^3dGnl)L!y(| zp(Rj41(VnxOVl9GbG$xf3H=riyUs41~rs5T+JJl5X8bQrTD$@KmT|+q^97-!`rx_@WnP1{!rFpH*Zi-l`y<%o50u% zeoO?~XBP3t>iAuW-)IP1yBkg`@~u(J#ik2p^g|dRe4`iux)j`QHO&x20^D}yT`Iw~ z`8n8vE(MCFc=W96Qnqmi4PoD`;ay62iM^8G`pZRrQGO}G6}pr#hb|?s%_%VSNJXA$ z8M@{lmH4ON_H~f+1cdY*0`DZ_U1|txxt4b+sAG`bgGuxIa3Lp<2HT79+VU8%fi5L@ zzrv}Oc(=IED=1q&g_}ui>ssEW1PxtEpf!nc#ILR6mmKKhYq(BT{Tc}-50V!H zALv(pv&N^i;KPamvIVPotAf1(v`qU2T8CRg{4aI<{={!P2#0&UP93S#?r9mZUi7Qs zVlr?J3H=JUp$ed1;daR5kA*z!qCn_Z0;@v5N^pgKB`9mZf{4S%e9Sr?=KYE+{XS;1 z5A%K{${P9=mO0dC>=T%WaToEI>iC}%f50&I@UZH`+HFz8f{qBMylL^F@(U{ZC6pC3 zEePA=h%kbt^)cJJg*UBXJQ(=Zt*xqGTPL9&dqGwb_<*J*ETCzjrE!D6Hn8hJ(;{zE z1oB-2nig(f1vx*14~ZVeCT`_TYZ%+Ml{YO>uCk)y!3LVvQ1-`G-n6hn!_E%Dlq?rC zG%c*s?35%DKTgN5O8inocuI<}#oKt(8iM&QC4|tl$oUmfw$QW$#%4QTO#I0@em~;3 z8H~;oxAUge+j4I^G_ANiBSal|G(&`G#X!wyA?1+v_YxTEk@bnt^SLE3+H_oCJT$Z2 zrgg9l?J5Qed(b0zFeX0aE8wseP}M=*g`R!qia=Y@x%|bpW-@((o$HLK&luAVf!4iX z4)Mq9_}z)$WH{dos>Y@Z8k<&{@J&r?sUY6nwECdaKWSQ3hhx(!BE0CD)>C1wd0ZBV zO$+1DOk2>kXj(Xj*zBe?ayT}v>cg>V8HBDZF)ie(sB3=7YHeD$qgb0(KB1$To(#jL z^_?i=7}G>7=j_hki})>d{0hV`GR&rFwG+&@n^r}^*GxqLv1$D(_KFx2?j6?UvJihB zHu>x@%*5|86o*@xrhck4>1!#!PtC~Hrqw8G)2b}Cqr*!eFC-6Q*smkGPK@!KKn*;{ z8xM=^gz)tO?c3IuBXKusi27j_M&dr2ThK9K53sypsdOp8Wh$BG_d)3LmTx~^kA5f&GH$kMo1(AcpylehT-v15(H?d*=# zZ3K3#(j%~A;jSvn2|L!FPq1UX!IBO8WjJPItDs}TzQc0O&c>(2@1^56Bz}eAHXUo| za7=XtA=JW(kn;zkMq|RxVxP`-zKQsAb^KApPxu&}mpTqdw($Oz1;^FBx#GM=W5?=< znBB2zBJHmVjP-Cu+UJ>>>qnb@5{MmZH*DK?tOdZqjx|{5*>|iKXe&BanAp}#K4$D# zKa0YQF&!0X-33+>f0~XznE35SVaK{AXzW;Lgm3Ctn+5Uijx_?E{z=DbI0`#fknp1G zSVo+K$9>@jI~K;FnGT|B(Xnt2){d1j3OiQgQP{EEgsvj@wa4Ht>M7`$us2xW*?Z9yLBsigj=z}rlSi}p zSD<0t6ZW!Ul@*k2!@4K*H52@xxj4n@Hrl3P1qyXt!^$O?7k$Xmcv^VChIN3vtr3U~ z>l@t8Zdgg9v0+sljSUO;R9Q{fuuhpp!*a@x6&v6tqh-A=&%bOPO9tod`}bjicv9m4!PGN{xbol-is_nGu0vvPW2ZG zI%*-Saa%3t8Th!vf)J zB>+7&;XtFq=jW~v=^b^LlZR09@La$K+*NCrJTza}90mXz_&g`Fx?D-=zyj~9A$|!RI2%5_M+dRH#Pe8p`5S(#36ZgGhb6=B z!^0h=+OrW4)a^>QcvkgSwT_b-&n=BwJp1fdwP}gWb}|U*1HRK@+znhl%XaMXuWCYx zc5f+7hnT{F3txPx*UmERAv`_eWQY?+4smSdZ}51@$XHh8ky=No70X6FQVTnIieL3I zm562Y9;v65z!*08vAQnL7P#4&85+;ZK2iPiq;O(ydlvUZ4ayVG3CCDA>51CKyab5G z$%WwIxUjmg329m>z%=BE_~eywd5YHh$0j~i zixlm~iG>|9vKl*dce@)5fwknEFqSm&pzFZ`~XI>OXBE(3nDXK&-=TbaMdvX6dO zD;GKlw`QYKzVWN;3lG~TpN9e4#j*9js~_YugQP)n25=6xX6>G;Dl7I(&DZMmL`NRh zUpEjwjuAl!nk(OkVV^ux zBTJ9y3G;`1eB&1lKBH2;@QVhqh@f#wW53YM;8=F!ncAdyK2YRhK6K-haU%2vBJ{*) zIo*EG;iJOh>)5X6YH{;1SO9oY`nY`t{)K|=ezkZQ2O+QU6G-p_MU9iMXI6{P$jVG; zgLCQxa>(FnF^W;VA@NBlGv{8(r6P_BQg;>pY+&DrVKYI(07koU3A9g;lw zp6<-61p+M9k`;Rc&k6Nt&MLow2R@UVvF>lwvL(;M#JF+^t~`S80-*d&3&2Ty_np{+ zZFr-8P^bb)8fHsswP0`Fs9Qn<;d9E&Dd5FA9Z$|0$yv73;h&CX_K3#myv~9LVmG&( z_JOuXSvYChAKD714?2rA?AVO0cF??i{9vRy`*Kx+y(fvk`61fRjYi0HYQcVX&`yV{ zoxtN{(6bI*h_gQ7EZd>qbOdhq7C3a4qPd5j;GD{KraL%`k7{SSgmd!?(~!_XoVWms zU>&d%XYJ=K+W{ML?%L+8mQgFrHacq6^WEr>+ptx+&#nbb2LN zow%#d>axOlwX#JPiy3U>+EFRfrocl)JK?b&*j*a1PI)!Y;30N$4b*muS0r`d{7K<# zfo#8Xny>|Vwc^UR!G@jhn>r-RVdgB6^msnmTJO^Icam0vGv$CC#`g~{82o( zjoCv(hx!9%4y@-8uXr^PuriUA9RRS-)Mwt#S~=HByKS;@tD&W>vvx_rub*ilr6)#a zWW{EuyiMkX1_pzbhQxW#VdG7pc&CQFVz0hB#e=ii8I#smiL1ft=GRs$%Vx2s`L%eZ zQ8=6Jp?$}my2A96XEF~rt%lN|I&1BwjZzMN%g(rISCt8Mn8h7}ZBsoX3ko4A0Q!}2 z*$np7T|1!+t8$6V%$VPaBhjUd~k0PM7xT1~Hi!a&tMZm+e zDy)7{5bmpBBlP}~jW4PVR=x{muZn8Nl#}Jykz(2z<&UzM&B)?fb7jc~j1>pVA?0i= z4^CodifcbAP9bbs32lw?tP-p5%iV|AD0xq0<9)TkY`C|!n9VPy1t}+kXn;T(pfn$# zJY%K#06{jk2R~zHO7j6UHqDO@P>$92hs5vGEW`Y@-yEC<`H9PhBtJ`{suhHvS1-*b zXj*k8qAE+%v>2swRZEFLt*C>tydccE7uAg58HG%>H-Q(!OK(%}@F+gDSo zt4u7-CfC;dSZFQnlB1hT8Ri9zX9pd#IcNJU6i)Q(Rq26_}=sStYFI*HMGhO4g=ZVnp!<&xra3+ z4x!8xp_R$&_?qkbpM$NG5ea%OC z^Sec>uYK&`R?sB!Fz%@svF}s%RU56gaFX?n0 zzZed9P0AmvJIVAzZ%bl=b~U$K_^n_AT($A9VFP=6H%phk+UJV${Y`eSzcy2Gx@q}* zfOa9j5^~1U&8!v9t;}!CMvd1Fxz#_$4JRJ}$6&axktOCct!Ex{FL*(kA*ud;V+Xzg zfAH0{%4fyT-JVE02WK5d@49f16PJpILA>7>Ns0}+?u~*cv!G#=5G$F_?QXG2;lUua zN{l2KpEcMQj@ifRw0EZ8^u3;Cje8r0(pO;%Cyuk)u zoD;q~f-;kQC-e6oS=1CQ%G`%?ae618ps78OWB+h)9k+;wlYAWF-nxxT!nYL^v-p8i zHnRZ9f)}KQzmimu)dVv$xvX}go1|3NFsGQZJ6opOb@cdnZpHgG2^ zIZg8`e6f0a=i9~M(my%u1m7$OoBNHa)mi&#T0r4^@XEuiB>%dT!oCDyRc_59i6V_Q|eda!GEM&uF4`AMEtr6D>*|`l|ma> z!x>ryWquVlYK9i546MSI&4A|8W*a*&Lkm+%RAE^&v;e1PAM!ZEyKQ4-zlAuD0V#e~ zIyr0)-+Tp&vfsGjL)PnCEvWn-@UpzDq*9ZNV?idv917d87N5hZctkw?rgmgSUVk5- zE=0at)-^f=bObM!p3$m*M>oN}(ky?pE4Ba@rzI#I*7CIoQ-aIAwG63cS?7hY48MekcrF6)WjGhY8ZFWS zT<*fVacWnq{+a8zUs(Uyut$6n!WJ%q^=KG^>jB@jzqbfnXO&^4zlZfWTZXU4Y4{h` zBYZV${k;}icovYi-$0!u8>jHi$D-uMlwoteho$~_pH1+c_fhbRc@3>(>lbTbc|Hto z@0?O8gUGo*ZaVcW#o2;Y|*a4FAMT~vz zkdzz#RR+VPLau-`GWkK>WL`IXmuPRd6uoz2<+R$3l@q%hDyPFT-jiSf)VCKPfOTF0 zGr8t((}$kVv+qO6{@91w`{T4Cm+(H+!s(Hpm{!Lntl~WIJqHq1ZJjjhQWn3qjCqvc|Gje&(&=s7K~5prN~WDCv*da)4`KwNBO zpf8(oQgfT0s^znE8X4HnfyMa*hKM?m;(yY>)ZUJo(48=bYOr{Y_s1- z2L>CP_3hrHGYc3KSb;s9IwfqN`4N*ZOs+ui(_Z_u$xgQkYshjHMv z zAr>b66-4hR`I(0(k0m>uU$a5S_{Ve{4b+4tAeO9IOGCgD4B(TOh&B1IUBlm}uQ@{f z&3uZC8_!7Lg{^|YaGB_@o+ICv^sbU)bR-@AP2}~jcaY~54%Gg4#Qj8zrGgp#@CNzq z9O0+I;ZKj7vrr!BfO0Pd@i)S8g!4fz_P50nFKexG3w z4dg>J;4^Cy5!1LCf5Sig74X79x)eq{HOw1~0bDALD3+u_)9fW#8-jd? za)>=EAy%x6*f|u@y&~=ZQt6m-^Cgt#jx< z2drk$3!du+(8~*u!@zT_>XD$b<|9NuC-lp}0rL}HC;leFZ-}o)DVO@v?;z680jnAG zg37u9^ztSo@07tx9SxdncD#xKuOL*eA$^AEwuGyHLcRy#c*2^5=LyGo+U80MU z-B_|~bqn=#z&ZxKpeY5=lN{>hw@EJfbAGW*JRWpbiMx0kN0M0j4$|ESC0&7NiBc{x zk>nBC$hMvg8Wq>_9{TG~_yu93`^aBLv=`Ck38h@(KGM5E`1+pZ>r+7iE^&cz{HG=j zhGZSyZHIh`_v4Z7(h+fw4m)*5zQn#=ECqfFYO0tQ4naXH!kdGU4kfxPq13BGv@@ZP zPA>JHksnD9(&>#w+H8;t|81N~0SxNdqht5P#Eu_z?$@nPcT0!sLBpL}YV&Z`$L1nt z5MC#|NBBFTf%K)FGtq?zi_fzJ-4AMGZ28$5bm*}fQPMMrzNq6%xx@srm-LL)mXnWy z^1D_oS%Cjop~0}7@C4!W9PlRbZx*l=QG(-)toiFoxh$XO2_EK9;cPebwU)3aVKc%C zgr;m{6`X=6H!9l~?c53f=!5hr!u5n^LQ8LyOSG|@n(pAyXvhGR#}Y;mdJsPBkMb>q z5Bec3(Z){rTa<|M3525vlXTdN=vxC(U!skj@K<&S%H0W{4Mut&;T*zFgcFlcF44x0 z`?J(?2_EfQ=h_#jb%F2{;Ss_#!XF7OglhCNVG)B*V{`z=YToZ z{iFGu$%}DGeBXRPPmD8w?5Yqt5FX5f{^k%4B&?}J$(Q!hPVzzjAI+yPQzAGE^bMTNZx4Vd4#X#7pYFDJ?zdE^OhdQC&n&)KS2 zvz8MsB6;bis3$QeeLcSh*-6ydn+=ljPfoV#+fK&m)=*hlr6=AZtiIop1u-Fhc!|dJ;d5Q17>q9UtmnD(D?aeoZo{NT?DP zCH(CRoY{|r?Fj=2f1QN#E0Ymd5GHZt`(LELHr*9y}0qW#7H6pLpW9UFh?vy2Muz-Dms379j&(u%t2oA-F&mb``rSA z-m!ZQdQLg;_4eHCv+l%H>6TMr7}JUl8giG?MuJ%(S6*+%X5f-jTFxPWlmq z6$ncc$|9}POg^3N;Ly4i{GPMh%-}WVx;t>X_qHQWCJZGkM))o1<%9;3zuty^a)(F!FnmmD$zmfqAPuAs+ww0RMADfY&>qE_}~X{7L7F zi0=;PH6?_Ll2@f$ooa^U<8ZZR2j?{(As)pfV>9d}zCMg39bd{%5MRy_ettmwZ6>}m z(YXmJY{lSi4aR3_f1IN}m>)QwIn=0Bf^`}bT#WI^|CVysC|UwJyd0r9@nu$Jw&QjB za+=+Ad^ycNV|ufiH7j{rT&(KJ*2a35lr|8#ohB=Rc@LXJ0@_$FOirs(33@yyWi zWxD3;_;Q7oBEN3-4kat~2QrZPl%=pn$CvB1;jN|axm~9!=d?@5mqY9&z6?RmY4*9E zV)l|RV?QGGS^DhY%4R=WGAWkB6(+u%fgCO$@#PGpT`uCw)X8D*(QYX9rTjVaix{Lp z4s?|aTv+P7V71C=BK1prfcVl(#rndH8|3~%c!qE<;rciD z33vVi9k~<3?t=ea%%vM=ue%t}Y%t@-zZO7u@+W2m|IGHniAhW$8Zi=zm>3cV5G_&i z^$sK-^u)6QJ&NE!!*aj?;y?68y;Q=FbvU;u%Ks+97aaeYVYXc;1)}#OCzKN(GtI87 z2(E38ZHdAEQ(V^+?caw}Nl%AngO2eQeXcPMAW`avL?K_Io-gS?N3-Fr0i{7sjwN5B z3{0Z5e;+OEVf-8eaG)7_HNu%12yZt;I-PJE;UdDJgc@N8*-1arp4*Aj8Zh8HMAt$s z(DCc$h`$gXBiu~5fG~;BpD>8*r5|Z8?Lc4bcn71=|2o21gkuT&61E`>B)rfH?S3L! z`jPh1PU=V0M*Uzy55#ka=YEeGVY<$sCYa2%#bv!3xkrp<3fqzfR&$aQ*u)sOTSj`rs4)DXj9BF9qEk?4O5 z?E{7SvuFH$`j@V_wi0vlmqL1Wav1-u0N$wxdhZ*+&hb0^zDv%>e=C4@DgK$B3`}B9 z_V1V&yx9010lY&|=)nNuD0;USru+ioK|%}R62j?(BMHL@{~(lpq`kD0`eIPS)jBrF z2WIm&1(!5OZpI)a)*xEq2SiH@AzGs3>+L09>fKn20X&%YeNog+9AOh0@!brxxfjA%r-JQ_y~i35n1DEWE^k`H>KzKWd3 zfr4_tGZ`pfK$t{WMu!d;Q15S|+3+_F-qQc&+`9*s6Vm&ae9Uxrc+bmqbRe-k(GnXG zE%8I5B}%^DUh+YYXS(aj6F82eYk`4bZ9o{7;n*YJaGVtQh$>h@+Io|lKyiv8{QgF8sy|y@+Hc^Bue}D(ZU|a z&oO`sG($rnoaya?h$jiR5-uW4A?!rxPUuPY(vP&~cH)8$3`F5|FM$IzC9F(Xg3yWZ zQE}8uBOF5b3CX1&X)o2tx_I3GbEaWZ-{u2)`@ciIWEDN7_p} zso%>P^;!^qh?vh%f*84-c|acKQ)N-{`Tq}^4gcxDTmNF<%Sf*#;h_*daVSE407Znr z%HL52GxR;7UjIL$`A+a3Irt0q!~Z03KK{QRz@H7M8&8b?uLq#dXwCtdJMn=2^#J66 z!a4jiw_+!;`IR2cddB~lHop~-E`S^(*XjLLKhj@Uw1->2l_JKnE z*)#q=?Mwkm%*o&P72Z(;y#DnD?^Fc6_YI);^H*rG|NRw3UcNc$$-pG$r1y@Ag>t`d zIev$t(1QWQQ8ctlCqc*l|Df6MpAPKy7XyFsAx?BLVT3?fG<^UGmF+WPB3jY$^>+V= z=0)?54F87R#SiQ!WILWHT3ZJA{f`6svjKJf#rXg6fbW|?&H=^boElxEoczmyUi^Sn zI1t>_tVMPbc6aL{P9v1Gly@Y)9%t4@yEcTG5%#o{uOhw<&4$qCXz;ih;v7OrOL-6C z>#?38kLIJCo3_M8Qum!2LfG03d$v| z$CH<_aM!1!-f%)yM@#v=6R4-h;M|=J{O^$waXz7>rMx@wb!awx2y(+NZ? zgq!l9T+*=xk$&cm*n?2gmq{K*`~rkXL*dr(Mnh-9ZH4V=DIZOIJ+>^0`rd?xirCXq z{w4A4kog=B?%MT36-}N5Aa)>>w3Pc1UymuJ(QX7`Z^Agj2BkZT6ShHhQ15pA{|z>z z@v0$0m#X#e4*W??^e0LX{y80i^c2EJG#=8h*ivCN3G~>f9y-tnCq~-SQeL0!@uXo=68pngs$^&~DMeTkgkgQuxE335UolJ9AZ14@)L z(g*MkzG#!9PePl_>W5CY6RJ8|${+MaJw1kfiTvA>5t|cATFQ;Y*P+?4?RzxrPk45b zJuT&vh_A=x%TT`qVcJrATFQqL-yUJX^R7aJy(``f~`4I$Fwi?nXTwRyRyJih{<38;;o1Qa+IQHptqU zgZ+bU-9UqVghvRM5?bZ#&Y9p3%-_92{howHbhMOb{(*XWJn;hgDTIGKx2L6i3-NVW z+mM`v2K5Lxys@XHJdyZ%gx~#W8(7NXcrMt-&0Oh|E_}HS5?>8RT4E~E-;rKUsJ9nR z;h)J9(O)CN4as)2Ia?u-1bXbf2@NEM5H0cEMwI_V{G3p4j{(95odaf~gDAo(gs;Cv zzC@|_4ity!8*@>AH{o_2{Rz=MbHK{0(SE~9#7};(rwgt?ItMfxPVPj7O`G418{Q+& z$*$jf>@*>VuBCV2{2oKS{`=@Z4TN`1y#pCQb%q&{s1fZ*`0I3(UnX>(f%JBgOZp(u zO9}fBwuVyS;~PS#W_~6I2ML!GeyYPcq<@HTIN<;tVzC+wsp%M)#AKoY#rTG%#3*+X z6>~zp13AE*OK5lGZ=?C28vZ+WL(G3W;(upgnJM#V3gF*D>G&_A*&r1MQXqd7rQLsq zP=EiKgMV({l~0)0zYL%QW#F$O{0JBS`#;{^2EOX~|NnpOw9U>e4pOr&EElOv=SY}J zok%8&gJjz|I7lXo6Jb)Fl~gjC%hx0v36sf@(j=T*CX-2J)~eH`$*R+(mFo4szt8*q zj^1DW>-+hBf8TC5-QSPr>v{Ehy|2$s6O8}OUq7tTkBy%!?6Ki+yQwu^tDQoo!3;F@ zf0x9^?qm5hrEjm$Tl7Bf&}puDKHA&V&NAj=qqB{O>O1T#;yJqohV2U zZ{(yC^@68b_{S-S+f8ky8E3U0qfQhgh&R%wgTl7Bf&}qK)*1yjT6ou4dLyRY)K(sC-0E-9iGl?2Mm{hK z&b9Dj;}^i1c2iq*TY0o`tG`7j3KGN{dBrIBx`h`RzuVWeo7&2wja&UKI#G}y-pFL5;L{e~ z(pz5<({5@jk2Y@gx9CJcf_Nhz7zO8Ac*YfaBc|QdRvvBK>Tl5;tiAO{h8qPRv~XR2 zy%E!HYAcU6ZuPh5L_vc1igYo4UvpOr&$~`<#I&2*%A<{2{Vh6CkRaa3Sfk+M77n{n zZ^X2l+RCGiTm3CMQIH_s$Zdo4f_GXtpx|)3sjW2QtoCEniGl?2MtXVmf|pr1^47!c zrnb_Iv)YeQCkhh88@bu97rf2FTkkyFZfYydIIH~_b)q0aypi{ef*)A;{!qOU({5@j zk2Y@gw`i`ccj}GoGYTHG@XUbTh-o*ql}8)5`df6OAVGXZh8qPRv@mIe-iT>8wUtL3 zxB6Q&*O7qU$m>SIH!ZxdSZ~C%o7&2wja&UKI#G}yz9LJEf=exojM5u1?WVT!XyaCY zi%t|I(09#fV;5MMGWKx0sjYm_h_l*{QL}4C8@t9R_@;%~j~lzju$$USGtO#1M$N7n zYwQ}M;8F{}e8Si@hTYUwnsHY9F=}?r<9Z{*ChG-6j#c+-xbcC_9x;TwHACPy0DqR-}Ea z*D-m}$YXMwp-rwav`N!$jW_M4U!TwPI)8qu^F5tGafe|z&ESs9G^OZeYnMbjYXSzO?J18x6`!tGd|P7W^vfG;_%KF zTlCu&J>H^kv*=zH-5RacKh!|e^1}<7jjgcQKeTAm??@~&{D&FrZ}6Pucf{+9M8mLl zncl!>2F--i4E>0~L6-3g4DGPk8;xV;Cxf#LmKtpHvs*8?cd5=_49+q5jKO;iUTv_W z!Q`bf!;#f582dwyUt#bIgH4-td&BQKmpABK^_$L(n{>7{xM8ENhi}k%yul~e>-t24 zXROurf@qvYVvxQezFVUY+-h*|YF$q;*uvo4Rl5D*dYvm*>MSt$Yn`s|Gk8*?u4$ah z#;L&~BmQQCN&idSWAtO@+hEa#y@xOzOfLO*$N%CNsh^i|a@K@|;MkJ~$A5-5?Fc-{ zuz%pt{VEOiIZ@Zw9j~*MUFXRLFHO~T2Sb~AOd9!u!c5r4aI_}27C2HNYeBQ{U&rZ< zSQ(4k&lW=85aJKRF>2w;;|9lX5ld6_L?-7N+T;s{Hu<2TP3|~Cyw%_IvoI(uh9hb- z;n8@k`E)-nQAY~6(U_S@tKX6Ark^=(@rjxR~g#mr-nB9 zilI%mH4fSX{}LC!L|Yxrfd4K(wKQ@h{g1r*E#e=B_-T8rdbCl{q&4nHcGGXPJ*Xf3 zrla3TXgXN6X*YS9;eV2Gy)e1mSaOp;8QSC=L(d5s8|NP(fi=MN`%h`+GZ{2Dto%<4 zSmUHIL6es8pg6*SFdfZtlMh=~%&N^ellg}Koz3-KZSsn^9w3CiAw&nm!DOnTO`7(@ z6Z{>AU;N>|EKM(TpTQi1=NL>dxYpR^^9{aa&>T1OnDM3^f9kXg8n-HO6h3X-+Wx2f z`~-bkCWF?5=7801YIEG=7$c!MZtD0IfWNLc8b1R0^^yNeGcy}#Y2-6$+D#sA=gMUc zm^2+sE;n|KIc{omhI3BRm-J{f;}4^DX`h}&?W;%h`0KieijCW#c)JzCOjICHJ|Rs z&N@SzZ1cWE|oH2x#6ezN?-5I;eWRhx~Qw8kCDZuHg z4K%emZt`SHBC9szOztv{{xahVZt{+}<}pj(;Qz5_IGA)A+N5beJi*^__{ATyUQE|7 zz(WkSHMqsNl~fsg+29a^S6Rl*JZ8KZhkyJ9`Ay>%b~LUr>i#h4;X>fZlU7Pkr zx(*6sSwhdNn7oQ<|F;Z^|Fq!VLcQ_-G+vKmAN;2Yni(tgKcaRFm6yiU=gsTVv&)$CgZ@8$QsHhwgkk1|LS!Z`RpKH7PhYCs+5A48%%!RrMqmhvGnT3v z=zvYo1v4+feiq}<4I7{bI=TxHJ)1nx-*u@9!!WFcv2IIMVGll@sOe3?o&;R6RF%N+ zRZCR_26C6G^h>p0=(ALn!;(QuRbDRwy-QU}Z|uIMDhGyO0G17=pc@T4!Z370_gza> z1&qM-KEw^B4lIG?eYBl(9|4zZYeYNa?WvcKR5|1VjW{z2=7V)p9Yo1u9@;r1tnF25jCqdT~{IA2W zY?%r}k0kN+H1ZPhu;k@sD(43L7owr#>t(9rKpOaVnF?005m>xTW!{Kk2??P8_T{Q( z5O&xEL-#IMDR~qcwOqLh@GDua%AjlPaiIQjp&#<$#4S1WTZQH4PTh04#=L4KvdirO~^IhaMPOOM#(q z9TS6MJrlYIH&_T`8)yWI;Fjg8oQK&G7=y8G%T?Ym3Z$-3;$9M-wn9aqzrzYucpnX2 zvO+lmjQ3ulYGCZj6{>7FD|gEZm3=>ckFHQr=$^1bg&tt02TL9whEf@AR8Q7MlQ(Eb+{hK^3ZsK7{;wCgV_2BZF8RQaRK zVAd}xZxl=a1^%PaOMX!u$Kb#97gYm8&1+R5XPM`eTGg=x-MUuQ!m^&VDtjE~fB4y2 z<$4UmOSP&3x_8&Aobe<~{8hy?Hvd(XJx<`IzpC^JG}`Z1l>=+;W*mxPb*lIY8XsAw zDqvXFsgx%vI2AjrnO3K2G##o_C8hXP)~WQTsP|Ew3O_|>p^xiS$ERVWPBp;jmvzcN zg+{)uQ?;<lJoz0X4GS)ia=Es70hEJeDg}x z1T$}0sT@<$gXs{u@4@k965UT@Ff?+d3QU9JDf9}BJV9dUc@BSA^BUu?5?4v1uaU0` zzYvALTB#Pn!1t`w>)4a)RnBx8NUIO3S~lFL)~oV2Ftn{#c{AAOXVxpnn-uO?uWDe} zRj{~q z@Rm8Nl$ayL^K(}z7hEPEF;|FPb5|=5T)l9$DucaNuU0j% zY$M|zvCCjbn7L`Sazpol)k@6c{Er>CMpdv8JARGIu42hfTBAbH-)fD@`IrQ4)~E<{ zpSwo6=Cd+c*kRhWYt$r|a`PIs2MJaAg;wWw+sj&M}xwJHMN zf-&ehmxQZW^5MimNAX&f62(4>!q736xV0?(zO|}w13Z46s@TY`J!_q6*u*aDv`(co zz^=h{Y7rZe3)iXeX7=4h>r^cacVDL>f3Qn>tW%ywcEP3Vlw%8t`>az{(9>_7D&ES$ zcg;HG+J^s4>r@Q-2a_j8p&{#36nZAEQdYLlJzB2H0@VTBqE* z8CbGTi9Iy3ltfVc%(%v1)~UijDHx^mCK}tbPL;ukZM|~sMK@osqEMW?UIq5CGA-6C z$6uW9ZPu$840T?w!ux5k%X*b_06NzzKMY1)>(wO9&=m*hx?sIp1RdGymFFOhbtf^5 z!4w61tyg6*vUa^n7YQQp`+60EHCxuJjtL1Oyn{Tj?9cTo+s638^(q1#sT-8PS%L_i zut7CI*C`uRATc2*BCR&4rbH5CY*3M;1X0pvgDOuZLAwnq^SA^NgV`ES-=I9u(|&_0 zgyPH%ssv_s*q~e~B<#9DO@h%2H>eal{xB2zv+;wj9vhTM#olLwDuez3G;n+{LAX6M za6FyC%rs_@M*u9lXM?Iekpe{yTM5+8aTrS6sB(fW=p=cgN;#E+XKYkuFnq~IRooIs??xqBG5+{Q z6@fLg2uR0o4*sXncs>5GWc5bXF@v~G=++eaeWP->p}{{lszuP1x=B^EV^^H8NoAhS zgihY17D2};n^dr4CJtkE$di|#S=Mz8tHAQMhAaWfeRRT<2Tg=qwoHvYPwP2Jv1zv zO`;3we8q37;vy2Q`ArpG4AgIR1Fk2H>d{a z@i!<(5A3%$@b*jo;E)DY!ba@w234*N+}ohSF!R0!RSQFb1{KrBXErGRr7Z2I4NCN4 z246I&2oztFus03VqG4nk<9%4#SOagn+SjO}bti=fy|qnF{ohk7s=YQo`iR$zaF za`lA=8dMn+;&&Ckf{su7T?P7)xZUrn>PiAT|E~Nw6u9blm3|fa>fco@3=Q~QIr=lB z>wZ_0VAS`!%DI|6TNsDY#LcQam;B8)t4RYmrZP9HifcIk1D!Uj!fSDWWzf@kv#Np- z7=@v19Ir$7-mFrtCqci>DhIlAHmewn4%n;$H_%AIX5|`419xmzwJ>rw_8VD&q2z-B zSOd#o6AVMgpkRVH{~iJdC5U0r1A{OCV?{IsGe>Mz4bTHqZb}eUup^AZ9N6taCICy| zBp87eun8`Lu7`++<6%l(f=GOrc$f)uf^0m`Mj?#CNpM*)31EvyNB|3A0}R2G`~=Ym zJHj@jNC0QRLYO(4csLDK!1OW1!(7+^12Cl^K`eqDp*y%)1#{S_WTOyfmXH93U0x zx0FEmBdmZ8a1m^RO)%vt_SMaF4qdSD8Fm#+e1TmBYhW3iT)tU_Vau1;*RbMcju9wc zA^w&GG4NI5p(jK}Achy=GLh!OKi05d-&0rY)A zJS_T}c=#j?!%A2KTQ4FWUJV`o1W^iIF!?*;p)1HnfDN&j1TYhZVb5Pl0KbPZm|jPM z+Y-d2dJ@3IRV09+DDiOITH@iDb;QH{um*NpPdpsBf%w}wNTCaU2t6>Exse2Hv}+&% zJpXqRXnHdV;1hq40DiTV1a~BeYUqL~+eiSjU;s{qW$@w{@o+G#fv>|DY=Dlz3F7|k z#KV=li66}Qf7SsKV7LgD!5kQdT@R7~PJ}U-A^uQ~J2@t7e<&ARm-vVBz+aR8PyuL5 z`9qb#;?zI*%!e5t|A(r9d1-&}nNNba^916DQ0FA#;XS7iKP1TM)`A2WX0#*$Y-mLS zxc@W~K$$@TC|Z-?E@sq*1TeEL3E)>S0F&Ag54*uI%sHKSSOjCxbq4W;9K>f558HGk zKFCItjR4%yi3HHwnFMeltbtFSO9HqPI_^#oGqXqluRD(fa0Cp%Y!~s+bw2Shs~hof z7mUFVE+l>^6S*1;^B`^6R)wHcv$f&@%JW(Z{8&y zzV<BH3?vwC<$N{tkLW+2HUMA!F>thX6S;`pa-sm0oZyS@vs1f;Y+Xv z*1{M}Ur&616@o4(gKT)%SOEjDJ^ zjz$%L9nNUv^FS_Smo%y{{GeZ>s)1XsYE&_}$J?kJ_tVhb#KT~V2_#^{^CSu2a99Q> zz%YFOX%fIIr;q>+fsO|_&7ccbLl4X=BOYG&4DqlDhT%b212di_9%e(w2(F0F6F-9U ze!5VnV3nYNgK*xg#;%n%FC%jBNYzG6-56j?87>0Xb4fIVT z9=;154<(4ruM+_lSoNzfU}D8zvr(noWE$SJo=xgKTvFgaq)81tfswpOF9-eN6&*Ni_*z z?GGe?gO`xt5msm^3E=6=h==ztCm!xvK|JhNOFYc3BOcDICmw#YhWL?OA=fslpo@+3 z){_8MZ6E!WLBweYP#C9R3EY;J3}Ts9N~^aa&Xq z%uCy%(nlwVr6+Dt+3=B*wx~Qf@MPlQv!@adSF|D?K9Np5Y?nbi9MgvQF$p5IZIA?P zyw;Wk@TPVofR~&>0(byc!K$-J0I%po0$2&tId-~qCLWH4d2l~0hH2*!4~IF4*X-vL z59==>9xm@rd_GxHvU`#MHouevun3kz(TfDo2Ww#%Ho?)oNia4++;AE3u&6Kb zu)H7fFbvCK>`LO{)mIS@2lgi(4!WB7ah(6|0VH5!q=y9X{Od^o&$)pFaKw!yfQ^Gl z01v_@*zqP3JjOE~%!Z{f54I~H9;Wz+hvRQ19uB;fczC9tc-U<)@#8uF_uNT>@!SK3 zkN^(7iv)0DAqimLyGa1M4kZB`37g;nnEp89Ma09%Fydj!eZ<4(0>nexaN^+`_Y)64 zdVqMi3#LzCUz|FE1QWQ~z&tn{7Q|Y^uuDf3zoy$r-_HYDa6Cq%ZP{dFql3$LA+f~0yyC%62Ku-NdV7z znFO#utb&ihT9`JC1n~P14Lr%dew}!j4)fqUZx9d1&mbOVzDYbh{Vn2Qv$u(d9V)g2 zReEWHxbGbjz{M~Rrq3h+90oxh?=Ty7*-Je9=pgYhpooXR!YX)$*s5yb*RTl&-$~f2 z(x2rZvTaq_unFeDgl1b+F-(KyFdbIGY*-7gg-x&+ra#BSE6j$j6N!fp!eaQ)$;89- zQ;3HJ8N|aP*z_Fdf7^~Ec%G$#*|2vf62J&7hQD_v0Zhyy0elqJ!cpgu04{>*FC>W0 zU5JNY!aO*^MLbOEN<5qht6)ku;$Z|fy}H0(c=ThA&-A0=Ouf z1hD2362M{INdP~G=`umw)q{BWIn0BlJ&A`KVL5!!O+4&&8S(J_zQhOFuw6-lat=P2 z4gZ9Bue>4Q!s!FI22~RqAKplUX`HwDB!F*wNdWi2Vt9p*1n>h`1>4?C0{Hwb zGysqH6aNYa7tDt5z&zOEHsWCrmcxy=6A!N*OgwyUaF7ISti+K1YJ%u=Ckf!gFb}ST z#n3&31aK*=f`Pk80H@qdJggl`{A&qf(|yFlFYYHE{xO1h*s7R#IAk>O@IhD$p9q$a zfQ=AL4{^a6O9Hs&aT36Ui6nq~o+1HkQAPsz%`+r`)1D_D{wj%ooyYH~#KT)(B_7`Q z8u4)F>%_y<>BPe*tcAg^W|4r6v2#fOs3E(=| z1TX%E_&0ckgW0hBTjJqNSPYvM5f6KRPdps{1MzQg{+F`RgrNea&tU0bHoRiVR^^9# zVFJ!MBsd?{dwDlb~xC4OU`@ z0a&(st7?E^|5jBKX8Zv54=8kyMrSAR8=kOD1s(G! zc=9&oslw29o2rG8v$iS!$FRdTRR!H037pSC3Mawnx!Y9wCybxRIE=yuC|uiAU;zzu z-KMfXrSL`Dl=zH^^(O!4jOUOax?xHLzpJ+K{Le;a|81%eimSJ&a*YFUgbtXp5J#8| z1J_UhhOgbGDqsZG!YEAnf;bO;FcTJPyl$HcLB|c-RL3tlXki|V+_p_cVf41(Hs$z= znPYIn(BN%qk)~l2blgec*XRdm3}!xr|2H(SY?})INJ1Ee{$Cic!47L-;8#}cC-SXg z=1ZBtYQ~{hvrT3G%&v?Q2P47tbi9m08@8!3=-NhsU$lKr0qEGvzN)3bU)X;o;Q<Nu7qN4!>z{qtm<=M^529g+-48m~_1qx!y|0jiSCP5Q+m;>E|W6HgkgYYim zVd#;VD*lW3Q85+R&jiNAROSID20hRXOP~ja4{-kb*@$9@K*vG$33NlgnxF#E538WN zB&Hf*4NO<~!5kQa#nAnHOhxQA;dqz07B;@VL!L~V@Yj>SvrUBeGEtXJ#16z%2#Un* z{A?(Q<8j-SyDJXoY*!_HZG4Gjy9!@r6J=LySA|#Ggy+ibDm$0)A=~*B9RCU1Rdgtv zM1msxW^Cu}*d|=x;$K4i_v9Iieff6Ye2A~zt~!oK*AWLxHsJR-jm5UBNbm`psM)(+ zUpN8#x?vPLrtehQSrmZ9 z8s8uvj6iW-Gf@LwFb4fl%-E^QU?z+}caV)38-AGCg{6ZY=znu3Kk;NmU>JsA6h@%K zi63-B@fMB25*X6-+ccn!S26BFf5K90{EWQkQ~1lBstT5TLt|Z=iC}mM1~wwF0mhaR zcmXRA-KkQ#Vc$gqJ()@JF6FqAl{jISDu-^zF0}{-+U`<$SCO#uF6AGf*MmtFDsEIkU+6pWj;jbNxM}c^dG-l zxgVy$iMv$=jGVHY4-m1Z?^cr@!QN&!pX*`I+^zCPVn2Jgs(F-M(RsJ(I0}20-KqrE zTtNJ2@?N}Ki7|}#+|Bp@*zmh?U?ocW?pBLnD2DOhIufvniY7PLO<+QQ5dUX{2i9=r`@U;#$Z&_OLr^ROmq~!M?>p(t6J#Tgr3EW z8_>}62l{om9#9yEi=h9aCe@_ri_ z#$G2-vrlhQQRsk8unan4=r9PP2qIPAf(5eMkmf&+AIB@uLNYf_ni zQb;wa99WaOR|PbE(q2^oOIqz!QO(|VFHc4^c=lf9f#LJ^s!7n%1wR;q5zP*xn%%iq zr3Ckqhz%EX!#o&*B`^#tU=6H+;(P-3(O|c|DjT{kp@62llNd%W-K%1-?6SSe{TKT3 zy*!Fh=t}ay*wuSglconyZ$FKD_Nt(t4bP2x`EnThYS3QQ03-R#Z%Asc>cIb!c35g;A-OxRWap)+e!Nf$72}__0mP0oT zL(dfK$;81B%$!PN$KwY(YJ8c(4Qyn-N?;m}uMr5v>&z57U=#Gf%;q!#b6^MtU}QS` zQqym+&re7cQCJB5Gng?9!3yYjlNrMZjKa*f$P1%EHquXI#&5HP&|iTgbi9Kjj6#ni zQIyT3AoRbB9Xcxa@})Co3e#Z>X2ZyP6oi3Ud(|Qsf>BMskN?T|hsgsSA7F>U7#p5b z2%OCTjKN7T^Fx*lhTtL?n8Qjz_gwaQ3le;U9mZhxsl?C24r4F?Gpoo0LolrAk6BSz z^Ci3BG$y=+x@{8g5oPr(S$iBev#&bf0Lx)4bDs*s=o$M|_BrgUv-k03G75Lvr%GVv zdHYmKCmK3`pDNRM;XcJTQibP|eX0UxUb;`EpG)38`&0;q`^YZSyL7fyd^E3MdY4xp zZ&QB;UvlCP#|d9eO?FJMC7!_6V=WTDJwrC0?o4k!{&681KOCSriT$$umCn;_o15!H zUoq6MP#^Nh^ZPnmB_5a9D@8VDI@6OACkT|$$JEZ0GM$LKs8BLaj=aR#%a-|=oPUXPf~{n{ysSI%{)w`#JARI@<N z^~yr_xxjh#yt8^bMP$|sdzR><9+_~Sv%lQg%Xy>8&-Ug&mSV5?athq@`}*(5vnaSpM0ev{9}*&z4z!5-Zv z=U?XhtXXv5HS*ERotN64JzmbgoWA!om-{Z~;Ck@{*|{%zdP_O9FFHFzPSteZHnL9R zXYFOi6>vwEEYR3^o}8+2#RalX<7XGijDB!bFIk|mz%8e0oOP|N)A-SKGUG}(<5pRq zG4*aaRb%&iWSz!t_sfhN>P{XZ`{$s`9+s0eb{;7gYxc%ba-YUgC9?BXaP~M^pmFth zIay=QL^=N|{4*xYeVU&9r0m=u?VBQp_D4^cBByF}mC42ZSrGqbBo=r z{p&gY1mr@ev#)%51krEYz(Dv3eV|6Z{)2Y%4Rrp{tmJ8re0c}zv&V32Hpn^5ULtk3 zOu6>^F8$|yImr1!f-N*v7UVfQ*c~tHgC+lHZEl|PLtEKva%jHuX!9IdXl{V zb`ICfQ{?8`Nf+xXXWrqQ)!uROb@3IdiT}+v_Tg6xInNEdkRROPyhy%3*m;{h+C%q^ z%JvOiI?KlLu4(exJDs=LB3H=ScXD>scxCq?&R1=ryJX!E=PX;z{c`eMEJXAnnNf({ z|A?Gl$UYNe<|JoaU54&)=GkJ?yQ+kCXd{Idg5EYh?d>aSUHC3+{7{ORnUfeU#m#ZBMWVe0oq+UiYf2 z)r$dVq0MuL92#JPv3q6eaP2blfW~pjML*J9vCOz1TWFM=e!ue@TR13(KHywo_m9=n zIOOn1msZk0!dZBG;4$6ik9VbCUAryiUl|y7bAhz0Zmwn@Z}=Mvr`ZQ%cTLdkVZ&aD zeH8ZSMA`X4c8qvZ);-A4;gGWHL(VZ7k*T`B!>H?nZI+RLs$BdKmr?gCvh%~vF*Zj? z&U{!qvfq;3i`kLx*)ro1=9W2EPJe{;EtxA9KZ1YRr}H|GbPj4}3)IMQqn+2-#ZP(x zmpp%2mvdem1dE-+(=0*v%dXGrk{t%~CmYjB|+HwazRt zd?D-U3OciG{*7{IkX`2gQy&X!XIXc7L5cHDn?uN{CB)UF+z_u6l|N-VTgubNI?}>NIqs9!mvWkW9*~Pm zxwDFg<^4~wLt+ohrB69Kw00EhL7{jLLM(uT`R6a;;(^+=&n<6w+Bw=59xInV?VM!~ zPcpot)?k=STKXo|z;*xu0Kl-k9OPMYp+)^JWC4pXVRjgl?6W zO=DRdgXR2b&RJ)L@6`PSpWSMI=J4M#{xMGYF5T{Ft3SWBmG8Xb? z`fv@yLS8eXYd`tnt2|3^IZAzvwe+u$i(li;606ny!t$9AkBg3wvsioHm>lBN3#^kF zud`~gEX8meSG6l`JoO(|NhgpCd=UNnwA1Onr+c9nZ{&793PD&8|$l-SF0>1%!bfJ8j}pGADH+x@(fYJW8Q^Vn;a==L(hU&~vG-T$*Jc*ofxLo7SIZV`PoGG4NL-qd%T z_a@kCPL!$da^cQAL(Y7c$%W35Lo1!v*rJ`}{7OzX$EEXzzUShZEI6?L(bK0BFIE|kGJ+4P`XvGh=1ytuU(*0BC8Ofy?{6Hwa%~3E# zv$c~YpE^@)p(*nwf9m`uA+6*UJv#F^a|Iv#+}XnxnjvR@?(Aic%`{u>Gn@7=k8nO^ zz9*+fxLrlKJuTD@+0SL&Lav5^MRMF1JpG53$c!(Y{W8RldaV8+#c#G?A*wTJ_(wVN zOAeoaF`gM;Aa03pRC|6P+(OR((%H_ow}q_JcjzUI|*Xwc`vPE5<=&#EKNI%l8%X(x8d66#nBWwSb zZ8*doEAzxaeY7WXFfyo1A94e-wJt{?MSY$auFGl25y-1`xd0hK?$TvFa?Ywe(OZ}M zk-Lya&uuusb5D@Ad7W53YobfPYl)NG-N5#nEwK# z*qA3q>!bC^5~N?3`;k#(NSAH6=9Fy86EEnpCo=uFL#yLM<{|Z6m^unM1Nm2i{EYdh zPD6)&&l9)VmBNm?;~4k)&8aU zSLg7ZsO|Fp#m+7nwfJd&PKf`lpAvoPv|+oPznDY6Vu!5Ln6pRjgGn3q=85lR+aI0j zcD%y-^2B_b?6rh50y*?Ro+#yIx!Tz(nX`M(fjs%>_s+ISlSDrI?-eZwb2K4eTo<2b zt0ZK%X0q;kXS-xqQofjwED!!jF3eTO=kt{|*$%0Bg-?*(tLYvnU!{o@%;%n$FZyU}oUS<)ohR#XO4@|Avpm4;G#^Ko ze6b|HK$=gqi&laToU#BWx9OEHriggk_EaI>MGnyOCn1}9$@!XBVV`{Qh>)w9oaVIw zIjvcIWi+pnD`dux)V;l5J|Avfx`b85ddrphVvIfm`|(@^kQeHOl8`NO!fRBK@DC9ugMqpB#}hIdl=C&O zv19Z3eGU3f&|bI3<%=2mPC(5-_0e|%YU4QBeK{d59?KUk65=O>{UjD^Jp0Yq3CP^> za;oO#n3ykKFivI66_aEg=A`|l`Qod1fh4Svr)0(otV^G!IsJIW+G9$-7-F1pC-brW z6gd*7Olb?}wTBh@#%@>*aUcfpKwNT#+DaiB}^2P3WnIx3s zMOp9*dDC9X=c}{&(RvCG_sCC;g+e|vRnEsNDf)80I8Ltmo>K~I!!%A0n||IQTfZhV zYDv}mwL|BF=5>9DONYKvNj%3s@VXqSd3Btg&u`<>FCCa~LEfrQ1oi23S*JNAy^+tq z3D&Q9nETGi7w;v-ceWk5>z#bj*EsQz+3(7NUun|wZoYUzj@Ftyl@tBFLv@mnEoRC2 zI3>H@$H_RHFfaH(?!# zzc^n!d0hMevSS{!l#@C|Ul`=GbuwcmUazjt7ul)$-2n57E&2Q#Km8DD#T{v9z7VPL zJ&>%)1NrjhmCm-wlbRKXcFnaLSt~pCw;TmpRQxPv(9tIG?- zMaI$~_xF_r^>~$EQNZn0-^=NEA-fxU8F}yuIbZX-v|oYfZJZ96M`=<&T2Y_$lNqa6 z<-g+A_|+9__bcNe+MUgQnh=lZQoH*kA*-&GQ?-~T%<+f1bj>L{=TI5V>ERr?PjmVR zvs=F=VcnxS=|`&;a+NGt&F)bBS48A|ja5rzoyJ|8_T3v_aWOEyAYZFr<|{Oox7Kr8M_ek zUC37PU6_m-v$sIDUdMCC^O#T3CxZEHR7>N&(vEWw$qB0M!(`-6f?n2whVLs7-QqLR z%x~^1kSjIwR;5i)yUT0lgNhRCF}sDAXTtblf_XA3*SM&i&KV%&$n|vFGr=paH70@i z$pkrHGcRuD6`hP#!o0JY+=toryj^B&V6_IMdc~A@>J&!nQGd#tt2yg4xh^*MijR%G zfGj;hP9?;aa-y6Mlixhis}W=t;VH*$5>x0IbR#TyREF#hBGp0-neuze0h7XcCCy*t|5!hl-)Ps%_CNU z#?#K1BVlq?SFiSjs69~G&)|Z0fmhs-7(e%ukZ)fs>ohNw?G-l|xiMeg-7CiF_dqRZ zSr4zc-sl_I;Zj-f8_Sj6+bizZFN-Ys8Yx5kh zwlfZD&zQ*at7Jw4PI>*An!Zo5jz!&QT;I=PpI$9T;*`AmYOlCjpGgX4cdl2Qt6$$y z_vFetoNSY>k^35)9g@0V>lF`aqpeb_84e?#HJ1CqU(ov%{QKEWY4<}1vetU zMMjO%9oPefUhxAbJMS>5S?Cc(Uh#!qIyD!aJ=`lsHq+mEiqNx1(3&xmQgr@9Ua?Y7 zSBdQQuvhdl+^f;|kMxSZ+)=ex#zyon zDt;)ov}d8ue%fok+~*=ok>iZg$P=b;M(eT^c{5UfBTubF)*~Hy->KE;#%H|Rn_>J- zu@ODsdFEm0v`+ZH$WAyeK5oxKFL>3#$g`Gs#a3OWb>X*6{pb}ry39frARmj% z)Li5$$=fAxy<_0dY?bx8eKwO1qC z)p^Cc`e-BaJLGS1nVRO}g1gcyjyL+uLVr^472oQ^xyW&=xOo|U7NO^^=EyZJTczmT zYrW$8B>nuYL`Qz}iu25Aqqj78#hZG%M&z@++aj7m#k%N)?VQsHO7Hm5ttC5}<=aBB)h|EVC9|fj$(3zmRo@B2?_ETQ5P#>*E9w&U_ zOkFl2J0tZ|)1KCi|78qvlHN!bvJv^YaaGSnznkO}J@nurWCJq()XF6V*@S$?yr7|< zNbwnWGkZ01u-zx78I_SD)hCoL(=HT3AvYM6k?W7AP2-r#MJG4+iK~niD?(p;f=_t$ z=u+fNywa$Q%x>c|KR#_l&PD3a8uqk{*)?r_=0TE$oQ^a; zT+Kx$w)2Tc^i)O266C9TTcyZ$r~5>*{w$DMi9V~nk6#_DUo@-H1JCw}+l`&ki2mpt zpJ=Ctr)6^lA~zbBqAc{soqS?C=~i>i%|&~&d}6Dy{zd4ky7960)Tn+5?ic#RM8iD`z5gPg$W76on{v@Py?vs&Ssk6{ z_L&!;)Kc`|K0fjO@w$H{y2SvW_DPa{Aw|2d)sE`;y{-{C>Uy6z(Rh|g>(0~2jXv>{ z-c1(LKgcJ_jk)Ebn{M(Mj|8bj$RF~2qK|R;Dn*}g3+ITg?Um>k@9>#-jB2E3u#eAa z;&;YIS4U6)13)aQKSB|W$l`3CY!qgEw)$O}FZG?G=LH@@gI9%@q? zk#|X-7;6lt_2%kW{`X}C8G7l^?#V?~BK4a~Y7z1?{or_6@6k^$N{hU%pXkUB40zAw@l=fA)oQ{p-!dR zD6(4c$NbsHRgWc=CO*}y4W>%Qi(dDMe7&`5Tainq{6{sny7*kY}3L8FV=8 zGjDcjmkBZa1D`n0SO(;)$S%6fMOGu@A62xn7a_&$zYjd*hsbMm=SpP4hdxoD%W9+- zX`FkF$RU4^rd`ex4)SfIF7mE9=FYU|B8UGii;#~ZFVvk&kzr(Sqb_nAGRvroY(3W} z&e3Hf@-pN*x=icK|9TwRMweO0<3957OY!69d@k}PWY@S%EkZtlyw*JA(6#e?qF;PC zsS?>vj!ky8wpX)xbrts(Jx?R@QRGc}p0q2tl^|yc{mzqx9`~`2Ukj?AqPghj=KI7g z`b%-ABJ}o8ed0wM>-{gsS1IEYBlM+Lt3ttl+MbL4-^w-}W()P3~c_I7G zcj(;!`M}>Y7x@X&IGl=*_Qi)}De@-dV573;{I{$|W`1wpZ0(K6*+~6CDm5*K8&0)P zoNk_A==+wiAIWNev{kxPvk)l_avE)^9S8QD};WLi|zl3D$pbI<1}Jp1LB z{n_vLzRG7l@8>zsIrrRi?!7adJM$&owxF^5NOyMT8C&q)Fn%U|GWAW~p*PuSq)Wf0 z+c7kL7U?Gr<{2d@Ur5^ZBXtJ-rAu~3Iq6d)=NfihKk4(L<{GP2d~6fx*Q4j^%jQ0k zdr4w%;?Jge-gmC?zPe7vrID@~IM;ZJ{s?Q`MUkGLG}rhLx{&mY5p#`ubk~#qaMWCV z-x60#y8oEDMo`yI`X8x>YbV)8Qq>+CKa0*35Kgf5Jvq%q_FxR+T zjj2MCt4U(%LOIEjv_pk!Nvht*Hp!ETzf0xlihpR6ed8f+&lD>LQ{QaN6q^Rq zz9!BP2c#`HQ_LJfR{oV`h;vMzz?u7h^oxfF)6*2RBGDERZDj5L(QAldNlu4|9_kz) zEx$Xf&H~Bef}zg4ZQ(P;mqV!=8qX9-NlscnHD|6>eUY`~H&~bCY>c#pePZP>3bro@ zCJlEkjXInx%d6iMTqFT~n~@^y$~ z7SST+3C@i+-Z@b&r`jT|eY1F9TH_HhU?SP@BVzhQ z8bsko#Wt67Ok5iEQB*lSsQ;+gBK`I);{AzqfU^HCl1?C7QGYnDh2jGBV$}&07pfOq zqz%`L_oX!+69b$SZ#*t$I;kBULGhZCc->ZEbICq=L9BJjaxchy6K%~eh`q!k{V&cH z-+k{K7u|U?Ee(88Y;cpa<3;hB+qpcpxmE436U(h9QTH2f2e(af-W_YJI7!SoMb>bV zSbK`|aho?oOrJ)*T#+%)I_@Fys+Sh~-apMbGd6pgVsvn=#hIr%+im{o;=rlS8L{@$ zm5&}PxYDobr*BMJh{$IDdkBs2Gq%qcyxJ zI5o>jYX-ebl#^E522L0)HlO9(Ve?-uR?c*OV{={+TyeJZ?r581O>n>k&inh>996+> zb7juv2UM{-@x=`1{hJhYit9$5FgSRr&v`{eY~7zj_xN%c;*gTu?P^C8ArEV1e!k#j=bDCBQDbM?Y!W!tDO(W**cC6 zCarS5O#Pb{-1}GO-ElVWX~DHs&KZ4d74yVvcR8=JH7^h|@1~&bF!sgf?jN9d0-rrUf8(i7$yuDAXJvSo@`u*3+M*d|?qWhE8zK zubk;oHd+e1^xx#Ho2Q&kG5-$NP|>%{b#$Vkwn8$iFQo64K!{z?-V7BNbUDwB?YLAG z>yUG2hzNB#D`LYw#frqEHxeuQ*6E1Np0AnJ*2lkf?u`vCRLrm1`pg06EwSFkiuuI( zPMW({edk;!A54im=={v)Tp|t}qywEzkCS~*L(g6)4t!4s+*c^Z|3J2=FgWK2=jJ$@ z|Ifj=Sl8qIY}tX}a|tf$(7I=W?oqC*j-f~Kf}1?9*%3DH(Lvi3*X=f2-H_n=bXWff zn?E_Y=_D5&59hSthO=B(*=^o@ku=A(+ZI|BJTOOk>}!HwE_Gcy&=z<)xZ+yZP0_K= zSLYjYs}LiW(x@JLz3Ww5=rwWRde;j!UyFEdjq7on;|;O$2G=xO_8Vf`4W#Wm#fmbi zcZw}#(*LGddLwDyn_|WwT0%`4SV%=KTY+J=UVlUYUhd5@fD@k1P z7uV_jGqUKD z`QoAVuF=O7(;gJlH;4E?(ZSZ4@T{9%=h=$(iuE_Uu8Ph6RQc-U(~$IpWQB{qgk^tl zWrgg!j?aQ?Z*eWAM+Q1olv6xAm)c;z)n)Hvqd$ABy~8z3c&n)LaFp0oLZfw zkb4;|=G@_;X&WtrX2*6!FF=pV5q0}|mm}8SS0O__oH656X(mGg7wtyqII;IG*P7VyaNS0E_aG|nmb*$T67Qx1 zYNUz<_qeXI`RG3I9@m=4ib)H^cT1h4kIA7u-9z8d5}2e4YtJ z1h?Glx}VloUmTpX$u%#|R<}%St9Q|<^-3}QG1tUc#~*ZQdIolI@aD%{bh6K0rJ!9t z7&|yPJm~r)(&oE4826+rI>FZQui$`JTy)nEY84BbX?L1iWjBuRn=p}{3vXQ@E;+?H z+PXE!BtU;mm(J*7dTqz+8hY)(>lS+L#OtUN=t+6J_R#BW^}269z4pC@{g&gcl0ea0 zs*!ba_Ry90H5zXYy1c*U8fLSF-VwW6Xh1l2t02D`c;~(0dcqcXSH$gf(WzjMw9`bz zPFIZ0_(ZJV>Dp;)-cRei2-dwx(EF7XGh*wyRF;5vuaz$H<62#hL}vf6K)l`RI>Q#C z)v2vi!uJ-HaMFl*i_(N?xy3HmxuRkhL3@G}vtzwO)y*zFoA|CPMI86G>jPWeFj|*H zf!S>o=ueSiwk>;na7&wYT5*b`-Q>0JCU4PXDQ3s|rm8gbh+-?v%8K2tkj;N8E!c6* z6Ls%WpqExLy(@>#*};)v*Wd_S_W5G!djy=brJZ;C`?67&1moU!T@_(-`oxY8sQsZ2 zWD6EaF(a~h=|b`3o33PW!XD}|Z;{x$hsqZfOG~wUNTGp};IbObTbA@BCEPrco{9f0X2wPp1*z_sUz^6o; ztEHfs98fz+k2}97yL_MPN1OL=V*GwOaJ~nnrDL?83iuxu+xE*Ie^l)KjIxA3BS=rD zk)W>Hs~qRuZxBm6T(`&iUsb-4b(3datH=DeBru1$>xX>Q{&w`W1W5Tak@Eey1XbJff3Vq_&k(zk z-1po3Sz^_(Zt8z}3j0{nb?1mx$)ugx!ClGjHS~aMj(l-#ga{9J)6lqB>>ci==j8HK z9bs`=mUFD|JKW*eI-g>7idBpt=F3-%-si|SKNTb0VY+3zOu>Mlw<0u3Q``kM$5L@1 z#eKWYyG*PbO_naYw9mIk#fHs8S)K05;)n6>nYM~s#LNlq*|E-bI=gF(dvb911oxaM zTlU|?RHu85Ekth^CeX7eb{DnV7Zgb@>gl>*aHdP94gBj+8{~b7ZHjw}t?};QRI)Vg z{~la%qI}U67Ms%DH1$swt4<=@CB&Sm?ukiRRrLK!7y{CGBIvI&RrJ*vRlyBYWx@1s z#IBR6KsZNi$)I|RE)?%)xX0L1>A9&>$l5OzZn8;bi|E^fx+RkLl%6~3T)9ZBIK@3N z+PAp#$Lt8PS-4Zh%cr=f+q{$Yu?M#2BUJBb%DoB09F%@qTsn^qhVLn9lSKJEce1VEd9g{tq8G*c^QimMn?&5D?#FHP zcdV&C_sHnlry`B5uZz8xlCR2ZPL;o;@_9H{TmUNPq_;IEtX2#{%^4(pLla$ z@ujr614Ysz_mnz>PCwLi`)}M$08cn1;cI)^NljimF$V%o84^0iN< z2e*lZE#JuKeZ&%{i1C-HIPwh>MVC<{x+aU2mr=_)rid++ru$r~M5L*MrmX+1a^EdRZGwQXkL2KoZ8Zuw<9_q{^- z{|6q`OxG30o2bpn#LI37&ROApIl|UhCdL=b(NPwhS?pdObzIENJ!@#78Y*{2(x0{H zyT!zDNz}$KOWb!ydr5cL#5LE_dx*L}x+{|Ww|q_KvBPbX<1e|DCha;gXC>8;S}9hp zq;X}hRO7Fle8hGTi?ml__w9d@yHB54{mH%D)=H6Nld^8>*(5oYTi&Ac-ECs#bu>bq zrS2GaBMY*Q;4b=ZB;rMfTV;J>n?^kAcCob7J#1*}?U+`Gk;ASJO+!ow96FbPc_(yHl258lCVCopY;Yvyz5+=yjl)zTAv8 zeHQVp)nctl^%mSEHks5IcT4cV zjqbsHY>p@8i=+F{x(8z)ec@Q*_W!IdioRFON!&p(dRt8|l8L7u5OXTsYoY`6)o|H1 z@%@++MvCF@PmC4E+~$73miRqAmF`|1Sw<)G3AekikG4mB^CR8z&0FD~BtE&_eV%P& zUollscc=Fgn}qxR=s^E()(WYBL zR_=ESeXm%@AhF;M_n2V$hZ7Paf)yW5u>WTN3d)uvyc;LPh>APhWwzW0MACX{bLhU{ z+Vym28JQYbck8PWgMz-h-2Ohdh9hEv;SKJlts;5Qq7%O~{}ujEaN6-}2+iV>kpCZ&n&^8bJAXc51PlKU>2G&neT z?xd-;mz12jv|G2(GBD^W*Z|Y5(Dp1JYiU!&p20c$GKLYoP7@T9N49>Ww6h|w!#iPPnA!HErG2%PxbLm=nr7Of;}qR z>f;F`vwQBJlj&^eRx5tcgoWq#j9F7KRpQj8f*t_ z;_pr&UEIe_|sr7ge`!*7FHhzZYzGmU(ful(5V+%^_m7xc(rmI z;%k@=Fr7VH?SRfx2E7rsiTOW-K4@8!9&bmBhF+u+xnXC*7Qi;bX5{v)Fc;bnyP5ez z(Cx4Z7pw9Ur1j98$^s~Xt%L1?&7PwQ_+ht-^W!~3Oy4C6Z-RXm_8n$*$7uU4{8}HA zr}Aj6&o)|*W&Tr?K78bISwI=n_cQ$z)2&R$%n& zGk#vrgbt>?&;_s?nZKE7+odX>1J(yy=2Lv@{2ujX&@;FS_+YDGn_=w>l|LP}1U9r# z?!ajCJ7|4K?D;(^pzk>EsRPhq*u+K3pAB098?#vP>tF-0Vc1cZS^j(@UA-{;u#K>~ z$A4gYaDhs!{Vr&|1KG^~#{zXWx`xG7Fx}3yb4ky77DMZLRxYri*^xy*zzz;V4pvHn*s|gVwb`>mgFh{EbW-SM)5O z&2$B{&bPg%wi&JB-eWw&&&oc!(hBjxhF}f5;zh6lSjRDn`(Z<{^rx6XbQTT|Q0}@x z%7$RWS`Sv-H$>SYSU+q4HU!)FQo2`e8(mYaMCS#I*_W4UdGm5&|EO+Iiex2s|0lg4tB<-MZ{F!EUx!s% z_c-ZiDaVg9m93qltbE*9ZU+}D`}q~hM*Kn9=o^%+yIWcLoN@QvSjgc&tznUzY$MA83_NTuZ)EUCTF^ofNbSvIuvNu7%F z@}ohieFIeaXnHMk=E5&mc*!!A(6LIJMiYP>Z8E?}Q3?9dOPQc3QtAHC9{AArs6~7w!23eZ!yIN3SFan}Rs|trer$NgE}SAYeFj)ELzu2ecD<1hfx&B(xuT6m$)A3Umv!ymK*S zi{i(s3dSHH19~iUK6EN{8T2^ls3=u_Jaj$$6QEn6tp!fRVp3H+JuYWjUE%?lhysPs z^kkXkuYs1Ig2)CpL(?NzmNv$zg!E*TrPHA4c_m91KzpF8p(j)QRzM@b6a?&prsrTR zzXN+nPo`Mf3oSo0kqz=ePo>v#(8iBf4Wh>?EWZ;v13Dl26nXq*f^vXq&<)V1l9p4i z9oh?j!UR>pY4H2efa&mi;XfU^7&;TW4%$2e2`Z6b1_J7#Y5B0#z((jS#D}42iLKSZ zPUxA?@qJVS&xUqD&w|cS+NAH}Q59q(;9LY0K%WP_8af;C)zIfd@53Hl0KFCd-$C2c zRD*J$TP#2I|3v^E1mr^JLSIZ;9)eP6xeiVqvH|SG zdM(SR9#8S|_%8tPA;CiE0vSMCK6C(j5p)Rgi=o@#zYIENqAFhi?MP613ACTItlwA) zkck2^Ot#PuEtefh-2i<#bQlSjLwg6Q3VshAfG*PU&{ydA!ON`rjTO4UV3puXT>upp zLnoi0{3X!2(5s-0A!B+UzXrPe1XC5b0l*%ubQyFS^o`J2&}*SXNcb1% zJ<#Q(<(Mfz{9oZOg}w>8!UVV(U?X$|^j7FwpqrrALAOEQ3f%!+32pRMJ9Ha#0`%?B zW(t4+NOY%hM3vxCXg~B8=t`9TJ9IWGtcR|F|5@lT$~TfW zp)8 z#;5}0p*_$Opfiy$4LTcsS~zW0=tx%O_1F2=B0v3*tW}@Z>r4Xj;-JB;q~#uIT}fJQ zTHnSJ+|J4iR=$d~JcPRZb0}Yk2Aj_VlmKjpE{CSy^0Qi81N{PY1N1+kTcA5h%L?0| z>6h^=U4S0@2HLNB$TaAu@~nU`x{Q7*&(hT>KtGjdHJ};#0JQfw)y49AH0eL13x4{A zJWHoeR{kHL1BjR1E#p-_dHex7&|({O7jz_aE)vpuA}fJCR#iZ&j4ho49S!Y;?hEZl zd0HN4#c!2b&VTwXJ`2o3fjH;_=zh>`NDvQQ0{>B@=|96hMK!2D^lJF&du1(u0NM`S zKw8#sBomOw%!35SK^H>P_bFQbD(Ksn9-XFLW{VY0%}+)1g;m2TxbpG-?o# ziGT*^GoYKHXF%_PJ`?&NbQW}CyxJjJ6Kyrv13eRZBN}kFrD^Q1(1V)254I7Z|y-163m9KhrS594e|6q zjuqbs|Hax5JqNl2`V!LC`+wsowMTgfNPwOT9doks&x3Ztf2r2+`=B%7pAVe}y#Trh zdZ7*1|2!nfM?eMiBIwm9uo$`l{>z}N;V*!$hh75R1ich`5A-q{^}jsxwIg960%9`M z9$yaafnE+>0R4ODsQxOx2s#=13g`;NuYj(HzS0C}11N?zPEiT}0G)w^S3&1MUk&X< zdoptr-n4!TonIsb13@OV`NDxq_sZ-Xv_z8$&_T0n=P ztDucxD&HN@$)_p39y*7#tlzj3pd10!(2dY{LHm&KZs-p9?}1L4t||yXSEC2+g$|+o z258@OT>tL_2p~ZXbPM#~pbtXd51nzks_+45AM{4(GUx}PYoRwmx1MgQ1P=i?hAUkQ z9iOT6!_eu_o1qJ!AAt@)*Fm>JKMHL>L*?57JPym4wWzn zU61&!(5=uO4tJJg?qo|MLLeMu0I=1++m|!2b?(1N3g_TFmozp~LWpp_|};4|*T; z`}+DHZ&ww5fPko3O7DSoLVpOIg7}Z1^Wgs&x(vD<+J_zb1iAtKz54neLcpg8IEa9K z&>5(3KXeZCXVA`bRD(ZRu2bpjVH;uFU^`%AR;jpTSPyI# zY~D}sBKTLsRw-*5y*P|o1cqQ+V0+{3@EdJ@Prov{x=D-%hu7(Z3Ho)fHr1JQsmFJoOz;@UU*yNj4U@HoCz{Xs!xC1sFHXF78 z)(=|+TL;?&+fG*2Z$zz836o(xu(M$EVM}2vVQXQx!?wY8!p7gA@}|IMz-Gf1+)!xM zZ}w@qgjQ!UR6Ce^Ve88zjXrMkR#QpXaKjzfJZWa_q^8mW#21DHU=_S+<54t81G(z z99+HWXJ5`&=eOPhdgFh8xo3mjGZq#~v%rG<1Tk3K)07YJQ~lf?rgQ#$P5Y$oE|9|4I+Y@vryr zx9WlYN6FPz^ylm&u-5!LlE5QP_%q|w{MQZGc?2!~nH9)zV>|Lb4f`1E=A+D>U3DKE zYkwoFcdS=yqM}{{{>y&z|EgltYaSY(fzs%D3`(*`tFQ*%P zM0w@-=YcO{kGB89J*!8#BeB-}`>8Bd!oz#5^K%ZUs6yG-rt~{I$!WaKh+aDUdL(u^DWL7Kfj=wHNU#t zfd3ps*0j8~SyfQ>e`8JKmsb4y-=x7bEO-MJA@QZKTIaF~r$GPn71e{k$jV`<3-X2> zseTX*;C3DOYUb~r*P0e5zkFn4;Fgzq#+lFy81G(zoW8y3p)cVw-djL#ydSHO@441L z-2<4G|7Sg*yYQaT^1&ARqNM+2YyR2_Z~S!)HhbOUtC9E$*aF!3tisvQr~D#ISFUy&*fBjL7wVgjGdjf3P_e$?RsH_L}n(vf;;efKkVN1SMItKQEE~Sr$ z-SKZr)7N3B7e?1ND&REO@YhPugMF-1=|tG4zf$`9FO^*nI|z317m7dEq3mMVEuSlW zfo16szxtU16JT%Iuk=*d=l3Z+6Sn13rEiH)J*Vw+;B~Nf!oQcG$psi`7b$G4w)i z^V14f@{pN0E9k5H@QTp9BWz%7Ad0Xjkb}2gxcEejr4~1ROs`Nu|;uJsPO$Ao& zRQB~Zlud`dsYU5MuPb{AY|U#*?|)U# zvcq6+`j|a5+N$hXu( zdmZfWVY6YUS(e7%&nsXU4kYLg`}yBg1Kxps2KHgt6|gz5J5X-A)N=egnd7q>mGBi< zUEnF`hZtW9J&W!`=&fGwe06%V5ueod|1({W75PwZJ|OdwT%$|0;ls zVAElT!hUy;O3-^E<^S1vOjK~VML#c*E~gu=8+xSN)CJzb9{vkfkBQn|_)Wd=pP9!r zdP`J_3YNm=!uH0K;eY#X)q{7!-pK6L(8|*IUj(4-@1ReEJpuOTo+pk&x&N<~^G^r# zLxFGaQhV?*toC#J2K>*%J_`GDPEgkT*9G*MOrOF2D|_5+hiQyi^&5Jy{qI{-b+xXT zckwUPIv=$|?L>z+DN`&aa+wttdZj(_U~*(C_jmXNhg0v`eUTWV|m z>4be*1^cVj?9l#KnAY*rSOaxKHP_{JKFujk&HvsC=nA_PuzG~YYt5~$SeO4#jrq?5 zbfC68vDVtJdqQiyr&{YB(d7`c>&a>S{m+iyp6ea$VdePO4dAwCOASM7o#5wcT~1qB-kN{ift+7IrGN2g zXXh7cfSUh$PkzcCX~jR4=kN|<{vQVFjK}qnR?H8f*1a}J&;MQn{-CKG6DKs?v#^Kf|8KQNz2#vQW02GM`=1?$ryEcBTlIvMSC0Q9tw6`=2J^vn zq`dnMSo2RGOI^W{T3w-z|0!*aT>7UBPbF4dyj=bbYMJ?+`b)|r*htuy4k-RO>^-nI z!bZb>@~w({30CLPwiA?9l9@cE3|w}oXVRyS7zCRj%{r%A`(E9tM>rtWg{n3;!exa&h7#bKx zzTwbb_#LFJuX`v47=eH$BpeAHh8{&)9+HF5DbP`{cmWCq0pp5h4G>T|?V4|;~$3eO3qKImz* zMZ6`xc*rwosN;9?t5*#pE;j0fh={8$H_oI39~)_0Acog^QYV*Oc*uQRM8xIu7Zxlk zGVZ2Mh>i3gb|pqcELd_Gc+p#;wAM2;@vSH1Br@XqMvbP#%Z(*gVsDOkxYje|*a}^` zZ`8nuh=t29%Qvd5fa(jyyS1J{$s2V*v<$dv{)*+78{4gj@(YFiVNc@ZA{`MCHH_M} zWciY-jE}5{?8BZx5fMuZmt1aiSPtKXV(!D9A-1v$gI7K5sp~sbH}ag=9{bS#R`Arv zJh9OcZw1eK(z7{|Y}eD&uW@r{=gFNisH5AS^Ze5uSAL-ab7zZ(U-vj{;kn|K*FEXB zj(QQ>;u&rW%oXEXJmYN}=Ze`ao)Na%x#Ev46jwJ_+}Ywujoms|edokrQB>ne5aAY2 ztSvNGeA?m}W^1h%{oe45vl)+xDQ|dEZO!$<_Xg!kd`y(T;h7+R*!BtO57&!5(xyHp zj^0Ue_Q%9Y(#AX%T)5M-IZ+OafuDQciHhxLz0hiYowy}%0{tXhr>Ddgct@=5^xR}C zdS4`c?O9{%=qomS?fFa=TKA1-c^_L$uCN{SOpMEYK+OaC@vx}}JyVYJL;D|~nL(TH zDS1}KcIy}M14ZDV#~v5PU=8PsZB#6-xD0d&SfrNQdrII&_~{N%}bNgGzfJ zbX(=Z_sNNj&1!4-2hTCF=g99JHVnr(;=m7{6YXV6hRQq&i_?Deq|@*Et^Cn*YQ%$L zn=%KadFZyOlTVFkyKN=?yZg3n^zYZV9iV>?im4HkPmTJXGKh#p;|7X~h{@w3=tt#< zCd5p(@`e`6%a38m6Vfbklx^~aSjX?>SFam}D|ni1@}&``?t2U3pGc#>p^rgNezc)G zy(hODy7PN-x|ZmJ(9IEP3@~sotQ(F)u1I5`p^wTTNG~GkXDsxA>B$Ef`T+IhgAIM4 zdh#KLK46N=Q#Wn&<9>8()Im{1{TpcvH9}><=b|PLi*WN@k!R(I!@F{*T)M^Oo`?a_ zlTR3mgRRHKQp-Km=qM9&q9@Oo8N+($7RzrpLKQ0xrIau3vG{*>&_l#+M!ey8KO{a<@=!jN6tayKg4fz|Ik~bk<%{9ZA2cEWDU5s?Q3&CrUht7M}wz6lu zx{^sx8654KRK;*4i@!x|NF>a?_lslG!JOhwtxW$JWjuC21n7WHHQJ{n+ zx(GZSiRA6H+!iBI7>RVAa>V;to_oM^kVoEz(`Fi*kti@ywMZv=83oE%qW8cn81DqH zV!SVnZrKw7#*-{=_BS>%$MGnTg+Vt$wbaM}uVeA&ffunJng_m>#a{s)l3b2|($=;b zj&dZ@XRcep8sD!E2C5560)g_jSu-&A(USXz%8bHM|Snj5A}5s@K>L z-oYw1=>9==^;T4@kX2E>_;@><(u6o_FwtCtEc0=KjHrQnH-Ujv?6 zYpVIGE3SZ}1$!Xh-OBAAi_?i^lp3Ul{NV@Mo<_WbRq!f!2zm7M3xhj#ygL6G##eB( zqrf1Q->}g+SaxZ;E&x6V+{<_>xbcYEF?|X@5j=~!gho4n22|NKkAwO`C+jQ_U7Jngl1>*(aPUMjvJXrJpYB;J`fwkaGY}(uf&bzc0 zyoJR-173&|+E`gJZ7&h0A5Q3RWTQp>6gS?s3Q*VScPsjgLxUi~7-9q-R#(4F#E-Ff ze4mnh!?cjOnA>9$LgZsc~_FCKfz3?x<3s_GZCSBm=At;7J_r%fQrQrI`XBc=H z1IEq<;Yr#vw z_29Y%+|S}`z{^;C5WJkl?~q*H|5vgC@1Q^xE6@QRU_6orha5v286ON@$MTN@uP1Je ze?90j;Amip&I8}h_yX`I#{U4`!gv{Y8#v7-HU9)0;lmE=Sl$o5hw;b2+Zlfzd>`Yl zfp;+eUOd$=yRMTtK1YEraDD7;G-_o0LB8wBlxEEYk{0ev`i+>+{7UN%o=k(;J5kt3s zvP<)NI*en%^BJEAUdZ@q;KhvRfR{3UnZ@b;e>HQgM1gW}ea^oTyn@A7gI6*B2zY?; z=fF2gF2}zfEN{S3#|pHAZ)N;D@DStu>0B;*w2|=<;LY8*HUB(tw03jIF>xk%nDIH_ z?cjP$Tn@gE#rwfK8Nc;t+J9?0F~|KV5QR4$x`HRbW7tPEP2hIM-vv)({7Z1hCU*bd zhpsxZL8+`jBDjhq~fMg!5ouOpo;M<@BrhN zfNx}cId}-1+GlOofwx*bL4EbM^i;wT-XxNeCr@-Y;?79l&1!!gI8PXQM69H8W*B}4 zeSRpnUC3)Zs;&+Ckn97G0@tU3AHd@ox6_+Q*^>#3j|5Lv{E|cG6Av6ItiTNLG{$qm zJ&Z2}&v;a`^gi7&W+x`Ok(w!1$%$8yUY6ypHi3z_&7fCwNHlfrlFWh~*e+ z_@59-!zZ6$W~-axDDI7YL~?)gN^~*h>XlbvzE4?zw;VQo&B}LbhWcDsCF3%+ZMg3zPOe%0Xm z5;FkYhX(0;^|9dfh^KeX);0xP9Wv^Fy$5H&!H-!Ec!(uh2;KxvpYK@P3h-8NeaNl@ zZ||N*9{&nBI^oc>;2v<}sh*>KGk83>uHY%~WN^L5P2j04{%zvchkxm;z+M!{1lRNU zTksrk-Ow017V^;eSo{$1A{IXey!0u2_@^tF3`aRDkO^MJ`0v1L!1bYA0A9!9uK;g2 z6p#7mhocb=y4|q0o59<__2u|(a6Y&m2H%HxeP|lM4}$AM^Dh&Qn5We-)&+KfCxYt& zpMdj<^{136h}Vav3-M0I^{1C+1{`$9X>EP!^E!Dfv%&TCelWO?#g7IrX7T!C(AD5H zbgWH(dReWwY0xR%+B`^9_pIuP6TnXgZ+}K{-4hpp^Vu;Uyc6-dM~lFtaH3Or==hs( zgi)~z72gDIM}ZjdyTB8{>2|@|YQfXM^|j+ka4)#-i5-&55C3xEphIPC`h(nhbRGUq zYUmGgn^{-Cg$lypdXTn*cYy0z_HXb?s@EF-4pgi^$mJD8(}GGlCU^ydzz)e46li5y7uF?bc?^)dbi zd?Wa9#P^{;(#sv=J(>XChIhnMF1s#KJ7oXU zmH_Sm*Pk4X1y5n|Q^C_1KL^~y@|Zq2(piBk!M%*H0ncLm4)AQoYr%6Fe@1cB@G-}0 zC{V!ohu}qwcY&8M-jB{~vPb=l4+Af=IQ73i_AWRoSbuvP8MyMJ#?9cnRazf}4KkxCM?f#%sVU z7!QJ1F}?#l!1z1h8x=PXO}`E}>R5ruk*e#qGCmkQ#P~SyM#eM1n|p9N{^!Bb+QVTO z3&6vS{{g(6@iOoZ#_t60VtljY*5cpCdQOjLQ6Pr#7H~V`AA=_{egNFT_)()&kERm0 z#=kxTI^b}!0+Ybg8J_{}W&C3BEXE7Lvk!AM|4cY?4?C>+w+`IL_}{<_7~cwB#Q49! zOBmlhit4v663p=h3Y0M(MQ;RTdnS4m`~G8{qAXw}W>u{vCK%Pfp{1wCYmh@t(6_1b7VN9&kJ3 zXM!g(J_p>v_~jO-`~Ott@S}i}@ms;u8NVOg%lH%ES&TP=_@zLMo% zF$VYl)y#1{3e+%O1zyYeL*Vs{KMmf%_^aUCA7}Ufd*End1^x}*!gw4lq?G4_HpY(w z-@~{Qe4pm({AU=a!_lc7=<3V1=15QuV;19M!E+d&3ZBRKIpFz>`@jn=PW`W^-<5C_vjS_t zOBufdd^O{>;N^@z176vk%j5qV9M#<JYDVY~~xmhpb$)L^U!kHi=n2ELWWyNFx& z{~_kcM1e+@=pyhY7QYm{h4E{^+Ze9^-xFl_|M$VMk5&9Qcn3@LB6t_$ZQ#b%0;>;n zPkas@1>Q&9|Ip)b<5kziA9AR^8w8%fcq(`@<0pfsfa{9S1y5!1^G!IM%ux)U&JyY8 zG%~>TDOo?K;br^=^xEs6-TvvPscsYyD1+VPEY5pyPqq>K~Fs=o!Vf+^GTE=U@>lqJ%H!!|K za(Vy1ojKk?fhNW~z*`uPq>r<$ixA_3!S^se4tyVR>-wY5fEjRfvI6>fjDz5M(4B{P z;|Vp*be;v^F^vBK+zw8M%&OlggCl_zxDz~?@y*~VhZ9-z^;zT*HyWc=eas$X_p zI&&OA0Waf69k1fE!1bVWfX`y_lfZKrp8=j{vP2id;bR2~!3!8S!HXDQ2VTPX-@yIg zrrv|CaI9tp{smsn_-^n@aGmE1@G5XUR(=Gp?#WH#sEMje13ewavEVg~j|1Pxcsh73 z<7a}`F+SVkbpKz^9E(t3E4UujCEy{({{r5~_}$>mj6VwAD!Cm0x`OR+gjs<%!P^<% z3*N!__uyTO+v(3?abe5Plfa7@KOVejrtbNmZDk?}CNgYmDy zQyGt=Kc`!VnDOC?FErAb!-E1|#?J!JVtgKWHse=<=RPUsWKBLH!Y9^d(L&I=UFupz z)4A`q0;;UJFA$Ser<|L}$y5Bk6B5R*-LV;RV;9l^0 z#_PeoEYI`c4J>{q_;!;y^s<92B#Or&_yh%-So}fo9K`EE*Uuw&jJ|v2uWEMqk!ZZd z`x`CDBcD;Wwo~9}V-@JHamZs8WFvkLi`UDR@>%>s#P35q>NSn4;OJzDZUir6iK@U4 zviOI<4I6;xLHocIl0u|^J*PzMj z5P1L6^91FzI9=rBw3Opt9|A8NT{z+BX_*b4&gQ)j{2+@j0{60bKX_I*Zq2_6II`i; z^ECjT3$6!O9k>ttX!Jw~ya-%hQ<}m3jE5)VekX<<%df2hLk$1mTdma9s9^9EHC_F< zI61(_fZJJ~lff%cu|7|n4W77@-S7C|aIi#w0Ix!#SX6vHcq)ru5AJ0A5%3z_Aa(vT zjA!6TX9Zfoy^MbXUdtNvEqE4-?|-7|xopPGQE+TUB0U%Qg#=Yogyo}q^> z2QOgpt1LdmG(t9Yj5AOmfC7%^)I2^4Tt7491lNO9KQolhxPE5H%ea1KD9hs1|2mO= zXegT%(9aCzGOnK)@-eM-Jmy~rj$AnO{C)*IA6%b6c7qp! z>p{97ycAq_^+E75aNVQ*%#+lysbGnczysiV&&Gi_gVSO@YfA^;2d+=aXM#84j5!MN zv%$@SC_t-*tZk7_gaV_%i@_5rfyQ73gFC40t{&AZcwcgJ&UrIQTo@1>h<_9shlB6u~hR1-=6>?@_=o z^wU8BR7|tZ+Vs;wm55ISkDICvaVz5K3~g;gC71XAynue%$G%VX1ifRjHvN=NEh^B5 zOh2TPiFgO%Q&Dj~I9*h&?L_e8cX0o&2iFWZQph1UJ^d~OPXpJZIv+e8T+bT)bWkR^ zJ|E~uQ;H5BVm1Ht(>^&Up!e)bRN&pO8bp7tu(nm;#fVP;*AMB$Bc5)Kt?d@Q1MD05 zN(WQ@^8UXN1@vgg(>O*ux?0cg01}m<;v`h?2zU)REeN%?r@^-)|5)&s!1tMOoD4@B z93i%6pMv)sy@qiBJoPixLwW~dDWTl4Y;c`F5xg8+{=LQ8^kYDse<`%Ie%q`c#%V%N z9E*7U5Do7k{p?E@;`MCOkES$WFs7(HrlFrrNx&ZK!8jHz4WocQ_EW%lynfWAn$3bU z5Wf|BtWR7y7N`6F7F0}2QLRlsBGSnY!9pa8*{!--H&j0%Vh7iweFfr6!S&VhI`B5x zAUXb1QE>$v4iwO%T0bJ<0Uw0;dk{YhTz|x}89eH9)lgdYWNrGnh+M=c5w+&uQz%f( zDsBQV10RS)Z-ZBZ>vR5I@JbA7`tZ=&z6DQa@2L7`;Ql{_IY!aVpd7tvjHiQp7(WL* zgYgC68*!1-C$tsdnQyZD{~O?##S&G6*CCNU_O;+SEdE*WJjQo|Z`FC!`Oh#uf+L?5 z_zt{~ar-H%heAlC2bTl9n8i;9FJ;`E3CC*YxD>pc@nY~w#%~0#X8dmO8pi*wxM?(D z5A@yb^C(cu3bcaPGrk|ZfpOb3)kE7E9|GQFaq53PDAM3)VFgYDZ)1Em_#VcWf$wA7 z58m0G%j16=9K0v~27a)60gB%S9@VPmx88wQz~dR;1D?S6x5Tac|77Oqf2!)b6vjt^ zr!k%m?qU2K@C?QmfM>R{^$u6VF^d%_2j5e)%sQdyi&Hgt4vT*jJdg1g!1E7r)5>SO z4M*W2hvFUJ#fw)tlXoxQh*$4wa|?ZzA<9 zcpbcuy}A4dyqLv*3tqzF^+IbuxI?V1p>++5 z*9)y{6+is>zg}ov&kE>;)(wp7h1S~{*9)zi7}pD}TY7Lh{(7NxTMq{vD!OjUF51Jm zUTD3KalO#GlX1P!`XJ-hI%|3VAN73Cd8`*&$1|=MS|>2B7g{GXt`}OTFm5fhmRDEe z*7(;a5WUda!wTqy))|cJh1Qvj>xI^{7}pD}a}IN>enT&`&O7WdxtXuY#uGTO!p=%wg;7~f5wB*>oI$GBc8-pROLa(=KU zHx0c;J!*T;S)kXn$1|?iz$Y-Smz*avu9uvrFs_!I)BS%MbLb`K9>(>O^9;uIlJiW) z^^)^hjO!)mIg-oqucx10a-PQu=q2a*jO!)mg^cSZ=f#ZcCFiBxxHbRulJnKw9CG@N z7_E+RIpccCc_rg|$$2&7ddYbWlt#_zp4!z{Oo^ie8yn%7OyJ>^d83bI_Q0j>vhnbjO%sK2Q^pcKl;t;v8t=1{?T(5=ylNXjO%sK z35@G?(8-MJbqJ1I_M0>^*ZQG#`QYrS&U;Hv}xopM?|XXi9E&= z!1Ea&3tq_hRPbWP^*ZQMi&OvW>E}a%)vSPC2VKti8pKyJeg}9puY+!2ybF1@Gp^S`H!&{PL0k9#EzBVoLd$b|8{_(m@9XitU-#T>uZj=7 zUO>$@47>F&tt9m-zM9=7`z=1ia16OsjsG$f*nk45_~c?D_!jVTmgt|o#J>q%iFo~) zaXWYecHkIw|6>?kaD)$kGHJ-|sMFLQRI!Rjf;S_Pe*5hP53u+%!8bCVXTs5jM6p)2 z^qu11b*#X3;Ejym4&H%0^j5>#?gwvX@lS%AyuiQUXk`V$;JG+7bl+iZ9pGUW-)Fk& zIUYX<96ye7_{UC0!x8hY8bta-X8kJ(3E;Y`J&1RJ>tn2cs~`nje^hh2uDC~j8h`r7 z3es5t{o4ax#`SLrWP!(^C-hhSXM^jH<1RqOc`V-g=6-qqpAUzgPWlV$3&C|y%txXU zRzVT?YHE5Vz)^Zs}cc)S|!u?Ab%$A#goNp8#(H*IoTj@D_0W*RD6f z8xPMiHUIa*arp0Ys%HH|H*KuqF2uKk>z>m;bkhN@4^8yxYA|+z>m5!wo$8lox~Q-k zbh^Mu6o?1c1)SiC;Chdzfjd~9S>UNGeh#?PWDflcJL%xMs|!#dlT~mP_$-!3|E5q5 zrkKw{Lo)Qdf}VF{fO6tw*kBy96fCsJK(5QL%2Vars9I0jrFV!OrZ{@)IV?ulV2kPNO5K^k}}xIPh01^2K#S>Rsq zD9onW;F*%k@vmE&563JN&|S9zJQrLa0#Ah6vjT9vXMV&Nf$N6e1YX)Lk2U}F4_tM3 z$j0g)xN62Ze=PQ(8j1K1T-Ab?9iHD-iKoE*jK7?T`~R)%;_(3rwBu@~_v|b15Q~pF z1M{1Wp~2uA8BaOHO*#g&=|Sg)!;d|lV0r1MJ;7_iM}eOU&IfNEcpWP4gZQQ3TQyhb zKf@@2BLs&YbZfwyz@w0;vKPJqyqU$&!TX@%UEm$yk>Ks% zo#48m-&mZM@6PY}iD<$MdC27YpT6oN(Rw7Bq8vtXjN%UP^DRyv$FZBzeDHmYUk%>L z_*(FT7N`E#dv+HbQ7@=5p?Mv6Jmb%UCosMfJel!Nz*D+&dHfH;k=ETo{OB{)sP-^E z55ocXMxXR{1W2U{eKQ~EJuMn#;*gJHXozuLtRa;CsNMQSlSt`@s8xzX)!2GRIqR9Ax|x@Tm6- ztQ5N9Z^7dkk3CBrf&}mwR6GC*o7ubIXTTj;rmK764aw#Ge>F?=Aqs2+ z*L(0acp^*GZ>H+%dc^Bve=K+d%Rd3Uoi4}L^+&hpG&nLpDX@-~4ae+!@J1xkHx%=G ziNB(k`0FfAzqGgT(6zv--w-HJgZZj2Dz)Gn**tz0ypHjm;9FUqPr(~lym2nmEJa`M^r-HXJo&&yz@g?B&O$1vV+mg-S^Pi`7Xa3uD07~{YljHiRA zGJYnwlkwT$>ELIb(%ki%r z&%jZH0=lIygO{*G?|}Qk<1k(KftQ2p9s3Tv5?t<>HUHwyQOBm5RWKCX(V>p<7*vo7 z-i5p2RPYnQvk*TXdp3gNlctKz=V3TnQdPqH%~{1>OXHJoqi(2f_7% zqyYH#4{-mlkMScqQMWFVxt<1ZVf-cVHpbh)_b~n`_&&|m`H$A|!O^K5*n`+})uA~E zuIG0mc-j~0(2Pd>Sn%yE&lGTDkBZl0!aM_x80N?Uw==#FobTBR@I)4W9k_$>3dK!> z@7X;lkb^xNfj!#{&VP6ADezQOpqJe?f%7-1ZQx!jp88*R#iwv&A<lIefIc(6 z4c>`{>bKu}!4tn!qgwCrx8PmKlZ^Z^^zf)W-z0pf;^mJmS=$geQsB@l9mjz4he;>* z!ZUl}zw3oBFyY8xdvFDK`k|JphWf#?SVM0H&jXihJLPtFFZ^L})A&ktg`Q>&aFnr| z%-6sx7;gu!V*Cg20OJYgt3%*ZJ8T;ISdM~YHF{#K+5y82UV=T-gXlDH{>|^%;I+t; ziaeL<{K%um93B7Vy(GE@yakE$)vUai_&a-v-vrKoE8_{t<^6y9N9qvht6w7ugxN8E z6TB0AIQHx#@C4-1%W=O3PXpJt^HCS5L*OKCjemUt83+e2FcLiL5zPu<7SQo^IzGHUGT2Vzxd%8=Rl>F9qL-p3tLxIrw(QuK}+B*JG&s0;*r05csjV z69uYKpdY$=lf~(Go$?R8gRwl%fd>{TPD!opP0bf89vYzdev8x3#+vw)s{u`l`<-gg z!57q+(AR?Fz@z@zlRLrV89yC7f$`bk$>4|9|15zcg%v0TPhGti|d6znD3uqChF*=YX$f+y`FH_?6(5 zjNbrWEx8>3`WUZ=qlOiD7`&G85O_V~uY)%*{t@{0ZrqxG-@?(<%^}C>Q5UK%ZDD)_ zcpKxB!S^tJ7Wh8K=U#~W|4!z(0tF5-em!_pW6xP|2Y5W=4}&K#{w#QMBfI~91CA6{ z;1lpP#=i&mFn-Kz)pHq)j|R`wT%G?6<0Lp{X@@#b80UcJFg_nVkMTc%=QDmI`2VBp z{^K$m^FNLsCZl1Dm}aI3_mUAp7$ami3_=KFwzwQLsqXi8-G7XS=jZxd*E!dZbIyHt-{&k6Puxsku>>B(tHqzi zYsKHemxzCXH;AwDhp2}VL6Zb#`9RPjzB%3|zAN4#eh|J){5ZTja@YU1{LUrND+yQP z{o=Rb%f%nThs0mNS4{SB{NE!mIyvC{YkZ~nYNdX1rM!^53NrEO;#=YA;=4JIuK%+n za3~4c;wR#{xLxO8fEP&lYw!i)cjCn_$mjo$6Idt-FXLt6AK>NU-{OmKd)J)uN8fXm zH7jC!#HQo0RXwJEVLs-YGta zCzeS?qXfDoA@wldbv;r(3-1%p!w19{;Dg>LzW-lJU|13s;UnTT_?UPD9=zyRhxJ@5 zo`U;j@5X-_f$2#B_p^LFU3>`7k_N``n3SJ>xbL|f@mT2L^?#lO=8=#uUW6Blm*GX? zm3WDGEnez8n*VkLY$Onugf_fFybG@s@5igehw+*T_v>#Zfx3x6wEoh{eAm^BXXB0H z`FOK%tdr2NiDasA&d zfdfeBm5PqR`^3-2`=y>g;mf7`E%@L|a{d1hfnllWIebL?U3^UZOFZaJzC>F!?t3WJ zJQ4Prd(DpfK$-<2bvK*9GsJ(3$HWiDbHtCw^TZS95y+RoRd}KJ?Rb&+qj-tV|> z?c#Ugos&Hr|0f7^O%6DJ1@9665bqQJ4j&L-;~3v_gW?-HkFNiRC9oX{BjS7EW8#P5 z!OO|B{Zu?v{9-)qWx4*pfk1{N+=Iu&pT={wou}ajfsU zBHWIQ8{@@Nem=fXd|$jw{Ky1>Qa+=#)9b00fZIp37vkA`Y(Lj;XK)o>EFb6J@+;-< z#}k_HGy(my*(-QC8)U2IeS8sa%kN9P8ef|Y{TZ+H8=45VBrv1gceN&Lh?6j0ersGe z^tbpD+0X;<27LXfT{r$m{z}5B|1-he2R-sRL44+QQ5CK1z^#-omoa`AUnKr~=;8JM zVhQ|{glh4x@LKUH$N8RHB0dLi5Z@ASavsfp8_VAjXpw|N@HX)i@DB0w@nzyyz{jNg zZ}H%jn#EyTsqZdvN<>_9uA1l>ZLzyZB^({l6*=Pjz!AoQ%T*eiE&Yua7U6inhdu#CO4? z23#Fh|9<$$r23=v7bmbnDyqas@fmDT&98XhWCi?fcJ?f_9ZYNfurz2 z@x}PC_!anw_$~OD_`}}a{=e!5)xB0@k9$Z+6@L#;6aNpMA-=j>U11N!#Ix|6$X)x^ z@U{d7-|!oh#s=+;cggHM0?(5M&cO4!mf*p=i?RP#dxK79Ip~zjMuy>*Z*|{>Lj5F zugC3d*^W0z`EI;Pd;o75uZQ)wfXeVv0Zlkx?4w|Gf{K(AC( zj!$Qd?c}r=PseTY*5X;XojV%vY$@M@CvqjBgTOr8y1E5pSxl&O!zCg;)!;8ch;KfPq#(yD!5(0LSS&lChUyPTE*WzX34R~C< zCG_z6znp-LaR&*D#JlmuxUKtsyjmIu(`}_K85Wp33n~X<#wlC0>j7;L|t)HsJkIf6HmE{qRV)oPcd< z2MI%x(2cK<^8NUz_z=Dlw+$M_Qxn7f7`_Huno{XENE6Z{cR!=M++WEo;-YQ~%J*^n zusN|aJ|Mm?K8PnQ;V=Tjl5i3}B7Pn|CjKWp=<_>f_1}o6CVL{dhd>$uTYgXA>EbWq z8RGBaS>m7JG4UTGch~>f5?JGOKLk1A>*2ZLTi|)(JLB`j_r>!=59hz_@nHlCB;h2y z5VvD~0xuG8h->ussPeR)_8J9(a!UA$Xqnv3NdiJ9Z}C^)8?P+mY@P0>P-C zz4>(Ab-&_w;5~fowgcrK{uTcRp3VlP_~Ty?yg?wt0#x)7o+bVb-bBM&Qhv&rehAcO zM(*Be$y0O^TSS35K{1mhkBau8qDmR#Bk?NnGw~YnI=oK&X77ohfIYKA?|mdJkUf41 zFOu>v<0azn;ib48dcVNyYTa9_aQ)fakuW|4zf%5XJVzRM z?^nuyfhY2$fuDXQVXd?M5ade(8{q$M2=G36^STFK=<7+0|NQ0<0t4&1H}vjhv-((E zzkGhCIU8iN{SsVP$8~rK<^3vfv3ZH$TNe&8*hku)LrRDul*@M<~|3E=KosWgJTG!@(dR{P@IET zvY|HHFUQlQd=s7_{vcjWJ?>91e*HZ|pivrl4cDLFe1ONKf$x5$o~iDmknl2J>zQ5U z+7AQT)pJQ`VS}tE=Hps^FT9QNwp@RY>k;#KbG!bx1J2n5I;5h@EI|&jH~fm<^(+3U z)Ia|GujdFXqv17~6K~-?xV=&N1nKdXo~ zWjY%)A5UJ#L9iE|PWjoC|2>|Ir{Tv(?ymm}2#gN_2@53QGJFx|`%S3m23$|Ccj5I? z{!zRm^l<)LMb8l!kc7AJmExb^v2T43Sv}w33&f|M=ZBzTl1J-rHi3ogaRz%f7hf_d zAq>sO+r{_7`^A5ckBJ|Dp4)6 zTY47WCH^P8NBma2PrL&k5Kp{7U{C_@96^rwDKF^G4buZ zCxUqr*qekR@iM$j{B*oh{4%^&{3g6Ha@YU1rR@aTB;i@SOZ;8DU;Hb47`Jml$^{$& zCwn;lnFPiNSeI^%r@o%trF-C6xRo!(bHz`=3#6Wlok!RIB?N4iE+t_hZfCzXyiCeJ zgU6-(TX==|=XmAo^7(&oq3@z9NtlJ#NW)v;wNn0f_!9Ajc*D4}{!S#&G#>EN{X)D& z{5rf%ycO>de+pkF{(6Eyw*)@Ld&O7c{o?Dm9f>~36t^3k4~g$W`NRqdln@vdKMr3h zejc8}U(IZ;T#Zi`Z^6^OPkjIXaROPA@Cu$S{t=!lK8DW|U#rIVP(hNr!W$DziQ&fWe``~`Hai+mT=OTxx@qxg>aBDsRv8!zOvzD?MOd;I}lOnLV{B5FT4fq-70 zpY#6{qKYp6mGVpRX4%j-yjA>}is+`yauP@NiWW)RH5 zgZ^aS3{Oo+U`GOJ;(Os4;)mif@sscz@dTcSkAME(Kp*C-@r@6hw;*6 zPXs>^h$jbv>u32ctq@=L5+~B=8IgrQ&bnaq%zk3h^nI`kts1&%~?VEJ|LN+YqRcggx_uDmmiWyJvg!YBjzp$A$3GtKsRo2DcBd>b*3wNg9Vh&k@6KB2=m3y!HXt5TFt>_ z1WG3Y(FWLqPAkOUrhFe8v`JJt2<*Y7RZ>2BaH;F*I`Km;bM1$-x={khuxHKEb*JO4 zQoaV)p|t0db}%Q_V?*uvqd?>0N5+NhSm&hj(fYF|rgjmSMgw*m?~dudBKwoA-49TI$Z#|p z)F(Y*PgqU)!5>%L>WyAIWNjSz&HvXB%yNgY&^xJU zLmJ4&^)$UbK0tXpyYA=fPXx;)a2tD|6&=O~4NCcgXkbXZoqFo|riL~AH+(oM@A}^Y zC(^(Qsi=tt`k51(vd0f@=X+x0qLU-BdhVtCh}3iP`o8=q9$lD(hba7G2`@aEo5tt3jH~u0ie=-f1c%S%dx;@0AR1(f5AufJ7p3NR_!3MqNwm6)0#f-7_ z#IX}b@d*De?f`B`uM=yKS${@4a8n8#UOkeB7sGRv_Q3LK96F1!ud2AJ5&rNu| z$$N$8;9k53PuN-O83Mfos3&+G?-TzB?-w79++AsATo*Oj#i4ZFKlvW*WEpePN(7q{ z=t>R*`FM}`et4hwk@$f4>G+^{ZRGCye^>%bNf;5o2OkrE3J+HLPPWr=AD$}y5uO%$ zIR7o-I|3P!FzwI2>tfW}h*59E73MU1^!K=WF#Lve|#IM3j#c#vo z;vIkH`oBT~&y!Fo{w`i6{smqm9xU-aS0_FbuU{#5)0j)3Q4)5-o5hRqR`H|ocJVXt zPIG_!3xZ1tbXg!;JVDTi_lVz%_lZA^4~V~p4~i#-2nD`d=Kx5AWZ_lCm}=pL_8*5jpvA8jpvEqj^{`2`rnq{BLoU1p$9J#|0iA|{v}>2 zzRFd;=i-w+9RG9z6_W$bH^VE%cgCx5JB06#S4;UgUMuC#bRJ#**Ga-ue;sf8N&cGtF@X+A7{ix|uX(la(r)n$@m}$5@&0jV{VgD{d_3US-yiTH z@sscs;uqkf;`R7S@jDU(QhrWeHjm=d#b3bF#h2q*;$Pv};;YvCo=fCPU>1RS;yHMM z_%8SY@dNN;@uTsD-Y5Q=zL-FnBwUV{i{FSZ62A{$EZ&7zC%GH{Hwe@w1%luce2MrE zc!T)#YkZeBiEo6rh;J8qc>Uidfjvp+5HH1-iJy#ji(iQMieH2GJCEkS9RXViESH4G z@FDRR@fG6l;iKXs_{s_Q>u<`nzUxwcNnQoB@#*55;GH{ zoJGPs@jARf{3d*X`2BdX_%ryzgarBtl!x59hxvzhemuOTyXsi1-!wn0ON& z1SdvkF{}RpJav*s>+kOb(k2DM%s252@nJkB{$D&te8%;@NAtvgb3NDp`4X5%LZSHH zc#-&Fc!~Hac&T^|9uN5Z-@52J0u_>Q7hWm;I9?^*i`R(%3$HWx$G;%>4}p3ML?h?s zhI{)SE}KU247^!6J2~az)#AUy zYgXa&e;a~>3Dijg$KmzjRd}QLpYdjC_!hinygcjgK>}@((2ci`C-`;wHr^@z8QvxS z6W$}9miP7F(!eF2?VPqFPrP}RNTh66`wBUpTyI} zU%|7yPh9_hKpkU)_n9D)}oB?Q6o_(Cav zE?z3-m*8>nTSE`8|0|@The%i?{w!W86%F83QvP$iTFU>7*E)~pzb(IYZt`8aL@LV0 z>!qR{@kS}XFWw~OkHA|d+^@e%0&Nq4Xq{bxcZlDBFB88T?-pN%_lm!IlWRXbYxPUu zLlTyYe~S-^r#AU|R*0{UkK#5Nx5md3lCT?rU`q1xTZpIPR?!LgbSZxxo-TeRo`sKJ z|2GrJmIfZibEKl@@I3K%@O<$Vcww?9f?o&}5wJa5_h#Rv#mNc5ruahfe7sD2KfGN0 z$jIIG{~`&TPQqgGTD)3(DPAjn557eFDZC-{aQ@r!>m$%42_NAt;@{zI;?r*NJ=Yd&LjM`^78p<+u&y`S_rezv>pQ|A!@T8wn%g9r&2|^LUVw zylURXQ^mi)(^BO6Ke*L*U4|sg#AD)f@f`7;@I3KiJl{MK9p{4JC<28Rz|X*o#4p85 z#2fKa@q6*Oc;aaS6%u$2uM{7`tHi&@YsA-R_B~oBzJd2dP%nWz5*o$#z?;Q?kGG1S zh_{PZ;F^<>`y|PcpT3VKNF9MUxw$1{{_!mO|Jjj2;`Gs z2avzv1ya%L_yX~d@nZ2YeBro<{qJ6D-tN1uY&_t7L%dvkTYM328@d3m6#oOhSn4?` zL7-X!7vQzx_4pF;JMaeaNAV`{7w|-j1eOzM6aNbD5MT8U-*d~vXW`xAIe4%4iR=Ge z2=q(B0r+x!iXWNaXnau0FUE((FULoc+>QT@1jdpAL2w@)Oif-kU3e-!g$;TGpDz9h zo+kDD5PEq1pCN(iExt=*;v3;P;@jbQ;(Oxx;-z?@^JxCt1<1(+iX`DeyhQvOycD;d zXu->*{9}0eg!}dPB7sE{fv8j8!xu{fBY2hglskRTRZBgy@mlfC?{w{l*Z)f-P(VV1 z_Cp z@1Y^_7`{S$dwf)UFMMUPCxSl`NLf93nJvPnizo1O@oVuc@jLNs@y^KI^?$AeULs+h z`1^Q)_}BOX@sz*%o+}oggD(s{od2tF0NH{-83{HgcE#gTQ3+llek@)o^_-0_o>V?s ze^(Hwo)ieTsR^$Ye*j-1{&&1V{7t+`eE6?i|F=lsza+GY&uH~s+9CcMe3|$>yjy&4 zymxiE{y&UBza*T3FBh-Dhs3YLSBT$*kDB}AUl2S_V5J3^6TNr}U$L~g@-KY4_je!J0c2nBj}B3`Meh)(l7!Fk8u6d-I`OsJd{@_t{|0ZI z@TmP@djbJtZ^5C(G13HU=QyZxLe)P z>wrD~clpz-VJUwBo+AxkgO4R-dD!m>8kmfEH2nDPzG3y-s7QCfem0QH2F;AUe`0|M$lRz_p7!_@S zcZvTNAH?lIbRa$=el#B3>Nm*hKQr<~W)LG_6n>Qte>(-*B!pGkdM) zZi~vh8#mx~1bhH*6MqVC4?Ud!mhcjR4iaoxzK<^x{~GTWPr2W3Xs`Gjynm8M>u(DJ z%O?fGQP>q95--75h#!lOil2?I6u;trE)i2$1-8dcBup2708bbHJDw%}CSD}V?=!q) zZ7vaQEPo-;MMX9z(jV|$S}GMiGTnQ=_~udh%%H2&PdXdo-%(GYmG{TLAUJ?P+yY_$ zyVtRJA8r*@(LlE}a21{>lloS?P(0CY4agopi&w}7y^B|he}z|xr?mSX>X#kJ^qvT6 zBw=e3>cscJ>%~j)0cqeAyiv+8+{JH@Ka#rs&+|PUTuefhHa&DphHto=lT!@0Ut*V2el5I)@^$z|_#yaE8Xpn=hJgJ9d@%{`G#|bG z5bc3GIo;*Ew98$chp*Ekcb8n7`9pO)(jd5&JuZ}l|`JSs3-!MU-N&?&AHR5~Wb>fHN_2Q@Ejp7&M`!-A91_GTjC+@|&#Jljk zwU_R^mU}2rrfLd3c%lZg|}L%<*@82NS4R zcj=GehUL!m8?ZSWW|#4$xJ~jC$*aU?Q2rb|_iA5{ZLqx$(VK-`O8FXJ&%|fp*Al3s zfvGfbD?WUGMI^IudqWdE;C*$xEh_I8#p8Zal;BU}aXg5^Zlb?nfdk!Fz}(9nUZU5# zc&x;`PY8mK%|G#;L;0^GcaJN}xh|3#e2tE9NaqC2xLq`7h917rsgbeXGD>j2krlT$ zK=tDG2B=Zo-T*a=+Z&)(=g~s9F|)U1?UE3^C373vDQ@qWx^SDs_J*lj%G(>J-ih-5 zKx6Ni`Xs^LF%5{@JElSLUFo@D@dNP@@uNFj`{Bjwm;}xwAz(6E4_%6C?Gz=<2zm%uMa5JUU)^tL276vg`4yD69XK8z7GI3_CD)$_E+;UM90+d2 z2gUEl>v0>ozu}GIeR#9@2a&sv%3CGy9}?Qdr#$Mru2bATG8@6IC(@(x?mCIXNa05Q zc(JMTl;G=MqW@d^)6DrZ_tjrmZxf}u^E*eBg&b+@pg$&?V8{F*ed%H8^S9nNmhO+$ zHi*`jX?Pm-S>NYLc^j;p^rf$-&)>!-sa1C>JyDwBo3YE7YP?$9P8HpF7R}qKVh~>! zzk=P5ZSD`Wi2?$5(m)~GYh(WyUL^hkULyWayj1)PJf7_C`&GN zpFOjl+l2Ck;#as`4-ZpC;{S=4d-F|!L20Z z5OD86qnF)IVIFQ5;dVQP1-M;4)VfI%ZfG&?uF0cU2VRcb-1_TduKh3}gX@!Ui^5le zEnP%{JF-TvN3E-I+n@?J3Br2n#c#%&al7!cTXM8#@@CzZhuxE-n*?+F3~fLvitfqb z8Xgw6TXKxywqy6QV=3$Tp~SvT`#1Kp z+GhI)QBTYX204;;xs3^5(>s0r_383`u8jyZ${}+*yjgrtyj8puZx=rq?;Lm5--QIa z#shv!ufco7Tkt;d$M6C17x6*y_Ywq#B`|`Ih);RkciouyY&-}b6zyKC`Rxxj$5X`% z@I;yf4kVBveheNHKMT(hufy}iZ^HAvPrQe{pFp7`JcAdB_v0nvpW>zBKjQHu57++_ zzDp~T0znYNE5*0RtHk%hYsCME*NHC*J$w&aFM$LJjpEnh&Ej|Bt>T?{yZB3Zr}Jq3 z+hlp4K$j$ZjrWMBJn4I`PkatOAif1YIN^T%?Mh&HA`s2r5`0AbSbR+UY&@8qyb7+s zQ^lK}bnS;nx-vE1{I3`1}_qyhnI-&jhBia zhR5;o?{l3(ph6OA@JjLP@G9}U@EY;Q@w#MB1ib|6lLNuO@J8|f;LYNzJ>`3@RXhW4 z7vC~+cm3Zff!~tQC4LazBVLa8iJyZHi2n&63_YCxw)}1;Ff0k}_=xyF@Ga5cuaf;JV(3;&l5lVX|DhCC2%SUh2j_CMdA&3 ziTGdfQt>D7_#F8@*UJPdBw-M*6#oXV5}*1v-*YwMnRuOf)c>xla|zU2Anbql`W@aV zelXrFejMH^UWK=dC;m*JQv$c(UE&YoJ>uPXpZMGOfcR(L-S4?2@DmBc;%QyJOGm^v z!NM!%0Y!ghhD1^n`trs)c)A zu1W*;CzwGt)cjl;UWwaVls`F7S$aXv{Phz>S^jWoZ?W$tr9}KGHmQb9vQPY8!t2G~ z!JEa0@pkX-&Svbx_XN5mVd~%gDEEo4gAa;l;UnTl&Ge%mPuj7QgCHkLm=TmF1%jXe z&)dNFPzoEm4?YjK>1cmgOI<(t1Z1DFrY+qqeg2$8hJ=4lbusZ1@Cwn-O#O!+p+fNtyhwZt zyhOYJFBLx^^zf}mTmo?tD#XvgE5&Q^D)Afe8u3=V&bizFiG%MG1nMQB7jG1QA8!^P z!CS>w?e;y|KH*XOK{|nY8T-xgM)BX`&EkjPt>Wbie8c&y5j(n^vB0$-zV#?1FpWhu zZx!#w;&uzzQt_%QeE9-Ag?jAJTrOV0hPEeUOD`bMDSj>9CH_~uM|_#pGtt%2CcR?y z;Nx#S2CX7V_z&JEp7N~U;{oy6_@H=V?*s*{Dt_2 zxP7@Lj&Fe9&yEL&_znqj!x3_`@OcX)Zi$LoPrZ-F#J`Q){Rx??>V{Q)3z+mUT&^&j@kIuqNBw?>G;JTl1 zC*?1~=i%dL;p+$#NJV$z3&bD8i=}}V@e(Qj9$uR4iC~04Tq>IKqVLl3PO^l<*$BzlHGyCn4Eowzmp zDZWg~|A=>sul17e(cVe*MC;G~&|kwL)BYrCf9S8rXK~~@cz0h>-X?Aj+$#sS?`BkS z%umJbyBT?ME{MJ4_CGvp7IKKS?+I=nZK?BFw?g^#d7c185xy8pd8>=BoBlUGML{=eMq6Y3`ioNpO5;J)U@WU<-4n|bzaQQz3= zI0BDt>OGHDP-W$(-X4`VZ*cCut)Ce*f9eBP(SszE412esc>%8!{{XMY^P*;g;0L@* zeBD>V9d=*$-TFE|{}-+5Gv-GD_r^#j%RYEaybR9~KMl_lzZlOSch=wa1PaFkeuG-^ zBJn5j67g5?Qt=P)xcF#-K!pTWf7Q>4O7ZpZD)FuG8u3EBPW%u&Q7?hx2{ekIi#Lle z!CS>|#oNUn!aKcBeD?e-fi4p4EH{96OGTgKy;A;Xyidxn)8~71Aj#eMXA>Aq3IxH9 z_^>pvFTO&`AAyfb`AU3D%3l(C_$gcv^M_K~;~Pjw!R-)xH$Gj;FT>NM{Hu6|lz)dm zBV;*`=D#(t&i1~GvL)d|Dk|lCzcK6E9s=4Z2QGWCW3#wDO|%40^VdH?V84McoCris z*t0TQrJ}E+4RU|j$n$5*I2$zUHNOKn(h~>G^`3{@X+7sPxBubEW1b}JLPZ7Q2jC0D zkH(9|7vl@XFUQLg61b5-x%hqfBJs7?@H-GNH16Yx=(Qo9j@uC5x{EJwK7RclKHV?u ziB9Qi`@o}JynqI(X&{$|yV%ey*`UlTeEsp{A$AXRyxa%6q=8qXirh*3-~J?IXTJ~3 z6L&;Sw$tzrco%NvXS^QP@BTP8t0k%YW|6yJ>(_|`*G}I8Dsl#Y_<3!QAO?zjCc>eMEsw4!z7Q^|Ca=s zCI!N6TICHtG%e!kc$@fUc!&7T_%iYR--xdNX9V36h?CGOekR^8ei^=8{4e;BcpJVV z7Eiv4eQ{mC$2Bq;ds#L;vrdWzYjuXqo|C#n{3%;zvzD&6=lreL>tZ$7oUu>S+BaEx zjEg5Sw(#3w@gJiNbZ>wjek5wjuE5gz{r2Ta`RvHurJ|JImhyd@C)d9xKHz=gZ()BV zFf0kD;3MJ};ibR^G}2l3cL-aFZ`7pT904RXh;sQut=0{IhxDCJ{(p7=L- zp7<(ng+1iPm;+xc4bPqCdoIZKLtqEW*>Ab_!!AvcKz3A-yO)OecKCGhLOf0U0K7=U{B93worxz`fL{us)qGc;EI ztjOJ`a9I+lBOzP-COlW%el=V#8+t$G8^!;QH-{e1e>?QPMW9s@KEvC^f5tn-XAbyD zT|y7pDP!ZvGlRVQ{bfYVwH>X$`2BjUT@ zX%9?vX}D*_c%JwXc!{|`{sqCQ1S%~M*5Y0l;q~GTcsuUi&_u6Ryi3Y2!w1C^eFTE` zg@i^{wHx4^oW_(?G!6@5F)dz|HDr{kK-yjRFcXfx^=lzIyA;mID3|KrgX zx%UZj$b5w@T_o%HASxP}T#>8iczjI!d_0hzcyo8R{=>6hngpI8Atqjrr;6W+XNdp4 z(DzV|c+Sq=^YZu^&Sufvbsu~XD9!U@mV=K`QJz%vUerVGe9vSoqWlORJm@F2`ILW# z4ZHH=&ib28Ab2P_VRJk#zAN62XV7p7-Y0$xJ|cd0f8e0IwC_7GEO1C*I(F;#0Uk z5@?cyQ}7n?i|{t_>+ufpyYXd7?#BNq0^Lc0Ab1V$75^CT7ykiYF22@#zUK;M@@^b@ z_$geG1a^!PGJ|@?(vIy1;mtB9PNspy94As4=T;>+dT9BhqzK-uGQMCO!ubHuc8|yQbR`PZj?io+f_C z`>y@yEtLdLAR#7xKAt0fHJ&Ga2c9qf7+#o=z)J**#Q%kth<}5Zim(1JzhiOn_3;XP z{8PAX2vkbK9#)Y|qCZ+i;-^_X;+Nu`$?mTI2~;Hqf(P+>@#pbI@%Qj%@o(@}@o9r$ z54r3Ab_r}01>7B8#CO2!#P`FO$m%#O^2{LU@P~F=#ua#4=!qa0|N8aWQD9C`!67-{ z#lqLMgMKWlWN7ZfYs8%?EdyC&^G*nTiXpl4Db^dIp)@ih16y>QhGh|k3b#S8GX z+~iBKgFkTlA67Ih2^Ay+l5ioOD&7#e`$R*opjyrOAR->_m3uvf2ahEDCls-W-Gd}) zU_>_Pb9_vEl@EPSG%)t|J|Zo0_x_%-x6^tSUT7ZmziWIe0&xqlLA&BL;``&x;z!|K z;+6QIc;ccc;68+sNq1A^?l+=Lq7*jhUc5p4NxV@e-K%)B_($H|U*RS2JqZ~y#%p~P zcC}khkND*jTg7it79M-ldz=m38n3}^2nr&f5yYbUUH{wJ?_dIX(r^Xd%;$i%jxWTU z7<)SjU5V#NJ$FRzew0p6w}%@Vj{nmnv`bICj(3WGf_I7ki04bgX+wUJR*KJc9$o(z zN}zy*BJqRqxOfF#A$}oVBHneoI?qIo(4-oA~2+hj-{2hEq{0ls>LISIN;)h^Vd=|b^d~-Zy zZt|truK0BEgYb0k6W9O85y+B+bMb8PEAd?M+wghfkKhGK?#BNG0t=D?LGT`4EdDjV zP<*vdeb1GNXX54JTZJB8|1XljZX_%gKNPPPKM}7LzW`q%ehpsbmSr^mZTbC`K#i=| zry_TM6M7{1Y&k&rIw}7p9rxddX8up1tqNQf5B;dqUdKO=JY z{blypmf6L4qxiMMuKn-=q)7s|lh7>w5MD2P++z)hzaP2lxpSXgE!^^0wE6CDLU=qO zftmmIT~vcx*KHlSyJ535umEorKMZdduf#j?@z4J+BhV!YO?Wql(skH_d+`B0+C3M0 z0v{BAA#(SoHhISq!N&xer6*ST%=bi>`1<&u__$i9d^Xi@$^Sh91s;YxoNSJu(DSKKGNfPdqd7%pi?D zjv+Zr-xCAk8{&hL_AKm-d6B!1^JPQ##z)ReB(KXd0%_+bdnI0o4|4H&1s=P= zmp8v152XIbth~8D{slp=HDCe8atM!$|A^O!r+wjjXu0%I3?CFvKp{RXUScj? zeLOxQeqV{-pka;&tFvR(QTfb7(99m#CD(O65Cm8FF11Uphw+;Gy$3a)MuYHLH6IT)bL-f>%!~0<@i?9~*~9T) zYzbTWic+KMg5XbM(RUAz^q5Pur48h6%TKY^O@fFFa;@H+8e#P>wA_-wpYJQuGN z-#tN~UIL|fqxh+KySy2##XF_E{kE;+-xbjw+48%Q@`)};FCpyg|Z% z_{aF5`1km*__S|){UhS*<6{X4Y(*f*Nj|Ck4o}6WFu4xGr%U-`@pSRC@GN}%^Z&~U zWJ|(d@Lb#)z8CM2ZU$Q5HF9-}K2ZAZ1be(u69&DX_w%i6EmhyYzW8#NL z?ymn+r6Rj=Xa+~RnRNBpd-x4fw>zKaQr-?Yr_*qn)PFgi7xrj4|Lw@tLLi^6Hh&r~ zl%eUvi^M;~OT5lbu%!VP6xI{FG%*5m3 zbMXrCo$yNWV!UdyhvR<~fttwy=V#z`;+Nv};*EHt_`P_B9EhHA9$o*JNZ@S}V&Y%m zdE!&Xe9z^JXW~WT+u%7{%k}@B1PUeLa6B%4I$kP%IbI=tGu}lHrTOchAb2J&KSR8p~(9WmiHis_7`6}c;~$lW!o)N}naevV~`7l-A;IhH1YI1SW4p4@fSc)Pg$NG2xr*spW)#O>ES zInKj#oLy1|_8XIYNoZuxO2lnW7K+RpP{U=qo%Y|u z>%>R!dhsbg`L1phpN*HwhTi*MxBuaL%t{H^Pch=+?%@oK!RM#kew>2;R6y7ZU znr)W%iPiiufi6k-0q+r?@w4yPwC$4@;U;*Wl+VWplH84E_Ikb|{YLoyBvf!9ws(SM z_+U~+?va1^u=s74`}*~Z-OGA z>7i%wzm80$MN~A34~nn#OSot5@1c+R!;Y1oi#Ln^4i7rV%SY`8r36X{ z*rES)yi)uMyk7hcyj}b$ybq7DV{iSE@Ov;K315+rCI`+`x4+RHCwBL%wu6dt@j={r zVz0>EO+^@*RLUQU_atO>o=Bii`~rMH{2F{v{7!sW{Be8)AOFPYWddW8@BtohPO}5; zw|J`fv{k|$a;GTq4e*R)PXyZ%h$RPtJ@FjzKjL}fr{MYG_JG_BSq1+2weAz6JPFv7 zc4Ol9Alw}BF*jSoJ=WvLMbQSiA85%OYK+`{1ipuxLvH>B3z!s7vIm8-2e0Bq;veB9 z;@{(?;%lzzhbBJB!}f!X2;|G2*^_pQ#O=wvh2lHV6NMZxr?O-7qWUv~66uMeRo(F~ z`lu@G3im3E{tbc(HmG9KmWH8fyi&X#uM%&;Ys5S8x^3kXqh10fvS%OQaq;i)Qt>sX z_^#HTSTAz-ta0m!sQ=xbZ4(9Dg^u(@2^H1L9+cya;#GLF_!7KTJkd;`T>|zC@gg}1 z*)K~g#O-&Jb>bb?uy~Ji_l&)zzg#kZ+eFvA?*5L5oUhsFH`t(%kL=TUyp`O=O*&(BQ^bGI6s zRCd43K5@I>=76|83w2Q39!eX>ZP_i2w!pnld?%XZiSaLK-9})64~%;on;h)uXXOc; z1MHFQsrlY*?Cg>4)5YzP?P*EwCPAK^-I4-9V2_r_5I>mmS>of*nh<}A9mvM*K=w-L z;UvhBz`sbym5RQ`^Tem5`q?*6d={QBz8PNNJUZQ3*ER3xXJ4Ttyt|I~1>!64BJrQ` zV)3+feLW=;?oYQH6IeJAh(>uHUMjv9UM60O$Hh;=%f)v}<#byif&J*BMdC-`mEx!2 zi^VU(tHiIvs}mBqlRyn_ljSkIR{RCLPU`t5zC`>BydF>3*#APHK@!%P<|kJpZX2`- z-XxysJ_ZRdV49_#E%BCQPXvj3eL`z;Ah-r^6Tbs*7k>orz->bh$2-MOiQK*CUnUhj zPePX@yn}a(e~$Nv|AhC7uifT%xG(f@{@a%RhCsh0>`K=S;5K9j;>)G{(fFYFnfTBo zkJjI%1coOC!u7WlUx8c0cjF^c{z-gP{AGMhe1~b#d;S^0N(t=Eak~$HmNXFEhu^J&nD}1P{Mcuk`{Q2_ z*nRkOED#<4+=F~c$i;2Xs_{H=yAS_7@vA7GFP^ab@E1s+nS?^|hwugBb|3yCak~wF zu{gKkPXr|dYzXW={0qhHKK!NPb|3yS@t1piSI5QeHvHw0yZ*N>wfpc_kYGOL1z*u3 z@mYAK_-6QGak~wF)npIHe?Jnc30O}Yf!BzihS!Q;gx85*hc6Mo(|PnQk$MR{MnZ%5 zi+H2>dw7%h2;Pj3_vnkhM_YE{TOt;)`|!6)MRp(lHgUTTf4el`@54VM=#cVu8~)C5 zXZ_iI_?L|b{Q9%|@OMcAb|3z3ak~$HkJMwg;qMjiPtX;860rO5_lw(o_y@%8KK#qY zALf8IDE=It7?Qv{1cq@Nnicp8DgO&TBEIe#{<>jQd{cbPdt&_Tmrr1&BANlmw>{3nbH%s8^Q4~L@p)4IP(0sxH2A@3}JZnRr}$?sTsI%O$WA2^F~YP%*wp{3yIq>Nx{n zEafl7s}d4uBv37WFJ2@5G+rzI8eS(pgfGDpwrAfHsF#E_*79B2Aie?Kh}#(F;Z0J0 z54<_q6T$BZv?K?D6Y*B@YP?PSYP?MOYaFR#s?=k{IlLF!T`wKoS-iEIb z{~JCc{yIJ?{_za1|HmXSM#4(*HP`lC8tjsMF4z!H5#JV1#ce1T;L~@J>;FFxNRx_A z!qdesz%#__@hoZh4m@V=kAFe%D1mGXM8`jO3j;hyd^w(r+Z_4|&l6uY&G+0q@x&|w z`4Y&%3vlbYUGPHj1Mme>&(V01lwa&U5fn?p4kU&?*Dob1lKr^uKz0~&_Y5LZhyOf46hM?5wF9otKY+y zh>zg)1#7T)@L*TJoNQLFo9??bMSN2{ zRXiV`F1}yr;q`x-1db#jUHo)BL%bHx5?_kP#P5mReFeuIh@$y#2b`w}lukZExrOio z6%|sE9dMT8UAP@^zQS{Un|zvHb(ZhB+zI#VZx(^Pi9odea`1WL_ATv7`Qy?qQTfcE zOm<*zJT897tmyhbGboq9QBi{XUKWSm+-d%Ja2~#Be}Aa9FDEX+YsGJg+&z(*9k3pH z5bsJ5urBQ;(D}RMN%uCsO#CyeNc<stZ z@1a)l_3?J`t?^E&Kd~EuWso1a?M|Rv5)Q>Hq=5>&Qv7_p3b!G?3a=h7&-%NKK<#)STx9MSg!mHN z_TYKEUdq3VH;R9OH;V^}EY`6!FcWW+gt>T!l-~*Ol=8)Rmy|yWPjpMd83cO8FU9+D z>(Y1E_kYV7kOscMmx~8kzWku~iO>IM5*U(%x%ja7PWTG(VthpWD10=@-T0qDU@R#R z1ef9~#T)Tp_vCed;cxt&rHD7ubE)F@hV_Kk|I-Ormp)AcY2vTp>A0<$Av{aUe~-s- zo4jjm;5Rhec{Km6q74Y-NG8fP`hZ?Lj@>g1YLMc8h8WGk@BD5x#BtJnH`-2u>zYA{E^mojfvw3i*JdlaorE^5w3M@bwZth}#Da z@8d-al22NRuL%@OAY}ut>BQ&Y3&ppa@YShx&BU|N)q10tHp=$8u9<)wc;~240|*)STuPk!|`7~3b?OKl3-)GH6Gj3 zkC~0-Zg?GTJFpO6B7Op1FMgi$==#4w0#}mIDBg@Wi9d`ti$90Ah`)ok?#cDP?ZFBH zZIbW{-Y&lGMt+iZh;NE_is$3Y#+~)IAAzp%K(v~IBk^wW)A1hhTD(_$Y2@y=evG}n zHM=W8ph^Od;x&^ei`%Au;I-m?c%Arr_!4}&->=}y$max!WwKJg=vg#;=k;W)fX{4Bgi{Bpbwx0BXV zd!MD)NxTPdO{ype-imxiFv!^3a{V_R-^(v!Tiz=}53m2b3D}md z{Tn~4d&D=!d&PIa`|#Bn<05=O{BV5Gc{Km6;Zq3=Ndp(*!{QD23h}?NJy82gYhgWe;ghY zufnr&8=61kxd{o}LSPB0Z^8S-AH)Z6n-ksma`Csb`TT#7fX&{|NEj0T2_F_u+thdU3h_=-yoaTf_Sh7$d=krVI~?k`Fki;VI%5 zzaxRV$pPp4;!DJj!0W{;@doir@J8Hr>;~uV{O8_yNW$GDv`E4-yiLl#inoh@h<8Xm z-{Q-PBL2e&UIZH@Pd?}iWHw!9bO%kkCy@h=EYATTr@2p3-voQJQ# zZLVC2kBB$pqv8+aW8%*x2&^PvWAhH4vQP2>X9b=r{tG@Gw|dsy-1lg@l;0FjWJn;N zK$cXrAD%6KB%X^~51o$ZiPz%uyiYtOYAJyN5^T)w!3)Ko!WW45;YH#f;l;S$P`CcR zBT$kQ2!d%__^w+ho`si61KZ$bxDCPXc)9qYp@-N1i=?6o5-P>d#}`XQSK-xC{x-Zu z%6H&(&ZF~>b@lTEmPmr#LZK$^C!_tA``xH~X3!{aIzOeJX6h;Tiz)aKkL~O0If3hs zV682ESJx4+Nfg7Eh;NVAi|>Uui2o69#BGNcZOQe2lLQhZG)qO-;w|EL;;rJHc$@f3 zczc3?ZPEJ#I>f(@JTqvLuKt*z!A=F70CM-4QB!9gpYi@5j>0 zpM~e*HtDXw^Tcn)=b1sFH+W8{b3K z;^}yecn)4GUVzt4_Hg`52rQW#a9)nri&x zybZV2@eAHA5U7(Q)K8JSJAAXHc8*wQ+pz00gU<0i@CSsA zB6lA?)3EKp_IUij=>PxKJ5Jvf{|ulQ+rpZFzszxYyo0Jk}D7rxy4#P@%mATTHu zy%Kq5uuS%NIo>7y8Qv{EM*Ts_|Iu~Fah*;1|G>|#(2x;gn$;2-va%auVzc`}Lrhi( zA%v`k(2(5_x3M9+Atokdg%A@yOiRcLA-=J(Ss^PnyRosMwe5=eoqNvf_386@{pb(o z@qC|i?!D)pbMO7!d(OS_HDULE%AUS8HXy*N%Y;wBxdnOfN%4c=Q{uJ-q&( zkwAb9v*N4abK>{H&5?;Grzhb_;(vlCTX*fBTlO~uQjmdd;y>_I@lE&gHJ2v7Jv?1} zFL(yrF4ylj>%T)#vG17lmYaDQ{g@0 z7s7kRuZH)D-v;j&4<1Hf00AB{pN9{MzXcx>{{%iP{=Ix(LnClD_N~3!@&A%xS7aCy z-yc3Mek6QC{0#V{_(kw3=eGX2#n&J(Eg9~B&xrp4J`3lTy#$|=^zXt=Y20Z#-2a~; zkQ5)VzTv*U>XOA5!&Ag}ho_1k08bM?#=5)yPnW<7WXKS|6rKrZ&0P=A62BXsE&ez> zr&O;0UqK*OGQ1DZ6aP0nAI@E{U_W1T1(N=U@JP(j|MozjFc$FrZyCHu{CIe=_&M+r z@m26r@f!mK$|P_fyj=V#c!l_D@JjIy;Z@@Qg$LCV*zBji>T1MyfY*xe4X+bF6kacW z61>6t{QTdQ2s9!C_vIDvCMoDI85Z|J} z*IcLg5_p&RzM+TL|J?|%I~|S;QSnpZJyOtx@Lut&;eArhZSa2UuKjbtk03B01-%F# zl!C_KL*k#ohs8JA-`CK{y!-yQEdrzS0oVU>;bY><;N#*az$e7dgHMV#?vLyLDGA(+ z4AXEn#s}du;?KcnrJPatocJej6CC4D2HXYT|Cz6%Bsdqm2%apS15Xh@0G=v-EIbV! zyZ?VS0_g~Fg&N=)QqYa?O!52SS>jK_vlBfqBM9Uq2FyqBT=8$Erjo4MHL`$Tz-z@%hu29tb?|!eYa+P*Z;-&9$j~VM z7`zG28XAH(i@y(V5&sh2dW>BEZ+d{QqBhBp32%pUm+cMj5I+pwDSisPi{<;{pE0!v zbTic+DqaI06TbpJE`AGqLi{24q;p&U+?N9gOi6~f;M3xt!e_)cILOz~tav(n zF5biazdHiv*tj1C)(?ay!MUQx!IQ?@|OJv4HP?H^2+U z?}ZnM_rZ(BUxSy3|2;sUR03bY%fwTc`5G!0UjnZX-w$3Xegr(Il0YQ_)#4YyYs9aG z*NWc-uYZTB zcm=#&{6ct#_;2Bz;%xcJ-f3Gsg&Vvm2} z5pYrhNk!f}ly8FL{4t*c4ayK`fLHqCkU0lF0p}K9 z4xbcX1D_J_hEKy|*Zvsfhve zb9kC~DLh?#1w2FiGI*x=YUlR)KT85#$dE10PiS_?BNcvpv`hRcloJ)_M+nD558FSV zY`l&Py^?{S$B9Z~{0GtxO8Pl?zxW#u`e8W3aqjxx#|VtZ1;X9G#bM#TTx=%Mdby%I zz^BFY;Iq=&4|HySX`x2hsE<1g*Z=(puoqM#L-z5$aj`M3g6E3g1kZ=_fVLK1Al?s; z9FOaNF5nFWiev#F!%L)~_3%>hwB^1Rl)>3uv*6`S?~i}>rxpSg450h{0$wS8I=o7} z4qh#O4ZKD?xD$a|2|Na`6CZ-ti@y(V5dRY1D86a2tD(R&Ng&e)Of#HSmkV!^^vmF_ z;wQk{#Lt7bJGb@E#?pvDhh(@J-YNbdyi5E!c(?c{JR0xe{{IAlp7?$w^i1+#NKi42o zC>idA7l}UxFBTtymx#X)FHLZ}|Gz|_EFoabrX{|L%EdF`72MEpSbsQ7X4G4X2nxcDmg1Uz>Ae-i?el3^`;O1vLFE&c|4M*L&= zY@!EdJpyxy0h4yLuR3#L;-Pm}c#`vwtsfNzax+>8NPz&h^LnN8p;)40?!lQ51tQ?&Hp_Dfk<2+?0=Q;A}Q!1 zc(M4k@DezC!Cmk&@!nEg|5r#suOLIE_y_PR@&CZ9#Z!*)HB=+M1HAS`T>o;7VlZ6~7hUCmx0Oi$4z^5Pus!=-k#n_x-;R z7?KQ0$N3r>hVutW8hk|3?+PCk{~3HN-oyQWGy>!C0qa%p3Gqwelj1kPr^N4tPmA|i zch~~}6`hPzJQjmf3e2;*qiC4liWC0h! zGsUlkXT==-?=A$gV*%g)df_?ZufTJ~KY-_n{|BBgo)R4ItEfN%JHR92`@jp~Jj5=C z7mHUoUu@>&mdv?GA5nVg-arC-AkZg%FuY&hHwA^3>+0DM&ZE%=!Dr||JaxBdSlUqus%0h11&6yF^_C4L}$TKqWpjCi$kd;LEv zfmO&bCw>#$oRWA1TnkSU?}sOgzX4APJ#7E%9v>r+DjC+p)5OzG_BEF-zAHRK{AcjY zICuT;Xaut20%8BFf@h0g3eOS00iG*U1oMEojvA>AMUjA=)phyi!} zv-5)C#o{l(OT^!Smx_P3z(4yjr}+)G2YL4we1Jd(0(@&l%TIlVEb%2aXZXZ42X5aK z=>AqAeIa}=_%=viCw{(9ADC_g_;6`sWatrZyvi@Q56;*0{TUlD2;U3~J{>DG3b)DJ z->m&+OSl0O&Tai~g1|JYZdw*FfCbEnmtq0Qr>}4&u;xC(0#f1pM$9s#&xUUt<_h=! z+sKfMz~-(v`z}{_1fB!$gIB|MhCcvrh3^jkJ$wLeXXCrS^>%}Uuqs9ourIxKe+w&o zx0!|S0^bK)kXh-sczgIR@CbZI_=VVImGIr**I|WPDslb4Cjt#zQ^~LrJ`LX%=}&{F zoZ(l9ZRlut8r)U2%~J@^h&lS--UwtNz#kqv!*gO8{E`>J^CW#Tya4WBGHJ|zZ8r&P zIs#|o{Ww6N7y)jnTeb{=Zpp9@ya&z|+6CSV=L&7Qfp5G6aMsWd(Wplf%D4MJ z9j$i^0j|&j_(VbmV{%Z?6r3ycQ}{G|Q|y|fuJZeS4$kAmVPX34`akKHi3gDX?dLP3 zh#!vyq=_Gd1!Rcl!L!8wiSl!-yY|mD8bcsYGQ0vW5U;`t6^j2FUMzkkyma1u|GN=^ zas>E;Wcj$SiE22jv=iy;;9H`q*TI|NJhVRxZ}KD<2jE=rW~ch{hv9518Ss$+fvvFxyCE6k z4~qw{A}}g}cj4pWQ}9Xgui(?-n^gK;HY>i^yWRd3zEyJVP_`&dW@yCz! zHIXTPKRnyHT|O6l3<}DX43+SF@eAM)@yp>w;@87V;yv8|9SD@g2dwXSl;461@vY!h z;z{rt@qc5B>%$6 zSOrfOKNtHrRs0lqx_Ar9$rQgG9%M`4K?HKeZ^Z)g#hc*~@uyHuk@yh2#QXgFKi@&1 zOfq~7uMoc!3$7CXFVfeDC;!s7p}GXOmm!M~XgF)l%iAtJIOsqE{-D_R555~t!+C+S z(_`Le#n0Ws`?z>3d{X=fxBNw>-+xbFH^Zyn(-0WOhX&{u!pDzU^F+qd9|u$C`?kXs zJFnZXzzlo=&L!o`yqgPrdS0lU1y7l%aw&P*j($h_KPILR3^RPc&@Uia7LW=rekXAO z$9_lp%I`>jK76jvZ-8H}?ZSEXBNgFgd@2^q6`IB`AYCClp2?-x6}qa)d*TA@*%?hl z%YWKdx%&y1h6VGY^OZ1QS11FXgk5m>GvDs@k9yCP^tZ3`o+ah~EXgLj)?~1J-llRpJN1Ys8O**NLxyH;C6;cR%5pByb%v zw7_}u=}vg7r2hlFUHp%ziB9oBr0+gQe!{(jK#yej6y7KPy@kF?2gK9hL*l!@M`Dit zw;uvyv4HP?hr=htE8tV&7rp| z-hYha$J6jq_^$93C@09l#UY>TmD|T-;T2H?&g=Xqe&D?b&ijjN;bm~%*c``IZey4>zB9XJg#r%y8e^$NzAy=#KCd@ukk~(#rkC>WKR` zo!$QzZ{-_NnpE{Q@C@+|c$WA&c#ilB@I3LiLJuF|6i8qS84AVM!;8hYO!FI1D!v3> zE}jpswC>tJkATY&sFn;T!)wJ?!t2Ew;f-+quvr6do=@-lU#Z(S3r*{M!0r7r;qBrV z!#l;Vfp?2{z{xZz)5 zIPjns0Y0YVK!pKu4r~|}=Rk-tagLmr6!#-7?DhW)0=#AOl-sgJX8a_7peXWdYTm*Y z=g1aM!Slq|!wbZ>T;cb9Vd!D|XH_pjpja~G!%M}N!^_1_hF6NOgjdJ8>wk?1)W!wE zja~z<7r!6gDE<_@S$r7YD*m?>xc+aKz?aC-Dej(n+aqSrM^$d8uvITOE3DE*CUUah zWvwo1%r4ID6IzsGZ=$)seYWwtp#MC%{y!9fL0ND)d|3QE_$Ztwr_14ElKw{c1l=G1 z>?hn1n395?ginjV3ZG#?*s}NGbK*1bq#A!b;ED#n-`ZD2G6HOj1MpPwH{t2xAHy?c z0bj$jBz>x1p}^!wU?;3;o)on4BEO>f;y-{FNIAR13nhI7UgX@?Kda(s1d64gU&2eJ zpo`#T;?3}KDd%>0WqkT@|3892ReZqu^YCgZXcS&6>Hi6@ll1r7i^TAfPJ7LMws+X` zpFPr{+ti~Gm7&`-pdfaev*E3>fXm?R;@87F#XI5MHFEv`7y><#;bnLqoV)B@c)z5d zh7XEwaJFwl!?AME|F%J3L^A9O9}_QtPlz7@pNcKOSLtc+X-R)!fWWK-n&4)o@2~8Z zx5AU*tceHVDU$vfc$%aifd}al_y+0i9fSQ@l8PtX;oc6CbAPq_`c|zAIF03Oakfjx1@C;NPoMMm_!YAI z{{|G4J{BJ^<_``z^Db)crl| zGFU$)(Ff?L3EsAU3!WwZDLfm#oiE;e|D14_Eix6StoGOcHCXWWF0jz#Vqdb~?+Gu2 z^R&ARUM%~*3|=aJHoSb^egC@*fy()STi5I1)#9D-TJgu=_2MtX8^zx}2iO125|~DY zRyeEr8+aR>HL=CHz87>zIa%;7@ul!+Ac4aW=oLQ+-Y;GQ9~A!$d|3P@_$WMf{eK?< z9+9H#0=)g@O1G5;F;p3@NDrj zo!jgGTm*P1y%-ttB*QiE0`U%bq4+v@v6TM;yd?Cn{d0@oLZD0vnu1rrnSMRIO44s> z`*V1{uMuAYuZwfn|MC%Nhzo@MZ#le4{A75G_)2&ioGaQ0?~wFsYH4cfll_$BzS%-r$_F z;jZpDXXg>T7Rj_pD;Or)!uIShPx`21Rwkz~rB7PClm%+IrIY?hF zz8|~-&g%|5RS!%R0;~Z(RSnf(4K?B8fzBkQ}r6>wz+Z(R$t{a z)Jg_>(sKpX!MO!|4Br6fb;04!`1CFCWYqjiC};XtzNVdr-DMSqV$8+|taFG)vJ}K2 z9Y&mw;oIQsEoWhucfff^wa&UdT`e{ZIG>kbO@He$EH>ltal7W>-)->z(-N3Yzg0m)`gtx@p z9j=Ud1%b9$z;E&13ve11KLp+-UcbPvP!!I#vj3p>Uh!)KWavkLTYNkgFdz#!8$Kky zGs+o(vr%7)^rLXD&~@-&Tmp9>FbU@hEyfm1N&4O3Gvawzp*it`;Yk;+2&;I0#&sD2 z$vzOfiFFn{70wkp0t-%)^c_f_A)bY=a%RDO4cYy_Cj!|C0b?TY9Pvl6fLvL?saT0g0YNcwHCVU^Zh`)6a}FqSxp>$b5Ee1writmSVYEX`ST*it;=EV=3Ju7LUJf4;zY#tn-UT0XZtI`j=1Bx5B*S9VL`1fD zsa??|^Vxc=U+jMow*mI58XLy8^6JL^nBRf}kvrWVZnM3IKq~@Vq0iv$@fmFTVl1Fh z7EpGi{nRsPaSzSyVV7@mh8DuWn-&5;=mg?$TK&kdD;B^*^)ObHL&-Z{^aW{p9`YxVp63)EsrwVkvAZ;5 ztuH7S3*H6`{t>(g&YIvarxG}~?0ZOG4(I(#{-UaZFF^U{K5Ca6UeeVgV4IHn0#dm-Yi|>!0grnj|z{kZ;g--?&xB!7^@hjl7;`~u- z(5iVj;E&p5asH@Hg~#r|@<(mDWZ;k5OmY6G%@*fx(Ohx<7R^ue!0<qSwL_dgUc1Ekn=>lT-<-X1?w-nUI?y-*JW%}mf;A@`ZO;+?a^drE6MBdE zZMZrghVw|b7Cr`N`5g!Oa(eozT!-Hj-Tp!NoKGLb-gDB2KyrhvI{U{AFT=~8@cw-i zGzw2cdfv?X^JCw>;60al$AYn3yN@CW=z=*fNf*p{NxI-~c*MLf@rYT6^0g+e3_UzY zWMK>V7_b!?a^XCL-w98D&{rwbb3#<1r02Y+qRV~FEkVukPH%>FcZ}W@0p9A>EiS_O zzD!n>6QwHQJX`WQqXy2iD+g4Rpsm=ms9V1I;!5l@8GW-=ZFr@$*z|WLRw+kdRHC2_ zu>pI!^meq)iq%e9;T)NvgYu7Fgr{`1QV>UG)WbJGK^&RUAn7?Wqe+}2Gg`zsFrzJy z07qtYh;w8{mpDgeM8$cXk+sV2`(3aBlW0RVXcNJ12wbtw?*fAiJHa{COPv=cb=b!X zke(MN+NgO`y%^>H+@}u=@2WSVAYQC;u9{>pysNJ1dFj?HTg;2q9{5tMsDF%L&k<7y z?2Z7hVl~6@u5tbU>4#9I?Woc`l=C8vj9PQI;Huhr*#2478{vpHfqls;O@mLv+3$1V zx_}eDqnuB%;P`S}|GN>#{9$atPS}Do+5K=$$7{dAPRCU10df z`AXBch-G)R^OgM1{#gldvW&UJw_ax8o!DeJe^GI=Op2uEWSKN^PL|2IM7j+p%VbFg zPL|0L=VX~YaZZ*g5a(o>Lb^Zx&EI=2Mu1z!Ni-$Q;A_-y5>1&nC(%@ha}rIJc)(dS zH4?xq8dE3E8C?zHoYB=J&KX@T;+WB8N9jm_Q@T3D?UXKeIo~DD8C_9v&gkkD=Zvm? z=eGXYxHzS2P%>~z*RVLJ6OD>8Va=NV z`Yo6cpM_6}Z;Ene#J7Xb&AVH^*#m*3OA|XoAv{H#&%Dy$Y`mObl`iQyzbaGw=7qR) z%$5M>SLKR7jV;a>e;pnX=ghPsan58b2_(R|Z)M_~LsucrNx@a(oD^Im&Pl;_@HOcN zFHH>^Bo(IuH;HqeYl}FaSGU2rKOV$u?q+aJ!slZBuleiL|L-2-JIK(E>&Ojorra9S z$U5L$Krb%m8n#MoI9W*FiS)b~`XbVI!~G9k+X(-HK#yej5Z)&X=FQ3ou043u^FS=P zAL;2cC`UKUO|%OS0=i`zTIA# z`^8`E>G#<Ayci%7lh35or z5_DmO+NIGw3GWnt72YlWKDjv#+Sh1K zq6a1mfjk7b3zow36Em2@;1ThY;6>s!@DlOgIJdta%Or3UGE|7)2d@(EgV%`v30^1u z0lXpfu>Et3|BXPSWJs>_Ro5)OExc9y$MAOX1K^!;?)qOT0^MzXcx`pMp<{uZK^IZ+Vfg(b>!7_u~=-jCejgS$sJ> zRs3Xly7)?XCjCep8XFPFW&pkho-2MoJYW2&i`HDc!rm4h$03;~p_efhBD2vS5B%BB znCo!oE?=C_O0&h+-{%kcx#E9C`NMv>LF{c)&$|^}Y$Eq3K5u#*-XZ=T+^kJZKLsy= z^TFF!@R|g-&H4~L4l0o~y>%oWN&Q&Ob}U zl6UCTH@P@$jP`6PTbvFz-HA1^GrU4P4<5Ddx;3llKm>-7fnMU=t|+#+6f3&XGrop; z;QWp7BY2;9HOeWQuL-w&(||xK0<7v*>)-ak{f2}5f?Iy)8!1;bd71YvI2-Ra@F<** z9I}?#<%d5g`w-wAw_hN`AbbI~n6EY)f%C$Lck(CTyb;bj`BQLSWAaY^Odt#3yUk3q z-!d+k_a0N=+_JZ^qG^(zuRP0y^LaQ2ZRf)S7Q|885h;j628!W)eQn*eoz^djA?>;!WCo+UMJN=Fp3qo*Z=9g2u}&=Ge+MagMF*2t927%)r5wU6O%= zE2HAOyySO5ulT<3esPYi9E@|<|4u@N;kZD!+c>y#RD2cEkBhH{Pm13SpBA@cD{)&` z0vudvu2|uk4{PXM6qGDJ4Nn#4OWV@Lw|UvuT;>&WR^&_DvLypw+LkNMm$v1L^QCPO zalW*zi0+Sn#_*+WB@DRjHnCTjm5K8eW)3Au7&C0=?pVB+w7%wIm-23^=#-&&I_^0z*;| z9|??z^O3-qI3Ed2i1U%aRJ@1#pSS*J;se%s>wiw1xBio^Oza)J^`9cnJO63o;hp~< zhG)MF3Gjwd)|!>4+S|W566Ot+K^!1?ZsC0p+_8xb3fl{}7sio34Q=~zob_kmJhcqM z$Nd>${`T*JBYd|_yT)($ViYun43jv8aF0&Ir^S;l!BxHZE+{{GoiE=%D73pM-DR)? z{8py9(^Cl+yekSS!V2-6^TDuR(OfudC?DmR2mF;<6y+4d`{Cv$A2>Pm@cl*|XZ!md zX=ufK4Njiy8omXmK%8&EDHP{haEitG7MxP+?hwT)fa`IhA5_9mthz1w12UyYI`xU($^@@;#Z)Yd?}|b z!!AGUBoPVR>9#6uk5&N^l z{rv~&t0es_^e##NIBH@F>Fod=_m_tB z(YW-k{|zF8xz=|x9_e;LhTgagVM%WyeJawkckGAs{YcNV^5O6SDW_sPTp|uh-~wbA z5x){XCVn$~LOjVHo5LE)z>2aax{-eBw{nU2Gy*eH(ChFy@ekoi_@&1!o`omFxr_I} z3gu#j7W(6#9VfTFU(-~{@EE$;wEXZHf>+=y#~)Vj!>h!jH__3QR)P%cT z5bl3|9j8XC2p^rvK|yRpuOI5Ka12(I-F1^oy+>q4w{yP8G|7qk<3rFbimo~`H7cq*#Lf3V+!SGp?rRYBk4N4--kc{`I()1DTtrtX_5u= z>o^fCfRCQ|QJXaQZdfqB3u1av&H{h^V+=n?(uxc_AOpXgGJp)MYJO^EBvz2mz)!P` z!+EQS--_u)`Fo)J9|Zj32{N#o@w*_Y*ZW5v1`_hi3JZLM|Zd-7l;#BP8{&Rg} zOou;bTV=S5dvRsO#(tSgzt9Bz2=M9OH3$sIrC0}iNPHc9MEnK#nD|@p3GegQ|5FG| zNrpApf*CkhbUo6~O8PA?L+`lC*BpDz5_ocg+y0l2Kx#t3zTEnTf7mT zE50W5@D_1C0^G8DkfA^_JPt1uAA%Q)zXvZB{~TU!Jv{!zEu6&d3VbP5yI4r&$d^C{2KX7dCkdx3G590bjj`@3_pn4o9cwqS$>AR$yXY9aPcSVGA zN?1)utMXqVduan6Fo2u2uvpi%xd_o z_&sogDV1&6|FUGo`A(L_z(Me$!QcANPvy2rrV;@jmdp za2JH_e|uEjG8b56GI5?Rho6BAB~s8u@G|jh;HB8di&0KHydo~W>wi%Ms^S7+o&k6b z3gXG*&vZ%u4|tvUSMUb$%`eB3-zEvn;4--m3+9uU4eW(N*m|4byxH{qtGu_vc`3Hj z<$l+6!TE${Pk7UHxc+BBf86ie3p(IC3gSm6qv#zwp{n_@OI=ZZ9W%C~{`hAqBnn*== z;*s$bc$#>xE60vP6@LMqA>HyV?{?&e1g4N7NBjURI8PR^9_jNX{g%J+`#vJR1YYFa z);|v*`3RIqhUM@w@sr^d;w#}*;*Ic{cn|mg8U*U%1J>_{H;6w4ZxSDdw}}4@-X{K~ zb$i~jL(e3zpb_0hJOdsT{|UTT{6KiW_%ZOoCV9VS6#~PO;S%_$__gp{IaJ@p^m0h< zW%)5j|9b_2h!pgay^IcPs3exbcaINj`fq!7on?RQh3Sjh{KY4`*_ZaZKJ+s2e*{=? z)f%6HhuE*^$iO34fCbdR7ofYIaJ64RJ)A$;dXT+~fY9#1@RfxsMC50DVHT9F8rHjfpuS^ziy$ACX*<;j6kI zTgC&_3RjT5Rddm5w<5gd%6qywH~TiUJyx_0(`lJo{QrK93o!F%W3_rI%9Ma5Xa7TAL2Xw|w4+8*;;(0HrgVxATG7Mlu` zWADPbzarZR!baU7e#6Fg`C&jOWAgPjBgnuD3clTD9L~0~m#xBYE9YLUsFo8P=+ln} zQV=I`Ro&vZjQ#j7G{#YE@t)YSn^1ERG)A_S`_NWK(N?mM{@=KD+l_L990UeY(D3}e zaglf6Ggwhx2Yd`4mGoc3$Hh~x^o@EB<)B*zW_tuCCBvTZX>r~{okc;cp=C%vE9uMN zMr!EQx5Hgvum6)!)jY(WjSR_>;WButcs8yXQ&0{MIM*Y6x}@)fXNDfOf9|uTC@4)< z=rLr-mV#b-!#AQ#Y%#m>oA7k;8`1BJ;@tJWm$86+SwIAr;dxjg9s%D)`dmr>9v)O= zNcvf{_F_1D)6WjF+aGqDGPFiE#^QtgmQ7&+TyXZY-pk^)AZ(?aD_MpG@R0mGx?c;N z$CbaM{LWi&{m);)90Jyd44jUB61v}zEP%7lYp|jVT%WPukXhzeRL_r}n1_2htnZ5=!#9OYM{jFQZ$=oSO&o+^V3z@OWDz}RCpag+5WZ%PTD!?Fcu)FmiGCP; zuWelVC|2}zct@W1qR_+rUx$F%J2AtJ@F<)$)a~5zU!_s;o#4H2KA_wO9`s3uLlGDdFNY6_p9de21zZjvmGn2l$Gy+r|L;OzQZhUV zpB8@=J}dq{+^qJ!fQ@$so}A!z|8M+TUv;So0b_muPZ!@Eo+%!IXNw;V&lUe==;EYU%pt>!_~zI9DxDMG5uS8YV(-`+o+4fZPrFI(|DT9JhGaMw zo+Z8to+I80&lA5FUJ!Hizb6nVj0JrEdj(!BJ`OJx{{mhvzR?Z7<|@S(2MAP4AO~J6 z{&RS}_)+ji@iXAf;&t$#6#@PP`yB$ElHvF8Zt;iVJ>moKKJho<1K#Ja|35}xNHTm4 z9}!Po?W=T5d?)yX_&)Hd1h@PDPy}WY0^xUn(b~n&gD2qz1&<$>!&AhUjreQK8o8nI zy8Zd>uK$}Pu+7&#LxVWyvbKrehy`bh{~}y~Jp$H=bM9-4b=UrRqu@SU(6>F+;?1U# z+kF3K`q-NW;k<*&kID>TP#e>88e#>~+nV$JkMj@*5LkkYXd7ymZFc@yf_ z>--i^!LyM526*c4{RZp=zkQuuepp5A$Y5_^x<5aE&^{T3^R^q`D%TC?jmbZ_U10AK z;Z{u%%6S${XVv8z6ZBRoUg$x5$9`g%f$H_+=@gG4BvxWl^8I54{nV(UxQmG z&ez~Ji1RhLP2&DFxc2(LMFM=?ZJRh>ciSP(*WGrB^L4jT@!bY}o9hidZ2zq4yahhc zFBuMo4~mz;hsDo=kBZmB$K%}fKfdpFGA+k=kN`T*3Nf&qDSh3wTQ=Fez$ris4Rh=u&PpstM_VX3thQ@XQ-+>&F41C3T5u8V~ z(lw{vy>!u5%)Mqm7r&Co$Sv;EhwM0x(mF` z`}~=-W2tXP9g^W~`}iX~*ma3d!K32ez%>{j4c`C% z#@i$rSj{cstmZcH_4oNknk^f~SDK{4{i8yA805Q4awP*#`6US%Ozdk26>vU>{v122 zA>W^=&9lBAZ+K(ajqT_S)Esx>j-iLQfjeXi@>~YnUB&wb{FX(x3JQ>anIQYgjpRZlI&6eNXTW!x(<8A{EZg%2PtR_^&qdhwCEo z*q2ts{fCR}InBHM;3?MjmOr2cONQ8|T*Qw+dx?mj?%d9A{@)^3bTr8?-~yy?KyB1G zwnKUr;_xvo)py;R&(_+LUCRnvOR1fS#|yqesN}B1+T$CCrs3Q;cEee5c3UImvv($Y zpRc7g*t*DizjfF+b0@q|`~i58c(3zCrlH;+*v7FJ_zG^}WK^AbqRz7`1R%i<4m(G{(j~BBDl+6d+UQ4^ha1gr7U0{c$N5}S$+Y{vfu-e zzFN}%0$vkH-~CGBnL%oS^KT3o^e`3I7!3V|nfe(up!AHeUaK6~oX^lD$?BNsv zw(2@$Xp#(9z?;QyfVYU>?tGD%4g4-+`B9{A4bzA1pRM#M1lpvam*MT=Z(;$a!7rc} zN4gp3cHXMg#KvuY7fi;v>wiB$U^*@kmQ|bQGn7d0*d6IRq&uC0^ktGhHpf}~z&7{T z$i6F0w(P&SaaJW6j&KWJY&s=vRn4$74WF|4e%cE+nw9X zbo7o=^o~B~3ypER*w#P$<^Lg&jD61|-CyCU;-A6O#glIKjVn`p5j;EI!~Opw1ajj8 z*7tY5*!0Sl9R}|cKMv)LOO0~A+q8H%q0T)v>c@h4rCg1ICMClvEVy45d@X!H{8sp& zco)jgzYC9z*e@SLU{)491Rs_aeIIVnYq%@FgeQw{dP}%#7Mr2i3Zeg{BQP8b_|~$- zx$Tx%Fjq7W=|?2}0q{}rU%J|W(C%bNS2vM&%V zK}~QMZ-I-|3i02eoJo|!8okx|B9pl)@nqHw&lZ0Yp6h-7GXKyff8eT-1=nBUy-jx6 z?YQV|5kDReV;ZC!esQl0?yr-Ijkz8VQ97icA#Cxq)ZAOn?Oi;i=dPc;WzAWe=WiE8 zrJPS~`uKY?INTV%eM(0bN3jQVWbuA)`ijtbI2CrlZFmvh;zuY4h7W1@%dBZ_;<=13 zD%S?+@eno&i& zI$vm}yZr`m9B)2d%2^(Ic%!BMW1oQ+y(b{UtYkO`Zm`!Qync zvH-)=In!V#OkvWQ2B)H%^~;LR?d3N>XBr%oIIS%<$twfLEza=@>^q*^-_KA*I%|Zp z(R30BXQSm|-}83k%UH0^V0s!0jvzg{ePF&B@>`$_;Pf?J0PnnXNKG7$4ba!%aeTeb z&AR%raJlw*n@-N!{c68LIytKx3(zg&WHFsnwH)Q>+^i*-IN-_&+dr%Bc6)3N8>1G) zkG5+;{HD6j&EhxJb#4~FonD1q5O#al|IWsiO-i@9%(*>VHu)o6k#8#IHl*jIEjHe6 zc!lhmGZWbkfB2|^uW8sZ`jw@oX%c*5$U6n{%!Ap>6O4% zqrMxUwUB*rm7i&wU^J2)pciPHU?X=Pl-__}*B+xU3Lg znV5$OLw3-E?|((eFe({dMV0FGwf)ZbTihexwY)9a*PPBgx)ui)VF_E6}C3M!bze7-N~L+-QOlS zlHK#p?fbTLK3({fKRgNPd^&#f zd=x8WuT$LL;m`Q=GjQ%QemGO--|-8+y*_;qo~+#8YxW=Ef@@_y-HGTOMOc7++~EFd z&=||%3*daUK`PD>KZ2j-%CT1`Cf)WEW1`OO{=W=?egrtru7!S+&rswt7}EjIT;rYT z*U@)h>=uxY^v^rDpAo`u%K~h%n;sWl|M$yJus!h;Z%~{wjE3PnaB+sws5oaBjf-=F z(WG_P{#g)b7)?tC&M=x4=L{ork3Su=9L_LG7I!m@>;|XKyYGLTVU#`}aFxdyMw#NA zVU#V-nMAp8{x0A|qC81I$`^MPNPshm3dK2-s8|-jnM5U$o)d}6#5td*B9H(l^i+v+ zLQjo2C-l^bb3#vpIOp>;!DA0jIH9LSGH^mqn>Z)*bcl08PnY=4wtt4*I||2rh`?~7 zPmg5aM4vu!PV^ZN=iICzan8*e5%+Vm?DhYc1UNZsLYy;+ro=g~W=5RzYUbc+X0{4<6#iMkjF>)-xyCQ($JGl_b|Ig_YgoD+!# z#RE=D8I}O2rHqPmR?4_IXQfPvb5_cx~6!eqJr*;Fr|xg z22-YUTmS5SoWhhX8Tf6_TsXTQCkEw7dQJ=~5a-08!gvq&Kj%ml#|Nx)3R9^#r!bX^ zV+xb06z5#4YVmNYmAn40l>p~j)r)hkRiij(7&VJ?hEc0HCm6MN$$T5mFzS>HoMF^0 z&KX8M;+$dBCmzl)3Qs}TAnbg`a6-?BI4AUsiE~2Fgg7S>O^H7dV1mvJ z0(>I~r>i92m)Hw9T_qLHLn&vgq)B?tR>=_OM4})|0-Q;dBhHybdE%T&R3N?>(_{+8 zIgzN?`}{yl&Lk?844g?+4(AqgCQ*f?=S-q1aZV(vNpQRWIg_X^Az%z=5;cf(qEC}J zXQ{P_bCz10xSOTs23ksh)6}}eIZZ7p&KX9%;+$dBFU|=@gVtU9=Mj)IjD{rxXBdr& zbB58l`1|e`&q6aPJ_DbI+g<7V-^RE5emNr=*&L#B8$JX`#Bc&_*(@O<&-;gLWBqX-m<{}Wy!{tdiLe2WghVHM(8@G5xh z`hO_`HIm^lc%Ar3@CNZ3c$4^V;4O(Bn41u2OAMI%;2q+9@GkK`!K30IzQta zsd4W5-wOz&#|6TE_7*%-dAd%Bvi-yOw0BHlYXnEsr*cNCcEu>S4e@eB<3n@Y@ZHvNPjxOL%{rHzh0bgsb~;)SKHxPu~7ogxHYwd zV#WUiZx(+S-Xi`_c&qqJxbYda?s^5QXkUzbA4CTFe{DhEHd5l*H~pQC$=<}am2{Wy z1>=uwS7Yy<5Kd2OcVyKUC$~0yBIc&wR_DNL)ZV7Ep-=@LKZUNBR}g=LK0P zrxNAx&gzES+U19r$#n?u0VQAdI`@DAD2~U1>tz8|@H+8dJGUPOIq`?dVdZc|n~=Uy z(yxIxgdVnkw)T4vXpszmfH#W|z?;PX?0m5q#tP+PmwkZr?Q!W{|N9Jqwzz=H)9L$b ztN7;5ZA<#hACh^f{vrLUZCy?DqkHUzayq4){W@`1tV05aqM-hpeL-Bn#GdFKlHR@0 z*LJ@)>`MDo&;6BQ!QF5UR9b$uFQ@NG+!bTs%5Q3Z`bt*dr1>!7{vm3VEGkRXc$|}D<6JO$MpGb89T5b{ivjGMESW$&u;9VN`(v7 z0AJ`^A{qEX-x|2v(RKq`@KCD`&S{G$*^VBTujOEfMqsocz5uv#vEMRo@osn)+=K<# z0m1I?4J;s5rEoqqQ*TEN^|>0d_0I)-b-HiuQ`iMOsXT-X15%~WI=8)`-1mESv)7P* z27Vdw(k~j+iPN01hmt0zPRkqHx`LF1XD;R}8+b zptX45sJq}rxb6ZzEpL-u!>8p1aCS>REw|}?`{%yo<8sYlUsvn4xC;fb(eZ7yQTPJv z`>O9q|0{S8(r@Umf9yLMa7m<%<>c>hzTUPE1+lI0i|Dg(JODL(T0RQr2K<6g#m6PU z*Wpe|K__8f8e9jk@$&Vy!$?oRbD6I>T`<3t9_WJkrF32J5DL--UxXFXE#?=|b-{cS z36?uQ&bX-1uc|!aN5EOxmp5WQ zlR?$7zn;9kPoFMTx-Y7_1Xs_zK3IwLnUcN{o*hV_2nFSep9s$vKNlVmU-ca;)B-O; z`q*8uyO===dK6wJ{t~1 z{z;$q7n$4z-@v1AUT$x7Pq=IBWjH*`FTgB;PfPlI=YbvFjxnDj*aZH*AD5ra{Cz(m z&ie$D;(V9$6r8<@?{c0CJ?wuxR`X@fNe?An`S4}VDdK#YbDB8c<(whTcR6Rpx$FOY znR8BDAgn#U%sEe-FLN#s=ewK>#rZDhVsZN}XMb0$R04dNbGbNQ=3FWMCf?0lEzWm2 z*NXF9&h-z;Q*pk`xluCkWzNmwe3^5rIN#;mF3xv3chX(`+p6ZvoVyta>)-zIWzId~ ze3^5fIN#+wAkKF=4~YjHYBeGO4z(H+=TNH&aSpYb66Z*(8F7rX3d|e=_7|4>iw(7k zCia5ZP%AjobEs8{I7eEgN;w>9mG0cuKMUees|+cKL#;BUAP%+4mh^mQbB;JiTII%< z6YhTwwaS+a9BNe%pTVZ*P^&_54z(&4=SZtk@o=P-J^w8<@JjJJ;nm`QfY*u-h8|x3*Gu3XWM~xUbAe_!8yz1ow1{)~T`Qay zARK+yY2CGdUVw10ST{1zIaaJkoMXlM;Owp(E7mXRIaX|N-hKb$V6kB-h-1Y@<}BZ<9(W5rU$IaVx9oMXi@0txVS z*ID8mE0!b9v0{1R94l5J&aq;J@YwY~2a6R;296ag73WZ{a&Zpjsubr?uIfY&499ZS zCI$=#oz;tT&{?B62c0#Gb4*yPxPSe&z5m}Xf!NS2@z~HT@z~HT@z~HT@z~I;(8Ko6 zZpOiALz01m(MH5M7;Q|PgV83$IT&p!&RzfGc%GTKK-kYXo@Y)x_SWfjiM@d1i&Dfn zz9>!H4*l}i{}~eC*sm;cj{V9J=QyG~agHM@5a%GZ!gX@}&(U$kl7XY+O2s)ku3Vg> z<0{4Zw(DxTKmHlRQEIgexZ|8L9Hdq+&OvI8;vA&bEY3k{t>OVkskKXhgVZ|3IY_Nr zoP*SQ#5qWVFK@^7f1w08 zCahSTW5PP~30gi&J73V0pdU1||YZT`w zxMpz6C&ahC1K0mk65vS38F7wuoD=6r$D~ISdjSVJrifpPnn(*I(1JjQ z_+9WU@kik~;xEDT#K+(T@YwbLzYr*t4Bx*Gy+C|xc&T_cyj=XJ@XACF%r6kAP7Ih+ z;kDwm@Ots9;Em$9z?;P%aBi>vTP4ts4DI57hIfkp9o{YeUw99kzm8Mx_chuZdf5Ki z{kBJ-Ukch2J}ABnJ}h1a9~D0vJ|5?;|6PW_WLzNZf7ipO#XI4%;*Y`2V~M@sWq7jq zyZ7VzKUD(L$dE3+!CGH+nc~~Pv&DCX=ZbS=Wd38g{^zZf0%Vw!r(JyGQ3OvwIbP@p zq@R}br@?2%_h|4N(2WO|+5Y%v%!SBc@Boo*q6wZXek(jx{6TnZ0q!_z%v11mNgoU& zkST!=-|&x8v*E0vzq$1GG22fv{1dsG{1U!^H^^w1q;9{(MN-aI-UE{-fgO<{M|=-> zL_7j75I@5CVv~y%;&Z~&;1TDx{9*SA4U59=i_QF z-2cxYLk%86XJUn3hqu7_D0R0}eU<8n#&0UTm!Oeyi~j|$fO7!C-)#Br{(n>!Jc9)f z%Yw&IkS=(`2f_v0cimpI+O2vUHeiW!`!YUsKi+`MhZjF4*Z<2AD3$(tGQ3=TCA?C+ z5ne6623{L;^uPNNsE-BwCOidi6d#5+i~kMYD*h$BU3@|CpxQ;^rY= zb7|sR!862nhG!+X-T(U{kdqKFrWl?lehR!m{Cs$!_!aPC@q5C-D!be3|74sbIKrU~ z8B)a`c*wuVF!LFIdgZX1bx1#slS(zZ-?11CQ~b0~&kK;JHpX!R&YFG|UMBt?yu$nZ zF8d6DD#;N0B!&3Xb_>J1?YY5C;45_B|Zy}if^)^ zPv2|Z9kRI3G7#vO3_pesigUQ0?gD;mVY1)16}Cl=%8T1I{*cLGd0N$+CzOs|!|R5t z?TF@Z-;c`z_}U)bmHaT7mVe!6cKKmJ!?I;}eCB;rd>wpT{CW7K_?z%)@sHrMfdsxn zz+lU`Yc~7buTZl1_V843esyA6YKWh&n1u)2Hydu{7hq7;bbgK^S)6mqhEWi&56YMM za`d$Rp=-SMjaAqB(>i)tU^a;Q)|ifhc#hZ_o(*SB>;lgf-xr<_-vIr7IlMs9pXA*B zWGj>m=OIIp_$qj@6to&%D(UZrmx(_HFAqIz|J<^d5U7+4@4&0XKY>@nSN9-#gX@0axdwVp8fZOuh#`)nSJKkHY6orrVirs3?2Jnot25)Z8G?djqird;v7 zPtW=)!ANwBwVgi+^C*xl1@S96$>JM5;+s^_Kw>>|-bKX=-ubg=G18YLq_>Tb6EE~F zp*hGMpAOjC4bP4 z`Z4x&4bs=j0`7;`OF2)$8?3ud$R@}M8I7pAozeD(k)cTzz=;~&NWVYQ{|)I|Bt7S2 zWFWmg5WD4@FOi{5GAvl<_i=}K2E0rB@dJHtPsIYbVLw6osH8t|9qya47JiFIB?FI#+DI=$`f*8rHhfZ?GgH_|1GduZ zkzrag5a|iq0k&h#3xLnu&IM-DVnVTQtGeqJtgjK{Tt=brOMEW90zc;)@ z{MvQ6Z_3ul##n?5Ws-q&V6`!xi1Zam&&GHzyi&?p1+RV%Ct+r2MW9A9+=hOvjqzTj zuaortRn-fPHpVBAzJcle@z0pe&iAc!4*QJ11YbdhCT0lh-~NrmTg1PBw~6yr*xDF_ zjf!xABpCv%P?z`(@TfT7sjZFiZlv#(^u6BgXILb_sjJ!;yLpI}^qjh?jqzz7dL{kq z@KNWs{@MLF*Hs(ihsZE41#u3nHpW?`pG11LtxbFUE}n`nC*1$rAuuBu_JGgEXRzrx z2UZ(nA<`Q;^zyCa+8B?s>D_(PWXbS_y|WtrG}Xp<78Z~y=`V$+i?_ft#j`i|<=e*S z+dp@~UC59v86Jh_ioXQU7w2SMZH!|`ABm+$|KkmpZgfBUi`4yb23Wsz%fp}XThIpI z0_k~Ys8Pz{+_@U@)xlN1pj=!B@WT$(xJ)jA^YZy$ZVT+U!%q64zcR;Tiw*t|HZJR5 zc;}S$ba-T=?Gn=O4i6&jJ}~jdZf+Y6Vute%a~bHz(~ExPoWGY=!p))Hr%@A)^uxS! zI@C?{ap!@_MTWHqO}`*gf`?9=h$_x0H2hex_93B*1fFCP1Jym;)>@#3*h$BX+< z$J_g_fdu&Z@HTONQoci+@6zdlv#s!5I^E)hXrxhizzjzt&?6a6gZGNRg+|l|XB+w_ zd_eqb_)wysZuk|UT%6_Dzj*_878&UL+HI<&=k3Kz@s*GIrww{1iC z5HN-x#x54;hp|h=`BnFFaemdkQrvyi-3<_v0Ke*9E6%UF*Nd0o-g~3?S@34@eUtqa zSF81e1Kn~2+9ktD@J{jb;N9Y@;6382;eGS&4|I1UFfbo*2fD}LL*g&NN5tQOkBNT* zpAcW4%mHE&*kXaNq8V|%3PayOHH$keEie0Cz}tqrKhXi_Grfy&_?Qc@0A4Bm4uRA` zUl6a7n{eTi250x{f@etj+fYuXq>sY0;jsZ?&moX28U6y#7yk$z5ubw>iEsXxuhEi3 z56q4TlqCkt-tY?XB6yYfiSQcnbK!O3tDM^#9Sss_MTREvd*LnOPr%#6Ux9askHfn{ z58FTYag|@Nw}v_@wyn;M3y2 zhtG;X+>09>=B31w#{e=Ui@ym^75^BX4rj0V8lEBPQy=#=n)Q-AZP*Ec94TlYc&-%0 zua%5VR=EQf&>`N)-K`yO^&>iKYL4blrbkpY#4d@D~eh8f}X;VwpyWH4BXnZ*0Uu z=yT)}8E(e7{mdl2Z24p@I2-cSBAe31M-_%Qhfcno#M zU#+_f9dQ)cevLF~0{L$6B=Q;X6!IhCY2>HEGhU|)9p@vEMH#M!&mmt7&mq4Xo=5%& zykNvJ{$4;UWZp?4d* z$o1eq0@uTgX|<2rV!XchWE$mS%=(>q{oSTlXr zQjh0)sD<=+u8&-g=LX<Flddv5mvD0=maaK~rn_y2FZk50{K3-D3q`#AS>=3B>Q=(kFrV zJzRRHJQ)>hi|U>7sES=@yt&fW?;b(IYpHY;+;M@7J+62ut}$}O`nVdeu|BTG73O_sLw$dg0*o-D3d-;>1^@8f1C`;Ml-H9%vI zf;Yi&1x&4&;61n4^E1kkKS+8Rg&@^`vypQ}`_yGBp@FDU_xOpRZym+^Bdn_MI zfk%-cp1dwj`a~l6!|-JC=i#a3>)`3W`~6>sM=t`Il;Ib6hG1q0 zcjND91PY@9{`mMSyqJ7Gyo9_QUPgX9JWT$d@i>;Rq`;HNP)*(juOt5mUQhllypepX z9i-)&-k@Xo;_W5SLK&`uN62r3w~^lq?;vl6cd5(#XUu;Q=+S_ipX>!Ccpv#U@Bwmz zzA{8U32xehqrlq>fmjO6hR2iVz!S;Oh9{F>0Z%1gByMk7P#|-hY=TVkdGHYVS@3M~ z%i+1?74UrLw*7VZ)gn+x86JWclRpP9A@6{fk$(XXN4vlMe@37(I$(V)wsAFi61zXlJBj~@SbBTz{hzJphj zZ;b`5Bi{*LPo4^I47lC?hau1u2pIDYb`mY*U&15gL-028?XdzKhX51SdPktyok^BUBGI=39b<}12{T+ey z(STckf50=z{{;_`uYzZjzW~oA-{Z=Yq*r(2gh3zETy7tR_RkB_;Cd3N53c1?LHgiY zVeL6dnUlR@3hU!&CFFNcloG8cJecP z_Xkxc1ujK~Zn$2pSqSf;^fmB4O8)@7pVDu%mk0bFC8|I`&uu>+D_#YUb3@I3xdC1W z*A=*D3*|hEmf&(8J%ZV{497TeB=h%`|lD_CNOfm7F$pdw%sNe&5CS0#sf8*Rp43!X)O415mx>F^x#1@Js_Puw#F6u1K!ipcMSFCcG$m%??;-hfw7`cL3h&Tac^ zZ~PvC8p;s!k~H0N^2zW9@_pf}$o~Rwj&^_h=OVBc0qu0>!6VTbZ2J6*WP#c!{Q$h3 z(vP`V(sz>YY~AyRK{o~VL55zcAPe3{=}&?Wke>@5qH?Z;4|m|CTGzM|0kbYRQQQrW zC4Uqi57%-oMoT16`WxU$BaZR61c8*1fQ+*Scp6pkG(4TszYfnN{}>*ka$fYX26HIT z3D2g2Ho$W!{crF*^6?8~+vig`SD|ltg_L120!8G@;l)(YWAGA6{}Q~E{5^P?_~=P> z9|B>@@H@N$uETYQmu1bW$oGKPz;$NKfG-cEx7+_%1R4SXWBvwTMFm{~Z-(oH6Na}^ zIZNT~JrZv6cdo6*hG$dy9bb`ha>%E_bE%wz;Q1b9$VQ-m{0w*@6?7@Qn9?tVFCedhm%vAk z{~ti0j50h6FNf>!dpuK)eE5(n0_OvnH(fdQEjugZz$8-+l|1t+DyTpOI-KHOl_ssB zil@StlOG6gAfF3gMV{~69{)E};9_K0OMU~q6|M`q1l~#M8{pmKPs4kC_xry#>FWse zQ-+V>gX9D7VYm*zG5?j8Gw%k6>(204xS!sQzkLvhqYPQ_1oD&MN#y6kQ=%&H$MKc$ zR7zj@UmX9ZQ{Zl7$fSZEg=dk!2oF&?o$ze(4e;D|>6d`NA&^HI#eaIQ zo!gID<6KcMX05Sq_kWX3{XH@#C*bt;v=nL5E;OB9wfY|_=ppZe_rY~${2e|7*OuPl zbt&I;(oMBJ5Qyyz&RiMrc=BW6iR6ESCzD?SPaScLzc2#nBLRQ#8M73gNq#>(ME(ps zo4g&KOFrFuM4BQW0X{^t#$BpDySEpN$G!qXHoja4bq~OxQb@$mGO5-kpyD8 zWZX}a(P#8)x7DK=Ttq)=TSuOXa#}G1>WYnPm-6c={ci0z{%@p!exSG=1?ly{bQIJ? z>5qoDz%~8J&Zn4aOuagjUV!v-JT#GJxDtVE^1tJSrfaE!_3z4Icrsios6fR7s8}DR zy%%mim+Ofm57jN}l!6kepy!Yw9vQTt|G|^tTJg{DdRpV>-jD$#-`PYG2)kKB3ooFFje-EMKqb zqVs-qzI3`yq}S2Z4tLMM`u$(G{c{L(QKzdqMJnKDUGIh`BE8=E)o;w_(+X5!L8BMQ zjla7Q;HPr;#~SkjWnq)GV3X;Z{U3ZS{6MtC70&G|j8@7F+X27xO&tH{P;b8v73aeB zfZ`c=Azb&CZ^Db=I_^J%myrJiFME$3svG;3Y_l+B*bQDuJ`-L|J{Mj`emcBfUFJVy zE!hXQ5zrY~pS#Y(8tBVp^lcW!v}WxyWm+kP>w+%T75FH45bj>l;vcVcAcO9uAJ8?U z4EkNl5fvEh^)+)ZX{^FB=Y1#b?6@0^7{~ob4rP>Sk0t0Tpl8;g@62%&-#;qc`pj zk9;e8=@5E#Mu$6Iv5!&wguqlO>3C!?J6|oE5g)}qM}3C4won;7p8Pg=GI;|$-J`%7 z1VV7_L>=&4@+o*3L^_W2bf(@Pa&Vu zCi6rZ`F`+>59xU2OE=8yb4N7c#AHjw1{0JH%ioYbmi$+IF+Q25-s4wFIfIzE(q#U# z567*O0PhQScRs}wV%*!w&HWvvo-GrR&S)2)oGe=7YvFUqz1tATK|p)&3V1I0DtI0h z)byt`bqv-_%efn#NGHo$U_lFLLEnWJIk)Yv!*A20 zvIeoV24BI`X@L?Rlk}P7?;(9E`H4s$ie3SK`>)3m#ZkqL+e)9vrhFJB=Gr01xIFPHR* z-NkM})%UC1eERWlF8_HiWAhn~#-p8F!6|TFpeNiK+u!7!DD#27x$HG~;W^@Z3i+Ay z$;RuUbI398%9@p{p0@u1hmr~jtoQOr@#|v zxLyb^q2X5!FC$+94_kNrU-xGBBTzjuJY-z1fhSVM9q>x3;7cus{IKn$h3ZCK#@}zq zP)`-VSs@vcsNxoQ<7h!{Nms&~$aj2C%Bd&M-453)o2cUNFju!whL|Q9t`YJSRM18~ z8{R>FB0LgL6`xl-;qUgWJO>k?jz0aNdM>&C@;{G!zAN8O2N?EY*^uTcc=EZy^A~+D zdA^i0dRDGqf+(O0ZbCsJ6r>eY!y{C|3g`BwK!aSe(iPM9kQD~XKhKy)P*4vF>c$#8 z3txL)a1GkDV)8C{EBQvhp(oddcg&34rwEnn^Z7q$-N@5{k-1Inl2V&zpfoeq3r~|h zf?i7d^JeK8Jzt0?V0p)3&oT(#8NL`3Ki=RzaulKye0`#nvj@^2iap4nxM#LU;5O_L z%oNF>gLwr!mV6aF9zGW3tbr%N^@7do@Km^*QrmI+0+uKPfsvNLK64ISr?t0q4X7pb zDgw7eKhpCnX@B?KpEu)rWsUWM&A7#~3Bt5MdTd=yJ`bCw9ERYtIr3*xTMPF0QePtff^BU{Re0#o>6}A_eWZwM9UMcnu)Y4F~9?Hhs z;k7x}xBPN?eQ7WI^rJRk&bas8ygAwQU^ow<6TKcI6Kyy!oQ>&%SCD`CkW^4f{sFv- zTwl^(?IECRtZ(VBBiFa|*OTkZ_#4UfrTb0f`fmLe_{en=eYt*wGU&_o+sO4L`W@s) zt(KPSBG-55_XOQD`m+4KV8H0h@(0NEo%loK`tE!4iF7V)QGNG)EV;bm-d;C}r+~i8 zK9OACWuHv0@3K!N*H_u6lj|$%Gky2_zb>i1n?6Jt^cD2kKR6MihI}o&lKkz7xNcHSfzOelj{GNhJ$dXTsko7R zS9lZo0q~Yj=(@>~2t+7D9=whGLU;%HweT+TTj4$GGXEJ{cnVBRkS0xpkHrE_ho_LwgQt^c!n4TF68B6t1@yOl8Q2%-@xk|Y z3H*IQ2yTCSD1Uah=1%g-^|yUo&ZGD(pp;|VUklpreOcptDkuvTl)&{4)1fZC{Vm|d zas;Ql@4up)Jgk@w@A>e8X!o~&IRZt|0qeKJ7m)u4UP}HXyqvrZUP1nmb-VxD!BkP; zTV$vq-|7Qtq2=TW@CLXZ6ite4TTKaM}l6#QO`Go1Pl$xwl9zdQQex$p+K9w}W8Z-(nJW4T+F0V zXOaI3pF2!h`_u=LjXfevs^qyv@L!f+g+qh+Y7+yjC zJiLm09lVCT7rs2`p7{lVhG4*q`=6}YD)Qan&E)CuwQ%jcN5CWGCpx#s|E*NeImpmX zUJCCdzZu?5eiyu#{1JG+?|%Q^2Av{;z#wIK7d}kB9v<^qaEkpE9!DPck+f(+l)Lda z6@jFvfWJ%!!c)lS!qdp};Tdq<1Q)_HDgCt{;rKs9fm@Lwo4g*LOTHSOPyQ;rkX*kt z-nZV9rc1{rNIFNFCOv_eaYL~D26!CGA1m{pF&|<{ zIfMTAU?c+;bcz1J92M*j*B>Qtdi{|>Hl_FaP%&rtMHh%#LVtXaNWN{itZ^AC(5ZT- zEqIE!y>E(w^bl$mGNe-lN5MJ$$y-QY2+u@0_K?v1t^QbM#$4yN{WWkg0-T{CkYP0a zWv+rLW`OqVOHpw#I-QP!mGA}8?r;Cq2$WDS{V%+X{3Cdn{5yCh`IwJo(^QjBw(gGq z>nN}vGSrhF0dFKf72ZUCA-skBI(XzWI{v>Mfi}vp65c`nG`x%a4R{avr|`ZJ$N2jZ zfdK?`n~nKInr?6;gLI>CE8!XB zPs6<|3cP{99P&@$IpjaW^T@aRR9dcpd{=mp_~`Nf3P&Ud<_NVQpNh&^;PH-dIa^4xzdN4;kc~lnTsnWklH6x=5$o7-C53M zaD5mj?URe0=&!ZHbEN!wN`ISkd;A~466v-tTPzvc;EAX}pZaY@L5ILYSTh6P3$E`p z=LOQ&$9MTH?f3swWYCwIa|V46eGL{!A5YeInsfSYw`r!BWQ>lOWU1gs^;>1s_&?8Z z<8S-V{a!uUBx4&FV}bNB#eCYvdySPADx}V(k0};W`V6FBK%PI=*6&X&r3mQdvWt+R zocx?Nsknj)`a8Ued=b2ceD-Tn&hjsC{IA=79s&)N;Y|1{^2^}Ozc1W6Oo>9HOtBkL#Be)1j=t zSm^m$d|$W1wyWxoH+oT!4mJJpMmJnHr~Z_o1qJElojX=bOH{)3>`8xtP!OotJ|f`% zB*NcSXCkmCHi16C9|zwDeux`U_5pqjHT~Y@@#=e)xviLu^o8(hxXw9O!JDkRp{h-H ziwoGFXk*9@p&)&F+-#Jl)0WVu$79L$Lwxb%`tW$-sJr@&K0Tg{fNpzzN<3vWgIgqh zN<59y>qFw{lwKba&m>=@A7>0vLHd;V9Lk_iiRVyyeMmf)((6Ow`Q-YLc%erDeM-ET zT%QszA=i&NmXYg2;$gV9sLs9>@R3{f`jmJT6{OQ|4Y@ugzMLx1X}O-#A2U`)M`O@E zW9Mr(fizJ;7r~o@8B95RE%{&Cq?}gr+mODU{3Ms&?y5Tx&?egZH7TfzGU)u?Lp}!S z`^a~K50HP41s(F;@BccS-bBFAg!3spmi$3@Joy@UBDwD9lB3*>Ki$)%Mg{zFRzFr+ zBAxsRcqX~-8AIf?SfFgUw)DfFZ&kbW?#!L;yxZ=G(lKAhx)DD5`I!*8esCv~Tpz~E zCfA4P^2zmG!nxw(j8^=lzjvJKHT`ZkkNw*g%d-}&Uspi))P0ZQUB!CPqF;?M z_9e#tpH{3NhZ#Ui*qi$9Pxs)%aJ>xK<|?*#_pwLTJ@^Oe&&VFU3CGZ;4}tKr63`6# z!RJEi)cSL)VsicLatXP9b+inwExj=I5a}#o3g~A?E6Me%qt&&a-C`V+%Ja{bBRFuDF@FyY`W##F9!X=6Gs94$zTGx{$wzT zJY}v-3p}sss}FLAq+*@#etTcihpfB3iUuxQC4snM$uJgu;%Dvj_qI6Fhl0oV$|g(3 z=+r*6n{#{kd+yUNz5a@07TjDZZpVnL-~8(@Qcwy_BiSy4eXsTpG9Tq)f###)L@a0= z{85yXM&-N=&mb@B#RZ@g6r?TDg$!Ag;T!lI@|&?hJnd|KxU5(XrN0yD^E?E!>F!4$ zm39ew5g^H2};oqmiign$;LAEV2M>pn_9 zMpp>ezVeh?fhi`OdyOQ$(=C^IO?|z1ldxw#K_Ijtm|;Nu`fFW5+Ua7x^qbW7i4D>x zQl%iHUr|h<(V<^aOe2@CDB7dS3<|vVo{WMlxNe#c;30DT?BX0MM?brmL#|(4%=6vv z|2iS)XBP`7gMN0gh+IFrxPV+gyI4wY?+>}-_wp!r<8PX4Qv2TPsDR(98SpCdW8gL9 z`S9iB7r`6IU;4nVzkf-hkY=cul`?Ef$e(&z)_`Za6}E@?5> z-^xl!U-Akr08K*RaRjQVpodWqSD;^5tVepi22<|V*#6!Xofo~`wA?5w)`XUjvwB;3 z?)O+T4Pb$exlueqeh9pcd?}i`1FoG{zboAd*FNXzho!qIpdXg*CD#v2_miKE6&QqT z#qz;v`%`2}kMB*}{`ajN2KG;v=tvY43)h15L)3AU{!*k*p!EN-hy8w^NF-khPjYVS z*9v+ONTv+>rRx;9E~tL#I*nYvbe%zdlFjHZP@wbr<4=G3%bm+Vq46_t@6Cocq0`wL zA?~jl&YkgIbjIWxWG27`@KmONaF+CI;_=hx62o>w0SQ_?Uf3Y6=fZ2%553M+Y2WrB!NcU^`uvu&3rfBVJanOyW3IM`LiTT;K6m_Y&s07scS}?;Hs1wi zYe5@2rE!qqc=fa17st_Uf%>4hPO+E4tA7$tb)_2ws}q}?jnEGE$9xW2d=~QIe0I5BFgCt zmgAZI5Euvs%;E4M@{{4_yWnv{5j>XsYIr>PKb_m-|3n1z^4+UD)fDJKhC1>e;q~O(z922sNInJL1lOy9Y4Dcs=mzi+2t<%U z`@|{mR;u89csqF+yp#MEc(=OeMz=BlMxa*%@W%X zh^0V$M4B|7d{1~H`N8mH^5fvC$w!T3>h-XZ-j@)m%+2i8{xU+?UmCJGXl|T#nP@hFg$68`rXRUU}>U*_3gAN;x}YLGQ8Y-SK}eWq5uI8CIi$2puW4 z!5e7>y5W38^fkQcH#+{`gg^@wH0dijBFaNc=#vH0;1NoHFuaZYczEGRi~8+v|4v7s zgECwK?;>9a?;&3XFGU43v58g)=Y=qaK0^OEF@BvC6 z_qDX#5P34(TZMx3siGMO80r&8!(+)GJyAMW2g=ch0H@BBisLE$vG7Fk(c}Nqv|_q* zGYc7#sh~69spJ=+oPI2jj+)DmK0T1$ZvUGQ$P5JR6L0Vk`7^z;#sx z!zq&jcOpZG{6Tm&`C51``P=Y(xSphb0x$R;$Nw7m27yA#Fvjj4{RPd!1hu>0JofLc z|80H-97Z`kGy_ifPBy{FHDHUiNheLg^}$@{c7KnFOO7^-IU8O=GvgI-uZ#kV z5D1gk!7IrhhF6n653eI%2d@_&J^t@Sppi2C0&gN8_XDO<^4;JO@^pAx!0q-w8i9^L zz?i?nyWqNyI3M0kUJCD_a&CtAk>BOJfBZi{fk%*Gh&%!}KLq#d@4{or*TdtO*N{d#K?*Xs&D3F0b9r>~Fdh)-)8_D%0 zB2DD_5|I}8$npOrC@6w}HeETqm0aIC(nb~Bq6{C#_XP!i0fDV=JJx`jh z0K45?WkxoyBmMGVLFQ9<3(_Yc{kQN=xSp2(;oN_!oC5RimWoU9sFmIsjr&Qwo+{o0 z-h*=Vj%l1d2lS5{`rvw&y(_%RcfbGZ677qCIZB@K(&Kr3Jqj<-f3{G8zV5_T5LLc@ zgEhK*H~!v6L0rN1vtX zLkcR;JD}&IPc&kIc0l^!b7j+%!S#xXe%y^);uEAdM|r`fej5Q^(hIgw!D(==AbX^O zVEMDTe3}33*XGXI{2Fw?xq=tr(c98*j3?l{0>^EkeDBaLRG{z3;0p8=8C-$B3Zo92 zK>Nf?g|cD|a2*BdGHN`-+w2GoCthQHNI##+dzpT6Cf8J(| zE`vR1yJ4o=jCwU|E!;dUZg4ego%-F2WwZaVpWheFdi7hMbe`zcm|x+^w?63w0&ze4 zoyDGleJw|~p=~6`e$MSDhOo2InelLVC+&nzhIf+}!Fxv>9s_cpUlr9s&sz*a%M|ABLxp@A!)hvo!K)@C@>U;9eF5vJsd=eg-^; z{8D%x`9gRB`Be?Fphe=N$N%~j$OV)^KlWHkt{;0WC)bZUR*>)3E)`b=+-`sUcw$d|!O$v-HU1uZ9UMEVNy zHSj9<$npPM2-Hx9&*00+2jLCm+x;dBw2FKecyrJ_vp)iBg8>tQx00UKmui$@Vhi=5_t+d zh5QhB8u{_?j3{^G?@R=;q5}T-D}m1;zX_g0ekVMS{6TmD`P$!c{9iV9UOxi86xamsC!hF-H0dDuboelNCOqcn;3$|U?wL3WoP`Vt zY~6BWauHk58g-q419q6 zP52P`XK>^FB2%NzbUz^wOFnjMSmf<5lE*Dm%ua07s5m2 z%i!7M55jYU?wRKh$PWh0I(Q-Zm+)fpU*RR>ee zUPpd8yqyV2gL^;P5?3NaEL@Kd7QuO6unf-og8SgSFL=_qof+Fb zndx)}Y;%FhCYfG1umJ_d(Si=c6UZlQBQ2Liz85@2UFJVy4nrVK18)AazYB(EkiYZ3 z9Lc29G2nW5Ci%m^OZpJG_g=ajDrHk(BRrRU`hAi{Jq@YGBNMB{t z1y4nL-?LiMr*17Prc;8x2`J||*^$bv0lQhZ-_{?3_Q{$_&$y!>z4@>!Jehnyc!>Nk zcp~>beaBG<6^z#<#hQijY?$fi0xJ3h9d}eXc#l@h9E&uNMu5HqE zTG2N#_t#0lo|w8neSctxD$t2>0Iq#S=f(WHq#UjIS8SR>yag{0 zW8TVxYYWvMBIygr|2ILrh`b-Zfc#H*sYii$9BP!4PlH#GXTYn-x3S&ZU$JazIX%S5 zg?qZq^jIby0c|>c8FpNZ%oF;YyuPN2U0+khqo!uAED*V8reH~vsp4I>lf8Wydug4B z4uD4nWqtKmK$A8T+GD+(H?4g-6KuhqsX*0q=lo`6t6Wquh=E^APBwg06)3klzgNBmWnC zAgTg?q}}40dIvKI*CV}uZMSvptnV^+^Lk>XbLlW!=`z@pw%?b!k=pJ49X+sW8Y}7T zQ|j(dzc${tow#;t{W^IMc?5kTbX~;XX?Z4D$}#UCP$+>B?}dlSe}UJN&%^xDM=Pes zPXhtp(-=K~8VUsLgZn7R)K1u7-|ZNS71xH+iyn|A(i-bAbU%E$ z%VmrnrzKN*Jx)s{*Rz#`anhCKxhG@X@mrCdQPU}d9>8V7b+qYQF0&}TzN$Qg3R1D4 zdL%oi_Hw(Wr{qvJJ#fvdz1N1fDyW^=XZu4DrP71L1>|~gSPIvL)Put^O0PTQVl1Sd z3h9e1!;*gV=uO{bSxE)y>&vUj_4Va-St`l(vP>hnyZquGy|qw4-^Cdr-}w(&pnCFSSBZC#>vf+da(xGAhn>^h z?5%t1-Q1Ab!SJKB7u$z?{X+qMs`0%8#rdJb4fbftPtOk>{)qHZ4;{MtjW=ua75r`S z<}1)QSaAgjSkR~n+}P0fNbw4cueA01OUi5Te8%S2;Ang8z)#N=^t6j}1^X|OzQW~y zhxEofN+t;%PKPg-3|zqqRLqZM-aJVvZli5{H@t)Vg-S`U52kziWcv6wCBRRne~b*g z21!WIn?PSw#VfGmI$1F)-!t>J&_u`M%~o8&iq)HMp}(OVelWcNE&BgGm~NZg-s`sS z`oYaV*-IER{U~V(UW23I{M53(Vvo1|I@`3H>svp<-HQ4BU$=egcAKyGjDO1-a|I<> zAl@{GULonZ{@Gj;B^)hF z$`wq5^QQS5ypA3;zZMm@!1ebZuRJZ~cmC_YW>JN)~PopJ8L6`zTg;~y*N zCy)5Y3VLo+jlJUbGXL2JOHsjc1aw$7!5iSVi@3iu9NmO*am36MKT^F{E~e=H-M{M5 z&@bY0G-Qv57Px>thru<6ylteQ*C$9PO2=6n*nAAnBp-l>$j3~SaZi^+e2mypL!!f0}C+h5mgR|LY8;Q)9g`H}Ey@+?$bM}88#KHB~5e=Y)z z(E;mM!kfq|;VtA3!XxBs;ces}+7+-DMeQT>6zIpAb&+p^_mEFakS6UTpAH`&&x8-f zTqONpH^Dpv%r>%DRL?`jvE&!RRZDUxjCqpM@0& zkzWqaCa>@i$fZCnJfA%8LTTzk@(ba`A0p5Z2pH23?;_s>?;)Rv1?nT8 z4j&+IywbL)fBZj0fzSMab+c`76b!;+$=AT+$=`w}l5cmFl%H(f^?w~^yC9HC8TN;# zlZW7$*9hc}2Hg0&>1tWheDXWth2+3wa4VLcVL6v}hZAWJ6PSpG?Cu}Zy|pI z9wFZo$NvM{;kUl_%*g#Mo+u{2#nEZKMY^o+d5nf0BifxI_J+M++zwPW;Zxx+gykOVc-!27s@19<6*Slje z+smFQ0ZTc5wWM!GIr^OLk@n2bFQ*dewWq)1w##Ju%Cx0^37*ODug%)OAs4Vund54B zuCO^31yxf)v2&&3?p@?OPEV!v!yk2&e$rUED4bmGF54R^-);mw!E41D=#uJpbebnz z>=tEfERcRdq@F6!Z?dGvNek_T^zvm9duff*yPIJCK>)vRN6!=s>w^{R$6DfgivtU! z9|Y;43iN{@edKyq&M)6}LR~XGb>#~5lO_==NIz-PMy?+a86ejWhzyOo3ZZ{zi^P)aSAz55hhY=w7f0eL{fx1A;5U&1`dQ%`_zV$3f{&g{PA1 zM_Jp^x3rV$!#u4XRiJkwI*>u1lh@~(`r!6zr~A`cs{~D|vzFe&DI?d}EDRsHg{xnQ z=@{KMu7Yk{N9iKh&(<`N>sM=9$j^5zWbe((xHs9d{NBh@L5avP?3Ze<$~m$(h^H;xA&*g*d3%Cosu8a^bbk;0kqu9NFO8VN584I4go_2 zrM@H;l+c3aU_tZApVx{X4ld|B>PNm5wE}jCZ$Kc7xwjEZs*j2pRG@3Np+a^ZWmNH8 z>_aQbe|P2EPp4tUbegc>pXz5@7u=V>*+BLdFF0|*L;yvW= z94Fhpk9-4sh=p1l@;l)L)Uu(Ay?e$PkCl zl8=^%bjcx90s2r`p<+uY2REP3)Z(p}Pj>M`d!$T;jj(jb=o?P$mtU?8P zH{~s)Z>01+@FtG}6!Oo~SjA0hSiPr7kOU02LWt5?BdFEaO+7K9nZM+)Z9?W1~fp=2+ z58z#tej~hx{CDT}a!el;H2w!!(tgS?6+TGmXTpal{n2oPrqv~YrKgL-m(BC~~DzaZa8u1{(r0!frCzecLVk znY7VX<7K}ddG=*@q7pt?=Ehy&p}1E9evqa=^VNW#tmz;7Z@@3n^yalFxAia945z&A z0(vBK54@24NqCt24R}5IXYdI5FTUHyrA-e74#m!+2Ti9}O!P8ogql>J#_fd1pwo@t zLH2ST&>ze$?%aIPG2LCN*Vrur^9tYcYIdXEQ8YT0neiJv*4j%de6*7Ae%Cr z2G1d%56=x2WUhnflivz2B)`YGJ;Eubz~jhJLjE$mjQl-#n0y1glKfYAweNoa*CyQ_ zSJi7M!*1~9a7{l0UQg-uGJhkb*Q@rcqSCwZrmt`}6^ zf+xZC>CP|VDR5Z}d)vgidtxsg0k=f{0!@?ypbo5=9$f1YToYVR4fPICgnZIO*#ud% zp!>qJC*z4d{k`~+2;?J!{*Ll=IA6EC!nxfv_jJfg;kngn^{;~dnEFBMT>5YcCa`u5 z+%EwWD~;FZ++IeY&iFIDpFC!gtnmQ(MED?ivWLJB0y>&!!3~;J{TO&G`RVX@@&)ij za;?`(robJ@kV<|ZJe|A+o=N@&JVgEpJX?J967ly4C_#p5xZWh!M{)+JV*S105cwG>Kb^|I)OY`O zV%XHUWhrt08uL$N$fOJ_;34uRcsBXV@Lclu;rZ6xB&ajYMg$5e!!W#=CWG?W_hJ#F?_Pk+Obv$5E916-0Y|CuXuM+00pqdxGHj)k#TGu)qE;WK;5O6kJuJ(0{^ z#PzNYp0Dvt=H3#}o1uDXacFw5prSdlKnsvQ6&1f`=Rkjw8=&-hb0r%KYG)YtcY3K@ zlIZU9SJ;jTUD6*Pl7hm>pa+!toLm*HvA*u70j_uG4v&!vTH$)9Z>xu;{BF2A>hi~= zK2kJ6hCk4O!qkDr zPL};l9sEEPG}*cB30ukJYM-5Ve;Ib?;;!H)$)?mkbPT*DiGE6=PnATFLFbfAOc<=0tN6GW3oFWDV+(p`ZK-_#pW?C})`b@9>!2f-O<&ArOav zo=k-BNN56FTjD{aPlW3s{Tg^GRq!S}o%~a{mq~%|5eSiwc}xWg|2_n&0|EQW0eBtx>+pK=kKv8v1MnvD zF|XSebw4Giz|MZa&S(*G{U~xW<^!E@Hrk2bPhW%ay&r~Up1o}0dtCZ_C*$A)XUhZ? zvFTm^pN>EUuE>W_!6djIkH*s6z7Q4UA-(R%z8@CO?>9y-_jP8o(!o%cx{F?018h?qsQymsar_2-nlm)Ga>pU?F3)BdAwc7=l zhZSgs>&1)DJEWW}w2(K`Ex$1@VB41ShVMBRo7Cl(8IvddtbjwYfaco+HA z@E-Di!u!ZqB;whH0SYuB!w~t)aI?E?W4#*uK0F4lqh=#Kj?#aKU-!lDjw}0`p&x-n zDrgftnSA1WnMzZsg6Z%yN}mbO(DX9@+3|`%CS^Da9wNUSo=si>&(#XBDQn?*l-_#? zfdb0#9K4V+bij+rzkrvJ{|ql9AC^tynJ@)*oFXT>m2ln0)8JK<{vdb_rO$@fQF=YC ztaonPUk|O$K!yg&a4CEh`9gRzc@2Cm`2+CQX!p1Ovk0_D2duvd?}Teh^uW6){g3b- zO26$c(xSbTeu{N>{NGQ3G-MbgKLS2XehNHhstijVP3Obo;JN~3@c5~8{C^7qiIm~r z@Fc3>ad--OD?E+-19--WWBh%EK-Ne=#?2q_Ipp!XN|WZ0?+MQ%&%8@1Z-DDI{u$m#>Br)6vL8V3LD-Iem!^A87p9zWD05nzaVTc51FNV&ZPw^LB)Ld+~_)~J(!G1b9V;2)DZ@eV zMDlESGWi+sRPsyV>EsKY+vERC3e+G&i2MO~Hu_#OUUnlmyzEG50kgRE8%{pcH?i=Y8g&dl;H(&b`UjpW-m z+xgG$rA<`9?#;45&6Hs#d@ZG)1CLPp)8K977r{G{J()ms6I_o#7gbOV@1}xQ!h0$G zQ}8}Y{~CNiUFJVyx)B)CfZxvcZ`&s@)9n#l&?)d(@-%on`4R9$a_Y_8)^!od_dUE}ALp7D7 zzgn#)U-qIM|Nii6q=NLyJbud*S$BH$iHV z^!9x0we-|%iaOnl8mCU_e?Jf9Q2KuLk@OgUA0tpO67UC~F>n2U8N^@MLi)dBjoWCA zPw$i#>L9(18w$epS zsvleFA>ZnA*#yCI?Dqfk9O*0(EUDdu?r$YLjEWC{FM@X>y*^ZN6};h5*<@PIh42=* zcJ||Zch~X1PnQoIfs7U1PB+o7N_SWvUCjPE_*dzPaD zD*sn3Pzus}nn7QjJVe_*Hp#!zVIK>@#bo(LN+`i*-n;KW)s;#BsJDuB8DjXkZ=e-}E57!ob7G4b3ne;8^_V~Yq z0-qy88TrrfF!{LM=J4)S;4UF7TGJ#byI-{5_eeuv%l`acDx?Ji9^M1C;bOq1TO+xU2R zJo#DhWVmkoE8wZq==lF12&7YnyWp8{Jun&bt_-s*@&}PVMBW0QqvgByw@uxSK#m6d z_P2jM@I3NCcma9rRB5>)@+9~IaxWc$QVPt0my_qiE65kXtH{If8uA)(&n%}vBQi9Q zuZ6E7?|?Ux_rlkb55ZfV+xFKplDK4Px;Dy?4DWzz`V4p{rO$?UlNZ2yqut;BB?$CK z2dr1X2jSZ3YG+EP8zNtd^utun1MrwVWz^^>SYzEC|Ho0_ZDdFw{{o&w{tG;XeEU75 zMbpTq!ZY@y>;JP5$f69#!sn2m0nZ`744y}R6TD!=G5%^1C>ja)gU^_U;S0!LfR~cL z3oj?%0Iwkb-9w;?0y|EVrmG>}6TY1M5O@RmJoqZ|v*BJd1^$k}TJoFWt>kyZ+sPk; zcapyh?-n1u{{I02J(S^VcpqGc-zIoJrJuB?wA>*1-tggo+wFfC0x>BvJhXz7;IVKW zHs`_PDgD*(1WJDkJc-iZ5GbY$x5G=wSHjE4pN5CY-+)(=f12V+ zQ&dynM`WlY-)_33uP5IX-bg+J-UQdabu_%$qYS4Zu$D4h43AKH58g(;6y8Dp0K5x6 z^2^>e2=q{fx8Z$oU4t*+{gnO}_#maNEO2M98aIx zZlm;iXQPW;KQ&ZB<;YXs_V_dEykSO;8JU?;oA?#v0#er02w4}d2h zYo8VK`@aU}A`tciQGO=8hx|%-C_9+G3SLkC0DJ)MpJ}=A_Z$N8#|58Wdmo-o{tY~r zJa%tc;}Y@%@i1#HwUB+tRj&W%BcMk(`W$cpx!yMGp@Q@_TN7OS%2X^+H7!s&yy-YE zxW-2y&_NkafDe!tz~he(mZR5_`)ENgM*4J3ubt((+LH@rPu^;=`%i7Sz`ox#bwAl3 zX%c;cE}L9`E|^ZP4^@W9N1o7wYh&qCo|)pKAN|sobR<(j`anD1Rn-UM+4TW?_8K%w z7>!csdcWXhO%F zm?|ASd~?uK;GwEuK?lL>mj(S8xVb0jr@;#wd;L#T8l!7GAA!i@!3@{Hlh*{j3Lb6^ z`U-f@n?Y}ahdvl_SHF1?f%=}2fWKU(6K=i=`UZI6k3k=TM}~twb{}ccPlFyeGSwNtE|EDe(DCq0MA_0yfh9s+uB zq~Gq0!6;Ba!7fnLuWDTD`2XZ#&3Y1L1@yw%`RY@GewF$z;$t<#&9#dQ?XQK>4+u^N z7vg42GI=>Xm0Z7g6q+IB=%vmju3mc^oYLP94~dUX2bb7Odj7S}Y|5Z7z%4@sdTmrc ztyclphSM+NRZ;pis5m!JzU_`32;>I>#(W7cBmd30eREEMv}ipprpE5;_qiQRA*Elv zQMyVodE_hW{(*W41@wnI`B;Og*!Wv||Ko02F?FH)o{|Vqa5plvkv{_OAb$bgMZON+L;eLk?9sL#LZFg-{C?88>d2?TtI75Ebam)M zy6yYsN{cqay>aMV`lf8opfA4G44PhFnA?nk^xnrIZh`FR*cJ0oNGdo13u@A2p0E#> z`G207-6X@K9RVH3^WdH2XTrP5FN61zFNF7#FLiDY)CVbWA2JM+UxGD=*%s6W z1bQe#Hhh5mO!yG_6>x*mq2=FY4=enAL@PW_=09WX+mu|O0|DL3-Hd|Lsi5WXcvP%M z+v!J3Io(LFKjM5G>0_xJPk-Gxguu@JhPQwEV%hj~>Fqj!=nG_%;o~H^c~uvPD)>7g`^eW@Khy7x0~Gib8HUK? z4vWEEya_RKd@v*vys%)w|=rS4cr=@TpkP^~q9B-yxD-+u_lN0~MoQ zyNQ2Ah5^bDw+9x8d=K1~&q2ldq{CFCH&_83lusOjQSW|yKKkt0fqr`b5HlVbbV)x& zhWhOVLa|H@&rh?u@#ZBZFqa|A4x?+D@EG-d_ma|*d)o=83z!eB+XB`a`Z$h0zEAIv@XTqp8~fWb0U2zkaDVzDn{>EN zEP7?C11+Q#>x*@|$eZmp^2_IUPRO~jJ;dafOX#(=9xCWe+f;r*S+qcRz_Z~x?)9;L z?sWS2zUy;-|JUBGpAY73te*+yZTtXEz;s*crhE$C_))QIN$sR7Y*YCwkdFoR-Hku} ztS}Eh{d_PFzZFMGLA+-AHQ+*2pq+Obj(bYry26L+XN5V#$b09JL0=7|uZwSn>pAev zm9ju<50g$e&8@dFC%h=mulLZal2uc1h&dS<^t~Vaeh9!}F`01fT>72k5Zvu3>>9Vvm8PCU@08IOk+5$)$EEj74hquoeKEFWK3t!6 z)pK2TeJ66~hr!-{g;Ze5P>${`^-U_}&Tac^#c$m#Yfy;{+SCK^<#63w&c$9Xk@j*O zhe$a^m>H*{Z{;I>a&&rs`#-ju%;BjB=metA|M4(;3F$MV3$o?B2M>|=!L!MKx9*+= z$)&*cnA`KotKo&@E8)fDPr*yzx(Qx^mu2Ev5Dj!9P)ZrTaBi<#oc^NgZ@LM#nIXr# zljsh}d~w&JUUM?^OueHEo&0{!W5l zG*nzDos@6n++(+$pPql9c>EU9zwTDpUgKZjEN4wqr$7Pz8aS8hE2efwvH7 zBL58DLOuwOkZ<=-S+O?qUEm$?k!L~nN1zJ<-3jS^{64sLE`3>5Ke^tHq(87@B^cn9Pi#6SYl0tP}m15}h(J5l+cAQk)n} zgcI3jZJblWpg8^D45Cw_S;C2s6;6a=MOL4uj_d|pU>;Momh9OJJy1jVfaZ=N$|2zXD$ zmx1?D{9*7xia!TFMDeXr2u3MEpZ8>2T?x)*_g~PjQu?QYhZLU*Uaj~Q;L)%W#2~0u zyaut1C_WdwPVxJ}W8kgV55Vh%@BJ3!Vd!xj1=!d9i z@4*X)7r@RoW#`ie zq+`wbJZg49up?h!+O3oVI~6}1e3#qHyjxN{I;{!_;A>nqwJgpK2P!KN$md@DnSGV7Abxs_;SVX24A80@4(k6-eZ+` zV%<=+|NkWf8&rWY;F}bm48BG2OTo7)z6lN5MlSQ8F@J=hnF2Te8S_{09g2SozEklc zRJ=>^vvFx>4>&^^y$%J;u>9e%7`#aFB)CuUr@%`Ue+}HP_y@wHrd$bjqCi0LpTR2> zKLp7bRQ$3=iE)+U>(&YnId1*W;r9{*)vCasz{84v241W9Zt#fW9nqk=w%m*Vkr2e% z3M?NCUaxp1cwF%*;0=oZ<6-G>Qt<-Y(5O58R)S*mAfkFf zEB;Xwf^sFe9b{6X-b;?ICrDgFj{G^7O05L7GvPw=qf2mDTwt5)&u;1R{k z!Rv(Y-T$wzkTaeXZnJg3444LA^Ml;qcwZ=R@E{8Cpz7qbYQqvksB<%%x`U$K|V_)9{tX0O0??J4ke;B3$iG$^Hb{}IyA zMWZis<(VrlJ3IE>9(aNeHvRcHym6Ao{XM!UxK6TqgQ{RK_(sK#0^g)K->*vJ_8vQs zvkh`DkR)bzttg4^M$QkDfSRt4N3!$9t)2A5v5e>Y3=aY(%efQ!v9DNqh}03C=rMd~GeQ^y$8h ziuXzMUTS|}ak#6!%=qntY@X*D;XYnGF(-;U=yjgU6e`Y34@HXe-bW|JaqlB)d`iHZ zAH|CEWT{l~iReI9b>RI!OWZ=F?>QDhY_QGS*<9Ukr_Vm50OECyIR~!#R^@@)J zk1Nhspwr+CB|jY8q&PnuoUzkmN1*^81mi0`VN}o;74R{qD(Le%YYcYkq2C|+ zeEewx__2=LMCId8AsFg~0(|^w2MUyf^O?I&W2DE&gYzfeBe2r}Jo!2j6`T)-x)q-$RT?Tcpt@Y0w1J! z0(^+#zXKno`12cV{a#BemEbKDn4tL3_Od;itoW(m(-c1!yhicM!DmI4;Ccw=D1ImS zJjGXmFI2p&NjkPj@qysW!CNnb^5@G|r~;?77ei|luLfVIIDfrtgW~-4vQ7CsYWVYI zTk-{lKVP<0asGPQHpP=@P_yFv^|Bp`%deLm+QsZtf+yU3TChD%dzJ-BE;1+GMa7%JfN zkk{=;pYe*T2Hm(XeQ$bSf(nu-z;mDb_f^2^KMMU6^o!xUXZNH3GW0XhXY#&nd30YN zEBFuvcAx-z{P}(=_y_cNL7y4=!+!J&Dq3?Z@%)+*oui#BaKo#s3@;{0spDm)LFH~g z@D+*=0Z%GE8hnl7Q!KX*NIbh{M7M$q6YJO5Ng*PK>)fGip(|Npd}tYc9T%+zcZjZr z{j{>b4t$H^&su(fO}y~^5ho#01d_j^QuFe$<3F$)48dmlFRH zfr&K>55iEv2yt`>hL~#Yz{B9x(C-YM2Im&(kLWVttq z7~^;UHReVLlB&RM;A!w6^p`kZW}1+sMc@tK8O2wEZ*S#Nzj+vfY^y*lJqF&Q_y))A zqFO3F4xr-a!2{rQ^~>NvaOT7tQ3zs6kO5DDGc@mmXITL*1b^&!naQb!eg?i<@txpN zgW*Tl{TqTJ#eV?zDPAzr8^h6Lm30?Py=U)O??zo*_m67|P-voUcUkkofajpXy#hj*YOe)Mnkv5&WF;!FIcSdRksGyt6zh+ zfU_UpS?;Yv6|<#ToiW+9J3;ukYlOc8UIKpDuZ0f zV2e9+%fLtyT3r$oA0N@HjD=IG@ZZJucPN#Hn3LCmiB*r=-m~Eu8btuRin!M(ar}~d z#ldG`xEv#5)}2+QM>ui8IMJG9jd%gbsTQ6u;wvCFM@oE{3{er^0kIEaUf;S`>UdDJ z9)s2(XmJz$oQO9=Tmdn6gl~!XJ%}qI=AQkpBHjscNEzQP;sZ~V{!N3J8PqlLY0A2o zrDs9R-D?jK_d)4-s_uY@X}n&Q9+jBAengMNj?{?avPm$}03CMzd}pHIQg?`61FbZ) zVhHto%e_I@Fm9?NgTU_u-vT}o{66rXz(<2Of}ePb*dc#Lc+|{Of-NZUJa`a>-Ua`! z(*GOy$W!wx*aiL@#Sc75I=0bqyC|@NLm}9W0^~=7S5)O!5CEU6co6&;<0x_%W{oMdV72~BruVeTv0{{6m;g4ayX#ih0Rd_k_{2|Nj z{liXXTMY!5D4#-sJ(mdoHwtV9|L1Jshv2=d40yq{!Vki=(Jc7Ew+Md(SEs)LPdzZz z>%TFdGB-zKVtG^wj6wxI@V6cp-X0Yk0sdZE_+K%|jsf5JHtgW{PR3g9d9(!{?SKoH z=1d6w1p$ZpRPZ0c+0IMA+xsOnxgfSO{40-QXj^IXyfG9s)nq z`Op3yb7JB%c2;=-;u?r~iu#5VCyJgK(K9jmnGwZ_if2Xyq6Y;%ca$05I9Z6f3Umke zE8Y)00M0piD7aC46nGHapn`G2$C}_dQZWrpMu94D?hGyj4=H{{V!rKl!L{x%_FM&r&=qJZd6J@J|$&qxc^1 zI>kGTkuc6vyc9g9_|f1C9k(Np?mx~6O3WP9v(v%r6rT=0Pw}fzLA|Q@M({;#xi6CU^s~^nopOH@Ts7m*L$P{Q zY!-`6$=^WF18)ImTo*ZW1^2i^v=Lf4Rr)E*J*RfLQ=D`bocbboGq?|pd=Gr*649Rl zz7xFf9l|e#9doj?Kfo-4U;zZ(L|~?)0$CI6bA^e`&)cUY23WBdBY(B@_6HO@9>q32 zAoY9?J`VipmBO3ACxTy}6n-E0bnwzu!tEmj?(Y)e1I*VDTmZq9R^S?Rt~7|_XRd2+ z!S!;em%}o5D0{$_DKU#wC?6B8=j8CJ9Nv*bvm9FF@U0wva)+{_N@+uAdj1UBJu&+Q zJ0l(`hyLy`_&6xnLYY}U0z9JlSXXSuX%N>bv7A4an7Hc8WUKEb(5*$&oTom5zh;1& z4#Iy1w`aXxTNldV4mmW);UPJs1@0c_O|E;z1D8YfD6YzZ2U}L?Fslh|UutX2*gk%?-y! zC!VnxrOVIHpNifB4=DZ~c!lDhfCs@bL7OjJH3k2X!-0v3FWZdi=nm1tPLVNCg)*G( z`hka39VdWSD;@$5D_#R$t9YGoyKpPPVic%Td^LDX@n^v66@L>vuJ|Y54UXGsk^^}c z1W8q(-KpZCM#W3PQ{ePmfA9^854PMJ*}s}ABY3r!BVM5~i6vX?dU!g@rBSX1Idm4v z{kTZVT?KwV_^idkp8~G|?{$ap8^GtfYRVS4YU~LSa?ua*Vi7kuahVBRAh}o!@hT@S zNV&uK=U^lTBkcPYl&(T)`t~jGkmB!&)la1Ee^@QgL(6_IEk7S;E(av$ziKnKiyV5$ z;TP^OI8Y^1%0ktW;f|M?YShRUj&a4xCO|w*iKmJ2OXP5s9O~R5x&UQ@VR20ouDKn2 z7dWfB({isneUG2+G&exM0sI4Se%xp^_;=tRLjN)0QS;6aF|-we=TPAOp~8D%z`qK9 z;&9>5g1>FKx8`QiP{#Is@VJW3C*U#1FW);RQ1Q>uPeMP5 z4it@-1~n)^r zCl0Ji+2s>FCv`FU3s7}V9K99dO(@NvveuACivN(`eRH4$fz@*+#$~ZUkFZ5Uj-gr0|6_(9)c~Zg4@A&C`0#v7ZytFd!hqt!TsQ@ z_-XK<@Mvr7Ux6Tu0&LJb;4yGMlJg1pa;5(@_y(o_U+^YyxeRRcuYE{jpM`+QRRX>X zoWrj#c&CG;$8`1a;C^rp<1yf)z-j+1k9*JTh9JO&X)_%KYL%hO!51q1-+-?GKO7;r z1$?8ja~JqF%WePdH4YSb5Q1GOz*s&B?mJj|jG5GI1|I~@9%sO-z#02bz-z&6C|&*L z9}vvjD{xKv5j+k~4|SR-A!`KZqS6C=qp~vqe5=wQF)=EJnjv5;t56^Z&P8YnxWVej z&B7(%#o%nvwcvfgc}BhvJP?I|F90HG;{tyg-fIY4N4}mioPX(U^ z&Z+cQ;C0{(&Bfr0z?nmH9Jik?SOWn!Y&W97Ch)`Hp{3y4!1*!bDB$ZLp5Sm=0PY9p#kD2i0dRUQ z30?`#20Z~D?husXa4JPl3{eFfeO&e8M(_%877&i^(J?I%lY zI^lEm>_I8GADl_r54-}L***+>g0eFXJgoGilOdP`0h93}@I~Mp1y_TwQG7o5CRITk zJOj>-trQ+LJ0PHo9!G&a;EdS|;H8};WX$TV;6uRaiI2dmz!|bH!D}72{-@x32s5W3C$XCCSwWs25?sV3-Bgz_IN0Gb6b5c{*@5qAmChmHn{05J!SJDf!L3LH-j@|(I^DFAz+X1059#DKSA9OUI9)+>%b?2b2)wrL?JLI~*6 z4oeg)Bs3>Wh|OZ?r@_a9-v{nL zRP=-3zw@}a{|`fO1_aO25ct{PuhLMl7-9wQg2%wCq5mm(7W{PZe}V_QWB<>Je}W)s z1>RrK{nwbz)e_4rI1Tj#4<07^=RtoUcoLi)7zu7lMBmmc<8M3!;k^Q9sv0~EJ`NRJ z3hplzL#KfM8axJ0{hPqE;AcXAc{TR`!7?#)Dg+OrKvMB1!Oh{K&k$?|4};TA20X3w zKLPhgdx)VRD*guzfipBe(h&GW=yy6-LgVi#cE*7B0FQxJfe!%Bf=ADRU<3rgBl0~_ z1)c|9k=`cECh7j$0!i&o$raS!IR*0=^k)%l<1RpoGPISgEJ=%2Tyz4%YV9*SDXuv z7DK(!QeIgu2M>Vb3bLsN9|g_}#mm8mg7-(oTfvXF+>O6s5STtv@n94<8GH!1SHSz5 z2wto7F92TwULoq{3h-^BNP}3xU%&(4tl%r~Ft{HTd=DN2?*rcMJjsbQ-~pNcjOh-+ zHVEjtqrp4%lLj3FLxaIbf%gR;4PFDziq8PA2WQ8k=RvRm0(xR5_;zr{Y%X|Fxin}X zD!vJP2sk^i415+ilW~>ss96I+e;9fK1v235@eAOlzf?R3`hNf)1bzbe2jI2fOzJPd zS2%9{Pgi^kK@$qlbq7w9nC%4jqk?YWod!sQsNV}b0M3e!0j~k?=h^Y%e*y%HAfT(q zgKq+-ho*qHfU^S^gBK5!22uYS@E|xx!Fv++Lcr|p51s_4hlYbUgAYUn zF9Pp*tW-P_4Z07!8vHo$N5G?T2wXN=;d2ly0%y;fz|-K&_V>YefHT`a2QLjsgJ}O- z@St$}>2kEF@O+8QJQUy*dnouiW#}mIZQz^%2ZQec=jaH6mk*W(`CYws{GA0s6$C7B zKKLAP4x1~$8^Jlu=7Dbmr{``5H^)iEZ0Nln_xAtg5U{}SP+&4RN5@9+I&iL*uY)JS zIb*&Do&jeLeFnbEa&P`IG_(tXp2tf|8OsANkkEv{8L~sb7lCtF_6ARZpX6L^>@zW9 zCkJkG!qsm^Lr^+ID(2jNCU_+{E0_*m3(nBY0$%~nZ2v8I2Amzf?SiPZXg36O-90E! zK2#cXEPBugUJcGzJ_{ZL=PLIa_(pJs;LqUAQ3%+B9T512NyY5(zrlxqvw{P{(t%mv z^jtUa2Jl~?1Ag!{c$6+W7J^+6aJ-%b-g9`qC(Zz$0M5PPH1HTWhwC^2shGp80eloVL$elq7C1Zb4ES=zUv=E>|2HYYHWb(a&K~>?ym*8(h_U|{ z_$Y9OtiV2t>^*l9250Pj;0rzO`m0=yY~Ao#=JMJGsu7@DVNVE-S0fHB^J0@J|R zpm)LJO8>9mo4`4Re*@kE&W4%`B{W5&u>a?<=?cM+QPNT-|?b6FdOUaa;#p4bF;h0iOrXhwo#N4lAZUhw>-q+8 zbCNWO9(o0Q5IFV!1RersC_e?C2R;lrkrN&@8z5ko{)__K!8s*&xd<^Eo$sL|z$?HR z`$6C};OxK&;Bm*T{~6=cAlQNetoU5;UEo~JYQcvDr9m7WbHS^@Y3F9}dT6=d$}0c+W9XF*60B4M!1g`~W2sVQ!70*N=*scVhfcwVf zyZRsC6Tq3gKZ3`=*?~?MOK3KLbDrn{9^DQBT{HlKJ>XnkM}QAHMHghF}W> z90h*_-wDo&KL#(Zl7?~y{2IIhoF3W(J`0>V(eV-+8gKu<1_G{fhkF9cw=3QsywiB8 znDayh_$YAB`KN-<0%r(*1-{O5Z~ien+r1cq9Vo!q&jBw!O)BOnxDh-E&We|UN5C0^ zRp4=O8)8?#NkOm)0(#;l@Eka^`fcz&r%Qu|BgTILp9W4lJHg}N1EK%lOQPcHO%QNm z>2Rs=tm0+hg%hM=u5#tz0mX-dPXlMgr-0W-Az(|-fnXCjXTTcpJ>c}jHQ<3Wq(Mxs z1>m*d)L#O=0(>H4nS`JT0(#;J@SWhCKwbp*ohcP_xW1Jb{GEL+`$KnVefD}3v<`*i zzjk8x*!GwZWIo9F&C;;q{8nm2@gJP6D6f*oATEVD-mYr^?+DI|+iSoN2Ip1v$yZ6o zxVrMH`a0SZ@V8<>m_fQsa4PW^8Uge)u7&qB|q9H!70|y$&W@+*_gaz568cBsc^e(F5KnnE=k7@jl69#rc%*G;qe4_epBN z*)iT%nH9lKkQH$7Y=f)WAl^WkqblGHmwAfwKFLCGhM4zN;^6JL6XcDU1_&54-Va#= zeh56o`zIU0*-+ka*#b^a@CMCRa3=dbQ9PfVfq>b|8!p?y={nwU$tsQ;E2agU+0Gj= zyTI8X-fuZ~k1}+uokqNe=;I^KcYXDv$DF5<2n`#`c{}-QNjlEw!`$>TqteYvT}&F{ z2+W-e9-J%1>I+108F;oXpL3duT_>CloF~;#ybxke4!5BbDoKV&dg0m^;piTi1#-#GN5N z5zSuoiZq*3b_hJC_<7(96~7$3UUBp?YBH#p6>xFc2F@_Do6X?Ndb(u?IFp#G{7$9M zwP=^)cCKcD>)5lGq{nt*cYn8#r}O!8@VM$3^KcI;=1!>*`VDRMy|v+;FBXR-CJBsanRp#m=o2eh3(X*2PP4F3aWMoWQvJ29!Qm-yw=~^{tqX zwStB|Mvr5g^IiRK@|W{DmtVcnDY{ISHAviZQe5fz3?C_z!72s?rAL?6Uxi|l`?v{B=I)wt9 zdU;EFqvE_Jody?|8pC_)0dUTMeC)9a+;+g#Z+NqP8wBhzpQo6E352oa^As^~>hn#q z4dCnmpRyeZ-`C!);a3(b$>{{`Dbfz0%6!6Ket*QVYP3xl?#7EOg!5Krh2ZUE{xgP;tmz9Cd}K{usNhSva>b*~6pnLGeYVYWLw)5W%7^jvr52u) zHmDx(;TW4vfw;z=LzK=aNf@No3(G(zfLCfGR~yYQl5F|P=ML{ zH5R9|s^F*nG?aG2D`hdGouXN-9ZP)Gd1U8knFU@$e1FStNI!7D;-kR>ichlKyIz{c z854(f#BuxMUuZ}S9i1=wQPZRftZ;%7({$2ury4+k$G~@k^U`#a5u9^Q3ix7I5P`)j-ZL20{gL;##u*ZW(e?yA-K7dY<+G25T{ zp8WPu(_>{-9OE7U>Z_-bSp^P&0A}ZJp&Q<36b)w&_ z`1#WNpCv~UCv_d!VaES0fLYkEp?7~X(b>o&()KhO83Q+Kg-39*A9n%&zgDvo)zH@r zpx(nU5%eBr<^L|P%#-;ceH^X!4GO#7<p~heSIXqFUCkB6j+dAq z>I}Lr+h;MsV~YRZQX`4BFf~S6JGL$V^GAbDMuW2I`p^{c9g1HC-lBL^DnS0C_4bh8 z(CFSPWt>ieoAblYsLTRC8n!r{guu(dnT_?}oKEi8SA%T*szv{^LD^TNL3G_c){r-a z?%-{ZqxG1|d-*w;br{bc- z=I$d)3QF9ebzQ4?OGT{Jhwz`-AI5&64xnkpk5c}VjV#UrEd-EX(&Ye)m>D%8l zL8kNZrYVVTB_n%9<51^l=lzc5ir@RR^tC~8e)VjH;{5g0q~iRQ)HTBQ{tWAz_TH1% z?M79g%w92Zmmd`Gc8l;3c)$=~8 z055L$RGgQ5iWTQ2qE3qQQcz(Yw@JWjL3`i{_Lx^tcPY;M3)L{h;lq2;JC#09gmx(I z?nihRKK*|bS4Xhf;q``QRe)zs+Z5-Gkjbh+Jj>n+eGU^|3ff}1n@-tdp2}@f1$gq> zkmovMcN$yU;g#OHZjcO?hXO zEnDQy6LbE4@Gy8es^Pu1qGstH7r6D%4?v$O$!V<=`ds*5hJLg{72y0;t_u7a1%gVS z^I(N)Pz&^{ls@OvD$$P`yM(wuPR!F_h@Ae5fOm&J15ppo$1J`_#UWL3*NAw!I*;37 z!pNQ4)7zfqGiUU@0ESxM3t&j~y?~8g z2W|gC3#5NOBr9`RKS+UoM@dNA4?=S8*=F^cHE`} zE2d`+3UF3pPVz1XCkL+O`g$;z+Hz%wOKsjKQfq8}Fed{jz!L~2eGr@*Qm*km!8;3Cqy+LBHYGU6)2d6uTe(Nd+J89TyaixseDv9_Z#0|w#jA8i} z)tDosngELZ44&zk&x@{>W`&i0H}HVsWtLkf9AfHv=2tuu4KWvhlbpEV zGhR1QmNEx(&)u8VwC9{tI!2wcYoXf#{J1w}?KD6j{JW;NU42ydO%|SFYO-rw{mz}4o4*l0v677hv<#J#_T#h ze@(puJPXc2c{liW#aDob&k;M^UalcO1svO=$Am{s3WogH38Yv+HE1JvO!1e%{iuLD zidVohs-auKP!QcVapb_9c#m9mh#V3GA96x*S8Pp^QYEghG zFdaOs_{HFURl!w>*~i(D`M)^W-+!~3Wp}|)OjU57h}S_JSM_Xg;^=Eu?48I2@4Li# zFoeDPHdm~~q;XHT99zJ@gPTfu#DF`)A04+hqSQ4fekMP4y9AaCEQ zzX;+-VEH31^^3(2{mZXO#=v<3$#1dODSdurGUIrgQ(%6er%4&&x6;$f5IGRu6kybACw|>ySRvF?i0EAl$xb_B)K9g;-6u*1*NSAz4zo1d|-Q2PAreE^&u<+qv2!Py{wK0oSL1^CkgN!4TiR6&F4 z0e{*cuJrlK0~^6PT=`1{DdEx9E1dG%2KElID$x4V1K^x+_)`VDzAUY~c{c}pA_VLifAgReoIT@j zBor%sez41@I6v^!N!j6VFcewt@}Cv+M;i*2A^ymNQHJ z$tdHGKjcxW3h-lXSv9fn+sND1M8sbT$tZn(46aG>G=EDatqk#_%o|k!e)PEkoHH7~ zLKj#1{A^vl(jPHVhW*wkW;(ivzxuKToa2?h60=F^^Q+P+RRO<3-KZMG-(E?AN7(~@ zz^_&ra$o%OE|V%l{6b*0(&rZiL*VQHzd$$v961*?{A6LJD!@-1j#8Z8M6Ad+WcX_{ z0j1AxG5WySVfi^5`&L8|1PlQ`>S$B}{$5U2g@(Vc(+tkh#NXrDru2D1GU;u|y!_|= zLf%r@fSsDY@7M%Recmi+R{FdPmILQa0p7hRS|DqUcYD!|Ki;P32>}c6_S=v)1-$i- zw@s?R*-+j#nWpr4mm;FLy@?=iMJ!Z?c-Nsp72sWpb&B)uLR#73-H2^UpEm_s7DUAr z>@n{mm>c)H$m=ohIuwIbpLZz+f%8NEysJ?O&d*%%L6m9Km-){aK8Z3%Rlp}s7J>7Y zFrPR{Dt$g!vXK>dt+#)Cup|S{21WS zHLsA;KR${AwGhzNeAO~nSd)-68nOuCYdxFyEYuz?n`RkN^?*G{{{yb#`3eXe$dCCwt_4$eOT5yJjpE!?!bAsZ}SvG)2 zS%A-HPQy7~Z*SLN|M+}n4BYRiF?>EVsr31L<`(dQ(C71+Iq(6(4>x?BvL~+hu|Vg< z#PRkKu)cLNqh?o0&hYy4G2j`+hk~aS9|4|H{6z4i;$wwJO*k$U^UC*l6o{(=XMo2P zp9CIJd)&AosW zB;}B=@$Ku?z_W^nX$RGtPT~;ni70z1GHN!e0(`<`yW+ezo>H7o zs$>-B&E!VKkA7A<(ByaIDt%53 zM(MXs4gP14A*h*CLxnQLsUi1bendGnWEJPskWrjdL$$NtW@@+&j`E|x8l?CwDSbLNsPtPM8%Dc0Wa!vBWr&UqC_{9tUvWCt zr#Kzk;P^fq8-86pp&c7mhUnM`I8)L-y4KdQnRoIXO9#fmwFA>ipAL*EeK^o0QN8k? z9oote9T-uD=)kbzbYMtvI&izP&%{h-ybfE(Y6m8jA&%W9Wr&VVDgKl=mWxHjhxv|u z8HrvFPRHWG1C#wg^vf||_@F`-)zE=&av&-De-b{{lq$jdDBx4P8N5jG9nz0o5GR$G zk9RdF{v-5*igT(BDb6XoT5(LlQ4>)DK5rIR{DA9ZTh^#}C-9Ww#o$$nbMdNm+@1|^ z#BlYhR|T+2nXuwqq+*J5F{)Gia5QKmxXTRhY@{~?O{%~E@J!nRR{vOV^O2+yQ*1PN zPU)Woo>lx@%M*oD?E8XDPX8xjF@)q~GN-^<6O-1D7TCu)-QT6CDXH|Y0FNtO*s-d|?XRbAjK@`(r%JnI})&?NqPAJNGic!f(}YW)?w zN%611(~5r!zESZ5ek)T}O7X732biQ1l%hbR;zuXmIoE!<lrI!E@jNL^Ei)_w@ZO=h+9} zdn9I0v(GTI&QQs|>kP7us?M;|XPpsn);ZC2d3YP%rCf_&70DPc)ld9OaZ_?oO%~Q_YV2&Bz2sj75W%3)vx8xTKK+I0Fm|yYt zykh=xMLAkR;0(dvJ?&n-!~0Q>fEOj^Utj~s0TP;(-}Cm@%fJaL&TNUDCi-D?nOTgZrRgj@01O=wW4t=YVN& z=ZP9yh!@lv+6pXRg$gz*&J9dl@rR+GRQypF>4HrXa9$npZ4$pQAUt&~Qk*CEndjk0 zbdx9XX~lUGpM6sF=`LIZu}{pR04wH6d`cPONqkaqp2Wu$=LP0+)d5~$_B-D891WLT zOH~1$^=E%CJy?St^Kw*HRm=-;M%iJ&bI^Ak_J+%w`H_gcy6;HTD$Ym*z&VdH5`M)Q zi6GkbKO#}BDqtjh$`B)A6lWxITk=zbk*HVp8Ht$V`-nuHD!@ntQGt#`P&J5=2rD~` zM5rzIBJn|fBr zFc(H(hm#E>no;_#vr}3LcxkX!8Dgl-AEiP3DWLzN;*_#;;0?0D2rD~9;33D`M4}S} zK~=y99#Fg(+^;xOAf`A|psp>SU?ag4FzA6EbUqZ|U|>k=+ZwWVN)z2`+gy!L{+J&* zMl`NCBN|hj5%v8fc63A|O20LtVI^QhiLxbh=!CMM${-fjA+j3?<1mF7-A0? z(Tw7ZXj*Yb)UPULL`&Q9wh>M45!dO6rci;7Xn9*hHlqDpL}v^~rd2EPSn!bIA@C~2 zr-BC+Ki^d|m{$nG5VLi>!5C5eDp#x^CWpVup)#>~mQBLn;X#Q^(UrCzdtU>465Q85 zpFam~6n_akr|i5Ao>lxU;r7Q9l;F=OkW#!EJgNAn;Bm#j0FNpDHF(5vn}qbF7+a2LI=8o@k2kY zLNgFNrT9?G-D84DB^ZkWam7yqk12izctr7Yz{8492M@Ks((C`;5L^sFP!+fWJfQfs z;C{vDTkd%%aF2K>>#d{q?=I-aFhFAM@zD6{#5Y&j!O(6#mZIYPr0)VAQoIB_sQ6Lf z0mb`+`xOrew=eB0!AKM^ijM`)9h%?J@!(m-&jQaVehzrr@iv3uJP1;%zzp!D;+KNQ z6~6*Jrua4Bk+$4RhTm9$3*AZy9lsXLB=&dD?=e##qCCXG;8XfTkpf0>FX!E0$SFe$ z(bBBq91I!7IT+H4pM#21igPd|i?7V@83#jL72sfqDISOClB>mY{Ei)yK8ryT$D5jK z65m|iW&w!z%CDXaKt^#c0BOa!0HhS>0+3X^bpePe0T+OX;#>g2igN)7Db58Ts5lpZ zfa7g)o(q6q72pEkQ=AKcQJf1v?#O(PaskM;#oAde3XK0Qd*ydyor&PjM~)MsY3xxdHihxBz4oZ(RT~O27pm ztvDBel;T_fl8SQyh%3$oAm(_R!N3I|q6%;U2rJG7Afz}KfS}@B00M1!+XW!Ee%}i~ zR=Juf5Kx>8Kt^#d>Ae?o(~7q)04XKl0+3XkgCVXs2SZG84u**091P)s`&j@&ssIN= zP;o8*;ivb#03oKM1t2>n--BELGKzBnNGr|-Af-4L zfTZHB3qV{6xB$cy=K>H>oC`o$aV`KM#kl|k9d9!jxBvuH0WJW3#km0Z6z2k96z2kv z3%2oe+XW!GW#0=xa%`Idc91Xya;gF@0CA=7CB3%*#1wB`03u4j1t6?A2SZ444u+uO z91H=)IT-w7_p<=_Q~?eKqc|4;U(>!9fG|ca7l4XH?`WGd0CPsZW4Qo?6z2jERGbSy zKyfYre#KiC0G|?Y0mx0rZx9!Ntm0e%GKzBnNGr|-AmwL-1QqXYbJ$w|%-j230L+>Bp5p?LQ=az5f?EKxO27pmqd0Ru ztvGW&r8sjwsW@{!e#U+lfS4-4oR27eEk<)#aV`L%clNyiq%n3{7l8X^Fz^ykvO3>` zTma&Va{-7c&IKT%I2VAh;;jonNC~(A1Qq835Kx>8fM0Pg06xXJ02s&H3isML8C8ITA+0zUfb@s^UI6@<7PtT$ka*{2y8v`t zh!qj-XLz_RF-2vzLvEp9TGt;_UrK#eZe> zZSQ|}t~H5|Zywp9-~tzrf?A2oudRkR%lp4ZIB}t$9~!BdDg&Xfm@xd@N9lRO+kx}@ zBWdX46-~pBj|ZU752fJyBX%tQwbq$Hy@nqkDMtauh#w&FgY(-k{LaWCRD2v7#P5vk z1Rn&>?~KR~S=a}SSusB}l7H=2Mk0g?=-G#nBQ@aKfnjjmuW0=sOEownO2^7q{p}Bp&{5C33hZmp zugZ^o)SyAHB9(kUOGE7h=>9&Igxe{H@8nRJSaqxYK6CQck)>rv+$1>|J6KX72ZJM= zPE@9d5))RVileYragN%E;^S;J-ke;Ij`~r}1n}*OpW}E*)YK_MoJOh@p9VvX$`Gf8 zkkaRz7=b<`#aW@wahoO-a9W6|0v!HLigUWiC|-kx?pFNre22$Xd+G3`;&ga};&gcF zG?^>ta5&a9Dt!dPzBa82(19^kfPtu2oPnrNcIa>ePQTLOMUL;o;XYM>j;&Ulfru$i zhu14U*EzgkVPf!N`*!r=#AfesS7L^DxIgiYcW6v3@ecb`c~(?jldxTIhL_(ZCIxSB zfb&x@aa3-%^zM&e-Hg2{D>lEkOvH_g8 z>p8`40k6eq*Xm8R9pl7AekeOeGcP7o9eM^V1Ui;L_IVILBg3`NgFy3h?J@ z`NgF@;7mGxajEcp@eo7CPfK)CoS&8`Zsnf;?H|7_p?_Y8->*e$9KWZ90>Zu5WgRi1m_Q3 z@~d6V;KQKLuX7dGNW~T4{0i3uaC*pngsa%t>wOULXW71&nYr`Lk~3+DJHuWt@QmWe zI$mP3n1P32l#FuxFq3|8DJ;lglI6zO*(sUNFA{D&qXfTphKfzO;!(>Fv1bF}_s(*b z~{oS|V)7Omk1l$U)f}P08m6j%6Tt2cx*;>o|c6kc^ zF29jaCO%zmPY|C&k;bLdToo6(|Juj3J$`_F&Sv?gj&OXw2Yu6A?f7iy{|$WSG17%E zaiZ`QcxIe5GKIzHXW{nUkk~2CM9wdke78v7!mFfjji{in<(`iMJEcKfECzwMym^Mx zJODl#3I1^9d5+%!ezM~*YL{LOs16w~Zf5?@u-v)1{>hPEfh0;@3jNGq&vYb) z6*)q__%z4U?WDkU9=E>CZk49{(Uf}8Kg68!v$SzDD!4DPr`2>Uj*jm znNr|87z%(7d|dj`9)`w%CyOMl7_-yC&5>e1g-<0<29H1L+39CY5Qb_zf%RZ~S$^=Z z@c6QK3J#4fwEyP~mB2yLw3{)6;xHNfAioKZfhXHZ6SC;atKhNECBN?i&wxMl!&FDc zBfr0}+}3N)6F-mw(m-SWg953G&k++y{K8u$7+EA0ebT{lFTa<6FBKe$ihDpmw(Y_b zJd|mcnva5hHaXtX#K#SGtPcd6`n1I1S-5XlqTn98nH}#A(dn?5RnF@BG z1#$3DdvR3&yb(Ng=wwIIaLLn_d!g9aQ9`jBJ%3U3r<&YBVu)RT*9yAXX*~S>EN7?) z1-^8=%+x%;#U)4~D*jOnnbi4VN7$eqiBIpf!{(UV#FOSIsedHeHJ1Dd8J_kMjr*HG z{*er``1!)C!F?Z!eh|Yv3?6(%?BCu_^e?mA4zoi{8aw-taC3EHy=_<70+fpdE1e*X zZr&lyUMEF4B`kp=DXF2j&VpYiSd#qoiY+4XlMy|P|e&& zf@i+I&}!P%GJ-%=K#)8|8kB;ACxXZBoajua5s>MYdkGi&SSp@?itC^seEnoceBcYg zefNncVqOQleQewc+(h{YIpg8<(|`iiwK6iUgP~R6sXB2rf2rB6MtZ zeuGLZ_w0xNH0dO#8b*P>5X3%4gW&1_3w$W94nluSV*UMg=v6@+bQ=(30uY~Rx#!q< z7l~t$F=iU|&za&h)0nU>0{_<_*-iWaJC}iHZ;{O4x$xD(2bd>*6wiKuRQfF|NQeV7 zFmzL5_5-%P4OZ;6E4)?OH3#)4E%yTD-yz++48_*L+%xmUzfXa`44&#QQ^+CU@4$Wl zlRf*rUG(ite}JqP+(LW>L%!aX&IG>%unRo=I~j9+Sn9S|0vKs0b|j&VIV>?_rTyB* zFe~=@ksKn4`zN&P6wAGSn=S9V5M|Nti7@v>we)WZ^lK8Et+|3acZl8&t!Mg))gz&G zANZVr@NZ#tEqL~yGEjP%`X5xR>x3z(2cN>?{y$-WG00>R%-+^C0*T1tQmY zGl?-NRNMldxhLp&)-5B(?4o{|G$>;|;r;CaFJCBG)JL%K-63ACI^5&7{;NSK zNP*v=z+mv$!?Mz0oSQM0dn;XVn5=YM8qf6f?f%A(-L?;Qra1jFlYLd<k7E^5l_`+wUV;5rlKWuq-SMhqu zbU!LiB??xLEIH_Pubj6boADP|cyX8v;TK`~GjQKa62maA2 z+y~e9Of0b#Mh8NC$y3q+**qCD!g9~k_4q_3JvssUlSYbz7QkE$_=4xePB-x1fSZFQ zwbVz+j($IonE0S=?~@S6dd_g3OZ659ZUIj(7Ka}KbAPtn z>qp}_$rzq1{~h|t4*BiMfoGRXd;&;-A00P|DG%Bka)-vnr=x#)BeinX+Y4?l_q7Vcf606qQuc;id zRNNLgP3q5KEcFF9H_L36IYP=E4<7$J!h$f4q@A0wp+rA|i7~dC@gWwQE71>PeY(K% z-ZuNv^~;@oX5SpkUH9+4W~?V@l-kWA*Xrm4Fn4)ehO$J~n8(2*b0j$24aS+zOa z2K^WB5$7^k%h?e!&QG}`l$qapUCWD^#F z*9In*c;b-|`@fgLpTXycPfu*N;_;Jc{9Gv=fN#&W+;dX$L2;5FmClC#tmC9t$Dy{U zYfN-0#J}ArVlisW3h)4KR{CIWJ@^?JnS!|UdJ#O=UEH6A{&vf~RF|cE?@Io;=-ca2 zk4bdGNa_QZ%TUWL7DGPp{vNl6wqqyEiFpL{%~H|lPVGYI&--3FcH)83qIr&^btZAc zBlcN=hQydh>~`z^#BA^Iq&q}kgMn1I#%ZTupxJWI(P=ok2(~_l;oP_&t-IrYVJF>L z8px^T(7U8VN5b>RpwyAV4>1p{mzMt$f&q!akJ{!1-647oidXNFB^YN!<`T=j=4H{m zMwE^~-}l}OM}putfOmUV=8FG--vS_QDAa zJ(>8%Haq&f6?+S7s!}%hyx#IB6bpPVJxFzxa#?WS@cc80FJUJ;T1KNd)tDcl?|(yv z4d3MHdbe})R1;h-hPc-CwgTsbDI8ML%t zoN!LIJLRvD6zI)B5t!6j;+3V~LGZ253+FTvvfRyLYx1Z18PNC5kufn2UW|YTS9?i! zf-!Vs9R!mHw_+sQnD)ub02|c zu|4;pU7vwR7l@sW;NMy9je^2cBztik!T1_nzo(kaEBR|lUn}sYz1Y<nr(Gpz$F>*27vQ6I%A(VVR_wOi zZ_KiH3%V7wOT6Q?s7GSPdb^W3<{ojId0Ix1L5ZQ3d;Sk&_NYP46R7{;3`cwzUFSOe zGLyM`nw$UN7IU$yCR)d0{z_LY4s$W^gC3MDI|z! z`pG-QA^lEtQ=b8~QDHL*zpzKEB>35 zln(Sp#iigAkMsO$$6F~XD2E_ZCR@ZXdNvHaWwTt(4539QC)Ph{&tE5aVs}H~0w@lA zL%Lc8!&iX&ie<_PV7a*o{LzC%-?qj5Edh^|VLj<5{9enw$S3hJJ3eica=a{RvIsF< z_5uu@KVOFMB2@6E6tMRIBqdCd_-vcy-po?^g(T@{=zr$)yO{0j%=kyx&m4cU)wEM* zWSNv`cOQm)y9))t_lH{U&5b4~D~XYX!1Mr5tL^b1$8Ay>F}w$MhJqJ&kz{i@ZR4=E>wj>E=;tsSf8cCa&VQ5?{|9(>wKQ8Q zHRe0;)$a@83R}Da{=HrNTmOYPtf%E(>rz+A*x^auQI4C$vrmugHe(o+G7rlH8o_qy zOz<@B-|j-!E+yYA+bcgt%A^7cZcZ1R?AC;usZX2+VL(X_?;{-ytA{_ za<>crk3{w!c>X5yG#8N6qRZ#CKtI=Vuf!GlPy~4;Mk%{bFc< z5&aR+A85JPfpXk+=Aat|{lHS0nf=%%P6GG;!LwtNbtw#81Vd)!Mb6`~Q)GU)3A-3)$GySw5Ck>tMX&+|p0wQSS?se~ zNdY9nCg^83|H^4{fBFaTFAtWA--Vq|s9zvi=Li3fs34X@F3K5>Sq%Lc?l4E7zZSeY==t1cc}Mi%85l}lUE=PQ`p*?tY;xQ_ z5HvuXPD8K2P}2qp(k6H=10I+pNu5Q-AA$$B%CJv%w*t?(UqFyrAO(0u>TB@GG?{L* z$i?q1_a-`nrvr{f1%*ioWpJh>JNNI0d)x*4SZL%vm*BTYbu?sFrsuec)S-{ z2K}j)d;5&?f#Q;$Xh9e}j`Lsgt37^z-G3x;7n=iPIVzZor$uJM(1YOSNf{VVfjLX&h9J}@$_>6x@R(^;Ebyy{_co{eE&hhMcQ|ggc;I?Q-i|djY+Cxzwb(V}bKN>n7 zyf3B*DP_zQ%e@{q{!1!e2|F{u_bigE9NtU5Ue>A2>l)y8v?H$i$eJ>|!tg;`VP!4$JAGF%{tHB8hYi z)lUGQe~z^GRPYNd_o`3-q0G%o3`7m|GoMsB%``^a)xs0+zcjMj_!yMpIC*1bx3F+0 zaY_(#%VNvD%7RmmwG)hO>qNfPiRd7IPkVm4rA{XcD;3B1hJ|Nqa8?5?q7Uj`LPnwvHE zUglocP7O(xa_8DbHE5C43@J;CvD8N?4GFC#C6Y2)g&2kSCWZ*DBU_93zt4HS&e!*T ze*bwqBG2lYTYdK%i=oP+X|N$y^584MOLgR$vl zZjbQFa$fV#P74gNO=~DzkJ)9soco8-uBEf&W9jW1scv>2qHSGTFyG0OBY^TqsvTx8;r<-|uFf~-@hZ66m;9caQ zC0z{hA`q$j$d#+XGs*pL;esqlOGnAa)I-m_%^I!{E|!tFeN$}{drA&K%A3rP+>0jJ znDhbpjDMl%AS=3qJmFgCA0=;42VMUR<^Le}|Di*IlpkPCFG_+Qr0ZN84ON!I_9chH zLU#GtSh()ni0imtF6D#!hM6K0oHhEAdj=yoq;#s3uZA#T$s820MJLGbZd-s;d@u50 z%2%z8mQ`Q_o+eLMKmpdNmyn<73Cm%bq0}njX5K!&5Q^5JT=fYv3|Wc_6_W2FPwgFQ zh?o2q;W`F%b3I^n+HhH*^HRvhhB7N)(bS#Yoqs-WUol*j;Ll7{B!`Ndl24e7nitS{ z+LQY>`Hf_N@&&^6@HwBt^gDw*K>6sn5g;5bcL>wZQI*4(QJkj%|_PXRr*P&j=s6ROko{{7v`!BdWYxr_^ zQ&YQ`FZC*2I0NnO!}c{IS52|_NwK0$h3ks?$G&a~-j8WSWm!Gz8DH7%T=GC>fs`vz z!c*Rqukx8teJBdH(dZWPc6DK)has+p3fECB!Rz`I`TgVzUD0kP^*q@O`tQ*V&{4`^ zLS!eyT4`u{mE1kiZy^7G8`6kL@}vfNDieC_hV&2Q?Zaq*ZP;1iCQ3!>6?d5mub~Tq zucB)LG+iy>#?%kSjH#QKLq!{s2RYX5Jq@4Xnaax}OEV#^x>5ftl?LnfE47CS1E|Pb zt@xx~$P9aX!iip^{AlvS<6zSJ=o6F4hjazETQ1L%CoA#RaTS!WmNOxJq=!-M;Z?z# zUlOv>R)^ZST=>Qod!HEK6`J3fOFXGIT^hql5Q?M;Z|+C+N!vHTy*9d=2dCJ*i# ziV9ItDd*%i8MXCaM^7Z)!RTZMsq2Mnb>6;k3VUF>J^89rsIOwrbs`_kLvtJosuy`| z6t5$haGT-EIk!#j>5ijNmxkyS+2Jt1$H}Wbio&XK1V2aqF4qmYY}2dcZ}51F_0RR> z?)`x+;9-^Cizppu^Y=1Cf@?WD8SE!dPDDi$B~bD?^3>=;1N`J=-hdTDc^DTdUUgR% zu0wLn?D~sI+OP#)XUTTym3B-&-v$G%R~e-D5UwM|W>-WQV#~RJm0%oBrtTv5@HBah z#Y`}Iy;atFdgi2g90 z^26UPKH8pwd=(YAGiZuT(9>6#dUgMh`ig49%ttx*?Iri~7P)U6hp_XLW-;#x*N#)IH|%i@{qR%Dt7?dg0qWT= zT;iMDslE}H;TXM2{b4f5(;waZ6vba<<1lP&HVkMt&npQx9_xChv<~Id+fmUNr>`dD z`+IA<$|U?6i^*a_%JqO*7xE7V!PB~wMuz_6p1~YKZ1ElBku_+Nhb}vsJhTnlRGum* zU)`${!~;Exi+kWSW+>o3VJ<7WkUUxeCXJJ?CLiR7Gxn>5g!SZsVW?1yUHpY`XTk3F z)mnc=iE?9*)5oUlCQnvJNfDOxyXJbsqF(8@(JQOXtxP#-Nr_sTn=K6=B|cjM$9S6o zvZHVlP`Pd^Q!~%x?M3;>ZVn)`Y*gx2%Dd0gj-!0&Cya*_YcSo&i-Y~?nn4#?MITJe z*Rt#$^*$B(IzW-HFY8OYF^JaqX`1ESP32)v4#_a8WN z1uj^JJ3GJCw>%|XuQ>eIU_hQ{zObowtp!X@v_^7}$;+H9-Kuw4s(QVvNr*Q_`Udh@ zBhmettmSTU_l?XS$>W)*F=@2VB<)ccVN!(eCDsyDs zR7F&%J1f+jJhpYXk&ID3-)Xo{+Sgx_wYw&okC)j|seHp(|bEQSw8hP$AYwJx%@^_pvY!DD|Rn z?dTES@n*T|4a49Qvo{x>6t0I%un~qV^f{imD_{$* zr~K)5@Z4=xv?f`elKXj@K4PfMb(-thvuL!H*oKR94}Efia9xu3AA}=&Zf^?Z=bXY- z&q8WkKptoZJ@)GD1t;r(M7NijT;p0)@GfRsOJ3qLG|@u~ZxLSkvKq45!+j=Vb)535 z7JSG0rdkVwsis4XX1kYB89b~$TngXm#)6wNL+C`2As+HBO}JM5n5J%owjy{+B5jKT&peoIFz9 zIFm|@Kr!!=?|&0bwHpDSfy=mZ-DcXs4Dp4-j0U^gd7Rw)JjPFm&G>^nK;tLLE3AX@ zC-J#9I-jabUMHYCUB*=dW@yTU6kib%ByUgdd!x`0`^ari;oA6#R#+OuC_k9;fwsd9 ziDV*SEP1p)yv=m3o}VY!41BaOKt+#}`ySy^n^Q~F$vD)$M(ahAtOvtF*NSchdCGOk zw??be!z<$pr$`ipzo!1+Agnp#+;liV?wQ%c)Wkk1_>*vR&2bcKj)z&#KZWaxL>|Rv z=k0vm#s#bA1{lI_CY62P^o(=vQ2WXwJotx-4CVYu|GSF((@q%DZMa~$fjqe#m9`rn zdBSxi{6S1(Hk$R&@+PPRnKR9MU-s_(81m>`R5QkAg~`=pyu-m7M9D)>!x25~mS>zp zhvl~BOFCH>nDq_?#{}T|*&He#lY1s$(HQcf#2rrF57I4rC0Vy5Rk++-1T-B0lN=@Y zmUz&t#cnTA+SKS5s*CkQ{X-?8?jrevFJOUiJ?me7y)nOx&>|xk@It;GxNKewL@0Q! zA`)6KLu?KFAq}kB3fC14x;A^dQr34r+9h za5K7ls>J8vJCtME}w zPu>oLq?r7Ob4ZfI&oeo~(}N6{>Me5bqwsGVY1Wb-=Yu64)J|=-#qixQHgN1BkG%`~ zdMW=M_#icor+DXaU>#$IA2WIx(M;C&H{tpeLaG5)h&E(oe8iE<7ZGn}0h!Lu6Vkrw zlB~^~;y4X5xeoce4DVws0`#jOxP<(kxFqwk zeWRFRDlhHYP|>~QiSLIR5+t8Ro?OE=hK@NRE4uoCH~T-)bqF)$uR&aUl?9J+ zD*qz!YogQV=k_(SW{8w-aBM~KtF{HqoplkpGug$q>UA{| zRALbxa`aS<8&y6kn#wDo8(BbS%Exx#^$Hc(3pYD+f0g!)U^cY`?Xv^pZt`Hu(NIry z_mRh!`3;FMfIiM*)FRvuv-XGz*KV6ygn^2cnNo|PC#<3`1&ks;NANNh;9U*xXwUk- z#R7sWi+AsO^2BdAyJ7E(B&cU_a~S+L>fcNL>v64LCh%8G@k;&11m7>LkOv8Wkw@nt z5(Fuqu?anwE{i)Qb_2~ro_qrh7|enjl81ij-Pzt+H}qN;KP+H zxIg97w<0FT$nT)M`@&$1a6K?0oY<#Rexh)k-59~($G)7y0s?i>_ppajFOw&qhp*a- zt|m_v6d2Wh7Vy4sU2vIKi!W=pQa&<`-n@gkC5$IX7iIL-hn0T+}(q` zVmDOl3HEe9^7KMn2l?2%f#6P)lX7r4NTFp_(Tg9Jg4AU4C%vY znmpNhxB(Ghwa__qQljFUHrrHqslDc2BV6~*X4mUTHk)kSzhgFEZqVrS(-h~(o)i+tV* z`0ZrM|ERgy;`oaapYK9Z`zcZJGnhW!6tUI5t+u+e%rzwt;M<2k&@$=O4yXB{#-%t6!!Nba1zQ7c0r-726ql=PLVWYT7l`Avyty|!Q z_EoL5g_~)PvzG0Hrj$>0!i8{z(?dJ*#QnWRvt07oHB`QH=$u^g^^|n&?U$ZkLIv({ zD*q|=8qe%8&dxqoZ-#Jvg%#iv@pgqd-^vdhY9!4$1Npxf$i4f>sb@X;$Y)s45N7Lc|J276mAB)YX$o&<)hrMw)Q<|+E=UG7chT%En<9v#ng7P z{=#mB{1t9Psa`yg`Zl-ZItkatbH7BfpKv{}l1&htZAchNJt0>pyqjEIkIt@3b4~)6 zXHd4m3JvIHGfe@tl>eEr*{CsIo!|)96?&3y{~XWeEOQ$EE$w*Mma`o3!1m_XMqLe+ zc0IXsnDTe>H3m;o-5K)WxS$~(@^YJD&BW6fMIrL4;LTK|+i-*IVy>ypFas3|uzf9^ zL%O~{*=cTh+VTs9>*l+sl<}0GB61U=jFcl)0FUW zTeBf^E+JR9L8IN3SxFvv+ixUOlwU*celzaJR(?48dJN^i0Ux9uz6CZ}!B~En8E&74 z4854)v~b2R}0sp)cYm&a<`2@!bZybb{0u_G9^WsVY`!YQ4F$=>FHN6T>QK! z`H}p0iWf^~`PAm5b(4Ci808K<>tx-y6bp)v?2OX@l=Q%KP)+bb)%1-$owdJRN6K zQ_u9tu90z8{~0c~4fYGJ@h@-`;_tSRbH16Xw=@5Sdx8j$xU_i%x{j(nhSJ&r!% z^ZmS5Rd+$Ync7kU2D*kBrc=>JSL-#7Qo*`Nc=DM;Qr#F+{Xb}TQ_8yYYnI;(x4n_g zc$ZxDz&N@ViI_i?+DaZe4|i3p?{4ra%JVo1jNv47>wt z`Dy8@{vOhGlEQRN*?UiAEXNY zYEC}N_1>j6}D(vhLc*^Th+jGfu|k;@B@T_n*AIGMANk(`1v+A98JOH{;)>x|*&HuT9jG zd;!(V)r~e!u8A-pNT5Q z80c#|C#8M0u4c05UkvM1C0H%TX;><|M(YAL*zE}d}M!eYGa z2h6@o?WMeXBjE&j>@mM7*hY$T!u428U5}wXkJVC1Gk6B62m>hBimI9qYFJjkbRywo$9`rqq=YW>E=>NLnVQ;E~qh4=W8Vf3r9lM}An ziyx7{&jlbtjy%vWypeX8%YU%{S1V&DOz1y_Nxv-nn+w*Gzt6XQQWXtG-zwR`Dg(Fl_li%#H^XP2yP=f-KJxV3>jPhElo$D<4Qq3=` zqH4ze>lr4;D$4s8P0ZI|CG~tHTs+>HQ8v4B_ixQVDySRyqR4U-`hh&kOUPN|r-bWH zkFnE5k@=lRn=-$K-9G*bW4S52<_hxii_m4Zm@CPn>?`Yf*OI5M!J^;C?VWbQb@ARe zCKhW`56I`MNv=V7D>JD5Rn6w$%&Sn}J6J$_J`N4nQ)--3R9<4zR3>|FDZVJ5?aYvxM*;T9tuy4!CZmC}qi%lx2-kK@wZgT^l}*7f`v(2z3m{tA(@j+^ z|4dJymE_P66*blgdhmpEC=%FHWOOB=QS~BE-%3;7N+j&XH@di-->2|Gibp`Ji{jF#ZF&{JYJZ(jNpd=kq$rD{upo>2p@ z3^$UY%E)jXx&PK6cvD_Ud6_WQ$@7R$KEQnA z`GWK7m^_`_e+0Y1De~vYgGc*lX?a%|WYZ@)x> zie*$YVRc~$IliHyXEULw0!{uMdHfIxwriU-d2$7~4GtH`2i&8_=va9vA!}514|*at zA5LtKgElAsg|E%rNawzhJUA7W@Nm?26>cVyckj$Lst=)nzRsq~6930AIre7pdFBD< zq$GzQq1NbHIFQ|InL&PTKRo?r#)22fBj0o~#YD}(RBAbSfDbO(n3*8|Vif!&h03Yj z;L;x*3k+04V_v9F=%BM#NG4du^Pmp^bhbQSJj{dC>u46-xZ^ZQ*s?=KHx+Fi(mIPVz1oiCs6_&BL%C~^a z*^+vU0USHKnIZjDXQMj781Ov{2r~HO3@dHYj~Fgb1{EMm##o_0sVDFw?hx6iT6!CyW?IeYRn89s-gw6xd`!5KK93K<9=6C{zl6C`eR6?ICT1u z$DTm=H}j588{ss(LI%MkrYFk`HJYq1P9slr?gL&O1wBoknu0--E&=```I$rT(XAXb ztA*=@Kq4Q8@(cV^%>xg%d z@_xQw%Bw4+C(1FBpq< z{42R?T3|}@v1+G;>%N%extbmbBvSww;Z{r7kZlIo;!o{><)sF`zHnHHV zB|#Uk%;hyLsVFuN!^KNQT`3tW8C#vZF4(tiK*MZWhf=R&0MG6C)?K8x3Nrq&S~`J6ubueSJC5BxR6{8j5d$*Y5I z>b1L2TgKM8COP~TRI7HixxSf7mlZ<) zNKU3dgXb&XVXWiqxr(#Q@Y;txjf(TR(Dbix?T&%hVY6ARXbopcUGe(n-@`v@K7-^l zEI)_bGZ*n|e7W?MLoygfSVR6W>nWY69BPpT!RYZ>FL>u@`Dj9gby&$N8$5 z3an5B^<5yz+BJeVV(GZ<@MFfM7c*PRwb!+ryx&#u=b`D3bQS?yYK@@N+`74|Xk7%st z+Hp+q+HD55_!08RU5r=cv&ntjLBq78)T`vdUAkp*pUV8H)cfT3eu6HuuW#QXJgi;W z{o3_?%#g^$vL-@Br-W-?@z$+gU!&3te*aM3KX$m0^sx&nA4C_V$6?`_U;}EBk8L(k zxBt=;T+Nv<{UHn z$zywAEYzc~{z9H?i%G>!p=X6_d-$58Bdn`l)beI=Q%_bidYbZPPq=E6PdbZtI!J7^q z@;2m~%_dIx(v?bQTR;qxeWDa{46=L!d@gha_}%2G215bp)3YoefklSm>gsvn+85Ot zxQg*CDPM*uTAbX8faYV^S;Y)bx=#FjM0w9X6l`1eA0scT;N@HebI|-w`L6~b8s)J< ze+xIhn0^^c`ZS%r)DcwZ@8K96G6kDo4RZf#SSUq)HMzHWp^@{_LT!cX7DqVx%Fw4f zQa=7>cf@=zTik;gV%K5rQIYfIw8p+VgPD8oG7|EN7eBUF;8aRYr^=-3Y1#SY3%etN zB}@h7Wq3x9bjJGPyC`cY@81Y-vUe3Wg3CRuP22~fqAkp@pXZ9cAwOUWkXPyRHn$Ct zCn@huLQkAcKTr9P>-c)bqv(riy>uUmyEI|}HJRWo3or1JHz04h0xhn^PP#$39=HX| z(5Y|I3-W~PPAciL#6ao^)j$A9G)DPqG&98Bta6Pm(-+i#Ds`{UAh!cz^GBI~2h5)` zJ5$l`Jg(B5d@l8*DpoamULb#-^2q@h=yv*FBHXxZU_9J4!j`R}qDUqbNh8f~BNe6i zU}=m6>>!WkppWfF)=~2GeuQQ}`z)=wG|abtlpe0?zaO8xzzj$DnR@$?$%;Rs&sy+{ zC^ob;AP;^4lX_S{GvT@m+VSjx^_7;CzjQPO<*NcFc<&rutU?2*Xr?P-j3f_!j!D55 zFok+H@Z%E=j5c}7ggoU(SEk74gqh&}Qri+!fP7Bf^^%!)C?EYj->8VN!nXq*XfVCPF@SS3+7{TsyUojv0iPR@sb?w#^gWwI@Noa+~1Uz zsmi#XSsO$7aCOWzFICq@Q0hK%?{`DZzisoMBoEX=@qR8N<_VYh8&>WM(3d1Zw|}&2 z|NJdvQ0|I;VB~8k?>qBM!9IHCXOwq;jBBTG9lj!;=n7?D3SS3xg4i)p4=wQ062FtX z&q@Ac>>JKJfovUW^)lt#x9(IYfBYs4#m`Nuoaz^@+vj(6V=Keu9$KeyMlweEZid%T z$6e2R421r$I_)|wGYko87B|Ch=1{teiejr_s1OUdkMhY!v5Zlaf5^zo(J-#%xf)VW zQ69%iB~oprBF8!86OVe4`69J3{{*RTxh==_c+v*)HgSy1W0c=ce&}a}mNWF6!{n*^ zace5Ve)?Is7&ff@6L3F!nHLpHGee|nfdN5ab)Hrw)Q? zj}EsEI)U6*7S**kAD$q8&owX{^60FQrr;F&=>_sZzrz8~vRbRaof@sOD`%`TO$hH0 zrhOpk+8X_tIxc(!9Vck&vy=~Tv{$3&--Iqn9C~zLH#MZjyfb6v=R3(Luke=MuoQqG<{G0*%we`4=eme9(fH{ z3iitUU&EF1_Vz;o|E%_#bb(nuHkJP~b1NToUqOkM!nLiupW}3JtSJ)Olc#7yd*U;n zdem6>puK~1D|zH;4kWt!VDPXS#v?`63CA(RUhc!t$JK-6xA7Bw!&%YU!gWQ}e9SGA zdLki8d36yn+(Y@7DepJeFw)s&n6Qc&JooTk3Eks8@`UR}Ve859sQ#rV^*<+{xCHCs zHPpYGeCs~+U32YZQocIDgfID?_G8R&`R{01%C%)zS-9>pUum?=Px&j1yc~UTU70tb ze5wuP6C5TjglB0*?knvM%n>$dw_dISk*}9Kna%fbZDabyMI+48oby&;4%(Z-&Jn%GpG(tYpsH^pYB%6xY6SMtkF8Y6^N z&2wl@Rk}q*B&bwLSjPtT>g37kSoj9nJ9UNYUKq{S5!(QME#(6fVU{@Eu_d|hZrz*G zYhEVwa1Q0j71-@e|K%NAnw+nQ8jp1<=SuPjgJpK&(Icj!D_B~*{xBn$r0*ukhkp!b|B9{shCG{}vBTO(sUL;Q-IVaf)W(VV zC=!4E%nT_mr&CP)gFMg-1^YOj%l!f8yppTOF_f=E9&_CYY(yS0hf~Cyb`PTy2`)0h29!ipf#) zy<(+;O#Yj^*Q=;@bMgwOU~M0dQ6m`3Sx@15(6)13&$ps{#0zsJxUG<9ei>)5lt(5o3Cz_AKNuP8x^^!ZkOz;mc zGQ>`NyUB-k!C*U2`QONs5meOPwfdL*{#RhR7irNdXJF|>6b(peURVCB$AkbsZj)dE z*ExssrH2ZbJZ=f}p%(IuZ1QR=z#pPPMhVwFl;Tql_F`l*`RkCXo9bzxj8-{HfG~>P@Dr% z&XLEy$54vWz8A^ki}NAKY1nhl^hfyroWf{;1XaHiRtY`03~D0WH2kG=*J({`pK3vQ z-yyi5mqVsC`ICL%vM0%VlPmMh;cTT+sFxaM6S&Z~o-m3$awX!ST}XtT@m-{2XE6EY z`RI82hKU8jb$zFEGso8VWvlL98j1#7O+ND`)OR(jl^~Dt74NoMd%(jg#Qn-3Gwf%E z)J(r2_*2d{kS{2U0^X+9{O(l=Kh()J83GlRV?W;-GOBt6^-g||P;^Gt51vW6j&tq=a zvViU6>9RV5OcUl$rH+%o!7riM3Y{en@JMS}%2)gwmMiuOQtgwCn2;_7*N8I1b>ywO zz%};KbnVDh3@ZXJEAwA%ji``aoq4dxQ%e#;#Bo3TI=%4c=ez1rK=z_xX)`BGDFOD<$sUinQDq_ zr{FOw&rNn~(Ya1WZyDtancT~DWwJuJ9?iShLk^qYM%W{2OkV-SCz6S2By-A*fSWTfm`(WI!sPuF4cnH&al9_jshc=_F_A>lC@?EtN zLVx0V@G$vzuCo`vf_G7`^9D7tDRtSuFs2%dxg*Bd?GdiM)8o1fuczhBw&D%UdHPih z%mSLbGjmRN-FLf<@&VVI@`A#(o!uXl3sF8k3ZcusuW2&$%QJ7Q(E%RX@Cjx({S!8> zvbk1VME)!tx&!6oP^7%nvyyzh;hAa!@2}M-|C)OIsRAL+;y`w})(6SlpA-IryeltA z(0fXq*Ib*gp63D#pKyKl>q^bFL{S?`MEQcwsjNqyaD9~(xooT`nn%@&n)-7VFmzW$m%6(AQWu@7e!|!JD6N$d$F}?-NYjVj6K;aS}@|F8r6w_@6e%8wFnmkGFEswxq zkKE2fJ615+KNh#^d~D`g@+)`J;pn(qgzNa!ts|zPisXAJzk<&ca(7?-L>`=hP#(`k zL(YMR)&55?4i~Y3nHex(tQ|Vg9_?*J9={RJYOje~3)kb{n?25Gkk!2Tb)tN91E$(I zulakEr;XD$R`Nn#WEjE(Z&j>fjf+dt1Sg}vboeYLyI;06U${2NUOq-{uZxya9-m&n z^yT%{?MAE@`UU1>nid&ucE_mp?$9tE_Rp4H2;C&J*;uQB>exLnCMPL ztv*FNcA!3Luru|x>>4A6GTAe5xJgKG&cEN3BX)7UG%7+Ka$T0qq@H=@aeX&ONjC4cf(SZpWx8E|<8G;hcH znedk+m>9=c1_Q|9yb|cDy`wNhexj>fAzaG`bJ69^siz+0zbS>Q5?&Xo8^|N(>-FOD ziUWhS(P?oTMT@7ZDL=D_;_J%;J6d z<>a-6>k379jwap*EodlQ4{7&lkv7!x^QXFFWw`+llZzB4cpMnsE_MUtv**GRD_C$5 zd8!#>96fC``3J6p0uMTe28qGLOimuf9O9?CnZk8DLaxx^P=4uay^Q1yA!Jxgd9SM@ z-XixeF20uk$mmhd1A}ETv6D)#=gZ0L73eW??*Q1qR_=H5=!bBkR25X>AM$nOVH+E_ zFTWg}m3n)a5%e<-Gyo5)2+zLTVc3Kja`;M=C!k+-5U#D_h;SnZx za4HXxUK zi^vsM-d6u|=xL?`d^;g)tyVF^VZN<*2^D?fWE4t$zh&|P2A#UJJGgpQm?7Z9_DQ@w`0wN=>*$G7-YE~PE|Ay$1$suX z-I?;*U~Q*reK3~{qkMI8Ra!f>ba4kxCiR#w@O~)zjv1~ek933zd-%8)`R1*VpGNt? zYZrTf3F=?ChIG04&9I6}qXma~k+GOO{Te18AANo$dCtqI z5H5n{O54f@u_jft&<5dVXF53(KAb?g>T70*JqJ_UX!Qem>VF4`pe7 zuJin~(7oiBo+d{5Dl7>)5SA=hU{sf60dtrkSkNDY2@A=OFh0UgO1(}VaUJ1!oBR~7 zY#*Wi&AMRirMKP-6>`C4emluauR~wS1$|h4$Dm>h%j#owrPm#ZW9u=L$3cW%8h-(vJBe}OS;tKBfOaE_S z!oSsE>Ov~oP5%83aQjxNH2JP<@V*>Q|B$zR6#Ou|vVwfSPbT30FxJce382i?u@Sz9z3ip5)`=c16*^@N#N&fuEB2>ng|(Ql8=1yNa>- zZK=p}n$~BwZo*9rdJs2dLOtLQ11RtR4I|I4f`>UL#meCam>k$Q)F`t4KA-B;*-bv3 zaC#kL)FR5KMj%85=`F8NKJMCBSVMXDChe!-VU?VKoAVxqsGZCZ8W%)`c!}}@xwk6h zlMPI=Iw@SYTdn%aR8(=T`=@X{;SbM4N33FuEhFE_)o$&WHFNf*H&hsXw&^ zN0j5V(^$wm-`*)Nte(WoK^|}mz&0vE?tf*NAzo%)OddK6=Zai~3~xJGW2H^&nA~eA zLc%<%+eDr$gT+;fYq%}KwGEoOE~@uZzFKee!8L5_G4cz&1{%$FaaE#{>6?}++OoJe zRzrfC^%)e6WrZ4%dls(!du;ZpGR+DRYc_kMxAPCW~=d~$5|K+g50vs$+jy*D^dHpuPm{B|&B+}cPgr%{G; z{hplim)&sV+9h&Ux$@ed|Hyf@x|4Sxr~ZlsWpgiE`*Qi*j-}R~tejg|(Ru7Zj@LPP zAg8YrXpq~?x#?g|duQ2!oL^?pwsHK6a%-$@v?%vzt=esTt$b?eeIr}Q|J|5n8_pb zKeC*LYjW$CY2CJ^PmR3y9w&2M?p66%h$C3(EVd)c19 zwKi$zZ>ro4g}UKy3a`7`8C|p0h5dJ+N`;)ij)eS6e)?aweX0I#Iy+O+&fic-rCE-$ zhVoQ8lvm6Y(#7(pF<1VCDxTh+sjk!?ipO$8?Q%nHyz|%5%lYf*N8R{;psnA)uGvU2 zoy|X?5%R15iY<_r_hr!pUT62r6hwB<0?*$SdrC6o{B_D*fO4Xry4~TgBj>SGr(Ai` z3CB*IaP-tE(`L;+diJoBjy~yxV`ff2=6I1m1C(gHvqy~i3V;JY9I|3Lu$p#VH($&5D+(gz0Q zA0I&HG2s35Dht4$9FV^~Aips{uh#;~`0E1lpAC@z@BsRA1L%wnpz~!wepP@SJ_w-y$AJ8G z0r`Ik$X^y9&ou%0Uj^9V^ML$q0r}%>c{2KCLv#J_UgY-<4G1+oSS*=NzZgQlc-5ro zGm2)6o5tSoccHOkuexUXw6Qab#?2@iJ2o`--0Q|(IPt0}Gm9q9IBV*-nKLKO42^Y4 zjGZ~LXzcXDqAAm-d2*RKahic6`I(a@&KNs&`c+fLkDF@TnlWoy(UfZ@j-5DT#`GCR z{-mk1W=WQO^3)6 zXHOhkIId{2Q2;_;KVwRf$#2qxaYayX)|Fn^jEUDznKr@5E1Z5k%;mM%M4HyXfN$a0 zX|t}GIAhBA_-r2o(xNc=go(2~;ITA|ku!e!wDIGLd}~0IiMOC@m2op>jQguWX!^_q zH<bnHSPaZd8+<3Sq{rDO>@JXSfannNM#!sIa8i%XnL-4rJ%qi1CFi&XW z>_Vm|g^Ey#Z3uu;I;F^ioz^5c@($wj26QXA+8bVh2>m=o)*JuLp&CtxE31|;e z$SED|GhAbIv?#?D5AOf6R%Yv;TPh zg%@9V#;CE!;RYu&-7qRnk-xlFX@nU3Q>ku(;I+EXzs?oQscUs*NlIf=TpA8e{UuF> zB5$I)VTj!&^ebW^$M2c=UvTMRm^oOX-WVtGAMd|u+@H1I6x>eV7xR zeS~bePkO}@e*v|wp~LL*%@-6iTjow_a;1KpILkR4$N$d1)C-H{au58HuJbR$$Oi-W zUw;kty2ZA|roV= zp^<;Akw4qWXJmH%6&v}-IhpudX5=4lTi;et~oJ{{0t-iEF(W$vNg5&FNpBX+xgRX(!QZ^$%@q1+fO{` z)a+3EAw%$+egLnSp3IUhP3`!1$bL+-i)m?)^xjOfYiX&KbPuN4rLFuW=%`T*+LekrqX4lbDEa}ZmQ@xf#Nq^2XyNZ?qNv~s?T|`Tsq*pV|uAwDc(r+=% zE}1w9grM6^9`bDODF&&chQ%tjqXldPrj6)t}I)mvZN#D;jyM&eo zN#DsdyMmTlN#DjayMUHTN#DXWLx0O6N#DRULw-wzq-QYQkLhAbU(NKsOczS}N~RgY zTM8t7DbozyEqRi@kZFeOmTXC%%QQoEOO~Y1WSSwmB~#MBXPTk8B}3B3Gd-B;kfe`b z`T(X|f2IBhF`dbDlce`!nxVI)LDG9O&5+wtE9o9gGt{%NwNy&_7N!~ES{6zA2BsO>S}G(xgK37emSRa?&GgAk7fSj{rWwLo3M73g)5Dq0 zlk|m5Gi0@7OZr@<8LC>cBz-2+3{fqalKwr@3{5Q=l0Kg4)0hrP`Us{^XS#KV)IZbN zOgBk-Kc*RaS{jf(?tkZ$zkfxz{PS?hrq;ZR };zTwZIaNV*z`BN9dpXOIV_=k!L z#+-1(dSO_0C|p`w)U~}qLQaMGZ*;i)%ZmssKDBHfxXwE7zy0%yLnw9&lHs{qGMHMn zqg%LSOPBEQ#+gGVr5`XNgy2=%C0uTe0jRyt8v=@0=d;N0#u=ZlwElq0E@XGvo3Brr zH0hZ11Li>mmOC~7B=8~MqP?pm3$mrwWP;C|?M-jKUQwZBn!B|PcVn5i{W(;_aMU~w zT1kQC$M)SPq^@Rt5DG=C>CL&lN^8^S-wIe{&^yhe(&|cwz?O`f5xE-Pn7-g2NQI-4 z442ob@{eHM3VDoNZr*WP(_4CLns?Wxzfv2yhrCLbcPW>P(%M65COH(OKG|Ffhj^5K>{yaET{-}H9pSse#iZU@a z-kQmv*t~0dd%I)3#pol#<*((VkwvWBuW6xKJrt*(Geb7dE{bPPQ^dM@ZCyW9YNYQ( zT#SgG+g_g2IAVA%4EG8sZRt``m$RM*Et#{vTl#|ARbC`#{mAjPxiJ1iGzDsM;1YsH zmM@Q0K%$y&Tt&A*wsT6_x}`ttBH3#ZnQ@Z`Sr23+r!hRfFK6*V{Y+En0ZW*S<^9bg!crh%zQOjT3oKV$0Wt zySy3hvi6*4&(v`A5@fv3jA)1y1`^>etHaThuK3?QT++;2 zJ>TH1ZRwXU3r9;e}+c&eS-D7hoW@$dc!UftFueg3g< zSdRw}c0Jg?%EW!2MQx}y^Sd@$cDuIhfF*Qa<+~cz6EN0SfLY^+e)=GqU;f#yIhjx%9`RVjp5Qy5}ak7a+Wt;l?sIB4z1EaQHkwF z+*>100#XS3`F0k4TVs0&)kH(Zk^JJPjt!-W{s-t}hSJCgk~xX?O(*C+V&w4DS7}u9hMj#rB%(_xe&#s3J>qE5=pCx^}~;wDQsk zNMpdsY>W(>CsPlVtmZ^DmWA@X@$GlK5zSL$Ny4i+vJRKO%exzOF($WtOCK4rfdj{) zYZD~75e2m*bs__T4M)?C98JPblGU8B$Ii9oxJut3r!sH~M{|mCt;{hG3ndZj%k?s< zpLabRCt}^TOd?@<=}3`2cM-v zai6IA;AHJ--9)sla(y$cyX;oc6I|40Fe`R&)YGVXC z8>X&lbgS?sTFQ<Wy~h6 zx7SJ|J2o86ZGc-BieL}UmOrHw^NcFS1yj5chx&N*-E_ga(#5E<{9=ZTN?fr~s)owV zuZVTpS|4vZQ7mRO)hke*Ua*v25REF6sr!bbpC)%Do8X!)?d>i5V68D?wKgheSOs)~ zgL5X{LF{;3Q?BM>V64y<=tzBoEJuQ+a<-G+km++yGb}hkOlM0C`%8dRu=+dG69sy( zV}bV3e5?CVpkiB~`?n^u&_KDX49A*?-E9{euZk&26Ab$$)G{LJq|InxrNnMaKcZHe z9BNi6blM8l5}V7$G8TR9Bja;g#`jzqxiw+Rc*PnY!;Lm%rR5}+`bvcb3FPF?|?32D=om#5Ub5G<| zI?ETbPUbt^Z-b6CW9B+ed@W5{vZIf&OvXUQ>3%Ul2J&nm1E>4VUhMutb-SiIV2Oms zyjwgZe}MJa9WQI@s`_It_He$|S7zkJXYR2tE^;cirOS{x2b1bx#i~eqP>G}1LelnE zEE^yLISt4_#TI+9XA0Fs#WLo3NbVn~SlC*HD)gvV>8MwkOugCU@ELwo@LBR@pn9QF z4{`yJf$B~6V#f>BMD-fHuu};0t+%jsIp%2|^{O28s<5EaC*8A1cOiNv@n+8=-B70+ zgY(xr&R^?XlsOlRJ+{wTap! zj8n_Ncp82bD^`$j?8gZK&~9bm$&G zTI?`hoaiwYHcb;o7H_lO)xh^Fa8aEX_n^&=A?ID6j^Px_YfsZ;T(J%18SY=Fc+uPn z=cX}-t1`-PV?FkhU1otQQ|41@ESi#t9;w6Z>4 zCEaNz2VF}tVa@7_t_#v)O#k@YIKK)*`BQ@(H8<|1mHXw=GE+vMp zL#efs(*M+mq4n#`U#{}s$IPz*dCT#?p5>m}tFGU+^h;#;-L_?Kn`EfDD-6RSc^h)t z5bhcQ&S~`QccKBpi&Np@#js{wDGv;Y-_@0daakdmJcaNbw681W`2jVjyZUXqb_&wr zsASW=rGH&Q@-VehN21G)-X4BOS;j{6Mcyho|$AI&jifCK1Zy?j1>c*KuT%$sy+4% z;#1}=J44F0^)KWAs+;4G-A?>T_4LA>g4`P-jcdxcH**+^u=&C=dzJ zVC^x}1vsP@8Fb{+43J#5LV#Q~yz%Cv7#yQHtK8mb2M&wLEr;DIVYdp)~1s%sMSP@;R>h0Y;W{eYcdc9>;u3e z*0cXkqE>^q#3Ljeq}H%IJk)0q>!()F^E#`Rsx6RJBkY3%)KazO!ghWPwbDLXj5cjG z;Fm^CQfF?t6(|>|oT@VyyO3Bv<#w~qYF&zdBt@UY4pL_tc7Q1N%fG1H_xKG|PHIk< z`}(6K%1O;>?0<>%Q_jEU8vRc`G(okR>^q&xn?#}|zI)mQ02#6e0eR<|x9{TG)1pU!yNvq}5kiA7|pBjpjx)NAbD=v&fkyxC_<%F3Q z!`4T5XE=H$7DnwOBjxJY1IERH2aAMvF@#m_euD7!J-8t3HL?da>bvO)I>o2>WeD*N ze_}OVZuJ_7?SCdpY^ITmffUh`Rknuzm4uU2wnm;$!q(vs+8zwJJI0=x)&&NWbkMeV zCTm(_w|&5BF-}48{DOCPnd@OG@s%WfiM?pjEGL_u`h`m=TSMvd?nDox>Zghu^lApY z2z=EHn5S%!+5BsJ`?HeSiYxYPb)}1NrREOD)Nly@IMNuzGMjUUL#UWaRv$@w_JR zQ<_z;21ox>4dQtkSpj5+F0J9?gmAD+Yvjp7cojRsU{BWYR1!|&$r|}7&BsQV%#$_z zdBBr-vPPB);Z-_j`FpZ1a4|_I^<<45O6=Uar96b@b!c_0uV>=1KJjc!c=fpmXS#gZ zWKOeXx}5T>I*Wy|lgHoRg;RyzSg;-UdQ$<49qt00hBKSWB#B!>j zX?5ssHUfS6cI~~2*vC6Gu?9!dCX#9E`nS_XF3`oAFI}iS=Vj0Z&0PG=sWkT{_q{{W zyVaoAl+db&>sFl#f$B=xs`&tc*{bvZ>(i>wZ=k!a3YzH*1+|(A{HTU^#(Q*$fQfmf z7u%QE&Q8qx-{ax4_Fb0sotPJUk(s$KE+R^T|ncr

Ya7ba8<*3DP`bn-9SKg)o|{{->A5Zm(nLBg$i zxh2``0@l7Q_G5^*=UeUsD1BZp9+{rIf|IMu&bVBy!}0loVO$zJf8Iy^nvYne*1_t- z5j0h`4rZOmJFVbDyE}KdXqU@nGV6~MxKj6LuBlo3Ey?Z08@y{BZQK}u8+PNf4lzNg z253`NZZ9xy43}Hq;nKnXYFxi*Tz^QofI^|x(@|)Bd`9HHQ7)BxjqAsZ>*=`mP`S>y zd5dv9%DBEXzR);i)a5j(`DGL4m!IHL`qxb=ZxixfPz(eo#klgSVeF?G#bbw`E_;Y{^E zo!cb2hauNf*SBD#A79tEIAbZ;&+lM%>U~ghGJaGvxb{sNFR@B0ys&cti{u1auGNbk zC`5fNmv_I1{kD2qE^cKZ1uF~L!;C37jg-uJMW;$oRysXMqWwUj8O zv1bd_L`#WA8kQ*}J8)hN|E`X*`c68Q$Rt%D&a2V)30>bR5a-p%=|sjmuVt(U zsx5h$g4oW>$_aMfV)VLFTY>J^=vLLXl~g~`s8UZF_BLQ7xiH|l6uO^AKPW_fbhU;*|8hgdZ{cvZpac6>YU0_^a zzV|klQoeD0F|I+Wuc9={xO1*?{YT^a%r)P;luk3Qe~)WZKkGQ-=F!IW;kd5LG5V67 zPJ9VyHL}nbdcbLmz2ES!U|BTMu+1xyI=3`%ja~|Lz=I#+I2w7UAeUR}2^sNQEO&?- z&t1;NBE4`KppUHZ4R!T7LkK21tgP^9*nXYRN29mCo?IV|TrSAv7KS-#{Vso39cGs7yK0sVaJ8J~=Id+Kz?~NWH&Lpq4}IRG)@IEd+#`Oqf&>Ph5_cwe-Ii~;YVro0_X;sugQxoCDxuPa&xo` zHOZyi*7iTUa|t^+5Bl{soj>9{YLt}rffgIRxTlP5?VP!9+I|ZSotZRRpAd_7| z7Lj<6KZ!v!wkS>zoD{Y@FxB66t}-fI-a|U%t+8#fcq8yAhBCs`KmDfKsB#5)fW(s; zL1U-K2@=iQ0ljOT@lEG!(B!ht?2xs_{_vXD2GP7-zrp^g4?9lyIO!!-TVrP@@c!jD z@Xm615ABe*#_n7SIqi*k)ew>T{x`7CciI1&B$KMHv2%zu+8;xLFjv$0PWpZ^c-J(! z0t`(iKpF|etVtdyCTdZ*`!9$*nDEWF{-2)4~qRpI~2P@w7=Ss5E}adv7Q#n zQx_5`BHVrGWKApv^P1F09bcG}OoA-H4D1EO`j44bj&eM+0E=0j_e_zd!j`I2wCGf;fy`w8rj5FR@6IE5e5VbYu~YeSuhyML3M& z6PTC4L9E|UGpUa`elog4IW+e01UVSOI4OV}xJp|%^8es1S*}dWUhc>?8ha119@{XE z(IgnuVX;3&R$n_D;7RS|yAqt+M`#)Hv*p=z&mpZbF#@Z66}lpeUaYn1P)^Y-IG} z$lfKJaU#X3bbGNGHu=G*5%-F8>kJ5+@)R~4?YuM-=;Ru9=;?`Fn1wP2qX zR(0vDcSi5 zw}=$Vfqs_ygopM*p}kAJM#g=)n0m>_w%BAZ_%8r==nz_(Q%F0BLulmQuH5wDWDcRr zeEKY?Cv^yoeUVtxA@-H`-$efeyDnD~h77EBa;-3#lOXz=i^p^07LQj2z8*)GdFx?J zHTygm;sm@NM^<_@@|S0Hh5GFi)$;VDhQCF4lG9Tfxd=$9$^hq%llWQ^_IK_$iO+T= zPEwU|V(-H;Ne@tI!M}bQg5!)*&>M23Rcd$@-~n&QkyfdZ^NEZY{gBTaa-?DEBA2m9 z;2UzJZEEl_1iL=?|Njj+=x6M0@Kv%6KK~c$WA_Vka0-W~oYO6QI2~*9lifA>xm)X* zRsLGIoyF8UMlvE+{*CGss%n!7tMsW15Us9bpZgRF+sDdXtO_Q}RWQ-m!Nk%>WX5i+ z)mIqo*WQqneg7xHz8F8MUA_J9Bz612-iy{sn%8_)+{W z6MkwC;;QTPNejK$V;z2$*u&i?C@nM$9>}@;SvEEH7^UlW$4P zc;t!oOKdj1)iZq+_C)9smhR2@nL?4%C9-#tcHQY}F2MWP*0F0$pVo9Mg2ONL_c67T zbJefONn%p zY<~j5el!I4n{iZnvF`%wcfvp8X%ESN5oVrczzo1S;Xm(7k+pIcK%LUM5WJO5v&c57)*72atmmkvB?7VO$~!;BAG8EP<8v|mLRW-m9|u`y z&g2gDRJd9f6U%FGF~N?Gj2^yce`NJk7dlTk*poh+!vL9;#bixgBM&sm2aZ+a6xjM0 z71*W1UYr8k;uWYN*i;~3R2ip28H)vtD&v&6oLJ{&t>KcEu9#M`qR>8j%GNl$dzoV5 z&8;PyEj`v$ODbr>_2K9!%uCTR^ z2aauZ-}(}R*18_?z@s#%Z+(e7Xymm-n$`?@r>>Y&mm4k|f~rjHslh!>CV{~!PKS>l zp$_&+K%7(9UadJiMX;$xGN;hRX0ljPr_k85iFJp0s8M)@Q=|5Q3cJc#t5WA_{*H0p z?l^`$jDqVWI>vA55}Fw`!_PS3>)J)o%6}?X2#|q1n@GpRL0v+Fx6D2)6BHb?p7qfG z`r(ec1TFP{feq{uH0*A|I_eUhIisU4!PD#hRXx8W!5>4*%DNoB6a~vckE2U&FuLSc z|94Z%+DZjfSKY^V4^b1}EFko-x89yu^CMi=@$3poMCy9$`k6-JHBpxC*O^Ub8b>wnVOYxu?oX%8O1 za@T^C-0Nb}-)rQnMA~t<%llmaao?AXRaALrw!H+zu&vI#MbF~ESB(Y`q&d>dt#pQY zl~RZHfZ%Z%5FEdYHpei z@lO;DdbU?4r5bx5v8L;rk1S(EiAQXlS7QgL*CcCIu6kh-^f{?2i_Eb_dfTQZ zQBT${bh^omR>(oVe+>fG3mUA@neIP~p#w5@1YWSlh>>tkKq@PV>TsU`| z93vWMShAd>sgGcpq{}%P+n-q7T}Jx^JlTmlQ+0%9$jWmMAI1;P%eRBz+!b8S;7beC z7adBr4#kN>>}AbrfKxZhsY7)ruo*|CBWW|P=8Fq9@j<8Ixtr1#wBTY3UrxSBy^Y)V z$>jn#L(OZhM~u1;jQ^0+?1s|8*fGJ3j4>zHC$KyYAjV+mv~cl|(wW>BuVm9IL<%Z}(W+FVc4ze)0W<;SK5Y2EZhE z|Mz4>tT1NUn{d!uStx`X%0fX_X{AgTyx>@6AY}>~_7nnUU|atItgPbHE&%_OQm#h8 zE$7byfBn3J+lxC*Q&_(5vivv6BxR|wbNpBasU{V!%bvlqNh@4q`vMzS;jJ`-#4Ex!x;bZWa{AJE#7S2O2 z{tci4%BiYcd>4dFT9q1m6R{S*@*TE}R#%?0DeyeMxa+enx8IY3Gdj2X}&vxQpF2Cv-g;`!8bo@dqw2u>v9~I97RwTBOHV z4`C%xJMpHsT6xxAR}qT7PY$$zg?E8zn#!h6ZzjA9ciht%YYpjy;8MA z?%8)UTfGN)d|)|Ff>@%O$`m|*$C4{j1!xvp#g02qHct~Ga%`-Q(ktO;2{*o-zL&& zYvhgvVv}e-1{`NW1n$_Y0f)bFbjf8XsaiykaWwWGVmYH_xKk}cPCcon5ExiQs{>uF z&L@?iz#@8S?7_lOwiVQF3`VzU4^^-1hc_xNn>FW#8}&nc3GpnBZR7mTvwenGwVRQa z1#aMKWo9{>8Jq)2<8P7y-UnSe?yydCwZDL*lXh4ddkCiKEo&8&qI^zS#2OV^%WplDqeq}?l-AlWUyBT?8pF1TFVSHTI%W;t z8-oiOgsx#E@okP0M=o=#?jN_a>P9!YueRP_c$6YpQP9Vyd!jXJX#hL_O_Dy+)Q_dLdFp`X1EY$nQ(Yf%U`T)Gl|HD3g}a>h4lBOR#*3Y zSI8h=(%7R!NEvpQL4Xt<7)blqi{7p!eO?X@i@L8FP8NT9{l^(nt^Rw`8qmOh1E`hr zuK|s|QOG8XKN@>JvG8ejdY`<&ItYt7k?vV<_m0rIcS-}^Zy!pZ&-El7GyKhbl&j!( zrBslT1esZ5-vBmwlhxS!gl=Gy)xbgmIcunFcK??Y*DJ)mZ5`lxHF-?Wu0oWt8o`{3mQ$!(wN46SOTnGaZyWS zh+}yFJ^XAg-%Ojd8~?k@H9|_kLm>^k62Oj{kw%{&WRo=`jZGz10@**FQzP`h5oPj3+zC3iM@n+pfhUV=W~^nJgsre!TMlWmFK&^H79zV(HVpJYrNdm_dQv4d zb`r662Md4fBfZ$hr))4H{Eu>>OfcUBJ_m;X4RVsi-HhjXhc?LfgPsoWA-{l1&=gGWT8!Ck0w?^+kgM9yya>9HC)LX z+Ge-6w{MWsp}#e>_4%&j(3bC7X#N~pC|PLJ*eijJ^nJOtqlT_aWC``ahOU8o0ElLl zwqUWc(XE5+N8h}CublhLskFl!w(0FK*O;|CVeUFt`-+=7(q3aH02}H1%C{Y9uS*;+ z)C0BGzCN)VEopD2u}2Xb*qia2eG#i*OUE($2$%c1>uHo^_SV?vfQ|GGk$%$Qs4j7nP!IGu z4ZMiJc$2@|Uk^tY+9t;mt{tbdj`W&5`EWGsYTSHXM;dGFQeY!}du{GWV_o7lp&qEQ z296`J6LES`v&89yWvbHuLA0E$>XEBm{SQvRKoE}SMx)nZxFT|gbUgh}@RmBwzIY5O0;37?CAk#UOJ zyNey5o$O*i>T357lJeJ1cCj^bDv*)1>aVC>C4RY!&A*+{QWH6h>`qOlrN-`<5x4qn ziJndj*?Oq&K6bOSJEFdL@Wii_y5cOZ{x++9Y`C1ekBt}T`<`0mK6b5Zq-!BbfRSV$ zTO-c~60NqQeQaHzA4%H=akq6n)vmFduLYK2$6M{*ee5{>`(qvf{m&Hr)t)ucH17{cDk2lt>bB3kWq zwR(V5g2IUCrLoh6W89wg<_F9@>&~(rvKwsMrc+U2n|2epAx>LUdplv=-Lr0%J&Xgs zkUb24kCv6J{i>XS%flo*ce`qcZct-V?R#qEEFiT_T^Hp>3?q}+RHM%(UE8KERypYM znAlWf_ZQ_1cgFs^9Jod_8=f2I$M&9ergW3`xz@Q`Q_*$cd6R-x$2H9`Glku z!qxtg_hjwLeoFI2xRUQ!Soh;9Q|{i4s{`d~KCTXut1?_2ELXSSYKUCjgsVg3>N;E< zCRc^HI#jN%#?|3+H33&c_e*e0V3)cDQoPAE#6lrPm0f&7@u)@e8!Q? zs4Hzl50;OHt3IAtO1^ca!*;c|UnQB@xWY`_{+I_p%u`qT1Bzf9jh~(57d|lTZk|6C ze^s)Y^%9$k(!9}E-(d2jtN8#eAJGZTozuV(Mf!p-kiuT6eT1N7&Kf+#^NPyD+Mj>C zDF*qPCj5w1nveYp*!w&fk-EeKO9hNW;()F6$zJq2$QpRkzTS&{j#%%r5YfDyBAkB6 zBS4p-)ey1_KT4PJB;}(^r5APzU`iLTUDk4X>6=9Zm%ywNHZ%U~A(CpC39qk9QXfAE`zBjGGgwc0ltTbxbvkvig`R9|!~18?YqRUgbr9fxT*{k8wxUP9M|%H4o)iiS<@HKa>@% z;8Uia+D|lAKMb8A? z-nSjbFXs($6;*q6a`zjy0-`R&k5cNj38Ivr`_+1pcL5n#QJHUes9q&h6I)ZU7nV&} zko%QkWad^>M_M(JaP~?^tFOlgYBd=k1Nj<|fm+pjv3Cg7M6HI_c}QMOSddnCW2oTK z3IVl`GZ$CA&$rvz$;dfG-0YgZ;9JCl4q{{(MQFy4s@1Qr4AeiP-h+G%$UyxIz1Ta1 z>h`W~0~oM`Udf$CG7k5WD3EMSUZ$g0z{3um-j-#q= za9|gy;^*>Ml^3~MkTM1s;^$idyWsub0>9b#QT%QX=C{a;yi)TUwj2CHZ-d`t{3w0{ zgZWi>k=qJr3DIxlZt!a(m4a0czh{JsuRY5EGLW}ueg(V1ua#8t-f{Sy63lNlKn8Me z&97)T_%)JB_PY+hRbvDFWimhp@=+qOx#zlT=4}J^^)$>U^yogoc^XJ^*n1B1^A&Tn zAlF%C+Km;00)PzUAwWh(V^HLGBBtJp{pAX(#JFUS^9INeB9_(QA-S3`zCxq{UV?rj zua8GFMu7k=7LriteMgIO;n(J;#ZZ6@rf5<#0 z{{s)vun=V%bCFKWs`VlV6S=Gj@7k4bTVP0@Hz8hM_r*i1`#8AW>^`8cyNVky5+1q+ z!d2i$Y5er%{u)<#VeqH*Sj9-@B|qP`lJd67pE={FU58Mf>|by>v??m1e?DgPr! z(dCy>QQt^c43L3bC`gH{t?^Q58@Nr{sr6=334iSHyD*qvAwULlsOFbq4#F9LlJILF zm0^tzzt1lX)UN;_16d_V(XW3zKOOK=(ic31-djc@fuW+Fl!vZ$WVk}fkYWTpF1>L{ zG!GyHc><7up`y}@O%ULPn4LGz|ticPjgko%JA!9_}3i64Y5GKKh2hTl_^@qN$DLND^OKhyAm+vcH9 zJ#=3HT73h{ZOV-Gbk@mUdr-1&SCvNj1GX#k^Wj%xeU|mWnTQN+|jy=T@ zO!b2KJ17`dojglY#W+z=XAPRPR(3#a-K>_g_`J9*=AsC~+!$25J>d!%5#?`$3bpuA zDqKHWRPdXGG<%V`f}{$yU;-5uJuy&J@Wk1b7!^VQ9iV!oFZid*qdNz+lf2I%RV99u zR2wg*RJ(e(p=96^9QcKUZi_W~k*@+77@&rI;h`E4s)+$=p%*qmNCpR}HZS}{p~@!W zF0QDK0xxRcxU#EDYBLzK#}>pA5^+B%v2s>rGTmyZ1& zxhT+n*#H^H>wyfk-y$#eJfWIsztC46l0$@Ku>A_W@E% zm=F!VhtsZ9pr7qvFk3NY1rgeU?YxE1IrFzh%=$i7D`+IUmok<40f~equAX`5<%=1d9ikuALmrj) z9psjIkuM1nW-6)Ohf+WIfQS?yM2l|Gm3P606l{Rp+M!I%(-Sw*mvdh}yi1yt1Nkq#B%)s74toYSe#|~me zQ#9BjnO{|rME|W0yVH}g%LdHA?&GpMIqe{mU7%kn4_I(W3jFGVnf3jDK!1*R5!FM) zaMg`{o;!Qv4f9UNM*rcs7p~g*@9$RUUYX5|Ok`9Q+-(i0qdK9g334fe8=;B3Y``wq z@JYXL)$ior2P_w6#@rP=Zs5G%Wwd%qou>=xa+Z=rBxk8=Nefmu-R#n!g@;8nLF)e; zb7hQT?MTSbj>9A!CkrqGyD8VHogwJ-jAjV_LF^WiMCcob-6JFs#BL~H26mRquJkAm zJKcP-lKYa=hX;yKL9%VG2!oS}kom0#yKSVS@d&tK=QJ)OiDvvLUwNJ+f;7%(^)Y%BpUIf*kvbU7y8bF?c=huGCRv|GD$SxN3nbVyd>JSd9hCrD~n%g<43W(G8wy8FZLvt-4n-lmR@`@MhZK6N>@j0wX>*QPJn4_DH+)!OaTJsBmD2Tfdpb>0t-#$7~X)sMJX zryr4Et+5}T<7muajaQ>FSVv|pjSPBVKfI)E;Nann>7^wQ#h#n;6)yo}e~ICGD+f@gwI8;r0<85c!-2gjeUYx@em_?vL2GKlh{@7vb!=FJBeKydlIpD zF$<_i^RU`k!Vp&8M}}%iI7;|xbvd@4okT$iUmClDSPU#8)<=ibq1Rf@C{~_w4+n=W z{b*+EHQeB{*rk_s!p!}DblmdlWKxKkHTE=Oe~X#3U3NSEm_%tYv&OzhtYc=(k#@(- zlU=!vcrbYjo zjGcIJmCJ4sNd$F=Vp@$YBK9|$w#nsqOfrsQT8-`Ma$J*O*CZwt9aDdDymje_j&#)6 z3Sy%R`La9AcKO}A-SVI;m+9Hbn2MSjyT3545T@1<2PL#su2LmYS3D@+<^0ZBNz@e& z(%46c{Vk2N*kyM`GIruY8hbpkztMvhyBxQknM6S`t;W_8D=~0&X1rY^Rt$ON%e#%P zhjpFaiPz}M6UPCEv|*TF-O3_Xv>E-sbu+GEymIRnB(Y?G-s=@O4o+n@Vo0HmYBu4D zUm-zefAn#0LyNl7Ok4qos|MWWQO$_8`RGw;<)v$o4o4-MhcGva_>!#V;n>)_D9;;{ z^$jM=vnkupU)S{;5jpw?{83|)5yNrB|6p*!pd&%5$Fx*Uu2gci*O2OBKdH_W=DAC4 zL95j=*1Hs>@C+GC1r033Ra@PfJP7E(=p(MGu|GjY?W!XpL!{@d%UJ<6LL-JxT#>$j zXQO3!wKVXgorDHW^bryb{Az-DuLc*<$T=&*z7LA@{~1G7jSY*mi3drFy|4ob(+5eS zcugw)aW`jK6LxcO_UY&Y=}{I33$h*SC$VtW2goauIc{;c(CTuinKO2 z2<-sPo4qQHBH@xm&BJXT1061K1zcpgx!(Z;<7?!@)9M%{#)jm<@;w?Oczsa9hNe81l!K-R5;in; zv?~RJMRF<5AyyA_-a1)?!U9ENY`y|vrOrC#v$}pVv$4AG%K$S3>4>$fpFIE@AjvIA zM*6leb8{CRV=lB0;HSOK`__-2*71>5jPjPH&mV)D*5*hE1+rY4=T^#$VcHxn)~OU( zF4fpS5-XKrESG{?GIp}OHrr*_Eg3smUenl*VM2*@xl1F~-l8G`9+%p5>JCoRW<9gQ{+*UzP z=g3D~da}zdD;Ya6uEwT_sx#?{z6iFJ(o&bmb7Cb6q1TII4m zI~iM1RAcuSwrbIV)p`*)cVV^eM)PfQIluF}juh6|M~IDiUjXD!0p- zn4tM?CVZlU@k+$nKG>&A|4!sl;}33N$GZKhy7bxl2D|k8j$@a8z*?E_4#Xc7?UT-T z57trzcj^=Tq`FL)`*!NZ$0zMhrLko~2i2(`546+R5;}EhY#Q60Shun1`L1mLV!DHQ zM}0|^qd7x)a?N{!lsJGr-&GH8 z#?5!HKSmrg*?hP1nMQj|bwlXKo^UnHagkMLJ&a#;-57U|!;Nz5UZf*dG>rqVI=L>z zwao06N^*wWdReZomRl+1*1skBXC`Yg2mF&HM+$NjuIsD{{BmZ1SkV3h*px|bkC*Fs zLKnF^@2~4$ZgoW(?=#1}a%(^QMyw3{inQrcU{CxiGA{|4E|RV;hof6f^!U+K$ z2f!-|&KRTKWM5}pdBWD7ig@j7XZ0$#E=5}1yC^(&YZ)}=JMQs`nBs8xJ+s?+f@l-* zC38062@lE;1r8(x!*n=zC{0r`eWz?svGb~y4h{HWk` z&(U;b-!rEbUgTeZ41Q^j7kj!;P5jPZUMSyW-rphF=>OriN-w7)z_(|E;VxV;T_kdMlS_g9r{jiYN<<&ypza8r}p|XADfR{{6+;nhsZF+_rxbw zay}e5v67ELE@C5toqc+Wv9Aras$BWWf-d$IAEJy{-}K4tRa#rrL){&<(ZRL$$KAfO ztIp~bv0i-s(tYrD|M|6S|8=FQU{Q!_s4LCD6<-g6%rGu*l*~L_r6Nh$Vzf4Dp*UwwwUatd}cB! z8obzkt`udP5A8sT9?7K`mJ0nA;zzZcrH3T3MU@v@K`d>-$0ed=W?it^gv(`@Z+mk7 z{rB|nKP4IeVlOts;g6%2I4zr0ilXFFG_CcdD6x(k z?FY5D4bHAJLsAMy?9HC?+syYt^Voh6) zOyi9Msi?*sf3PGMAqK{>AuJ%uh2H%hiRe9rDn|1D8A~|kKBJ1TB~=h7R*N6Ez)Q_6 zP$~r+B4wkxFi%Pg*(EDb1O_Ifl{KW)oDG3+=uU=p81JX&_VSi^hb3^zPM7HADKXF} zv4k(VvL#%@a$CF3?-gq_#eAzp#8e7#_r!)b6JCGHl3uY^6TabV4ObfcM4(55zrluD zgUfqq4VD-TLVKZH6B(r9$~D_f!r-0?)6Pbz3}9pZ%>w7C0%c|an#a~7lLdyF1@=<~ zip>IiWX3L##R9|30xe%r=X++G1q==%(wSy~Dpg>iS-|j>JaWi43*3qV5$lhi<*=_m zAcDQ_++HQEG7i2K?j5mCmRsqie?gfr&Y{5&~<*P z!q`M5Rr6kQBWl-zv>GG${i=(x3H3SvRN{rYyfZ?z?d2oXx0zZf)=O-fE!1^#BWl-l zyik+9Lj85Hk5FSeBh+|5p)NIqlEKhyTd0jxJ{q;XDPE`#bTL|L(;y$AuIP+V@AmMq z)EmNEVqCRYs?-*0q!P+@z<8lf^9psopHO2vBh+v|p|awIT3`$HDr+PfwVP4AQ2*A& zXsPOfK9(xzj8Kp7>0_w}gtxMkyz=cnTd0GK8}#rPLmmYf;FaeH$`e(QdkKMNRIOND z+AH>yqNsX{qD?wXnn6#3@ZE&JXu@+$IQHs1)E5$7Wy1Rb&es(Gdgkn2d=2KrTin-R zS}iIs?HBvrImW#&kr&4L5C4?swAERKsk!p_k*k>zX=}l;I@E1JTCCpWy?&$COmzV7 z5~!ks-G$A8N9hjWNHB32s1hHs1OuYm>7C~(F+8EfI+kF}bxREJl=xzgTueqb;1^-D z+**SRFUySyLN=mCwUDud5-kZVpOg|e_lQ_kKqFFnzrkirN}$n78f=-a*jIYEW+jx^ z&JwHzw?vkw#5djJH4F8OH_fj7P0eZ(O6ZHrzLRRhb293@+Xumx7w_DjrLE_=qQhT2N|>z8rRDl;T!}CXvC^5HaEeVPSZ6U zd??ur`Df~Y*ij~Yi3vBx1t$=G0^!3<_-!Vf?+>*D!wAB|tw*NC!Y2GOz$4b>A4^Za zf5f^J+S@%n557gLT)8#t#)J;_CfrsXda(ePruf9Umj+0flHf{V9OlixH*T=y#V8u% z+_L^|2`10nSI0TkSTCj`o~HK@Xl+YB0^h*+^PA>(Fp6^h%*@eFb>@k;_KIC*8shE^ zP{;bVTW&85dU3U9igR_DedQ2SVvM60o?+&`5CaW({;g8}2~c9>^p;ADG#jCBY3<+A z>#T^)yQPwYD%(L6v#ZI>(?Xtdi(QOjT<~t;Sgg*MpXl-G#c9RVt_*-Gf<*ZX5Y%u~SM~L7Lb2^&tcu-^Vyw!RH(_*dvDGZ*2}zV!6&sCW6r|z zaVWPJC!1R)dCR1-jHd@IaLa6KAK8nnwjA#*vp36loZu)`rbnF>Lz!JD<1NuIp~QB0 zn(o~oidCI>mWl zQf{^Us;fyg>@bm@rgoqp-%dcDN2JGTeufz3)`JPi^NIBIB<~3F#sp+Ok?cm@hW?l! z$0Q&p5b0?ee+5!I;LQmo{=yQpr%U^6Pl~;OuUPXOexrSsP3Rz=?pg^CA=o?HvgE(P+4?RBhbXhb8#1E)CPIvQ8lIfx8OJ&8}EI!~wLpyh%? z>|5?xP#_pv+y_1(-?Y^G?ddWUd4sn<0D8&g1n6s66Q896mMAv~NJPr6KJC>Qx}mA~RzB zW0m8acW-x{lN*$9&c<(@b=xLf?SQU0%#5pITt%$VcKYEOq~R3IW+nejnN?Cc<=C~* zi8uac+>jX8V6WX;#*O_zIQCb&1p5_(@-;n?jF;)xIGLvY;v>^|O{kiCO|iRd4x7_l z4l4LH*=M`2HEwV`8hgPmQH2{3>&mxtdSM%>Jh7QceOCdjn%SPDWBZ!h&>UZr+Sb?& z)X!oy^9^3nGFG%>Ne)%**7gx$+TMTO3jz&Vwvp|{Y!>-g-{M|dw6y8F_*L+Fj8(7{ z+K3zW{WF#r>lKXb?rBpBYkO>`KwPE*1PJ3S*D(4n$0pf zQbr_Bkf^$W@U14ip9$ws$?oBatj_xu@b66c*A3Ldrf!TMsBd)^;Xj-3Didx{=O#gQ z2I0F*czH5-f5KCG8>5p;lfg3y?_t7^41kO5!wAnX;XB_mR5fH5csAkvO!zy=;9?&%AFZG&AQx=;HdVm2}QGfnybI*h&4X&4diW}i_>plF@r=Q)J z?2Hx4K(nS5KPvE@vj;+C3BE0-he?w}VTU@eqvFv zisLx=h**d02De6++r6Zbq{cMz8pZ8i#jS2b=PlXhayz^OZW`I1BD(#mxQ*KlZvFRh zT;#u`kwiC*yhCvt&o!2a)onMp4Rg8WcEC*|4_4gXRNNk1-+4>syWBqOmRvWD{11`Q zieoVVfo>=72DjNRw`)7#rjchWZucl|?|;&H-4?psx^=)!BiD8n-F7H$`|Jj{Dwo?m zq>;o+H1aQs+c-pFSn}C*o!70=<#t#H+%)pnE}~nV;&#|>aBFk9Eg_90x@qJB#qDTx zP0(%G+Rp3NzmL=2&g+1iMjoiR-KDslwHw@qx!g8{lIy0CFA*87_(gHc*$r;_F1P6& zaMQ>f#ceG5U|4c&W9Kb7+vV1!18y4mar-Xn_J-m%d^dDk=yJP@G?I9UMov-Oe#WR2 zx_$7mM>lsSZN5e8sck&p>&&!D+V)Lf@H}F=tN{c+#8Cy=YBKvejCW(aqOE< z3Gvu>Hb4fl9>|EbZE4c^rY3wJ2`8OzYV0+{%6v2Z)p;SAZKl`MhOrj&kpHkq7d>9& z4;~h2WGdw!4a4|-9AU9n*Y&^BD%)WddkJU25_xtiqo2nl{~;`TUVHd2t0$@VgROfBTc!S@Qax4b z9+m2@QunG z?^Nn7mHI%XR;bj8{DXJGsMPc7UX@CI>X!Ie;zAZ52r1SceeC$17$0q}rTf{$waEfcUx@ULX>#8raX$>52r1m~FWc)KUA66|Nf(Gfa! z7uge63AV%xRkec!tr9FX;qlZHR|%pfJf3>uD!~;d-0&HZJ#m%b*Z_FIDnUr#on0kZ zjV%Ye`FmPNz$(FGCcNWSf+7=c*fC(0Ajg0QtrC3Iwu`%!SS1+t{%)@lY+9;T3EqD% zV3nW>HxR-9%|AHTp;F(g)Ws_GKb0D#QeUgoY?a!mQa7m7Cn{B>QXi_+bt?6qN)@Wq zn<{m+O1-X96IALomFliiuc}l?rCv~}b?=JQPpi}#m3l&@UQwxsRjNP#lw0?y)Sc?y zT`ILo-HWQ!N_FpEq$1X}FFTtA(?54N3GBzCy_*Cj7z|;RzJF_b`)u^vct;qn-awmB zI|ph9Yvo3tRr=R{V!tjM32Ntny*PIcF8(?01{aQb;}7vS7}9y;p!geH4CakJ<8Lrh z@J4GqbGBOE_&ELs+b3_t;%{)RkvE=*zrlFH8@I>bU{vFcnejK+&G5#h@i(~c#T#eD z-(c6l8$;u7u#@18^!OW$z`XI(k8#%JYBFzZh`*6--&hfUgR!3_p4K;*T)K^8*OOj! zT<3%)*LAMIxPYs5=d4O>hw1YQl@ECx>wfK6r|$1K7K5khSl4{!<5&}Z&>9|s0l=shMxlLUwZ1{z_ul~*zw`8q-}y-OQpTPLdi=;mZ^AMK$@k#c{i*76*9 zk;+SN`PD`R?j~rzNNXQl8=r0P(f%WNh11LjQOPa?Rc(whZg^^AguX$MC${?3hVv3Y z1e?L2r@{_xXx7I0e)9ZLQ?DLMd4}5Zyu(^I;}I9+DZmO{g2@lR^^u3)o3q1Uusk*E zeeCm!!S*Q1lV{6ws@%w3WXfb$>hWHQ&Vod&&u*ws{7qATFPBfhx4&ub6!LQe3vNea zbmp&q&*>IJfSM-!sDV7&m-tKSKm@^M6)Xhiw=fs1s$vld796+v$1 zmv8W$h)VP{0(RH^?LjbXY}<{{0(RHbw~USXY@5Y{)RL98XJGZ8GZdR{)RL9I$Gag zw{#jl>W*g|7K`hoMXXmovR$W$r_#M+t9rPN>L`oR<3y}OKl1UAL0hz)j$y|XK3H|! zk8jpDWZ1&(UyAU6F2Uq8&4S~36wgzavq7gijE~sFiWNoW`@^0$W~RoZx{UBV!YfSp zo&oTMgy$2!$b_%Mpa=e*Ak1RI3ka_?;ZFy^s|cS=c&!P)DF9wicp>2pCOkI)-bnat z!kbL^U=yxa*p@UC&aVN*T21)3&$3RRd(sIa&#ZBS$ZV8O@P^fK-q3%Ik2j>ly_|S4 zgx=7mST_Gd)v|LQ?A5P;i}yFa6T|ekS3dCJ@T?}J4rkfk(R_k&L;Q`tLkBz3kco>8 zv4KOBhjxuGLHA*aUyK`4;y}AZ!`E6p;Xw~#iMsd_huS3`iZ4MYVu^cn2_~QX(#Iel z#u?;^)jkIKr@?{k!Ew3fgN+*^EFF@(_S3AZMZF_!VZURom?4@z$r8;5DJhXo)nQ_fedBE8q7~?=^!1o!W8Y<`KpXQI9T8UVrei9_h#WV-IV*UKZyR zIcv1FCmEAI7an6L+B(0@s=zu%rT={o2 zmC}sjbVage1awf5_gQAGKaSZbKZ0d%Z!AaYTy&U zI&hw!5FbJJ$e`?(e~3Fk`4|jr&x!)xbej)GHMOo)22tpscg!|TaCsHTNRNsz)fCPebOJmmXQ{l=qI#Z+Jj(hLAMO@9Cwlh~epb=`a!!!hu#|xJ0%g4*cH+5X z0`ZbY#t%<>aHYfz)CXRXdMMiieiY9%(I^{@(B04-xP(b!o4nYKpRvaAhW3c{{Z0dQVM+oU)z$;GN_2>mUZ~#LG&73 z_DCt));IlO7unbLg-S27iy-akKQ`<6HDG+m$*$xNrIKmACC??Xp44^5;g0p5#X}8f ziGJ3Tn%2k?AUm?2Mvo@lq}J2e!-?&z^|By(jV}AY^(^aay@g)n>w>hcS5n)T#~s9i zIO^cItlyVjuKpD+n>O)TPsoG6+TSduNqpT@+$nT~W9SiNpCsgI7!yX+n)YwngItm&Lb&yS5D&secBi6_FI@8(@ z-*Bh3Q&BEqNV*p?%}M7OZ}^ONhEZa3$Uhd!a2!@c@$`tf>0@}h)#E9It9;`;7#~Pm z04t$4NZ`-69Gm=?t?=PrC2Z6ynlV$c56H_%a6{w&Bko<`tep1$@u_B~n3_S;g(CMt zTv8Fc8_*hgExMA;l zZujyJsR})H5jZM5gsj_^urusFJ<+y=`QEZW{%G5F6?}|61?|81r^h|+7LgOr5;Hsq zk78zmbamdrj$ily;y87uBOa&jx&r3HK29v_u2~J2db%}V+8+PLx*pdd562+5WOZ3lQrFS!Dy0{B(r+}n5jzcjE)AimhhsFe$wJuh zvnOCK>~+LSgRjJjwcXU_42CLgSzSY{%YZe;lflJIFXfI=Tr_#H>prl#P^XLCj+dJWJPQTQ* zTK7xyVG$W-(#2;c|4av%$sF=(R}QybrT0Em^-Bl164Z>7ZK2PA4JQY$k4+zB=lIbi z&~)3sM*|#syx#y@gRP)$Y)>Tv=Mqi=MK#mHyCK|j317b&Aj2vuCcGxao(F@Z@}}>A zuh5zldp6jIh|STS@1eZmT!=jz%JC>J#GVbdv%^KCCEXFN1+iyCbJ_9;Er>lE?ES=w z7P8xOp4SL=epi$dXx_ibDB_soJO+YM1W)JN-CE!%LNqx_*XK4A5jKjTUoglI6*FN& z2p`?YNH=u2=B0cKu^d7`OS1k_hwcd8t}aUN9)jFuMxt*6S?#n23cxT zjn<*Tw5g7vetn&bufs+i@B*uHD{GO>y!4iNiqR&9Eqj^ z=LSUI5kU>dmqxPdS!P&}EaOXqJ(*Z)LB^K_GL~eIx&FVp$4o3!J5JR<89PpAfbEDH z5}#?x`=l;+-kv0ulZ{XuUhxrML-#`dPIvKFqxe(*WbpT+)PVfVPO0TmVK*=o>Mv7H z?Pl_opHm)PidT4+eYLbbCmV4b;V}FFGvK{lea(5euFG}u>& z^&2D$FSKH>JOV!W^3eh4Og!9i5klOKv+@#WNmq%j?^Asu@iU_oH z40kgpG)^(r5#Dcn_iTW5d_nGQ>*$F(Nsobato4y5fnSrg*oc6Iw(X676-9piAWh&? z{IwtVsQvkU?3;LfZ9%GYb8r1#Zr5+@X`mx(b}q_R%K6J{*=p8cj|bLjGY~~%oiEjH z=ZN|>nOd^|XXzxMehu<-NNyeV;~dD_W-b0EfA0Qn@)y2JoqC1tOIQ8#0adSOYXzFD zjVIq#0?s;8YX5Mn_^xGOT&zABo5;__NtPA6jb%ymf+J1FMj~kquMiD0jQfHNU*HAoI2Os~UbX_6*Xj*i#CDPmeJz zcE9|3ul{wq{5n~Fz5h1HE#CXIc8i}w_Kbk(LdfTyx^EdI%CC!zC^F1(Jm3UZg2w~y zudAJ{p%`g1I^b3ATxP>%O?(Y$^3TemARlIMRW~clb-`V0Hs)Eq>cY(`DfNYT6uo@MQ568P16)mcioaumnl)laBi zJRe(itk`^fv-|EJ!A^B~b7zmaDOe}_%V6|+2KzF=)b#R zaN*vx&?Z}?dDN1L_uqSE+jexW%IQ3UJwxi#mJwvU^Zm53T^6%_7Rl!w`MJ0iKI84{ z`;clJ(6_G<_S{q~tR08@Af1aAdN&nL`&@Z=&+BUX?ghL~7V0K)r!q0%5E3Em4jc?!@bC#pelJ1uRi#Nz#1>S4)Bl{ z0=pjNVPTdK4&5nJ?nVEVbo(lP^%@hu%I+L5wm-2sJ*SqxB=7CR5Z&d!Jsf+$GapVn zlXFW5Ihq2FKJ3GuC1clN$5o%}9$9e#VWGcWb^<92%(JubuIC-~aykjDdzdmzQK zgA$H4)1@ej?eK$_nJ@@TS{Z|dWhM`iE2`*DcLyg}XPR(6c0yXQGQ}Y-4esx7SUBO% zpl)TNhfB2ziJzRY9}Ac(tSB~iA&+O+JRa&w5TD{W{FfFQsU*SaJ7$>#Qr-ismsg; zlMPuyizrj@T*Of#gfdxJOjtdy)9?HXCG=HF)3u>$ zxmM}TU>_n@O;Xs3$`?h26(s{alYN8~#c3c{#d~Ij4E7kOs93JELMHE)m&j)LtdK#z zMpA6ZK%A@W!NXT8?!&qXD>OMl`kU1fxZhHlpw&}1!*JH2X?kmCs7{rxG z$i`t@8^o*gvvFv!etgFVue=Y==+L7BU~uKtBS?8gV# z(~jR!xrl*t+28i=-{1AyISJyf5L{uRqCF93;v2pCgzMyMseH{ZoG?PZ9wlE}6;3!y zzV0tyTNh3!ldm1*>yCvJj+U>T@fBTt;v)nMR_sD1su$iC%MSyt6(5TyVNNzl6=(`p z>{v;X2Rpn+7aoMS%^IEGJv$(Pv0gB>yca#~B-_*OViKs>Bc6j|V>4!}C}nh;0GI!$ zVd9@4q9*=0ep18Uf+C8rQxFsPpe(CPUL~jc8|i?0U(i@MZ6AD+7-AiNQcrnr#wYw1 z`r@`kz6*52cpF>?y$3B}uYo;A;pF;t-dv_bSr*GsR>EF`9z&v$VXwgsB-Z;PG~WzX ztNRk?X2yqeVZ)ivV7J1I7S$qV&CA;vEq*S&^7g411ah$LOb-|+% zQC+=O-JSCyRA*pU0)}|CVpBnjEDAJvjv?tt1sd#5#QsJFUJj*aDv+D+GZeT&1`OJL z^nDi6$hXRd78nQ@PIv;_Ql#BKh!3vwODpgsE8b`--&9bl_VUwM;1x@;9zN|I^jx0k z7mv`-Qomu?HgH7EAljLJuANy2!p@qY{OX~-o#>?q%nXqC6*Lmzg&@ZO? zEkU&LEFaEVF+N92-lUGw^(2I&f^+xnQ2BZpF#Z%|vhxhmcXNgnoI$-WL zA4^jCAE~i%Eu(s_KBV$nB4}k&fef2B7M_orD=L>_oVStNHVGGPgw)?mR(D<>$K+-` z6<&V;xscZbDJHj^@uf1kPGWNDNnYdt(hNRhvB8VnQ)q@)osZcKPmdw zMJ(_ni`JZwcwIM-T0gt@l4{uTA0NZlkgmLu5g)Nareb_%fx9>l@Tl!LQg<4k2D2@) zrOKHTQyYZ@d(9wq3V!j`K0?L<4BfO1#8drwbxZydp6gIonN%o%Z4jArDwl4NITbpu z%&_T5CdTfaw6uMx)#mT`Ct3E2O5Y z#~N@q7D0~{(niH&8Tc=AshDsdYmn~(DZD>+bHtG-@w6t>y(Awz3n`w~AV-mWHcxBd z{e)e??B<2hq=OhugSCr z$w&HLgWdQj3b^1EtIg{-LM@oO+8gk@J=m>47ArPVk^)AwwYe}}J1v0m zD#PExu4H_<&3K7PAmbN31Wt>s(Xzjcfi?Ou9#Xy+A7zLc>VP(YVD-?xPOml+B0IgB zHYjK6Zwz2A>~X}RS402Yrtuwae=3SCYo#A;M!=@dDhHl<8Ho{$RlMSQ;TV0M!||M~ z95;}QlH(nYUxMhN91jP~g`G^S&GFGT$9vcuJ3ECLS48lAG5L<|1->!!goOfBZSJhs zke#k4IIM4ZFoN|Gz+BjOiM3h3^=+l>D|b-Jj>qU7Rg9dK^~bUGYxn zGl#t7l{kF&kK(&4U@mM27vHbFrTBiirNj4doZZOAccKx(t+`P2{>z2Z`fz>I&r|Hy zH=0krdS{T{%cZ@YF(shA4IsC+qu3iYn=XemY+%dh=mdWKVfHpu{!`SCRrXY6(eQc`Jawe;PIx?oa;a z+Whr&CCGW0ckF}?k}&8gM=CZodmj4!2Rt%$flL{yZ18XucrdWoRW#4Nq(8BXcF|Xd z)124j_Av!7Jb*bG?Hqq`CCFxl!hTBqf0BeiDLy9Ks>)b1=giu+U1@#crA2ww6ZEEl zZNS-eWe*FUs;q669P$+Bp2|3N;y0u3R5fv%KnL*Qp954^_wF<~pqiSy_}=s&6dvwMJslIBo!tCx1w&&MJwYb254n8rL~=!_=bVDZEZm6muWI7m?g&uoo~DR}5>k$|nR?8{t%s?UNgz|t z%yeSS3gm1Y1|Fv~REr%oLf%2Q*K`iRjz#6q(kIYHem=+P0e?(5J)jMJeRvT7H+DU5 zSGcsP>t*xBG6l!xi=_^{a6({oZ8t@FyL-Wq@^&;Aw<@|M0#wn?2)0o6WISxE;y%da zRGidkxqVUD-mZi_Sk?3G99NhG>S*%c0}QWF`3y4pfo2pTf``%369O2$64b3OL-zDP znfo9zd!powxt|6UR9$kS69Aovy2Bb}JJ<5Kb35;FfLzFqg2YNFuduZ*5}*=nb9VK{ zZGmLe?mSoPzS!y_1V45bs^$870@PAu)KU!|RQ++^rMvzvs0>icS>(}Xh^I2ri;>PsOyrtTadSy6ufJ1qNm2_dxz!FH>;<~yW7_O(O5UF( z%l^D%*^5@$g2+7;U3JNYQgYOEp}~FyMJt(I%je0mRaV)Hk)@UB(jFv*$jM2Ay&<@? z1}kQrDZU2IBUz!lD)!(Rev~H<@gv*hFfgHv4-3Q|<7+4nFuqS{RAsBe{xQU36ah?*xJI>r%y#WC#@OE;q+IS2Mur5=WtXRkqMdn-jE3LX8jRIwMNem8VT=vl z(SyCjVJ!9#B9v(MmKnX#VpdESZ3Q~RD#@G>z1k8nfhgh0RWleL`3Dy-S&FO;MJME530(EsouwF;=?W=2 z@W|xUX{zSJwzEprHR!540dPz`ixoYfSA|sFtyFbqz`NMALv}j)NUw%MqOHPEUO=qd zDq=K>w6cae6*zCft;&yWVaLwR>8EV(G%;05*v(Dd0ES2x5@@{lbSvSp3>R>VEx){sW7 zM4*w+^J3RPWAW5^xc73%GOOLaaa<+_9%`)onZ0XAx2`LzXI-rAOzEWARzh0N-*iNP zj8sZ)mDR^97svmsblGo6zq8JaSFCHL26~M+usSNnCbI#bE|6y2fKTPj80#~JO=dN| z$5RRZ%;ve2?5nS8%ElSQT)^7xvcs+nhZn1o7sK&Sdyp)0Ti4>SAV5YF9Zz=jzR5xf z5u*u%d_#~yqX}{=?AexB10*;w9noT$>{?7^*`oKSAvmK+38~-5jFM*2?lBGAd^7cQ%Ud`+kb!)WbS*D4l)NppBX$2_wZ!Jrr#J) zT@y_iUas1@5*Qb8&okVUmJcrrPss4_w?VKB&x zi3}cWh#f*(XzA~;5&uh|gBCkFaE+0UlO*LJx>7aEZPiqo1o0OMl;(FpS7fh|K(92> z&|c`NkG4q2Rv#O5eGI=Nx;_l@XhG&)A13Ek${jJ7HOTjg%&k5SAUmnR`glsH1k}fW zO#b{s?~Ize4mD@G4lDNcsDNfOO4wAqh&P^KPYvz>UaT(j zck(-{gV1cFos~>76~&!ibvC339r4K|lfhm`tT+-*GTHNV_JGvycrR1h33F#M;bbUx zjN(EjoD6ndrNad$oT9mC05;71e*)j->Zgw)S%34o-d9Pbe+{UlK4jkAksk`}(n4xU z(w*Sc-T+sEoX0-G&M}?*cKLVf-&w6Cx?1aQN6}#ed8t-|y^q)&t92T%5$-{%)llvi z#f4O>!4_*SvR7+s#YJG)=Bw6g%E54KN-Nb8uiJ?o-gtaV#(M4Czip}2<63+%k!fjf zoI)6eE=@I}($sJS%raCc?R_c63hQ(*FmS~3L5cT$`=bXY-%m>e8?I}jZw2-pR%}+& zxOxQ0uLMg~7;q857%~hTv20M{^$gl?_-TIu;RaOv5=i?EKkXN(iL%9^ThV=dCxmuW zAZ>g4+3-D7Xk+{ovY%1x!oa%&9ut*9!p?aL?Q*T}w*kjF&p_H6 z6>S5aBecPHeekJj(TRayE3~%+(q5_bZNQ}t-$9Qf`k3x0guO=6sfy+JIsVUhu@Kv@ zW^6(6(X9d6aRVAlvK&;3o(;cu13Q45jVl(>y_Z_;QuOTuQUjcytOEI^U+*_Yj~l>e z7aU~1{2ou8&Yxr%z(Fn>eP%p$n*RAn8+fXd*CyHfozVx$T_qK@Y87FIbSvVNn5uI~CNQ0NMS$}jPYu~VT26C6V7ua&Bm_nXlgF7n2Qp%t zXXkm(B+&nFycIZqmvUQnRs$opj|}aF9!AfXgg{2W7#qT9H$#k!4gjO#-#oJg?HRdPPt1S$39_XWSVzNY3V_5J#P|N zUmx5=1%^(4$(m7#u9zRkpun(*PS%VJ_H|-85VFcJJDUFyooPf#vIsO>mt;kiB#S@> zJB(Pm>C|*t1+vO;I7k=BXv$tUa80Z|{1g4kFWb&_#a%o?$Jgw#dX{p{=4z-f%Ci{c zLXV=gzd&))52{XH)15-PwxTuG=`+P=8OpQ^9 zPE#7;9L+-OaM7d@8sz6Ux@}0a>xkx~`y9}rqWLH%h}EL0mT2!PIOSwpH2!KtGbf2$ zmED7*tct~)SjNnKzYCnX`-*iPIoF(gl@qGyUr-kuBRAojnt9Gc#wS#}?S+r(cyWpD z);TfF<+ZpaQ?gcGGO-Cip{+87&Fe_kdY9QJ{U4n$uFq*YTRA*qV0kdLXm1aL-Z1Q|`eKrLe>_3V9jS7sRf-)5tb;8&Pn-G-8&{3FBcn zOD`vk&&CJOxwbWE6ygJMHY{XJB4@+$PH{Ty&pO^#H=F%vA;x*(ZAkkP?t+6MsrN+Wfr5R)ekXG!^C&Jy;!~-3-sLNy+Owti2 z=?rpzl8(3*$;b20qsa3X<8wNT&*2Hut~^O+2)_*^-c#wP?~>KyKBrUg@q9l?M|vJZ zd=#%;Tq>;GbM)>&MOJ+4>(!oU`=}WVW>TAlxfe zr>0yy&19A{4U^?$eTlC0m;M@k{L>((0BK?M80|0YWW50o16Us|)3ZCCQ=bNWxKPe= z>eIkmkaoy2j`!=!v56^oJ*CyAWoP^XL&wCnVCm_Y-LQd8jcohHYZ zS5g`FwHDgVIgL+F)*JAAg59nQcTNSLtXDTF*taYE&Xe`xy6oAM2HAVjN0x+69w0~R zOYskOsVE0`9+uNt`yoBDto)X1tNQ*gRB6->S%Y0ftPD+DJ7g=HaG-uE9H_^m&d<@K z&e4oq0^(73l^Eo)Kw7bOeHhL~`c;!<2XgLIZLnW8;FVX{rrs2BV~K%333yn$q+d12 z3KEX}`Bo=v)u zuGL_#BliEHYpv0?*LXR#5qu#6U8_N+fwW>Xd+90+JV$TvQ%O0(!5ZWxq#SVk3zXuvT5~W3W#HYqgn3>Vwc2qwX*< znZ~dHr#bpcuma8oD;9IY!MES739TYy z*5M@}%I^m+A!0Lj3y@*|vJ<>^JaI z--jxyz4XJvX&vG8G0@8>yxvTCd=7K3OK{4A^Zkk=wZ-Pg!2ukVvSRVdP)Ikj0o1C8 zp6U!69|K>QkKNIAw1kJoxGppXAQy5hk)B-@v8yeQZiHxI5UkN(j-_!KfjDCeS(D~U8ip327N@fPnR9Vul@s}L@l)rPS6bO-L0j)uf`Tr`$=8f6;zH} zg^PnOh1tjiOUP#5Cfb`R?lu+|Fkv-(kOwvtFz%>TZBIK$Hg~qDWnT1wLX@Vakxtv| zd76iA9_bFkt5+dzdZrit-f(ieC6n;Vbl&M6!jBWFy7J_k@kL+d$GgAjpJ>5dW0j42 zN4K%{U{Dn6Svi~qpCwgb|5`5*Fecp`mr2jKc$h3Ye&}pb{!l>QspB8sdEf@^k)cp{ z+gN9A9wnKloqO3GrlXPb6BgMSU^1SzL|3 zc$uyD5V+ kcil8`rHik4RE|??JGl+wG*-4(m^Biy?M0w(UPBf=Cno+7+HL?=DLU zBcXEDntMak(0O-RQZU#y#L9}wOj)ih`!Jb5OBN4ecSMvZGyRiviFFr7lqfU(274c| zszjOQFRRxjwu>lHR*mNB5{E>UD62*W+nre1v>ux}wybTu;x$=3O6Gq?L8*0*;grL0 zSWEVg%1{Q=n*9FiJ8dZCVkpxG1Q^Qh)Ud0|O6ND=RUBC4^B17Cb!piDEvKvQeF02k ze{C9JUF$Not)7FO+CCLz)KaIOF?E)v2Lt3n?j=a{W_*cC4ovU~?L4yM;?M3<+mXBQ z{@usK((`j=;(kGz8+fxaVj%-H27htADweh7ocJmpL>lcZxvi3} z|3Lx%T>(o|7Xw+3&`wSo_OPL`t>4(8z<=?P?f&S7n;9fZ1j z@a!%y*yhAypPe;il@)6Pj`(&%GyL0~Z#TI26~wNL;6OryUYdia&W_+fLIZ;>CssJ9 z$2s@&6$iKBU*W*L{2yEMb+`^BR2Zr`I53I>2^9=>+YoZVtt_z-qZumH+M$Bl)DpWc zqFC8MGe#Ht0!f9bUUtwJ>_lJ(rbeRZA@z7&W>q}-F}e}eAUEUG@5U2x)$aFdPlwos zShqE#Ue1rz^ZeLH=+ZFpU^XeQlC)J!n~F5CRG5UdwLmLmciIW$drr5mTSiL%gUI+{_>{) z#u)CcxdVS-|K3J1JeNjsbKihQF^WtnQ(q`MdpCezww9mCfoVJ)G5SaoP)$JN>-ZXB z#9hyVVV2{MvXz5KE6j-Jc(L0-SyjoR3m~b?xlN}biVL3fStA_@mG>SW*~Qlf2j~G*=a)a^R}b&DGh_T#2qWXs))O9>JC9%3wbr zR?L^W!p2_e3EnnQ5B*RPfjc;)z@oDqHB2Ruip|Q!*b7gcs`xc6#Tn!!KpOSx;L!10 zqF#eO+9im_I-X0^Yp^?tAlLyb!cfJwFzEd#S~7nIMO$+c$}${e_)g)Vrt5U2ZWFbyUAEl+bniMOxc?_hp7zp1Hlj04y1Yn_EAAG(QB1T>yzZ5?E4le6=j)Mrao!EPZL1NSX)W8nls$4pNF~17;lQ3p>cAksi@U8&w>!sOiXIL66`-Bk)mX<}iXIJi zIS~rFqhKR@4%Xx%GmN_!}qIZ)rZ-en^}OclEV_?QwK2?XmHQfcE&gFr?b! zF>H?uRMjb!HNU2!NF_ykX2A7`5bWSQ!gOO9Z z1WMGF@d-i|w0S1@Zs3YJ3Nu{F#<@ z0W8b@x^PcqNRL5&Caf;g3H%x>#aDl^e>27YT^HNMSM2(p0mXh}s4tWm?-%W2uXZJ{ zUemM}GO&pZD86OmfBI!RM<0I<+9Gq*x^hSvuh}_T`*YA7nPa*uhg>uFhMnURSAuXw zi)4-qT?uSL>G$m%kGc|s6pfNO?spX~T-Dn-uJ`AlT{6dCOb&j%^~7!4%`p?X(kS^V z7ZmZ*95~>3u@#!MnnWqWrw71sU4kSYL;Om^+4ClIuDno1(Hqn<#}*tdw4;g0M8i_}g}aF|Uyok7p5 zotM!;h<2XGhYamJl%sa;rq*NIihhT7 z0yXtG2LiR&_($6375?3x$QYG-!FT+Ig3lwCbMy=1U)<8}ncFTa;TcdWH8l1WmsqaF z>_`d~o7tT8wR)YZ_%$s;8svZaLf=|_85=KrbZdZi)TgoHG`P==FQgdCcN1$*6)@J7 z_f5E==+h_yR|?RN~X-XsJ#l#bBw#qZwq!XsLX3zdJ5MDj(%Ev3df8qI+aJ zXQYxXp1NJ7>33a1rpv@J)o2lik$kX-;+72ZC?F#$&PR8LC=q>>KkefdkxtJe;^@n@ zh$=0ch~ixuw1{I#K3GKYEe3f8kP#yK=((urF|_@oFItvMXy1c!)h zOLVQdUAS+HycWof?N@00{s8V(|AjguT3UKGA2|zSP<$Ud}OSsKIP%lloDvr zM2>S=e^yE)RlmB!wnJI8@}+ zUI&{KU3tl(H9aHh?jbyB5?*)j{+_xUsq60F$NbTCw+_|et2?la_8}MhjYs*ex?6vA zP~El5)L2H%srBEkSz6w}WP+`%G@1HP+K)6N`_fx;!>#(YsIIJmL+{>0aEdaC4-$|& z=Zy4J5FuPXoy115K`S-^N#cEYAFYja-$z??fd_jov9g~}xU*W>tvXpSlZD$ZNS%Ya znX$Jz4sG2~gkhCxgX7TsBwtH>s=9x+-oyG_P-MRCW0e)fv4At;HTz23F=Sym{;2#P zA7wvi1QG*YgP&N`&@xeTb7A!c4QW9xXUg66pdT+ht(Jqjr;o(1iJBXBtlsNF%p9eU zz^SI>Vj(J|RHFyc4^p=@i(513>iSh33v55}4qzu)J23f8W2uLO)L?*ZSIDYTu5e)T z3*`;Z0WV3s3!UUG#iLu1kw?G?&dKH#PWT6^BtS*yVQWo`ven`PS7-RZucRHV?vr3? z#_7Qaf~0nd@PVu0wOfOIkXX}h{ALiyDOUs=FUVJV0JCq#Ra_8Xvg7B96W}WVT$_IiD-RUbdEd&Y>XYZ@KoR=W*5N z&V}Dh&VK}d;aeBrAG6CUPt9{Munpg3weRi$>le>bh*aP%t4GL^Sy7ySi20ha%j%-; zp}VY7AY;uU+lf!dpIy17*=?QTBR)WNQJ(aEz0_p&KcDNc-!{Em&!grZvVnWF*Ie(5EUu7WR2aJp29HG?V|kbJ%0 zg40DIml2OF9gD*De^bb!gY53o*dPwUu( zdO{_u(LDBlsygRab%1r?zEk88$fsDK>-b4}Z1bs-aF;(}jGb_cKVj~oJ0!xS^(Qz2z6mxQ0cA<* zd^^W3{v3|v|M2Iy*v`@5N?QThVXEDk8^_{<`n%)7jK^0XpjhL2*6;w8D0+j{&>Y_4uPk z2V=THWtB~rxpv)NCanX9AiT)a1jz%s7xZc791<=$Y9e1C(za%golhjzSmX;La*z65 z2e!43Y-0PgT*&+;dix+@_w)(-;I09}9t_3u0C?E`j-kULY7PD8_61(G zKLB`$wRgS5Q$aV7aO|%=!>WCf7k-iu&aCzgUf7<5WvlksJ!}Dy)_%EYQFm@5_aUQEa&%9LiG%HaWf`?U3BK^AU;)aot zY|dvW=qTq?L`o6P#~{Din-Vr05>_4Jd<^_wfa4r{u=6pn>4asij_l5-K8x7W22HV@ zgu6hWD{asq*Y8EK*YpSzTiT$3*8mz#3wJ&S z`BPWWE#7c&r1LTGI>43S0nW$3<`DLO=X|aulM&V~&S#9a_PvG4z-kxgV~|aIvf4Ku z6jtrxd<^_`z=Nt?oR5J$L|C?J&%yb8CtB3bXG<5+g6r~Q*U7JAe#Y#*)nomR89~k` zAwkamKHv1pjsd>u38h!(UFP_ixqDDSTQ1SDNaw0c#A)F=Nq}6)@jzO!F$acf z-U~ln2xt144PIC`!U8ofCtM`TxilU-2}7`GzyDVnMuf!;z1$=%01l+>q%Hvx#?+UkH{81=aa*5PvevPrOuSR2{o?69984BX`kd% zfV7J5=oaQ_e3D-vgeke#)A%Gmny^q$qiS8^oE~q|5Oa~Ad`47#lUMcgcMq-l;a7O- z(gMU;jhL-i=avQ~ZUc3c$^ZSrG9liJ&i+l}1ru)+y-Vms(i zXJk;Q)7|Gzu5B6MPDYa1pipN$N(%{fMw5|<`Vbd16G~B`&VHm6;erfuOD9VB<9=cF zF^Rs#z~2Hq(D{2|j}n%>KC-)@by?(=hG^L9A?yXZUTKI1*+S(0b>A?#r6C&lhaH3E zmWF6xPZO3^?%Z5Z1!!e+L8jy*gu!qZWRR^%x44B!9yHSONxrg!BYA)eGE`q6?ElmS zRe)lY$%_kGpiTa8;WM!6#RVB;D-w=1-zTi<#RVDoiuR5Iyi*I}f(-0=!m?I<4ld{y z(WG`kI~I!?f&-p|5b#)C4qa60n$+R73wPqmtDrfZLwx?}?PdY~X{fFEkSM#JuD9e$ z$JHzZf9U)2U45S#qSdB{1LQ(ZrzFLb_oCi|3~!kievuH)?E89N<)L~kVd@rhT*iS4 zKSyS<|y;kLO83; zClMAUbK6{Gmih>faeOkrziX~C&jr#derV4eWxiPmXO;O3!ohoG_>+Ca zz~zD~mw~H>C|jwHAa7Y@9(s)<^Vd5^%WRM@18Eh{?-D7qflm>_Au=1-rG!Pv3fO;uU}7XTe(4Q%sB~uF=O89FrTx0Wb44pm7e=d8EWNs43x+< zhP@>raNg>1G7?@F((uZ4U7QPal!GU z-3RK(UFJ(!W<;lAxa$L?ReaR$Im%ohgtN;0b=xd5=j33eK60+-YguMaGM@~jRorj4 z9A)k(gtN;0LmQO;f8$_}W~GD+E)I5%Ht;blI9PCTum*V=kXCWLQ<&i5U=4gvA)G0= zI9LN~D#%jVIXT$(K_z#YC$P+%WIm5{i_hwqqs#{h;jA*}6ZStl*njT?D#pPM+fh^y zcq!AU9}%g>QXSL^tLy``ipS$C?`ac9`_o@BTrD#IJ@ z3~#6m-0Y-TV#H~)v>e!Uy*tCF?{aHDMQB!&OceaUd@1$MjOo0&RS8~~zpqGEMalXt zkgI7L+;n#OfOIGs>9kUG)IT#u8TNzdeC?+5ny4tHbULHwrZ5iVE`smeIs1Jqyes9- zC=w2&(tKq~&h_q`J0K_aF8ru_=6YzXa$J30;na6Hbyb(>w%*x1))Sx3mp-~HK9wWc zisk*tK6+n#hqm}LyW*c)i<$E4LM-j(rJGcdjpI!MaS!YOoWFz%eI?A^6i~tpLuldA zETPw5RTR+KB(Q|OD4`lVJ-0XRgSG;>n&Bd4;Bm_@BuwB_M)@OrZjVq7|b zai*p2KMNT!E<=&kJx4jQWs+JBo-7>lC6(7mI7urFRG~U8=(%zt6X;zgdlA zaci9?Y*vDQWq-~TY;lq{ z#$OPhi>m0>*)*NN5ObAB?xv_=E3`(s>#1xaMk-`rD%HF6?^wg5q6OZ!wsT8TV&bkbde*Bd2O{P{xJ9MIj)_NvN8<^r;vV6w4{nr19x zh7FdYTw81_K5mYqjXRgsSh0Q9qx)%UR+u=RTXJJp-D%%PIszZCCkcPUR-ol-@}64z zvzw#DuuC!JYI1|Uj#z9HRyB`nVdM(Ze0fjViZ%W%yimD2 zq80T(IIitdSE64ZQK(%1IZ7A$A_;{_D%XD+>?FI;xK&o8-?NRiWMP3@z2t(^>AI)^ z%pUycwOns%kb45@X)U@{vKM3f6*pxv{3j2jrEAhir~QhXGRVb(w68w1l&3djv#=D*8T_bM>G6(B18R8@zVLb-92as?%DULz$ zZ&}U8!V7WJ!nrjAQ`donL|IcL%0kbV>WJ|XP;KU2)Esz@tA(UVBC^dRS+RE@ zeg>=+J2iq4F?&ON3WUvxJe_WVlA8DH!4{q8O2;V6dP3VrxY0 z5_RjswS?ABD&>R-7NjFLWXnl5Oc&CX8|+yQ3o+?pBN!HAq z(nkU0LOvr%HDI5R;9OI(?5!Nis@G**m8C3$JW-JD5tJ(LL#ynqK4l+{-v$3B%a-G* z4)Rd89FGyN;h2cx&)pg8vK1Uu|miVs$-27g2AI0n&sY0;aps4({< zVUNLng!5hDVb7@%g^K?lstcV$LSco9|2Np-y3nAo=i|!ANMwvIvJ=TfL?Q;c{=Zox z5&9*QA&m@SkqCW=LEbG$6UWM&uy?#<#ad9LkV!=8zGiBnN=YwFkJ5b^Y)4=RrbeLx z!^ZxJr5OA3(TN`eLz{n^&}IQRP@xSjZS{mUcl8V>gF>5pSAr+Bu{JWI_@yFT z6B61i*P1vM8A3H7W^Ax+iRCR6IfORNg^^JajEHF);?MmM!H60UYNiv!3M1J=o11~P zV&9gBYea0rFw!lG5wQ(}-HKy#!boI9ahd4ik_Z+gv@v8KCD}0Zl+eatZ+2M7A+-67 zqM6Xo?dUVso_qd|<8Ebt(V2B?O#fUTjgWdAI%}8VexRmN}&xB`0tQ}j!-y5=z zkZf23DEDH=I4s2EsisIqR%O-2$Q?Y4>>kC)axZrMI;T=5eYby>0oPZ+TCqQkjWkyA z3Pm?L!PYd=4^xVG1%n--dC5NDsiTa>D=b?})?T_bJ8L~PYnzZKnl*zZePz39MgSLL zvfIoYY3zlb&5HF*1S;A;+YAs??yVSsW z8*wP3beU_vgK)volDHFtTm+;?939*0D3}4K$uOOy?dsQ9&+B9cdkL|rQ(XSaY9}ID zvFHC+q1W4M6gqYScPO*R32q@P8GPyv0!D~Z(t%G0QhfboU4 zfSxDNz8RAx0GOvmJix_=c2tg{#s3-X7F-)hW5&dn&x{inqaF(e zF4x7+CCQ2{EYNiXOqIVfE#({VtpHoG))B&~cu!M5h1A2Gh?uA$z6XgHKXns%5881p z^=IJgza`_F72~#rcBLL8~!NcTkQD(KTtXXA$dFYbtC~ zGM<$Ysdq#C=dU9ek(xKyH;K(LaH$5?;~_INA}z-dzb1+iX*dRZqQgjJyzo?3T}a?! z$gW)-p$iFI47QqB(SXge)!m`wrYRV#cwzFU5(kZVhb_Kb>n`c(R<yZygccyFYv3mJn0eK*gGJ()R6MrjM=Yh4oXy4VpfrNUW)ls zhmOD--;tTux5D(&+q(dHN287A3BEFUmpv3>XFT(5*H z!Kh&i_xH&c(Ct?^U@_i}&ActDiK1oP7WEJ$bP4>z=M;E}LoB%nz$idY~iKCIA ztZu!_Ll5^kyC+2(*C7waFLjBb_$ziMZ=xnT%8z_trM!gQ)+T%czs$xT$!1>^g-yp? zb+||McivLIlySF^Kt@FZQ^al(E6JUrs9rYNg8C=zi&O$NBaH^Xi831xeWQ6EN|dwC zxS414A$?VQp?Bx^J>oNUb7^%mn#*wfQCU;q*SX|$0Pf#Ok-C;g4yt?yh{MlrU^QKi z+~nsylfbVVKMT<6SJ~yY>1T>H^!4B72}HCxTD0f??KZZ`npT+&<8weV8%C0X7*BBT zDmDz~j@)D|?#4AX46?S;c(Yz|c~uR{mukbUcu-0g9}}%JnK&I?%h@G?*R~T->!BjzMEXJ)@WXt{Jg=EGrYxUFyK;3;#0R$a0hW} zaBCmsepL_ms%qPBfojBSls?)BN2V#x0$GzUHJvQk#%5nDc%@H4V8h#bSmb-U!9M9#yUwRF459=VPIU9R+q=yWUUQATAA?wq%F9x(eTR;rx-V zumhD~-+V8RIyKrZb2du1W(ZmJ?vg7&xMH!_iyz%bE-^H2eLp)VMvl1C`aK3e5*lpK zMq3TNy1coS!s4^Th&?FkO!;lr4xu+Mx{}09;0-z0Ho zDwW}he?&W&IMH{Xi;yK?Q`P87aAwRfux0K5J!Bf;bD!W>(=uy6&eAfK`fR^G9Ob+G zdYO-jfR=eXHJH&74)!w9SD5&%e!UJv+%5AQd^aiyX_*^Yat1&5g8`RPp8m+Kl(3eG zfY;~Oj}nfIUta>Uh9m9Q*+6W+ewR;%yU2(#OxoWgU?j|rPFVE&?P#R7qdPq;2HH_I zdCE}P6=2P!vRgiMt1Q67N7>P@pk?$_SrcGK#|AR78l}3J`0<0^25nJ^l%em zM^8}v45ggq<>yl2C!2@Qw4?7q#_i$9;k(g~aOC&!_evJy;ZGqa8AsOeQb-=QWn$<_ zZ;qRb`48q8TjBrMImfooyR7i6MU7LDmpkrS$`9vYYu>>%$+E3h*;d5Yi7oJ#@QJvt z!|o2c;!}J0e*8ETAJton!||yjkadY7d{W!&Hdk|63#Z&^;{2H={sS3RUl;bEE1qP# z;xQ(HxPQD4oWIzP*^8}{0`0EJDRiu%?Jcy0Nf7^!Lem(==T*-KSB)Q$hg8{CBuRBN z7EEiNsf$xlm=e1jIkA5rNbJop4M%M09GBQ)S0Eu>Uxe`qkD;kzu02j20@#3Sc<{gI z&i$Co1zxVNdGA;IF+}xHL6NF*%(`Mu_r2-PLGyRh`Z!x115W?7vNtN__CiJ7*oIS; zCHTadMRm`xs?+_`tkX@Zhs8>%)M*zb9KSB?iVW%Hws4P7f$H@iJYW(waox}#(r-W( zCH;DcgNf^+1Dx3p45T|(@oPM}GCi`?1Fj`lmk_dRLkcLm)hS>q^Ym5p^<1E$U#sXF z?8U@#Dyg!jIrcubipS=3xwEZzB>E^Ol5-u2=9PKaZvpbI*d^5;h1mtACb1;czS6Rc zO3xxU&M$S1H@u6l{%X95)tHk;dTNb~U7k%N20NJ842@v+fPSGaft#z;>;XIKWudrVhGvD;^b$df;n##}hz@1V7&0)H~3 zzu6eEy2hVIY>Kw`{{|I3lyWKEF2tk2dFjDW51qp2)Ur`}9#~X|C%-`s+?)5ZZdSEH z990;OE(b-`tax9vyIH-vFhChgO~DHhgXX2j9i})M~e>f;UG798b$j z*B!2M?C#I8*v|2g$w319ybY1s40_gzHpFIM`&cLmAV ziBB$RZx#2#XDfCH{$h#}Z6+Bzgull+SDmU3nxpnI%CdA>w%gGcXRt8U$3o8_77j2aE!>$bJZ7`- z%v+i_vheO3zw%|&MrrjM@BeQ>X=*rrSdCta<|R9Jc0{6WUm-qREMbARus0x=V+q=a z<9#Wy8nFa}olUGP2e_@EtidX4sBGG?aKb2Xg4@W!4*qFWR^OI!M1y>o zR0o0Tia4e~X6yBS)xK7y<-I}!A1#Epkdo{0s8TDg4J|=qG~km7#zXS)ibd+3)h}A$ zqr9`K)??M%v|r-aTJ?Y=4I*79KF=&RyWJ0G&Xp!^`VUy}_wI9i0^jpufWRqJp1i%D z-rr`Vok<`gM}ZMl*34;zc^E!0a>NAD>#t3pK7B|$`E|c@%o^56lnjm3fWIo(N8Uo5 zz(s3YT0I@a6_p!a#)YPU-~mePa@EK*;Vf@_Ey8C^TZW}ysM49g>RwP?s4_0C=D&>- z!8}62y=@9rvxrcJY$Gle#0@*hqit(r!c;PG79F~qarUKTe70u%70>}>P8efLF!o0J zys-2~RXXzrF#gUm%{VCpF#ePv{EU~9@dY&>*S&V{k3XD%2&*T4sEb{>bxC`kXo@em zO#W+I5>Lh^_x}VB*cP?9UHu!o_eY+d;xVh8V>jAq*Vt*7$-na0ZR}!vhLJ76w#$d1 zj=Dq*J`Di%y2LzuD#s@)R`EQI>_vR168H})a{-J8s{r*}15&@r+Z^_c+bK(>{Sd6y zb85cK@4##iN;aXQ66UT}36pGkqGOY8)BB4`o#!eIn>FqO9^x;u z;h*>IN?wXh0>8Fjf{rS2KZww(EcsN~)UI$^SG}LqtEYj0x%G7Ja{+pq4oxUpVZFvY zD89OQoYRWdFNW$^uDQlnsvF@@FMwRgC4%He>Z`ypd@FBW6GdlMNENU-urW)sF)|Aq z26?=P4Xbm4EYu}dp{|r7`H7okzJhyUFE2^Kfn<$W!mnPVE;hGNbERngoy7N~yN&lK z;uXL=!udM7+Vpg9221Et#~SJAxXFvWh)8usQ7XR~Ugv0zP$FM$s!%&E-1>Hzq|!Gf z4;FOzZ5|0W*9Hq(UyG7Xqo#)L^g$%0IWYlQK+dZVG5g8=tEzyF0E4sIB**==bZ?a2_9~hu@nkl}EU9G)X99wO=!HfK)27KetGrVmc&1w-Mt5iXe<9uCJ z(pNa8BMWmmC6yi~#*%bpQxFq#yvQ>Q6AjT!Eb!N0h9>eRc$oOPI+Tf#UgX3X1v}nQRf898 zfli%^_c3(a2;IO&Dc;8*zex)J?0bVryvStBf=A3MY)g+)hSBbvBzcVtIr^RZv7-A`how7>BCxK}}1&F*hK5 zSVUfJ!#;?{SA+bFR^ldsU$1x>IDa5F)D*i`7t6!#?qbgjD)tmZT|K$hWEcD1D~2DM zcY3Rxu)vkTcs>2Ao$!DwL2~3xR1)0bO5kKbx|yADwJSm9w_4Z>gZifpQKaHW_>OFbSBsGNNbb#;-@-gdE1vNl{nSbASO z;c!<1{Zv{t;=0D9i%6Fq~icZ z4KrmVu@TT{E+kqvT=Y|1ghuNI*+G!GMe93dWkb3YLww-^QAQ9O(xn*WbRs>!QtFU)M=2-1Gvc&a1P7Ljf94#jM@JR)s2LP205BYpKh{5h3`Rt zXoHb}&y-Q5xCx52B;Yg1Z(g#w$r|tt%*uoWe1^0|(!t%n1bha0kzpcBz*h&sqBS7_ zpCR2coCyi|406?cvYSi5ccRpwo}@VQ#cVuDbMC1v_c|#leDH0Mafc@ve0xT{?99|4 zm!sZ(a4$iwa+qgIfr5%V zGsq)|3=%YpPqRe#Sq9Ojn75t}7E}h&2KFdm(SnMnG#N$<-9SObQySzcLW4Fx;Xiop3Ebd@! z^uwKHt}^kQFJX+GaFst{tetSCKcU=CIM$yq!A{uApD@WzXk`)@iQO!k;?$;E884i0 z#++t(ywY#N0DQnZW_?#;#c@3T_O2b@(u$3i@7L+?Bk?I2y9R$Vg0|;;S~~as04*&8 zKbfljLLsG*#p)&rb*?5>i@t=L~?+lt+Q51AsjD4g~IuHAsh{yEOdCZzq% zN&66HOV4Q~_({@ES836znnVR`(5h;9MpPB_vQ5!s5Ar1-tr^OR1g#>D@xmtx;msgy z`@c?AGT3VH!iJM-iXw!rBE~HbABPCxErxJsAB2xvkpd|$3?RLhTmU4y;@8Cms)M62;vpwQjLzEN@*f1)KJw*@RxxjY6gL-*F*+lX=MyW zZNML8B7b=jTT3gOT564SuEP2!cGzR5{d5nq1V~GSh>r-V#*y9}ZIJ`j=%*b|ZcH!t zB3D0Q%rC^Wd;QJB&GX0s(>68fv^|)%7dc62EAtC_+Pu}B9>V7e;Y<@5<%JzCRF$`= zmpuo%8L(RD1M0mw@`P|;gDJVo!@|e^r1>?$pjyP6@t@}C7-^4uYfJv7@^=`JIufPO z76IZvE#t}8lr`c9T4TOgBki=u7DVdt)btWe8TpJd(pKh@ucEshTR9$lh1g0@fLzFS zKxVO(ncf^<&JDGdycr(a3xIT7Rfw&O^yaujXb0NLaxe0Hp&f23z3%a_(nAPm+R7X+ ztff$mw3SwW_YnT@acU#bRz`V|PZ0Ts*a~)A{T5rPCtoEq9b4H2e1+J`aDZIM#>cYS z$}(?`myjdGuk`$fhxQbq%@8`Y%be-Wah}i)w3WPjJ+ylY?QmNe>4i5F!kM0X#csmK479Q{pEaHE;rzCU2f|g!GpDlEMcJ)`{(hKjdNKAkv?&8qH6L?Lof| z^tUIrYx*10%e;Ahon$z2KGvBZ?c?tS-LjTkKsr@|gIdZ!om@Z+y{L_}Xq)XFS+r2yBdjTK9}b z5@~dgQY8FQMt9Ex;YR00UIk>N(LLrN+*b(GFZqnl3u{lRS&hz{=gZmTIn3xD_ptF2 zu-T2yo9A|+A7*rOJ@n5e{p?2P&9s*k5NdS)^pvn^R&GY;&6P&32&40Y|3>g{GrHbB zqq7~KxaCUmdh&YbX1U;!o~pF>@=&KeUr_WsF4vwh=)Fo%40kwhWOo4amA8fS13Q2h zzGnwOFW^GSSEBfVsA~l!^QDHCd?kt>h&mLgQmgOL-Pup@N7V`NQ)%y}!f6-nt|nSy z?SM!o?#4u&AQ08f2l# znUGyH*`7CCfDaiWE!W(uspw621aLnBW#lkB*b6#QKCKp9gmk1bJ7|zI$#1sVK?A=+ z2-Bwp%nlmZiKLpt?4Zd}B!n4j>17wy1=+KM2KmiDsPN3$!OF&B8Q!NRx#xkoX~Lcd z?t7o7rj7?4Z%uVb+BMY{A2Mp{Jzq_ok_f1&Du_{P?YHCpL2(uCKnP`nPD|Kt`ow6#wRnZ1{o*vk1#$=utpo-h0tmi?nfKZJzKAEyLIewf*jsuNPrfd!JO(OpYl7H2mg}Ij zv7^&ExJ}ZLW?Fv*uq0wA#XC85iNQK>ozIVj(^@zfevO=IZqg0tL5ubhKGSTFHvwtQ zV8G(cH0zNsCoa@Xvw_EjFbz?|IF3!rOtXRQMXD(k4Tl`Umw9HBWBp`uOhe2VAj>SX zK`sH(N~vb~gX|#DImj_L6v!O2$#Iv^=0Hs&)!^HnVK&GsgtlsyzsRl{okP*Kr$Umuqoz%Z(r;(ajj&Wi++V8I+&tjZ88eTUrlAGaen?%DCn-0AFL6$g- zgzo~bJfN*Ld*7DN@aB^0Z!)|ag>Wv0H&AH*7Q@?3Xy;;h8zz$P-(q-ajmT=VR)mcYw?AlhR4MVV;|4n5pH;1WCF-YkH@tLL-=eV z9N_W1utP~Ti{W{5{F)%g!G_1>3d6z&KxXrJ-W(4K?O?;>N`;|)lhBSfJa3LNp&jh; zxaweN?=G~X4bPin&4h5n^CGK({2hjeRgGwm_cM8p_INa>j!!ys(MN-kFpuX&762LP z@n|20@Mo1I9AbC|_7Y&Z7@o;7K?sK%o|p2;x^Il?@im-b0O{t<>Z9-1rdtzteql9f=9k?eLvvQEtCpLnX4 zG&KwAkAQFYM!*ME(6-$XaQ?M+1iWPwcGJsFBYTlbNLjMzCfhHlOtNy)*Usvjz3^oN zS&;(d2Ld;)CXlwnl{6d0uY%$Sq8=ow%}Z?<9rHIvLMj(Gv6kUHs%+EfaD|8D7l z$@kT6X@fip$SiXdvM6D4v_OuKISN^nFvu0Rkv4@5ow1fh36tY-#Ls(}e3>>j+P~!CrmA~Ov z`MU9B{g+IYzu{MTEs&1N11GJygWRxk7vMpoXP>gK5~@^o=%t-LWgjbq=}CRsSm{@G z5s(9uHRyY=@q_>555PAWP9son;_m}e`FR6U$66fL z+kiptCbYA+0h6Qg*6221kZB;J+JIWD)XJU)cu*SYaSQ0tYN zg#sY|*ETR9b#psUJ1`sF?RMa7bl<_X!Wr5U%x!ctSWD71@dLZ2H?f1mQEa7tnaux8d}VCUn61O8>Yu5r|6pMzy!s8YIR#ZZ4C{AA zP?KZ%P0`hFkdFcBm{y1%mby1NMhormx;MyEg!cW2)57ZB$95+n9a#5bwTATi8?)BE zkL_2HBc$%dWDV`Rh>Wg#_MeWIaOz*;E(V!lA?_Uvy{+P{%^AJYZMXwZY$3)Q81BF` zDhgVlt>~K@qI&>?d<94=b_+_63gD#EHJK(NQ-~jtaL6DpAbHzJLkvm!7?a~5p&e*Q z(#;rTGhw~DS#1MUvbn=S#CLMaOGko??|9CunXmhkqlYsY9}Y;3Lf@!j zL4D?{Wo9^drY{_HZQRW01W!O)w_@${?1~Bsw#D7oYx~`wk_0SOiLW=X?bjLW3UROE zOH7Wpkt3w~#g`c5!=xR%X#0xDh9U06WV%Mk2X?UHP7Jctus##-as=#h$H7YW_a@8E zLcA$xmpg8}^J2fg7IJb&c&hUrvL(FPO5EsOEXTpUZpsenX^ZB-1iyKP*spHD56(SZ zJ-T87?Eho#O~9)tviIQtxe^sjP?n&msHkzliF*(iP@)$FjS3Q6QC!f`F)C_CMZgdx z#z=Gq&A8$^IO7s$Kx5RKfH(x#h+9C^=%5C03Fu%1gzr74y8Co>S9M?b>G%BS8N5Df!cTaGwf(Sr)&3f47b1fl3dOq0=Suwk;-^lopLpcgpd;t z=3Pk^dH2^Py>XMPQ*fz2Y*2gljA^^7V3~M|QKdjp8tPx}V?%C%iz)*OVXvb60pmtn z`ZasT@?Dr_NZ?;Y;C;Zb5}2NJ^&diV;MoR&^F%InI{hCUfuAe!{F$60`=QWb00lrc z%)%eFhjk-VVyheK$PXAvXV6WbGG+?y?{EpnIRu!`Z#sxO=d3-w&Znl``Iy+w=K|r} zbWX4H%(OdS`X|y+l;j0OOZ;r?75Ex-?{#ABJ)Uu`t=>gIwJ>!I-En6ctbK$~uc!OA zsRPiS&ZU?~j5&6o@=7Y-xiDKU5N^@s27cBHKZkhy1dqV^_gDiz{}Afxe7Ye{x9>sj zG?MZp;L7`^kfO{th&fOT@(d#FOUk|T)cqN`!1rdt;i@$UIe}#m)iiccON)0%mFC+e z9G?aGI3c%W2laa5U7V@gAMrKlOuwdMytQKt#`_LzVXMG99ZL+^BKA)AR@ox9@zX5q z_bz9H_qX83ecrJn;>d>yo|W$-2_kk@3$lc1tE{HQ`~*8ElG5U6rftl|IFS@&Po^DN zVd11nT6}pK@ok)oId2kV9gyyh7gKV=DWt$Fm~c27<4T3VE+Ck{42Nv&H{V%Z#cCm2 zM^z!drNx%`Wa$KUqN=qPC(1)yL#;dHw)AFB{76S}-sZ)eo#D&=8Sj|U2Dd$obm4?L znv7GAJ2B_gOX-5mS&!kXGE=3VaGKp3*(@vA>`ABDDUr?IgIY8u|L!!)jcj(GG^1#} z(cCV0pORsVUvx*#VrZH_dy5(Mv8mlh+@0)sM0+dIHRkb5v~ z6-+FFiubEai`5qsZR5(3_p1wXA&_o)!!+Re01tuJFyZik=l$veyNapOJuZ9cpAPVl z7RNAQWBB9!>VoV=$lqh*TsKnG5+wbaY3HIwC(=GyT%2c%Y?)J!@I@> zw)%Y1Mx_7nu5oG6fEE`2;a%f`oJq*v!+&CTjsKH%73n{`@w>x|KP$#r{f9Sx3$g*o znE1mRzokVLT3GytH+~CpJkyT!AKv&aEe>SbM*rcB--7JQwC(=G8@~nKavm`q_8;E( zEwJYSi}4>m{6ktyX2Qn!!yCT^Ihv5ahyV0PN~%s^wmi}LN7Aa~zBipiLXtaCHs%!N z=_{AJ=mXuhI|oO9D94COtC#*nrTaaHud?LPQ?VX5<5JbzPe;#f~ z^}avX6Gqh%3ecXi&TILCEsy>gLc6IUmmrRCnjIb4tTEW^NT*rX$YvG6W=A{Cn)!l_ z$6)XT?cOV&HdN|4-h*SK z9Z!(g09iScecHYiU*N--FwIGhF8o{Z1-3I$O~dh|#j0ZB*zS0OoCjpwj%Ye(NLtKb z+IGhi4+E8;mi;?X{5 zVPpUpu|+%`x$X>dJemb84$^cMAKVT*XQYguSlGwo={(_5U27Pg3|BM$*`3mh+YEB@uA z>*$C_?o{JNynl|0c055&1u`<5xG*S0{(WK>+;f9j7!i}W^_Z*jZp9r}ekr4Q8UE?*Fk zjOW{DPhS~D9|-kEE1@WE(am;;HTudZ`ar0gfoho6c}91Yy1og)Luq#(5 zNd6IyLH5RnkU@41806oF84R*GsGiVfu302>){Cy%4+IyMDI^adAF?YW>CG7)&JiNd$`~mn7odGbz{ak;~!--I^QSq}9n(RknDOiRw4HU|Hxy_p0P!?lg-JuI9J7_R^3LR|mT5ZtAX7 z@9uBVqu$+;L!IuL@nOXsOp~T%eDEgdq1iL8!FQ9)*9MrFexN~)l z(HkA3$k=7;=ouRvw#_-fP;irK)nNl!7GIbMP43BhjqZkFMAtZ{)auB^gv6XO?+bb! z(tRKOu}-|0m3d%a*J*ue_&`YMbJ+txLs#{m4sld{5k9yR&roa5Is+4rYk&I-*{;P> zD!9LyWSntqZ?na2hQ;7Zv@@&M%kFIq*$t`={q2!&FysC~g?qsZBsrVP1Hp#r_@lD4 z%Hvq!rk8Ne){*BklH&41&?xkb-RRTlwa~7fefLX70~;M}`IXheMy8z&er2^FmmW(D zgAFx5`5EvTdKh_!#HKS|3=kT4YyfFc#&k&(52?K~T##cKX?SONeo4eT!?($vcEAo4 zu6)73?3+nX)*psM`=%gYXQb478) z#a)tBML%+YNmfkza2Dd9(wy&fc2+H&yG|dd(DX3JQm$pm>FlA>);Wx#c}i+lyhQaM z^xn@4%eMPs9VAY1gFBGRk7jk6lD$%Ze8{sH$>9gW7VYZ@nfW0HqF@qpC)`FA##Fj- z&c242T?$kVaRMmyfbK#yEg{$h&}yN?V(B_ytTjoVMoA)2I$6 zSXHtMRR`#8WbQEpbOb>TN=5ElQ7v)%4yad#q_Y4IIl&6p*Ql{#M`I6Cli>50bTmj0 zO{K<6hX-*Dq*4>)Wk-@i!(|K}!2&xDFef@#${0L?rNQ=07oIKwR~x~CY#R&?^wo1! z(FV+JG_LTlG6q|>9Cs4-&4EM6!0N3y-t(%VQMWp+QTGp^eADULBZ#uU@O0RI&U88u zAJPc&b)J5=A?eI7;N}EGkge!dt$Zi}8CE&K7v*WqqS7_m;WJ|d_Q9G`;p)J6e0wj$ zcqDx*TGlZ~K3_23b&(U8G2;`>#Q^z`JCoufmWmvfgz)!+*!QH=)t5_MDUL}3TSze9 zL+fRY`$lI+dy&p1JK7uVb#q>_k7Ler@gcOTxjUf6+x9eQ@ll9s9HY1BL(sBOn8R9~ zr{d)Wq=}8;sdP<>!xK+WELTDjthlLbwWQqSmK6HHKEcYyJ>{ak!(qhml1R56kGUwU72QB zJvnN7=+;A9KkD`=q>~R>C25+JP!iO~x z667O9I^v-0of8jbNN52y$m2o$U*@p~LqE#y2!i^IWSqVB-v?EveOczVcQL_>Xwx(ThSfm+B@Fn{LCI3x+10%aN6qx zL|u0tzEqKGuE{RO=N{~)%3XX5C(;z(p{EbDaKb$+7@i`w^~AQU1u$m`{-}6-&w->t z<7`^3BQFOsIv#iE4F)r5V>}-By2eBgBJITErM#Z@ma;VV=>^G6dW+BVc`U$RJ*4ti zOsW6TXwL;QD$lCX;kPp3@Y*J0p+@yQg2CEs_cOyD0JK>Dy)OkmFt?6hn6&Urws>7r3HfO^-O`?f!`Wo`{ z`2(SvV9Un?EzdEvoNj9Qn%7cQI`%fTypzn~+)Cno>Fsm+^`ToydlE@mkleFB`LI7{ zISTh^X=lW=L+~NQ_JTm?|Hw56+yk8(tMIi@5~s9LInHzn1?}E=90d;5eG1R9-MfQ1 zO&W4vMpBN`i1ocwHlOtb!)-@Je!Myc5qi4^@zm%9{czKfI4YK)SKW6fY*|@W+{OcxrFfVUq~vTS+HAjJ4Ti#7JaSyWkCt z^S;cAv06~0BY)T@TE-3?-Uv8iB9!NaP99{%Z)*MjjbMHmzlxRdR%#+1_j6v*;~qk( zl09xFJ~&BsVPld%Wn{EBBr6KBWQ-bL>R5`*==mO)*>Ii~-gP4g}*0Cc9{C*}J zRuVgcz$OyRuOxDWVYsnCBR9AR;j@Z9hOSK|r?&zFWxJk+fpRS?xT+cB&kz>6l8Dg> zUJKc{;4N2ubN43oZQRC}+2e^R9NAAUe$USO1L*#y$#I3SL?vY?7 z#-rEs_@hiX?9n-kHL5o<)o72_TMTEyMvrdQ zk-HKytwMLA2)d6BD;LD8Hu=6L+N}Oo)z<3B>wt_>ZSDse;S-o}ShZ7hSbwG(soFNZ z#rL}s$41o_eWbU!lT(2!;M$KLeP(yzYxf764s-3OF zzukp25LRu@#~Rhw0gIUtOZ66iVZuh$w(7`B37MX1YhzXWG$~3Z!)JCTzWtNoC_KW> zne<8cphAbW49%e<+2R_Z5ybFxUa;iKuX&(YAjo^TTl-|lp+n%80B$q_4R3)BVXBe- zU8=X(jtQHMpd**}BSn!*THhSOE-Bpn3oY>Gh_E)^NDUz$6XbNJt+Zh&oU>O-i!;yy zK{}kQ;*A&NJc8VxX)B#{n9t{9$CMW9b|TuwV?)?61=&nU9Ku2O4f$;moCmw_JVp-e zy!jy~nIOmXX9tau4oz>J;QNgnhS9E@lU3yO8gU}+@hYBwadzH&YqHO6#=r9^wU9(3 zKBc(3BQB+w0H1DX@*Mk{9Z4m|#bBF`d=<#3lp?uABYYs2 zENxN6rA*7cede7#LZ;U0c=Nt@rKOUKbCZpnO?%3&6sS;*r3F^=*YVy@7!r_meSejs zeD}sC(GZ!X6qVF&(wA;^cavg+giAYzYJ_7XiArE2T*Fmg`avlm_iK_&t)2P@T9P#&OqJK;d3Bg zP%nmbYq>3GxmgqXrCDPXvAr6Alj;uAT_& zFoOAW@Yw1}A(C`c^`upNcMQZ+2fD~kXmw8S{hbZUy_+OUqi8b0)7lg=qxXXK0f*vkpNDl!*r05y3L71 z-|paDph(o6`QTK^a&u8t1H3V{Keabc-KrYkAv*&Z6^T?ez=OXJPVChH5B4;{{E;ZO z8c<`Y<9;QP=tYn>N1`4Z4a&_T$)Y0>XYx%R*K2!_aOUL{W%7c23&_ajln#H835O#Q z7XgIobxbvKtZ*hTEru~+<5=M$fFO4yWO~b~_#(hL-ng)?RJjPy=Bf7n9II-%2q4I- zfQ(Wt7Xbu*EE5i^mWu!a+li@0s+NlY(qct6acopA7Xbv>K*;n|8(#$I%svnq;d#X+ z-49_#&0b3@E@ZEn}^7Uuc8#R!x zKg|&82I0@2uV3Us4y|Aav9BPmAT#le|ti#_0+%zr%RA)0)9?5Fb_MY%k%A22JhVwm!uV=cn z-u94c)r5zOhyy{61Ty=Dxym3X;bR58jbuo{JJ;rCzfi@Nz$47rFWgN(7rb}vj@d8F zWDDQ{zU&vK@UQc;r(qvzc-i_Bew0IHDid?p8a(1@V%14p@+fgBf)r%IgZYAOF6Z1`?|6)UCmD zFuPmtDM@X+ne#t`lKMawj>}rIwo-J^(CRtBb<~wPYs*-sAx8iim9#((uJmyUx1)pksEIIV4t>f8gfg?^}^-{Xw@^hZA(W;X}yxe+~G4-5P`M?-Xk<_We=_rlR!?;3CTR z1zAM=M%aseU*Nkj;jq2f_XV~t5o<5@eQD8z7E!)0$cG4NvlsdPu*xIL$??N`=<%K{ zDo64@xv0l8YRE&R$NibNjx65>P_^}9eyT%9b|$$a9*rvgLL>ZsCJ7zZW}Oau8Zh_# z#3j5{!t-fJgPCG!D)&o`@C{7Z=>4TSvWRK(EHU14(rt_0Vi%@uJbtg>zZw@mbzw`* z1&=sIt=qQPbBz7OJ$qm+%iD_wK1CN$`BzWD`-7ElYs=B3Q+}Fen7nU2^jOKh`U4|< z(X~Dd@BGObhUNGW8ithi`JZt;@CU;%{441yGHmiNtbrEPF#NGI+1D60c?uHbA|PXj zp}_BB!ePhZVJNU`h-&o9sCXDki=j-|=r}wD39=6%w|E#*X*qTn){#3&k56=BZJLH* z?s5%zBal(UuvCYSV8UT-w&<`uiK=sqsbv@ze5LX6V+L_-)Mky2Tm+W^Gp80 z`1mTrVC>4AL{;&4FxEjAYA{|5E}{mbAde(|BtG50&(mFX2XG}BRED6!+pt@VuxX1PE4R{eNMdLJ7*YPg%6=Qu}feW?%ZY= zhEqsak;dg=*y0Vt&(~Xx%X6Y2=K>jJTuw~|ek&6W8<&Tnz|JG8(Z=ODQCbXS!baor zFcf4LLT>Re)aOLoFyu*5x~yJD>NE~Ro)iUnF_1BqqWMl59Ll7P*$dB%g4~u!M+`%r z76rcS7vec=DV`GrHW#oMOYxj2Ey|d%(Ne`UCkk>bA=4R#Y0QZavSKMCjY?1hb7E-+ zS;N0h{BDIa7?w1+4TJGV(pcnRv}=v5x)^8=5@Wm zfBD&LVqVt^Y%yRlCgyd$w3x+&jV9)Gy&$h6q-`+Lx;}E9_yHy}FF{42ucG{MJj)h* z+BTcabN1~Tex0v$Sh5))nr!d`ufX696J zo7VpsL{-%mZSPO1(_0K+!baWauFz<&`ybKf%A{>oS*o{aLJPGU&0E{5V&ZH|XWGU?-D`B@v4pJZ_A0MfJJHk8Sg`WK6_3XH7OunT6@`fms5N?? zzJ+ugdD4HtDdzo@u2b9#WF?aI8}dC90d{6xmET9jEx}$IW$$f1^cu zPEoJ+5_`H;5&85Jp7 zbol8^IP4S!-)dC%C#um-QKPq5x0*OMIz@+$Yz8tWQWSruaq|$nt2Xfa7Aq~g5Wd2@$dN~47s6f)A#G97UiMtic)*1m+JzzH zn+igoXgkG>(-kBnN{@gjXS5h}GEz9!Hx_?D+Nn}wIDCuv48F>$)HfDCsG5-^?p<7{<<)9epu7QLq70z=jh?reE8QHm(K3vv{YQEM75 zFbI54CLCV2a)Ci$8&`sA8U+Sv@fKQGW=k$G2=Y-v+SWAj_kGK_xiTp$d2eWqocayo zIey_NjPzxejkN(@I12KI712uOg`>b50XNkKc;P6pzY*1FCG)~jT3o_}jVTE)90hq4 zA#F;IzahK}H}^u&!{2~fFa&KM7`G37U>LVEbwX#D2<;FcG}=UHgb=F7yRkD;e{jtH zej7>ZvHH{3abCbfZHPD2cA)kVLrst?zwzYN!zLP!rf+h-$PKa4k<-oX>=f zUcf_5kcSh}<^{30nXj-sj@t+Jf;Z5i=;uL==6AbRFE)6?ZOo5)30pXPKEDYVP9(>bRX49#A+8(S0FgL}s+)U%*eW};tNhWMG z9oO##c@xuCDW2tK8rSco#fePYm_Bm-UXc9>na<6$$a~tC#hQ!DyfvQiPc65ai_5%% zoD5`?xk~w_rboDl35O>&uJ8)geTZs0_dY$sKmV6FHkym;yh8hJAl*@HF&Ec)rN#YB z+i0$0s`Co+Dnh1Xt~Bbrb4dqk-EJ$+^B|MnfBcekU@Xq_AQR*wAfqQ>zDFhvYM8Wf z0_Kq>$UhP3h{`PwGl3t&gu@QOV@zPVL^V3}@)(mAUw>hB2p(gCe1(wd6z3zy*jD6L z(dOboR_D3wFy_!`E*@lp+!4rVbMY{f1}j=gc%!*^qzSSC$S89a&^7>pS2N+Txp<5T z>{6l{Z7v>T(&BI?Y#hHl#st}&km;B!jWKpAo8HSvF8Iu~VbraanRpgAlw$P_WJZJE zG)$@oG3#D;KYj{Hg1Op`0YYouFc4btIq852)#p%(^)bAPGV2n#Kws}N^{|s91>c~V zN($(xBj>qU5UfnxXzKQAP>=RQb;HMV@$;cjiyx{RJ|6OLQhh|&P&a%$coq{5`=Pqw zA8LhYt^(msiB*lf~*EI>W&BB1{3)COgLQJ=6j<8 zJA$Z27q|J|sItB7&ZC_ zrt-aCGX#iTSWYjPfBqrF4ief;!jFB%UNql8z42q>K58=@&)5^Z0P`HgjS4V=EGNap z&Da7zg9%&a27sHPEMq{XkKv4|O4kna;RGQe!%jC~&nZ_bRp?)|i^GTpOEUzX9B z&vV!pL#JqiMw zPE@03Y~G_FEzV%V#sR^56a=|H(~g|6d5?m$Sl3KU8w*stM?sLyK)M6jGGp@|1!?gR z(>Bi7yhlNh6A79Aj2*j2VGilRnX%bZ(wwm$c!%0;(Tu%UV8*`oWkZ0toaOXp?19`J zf(G9^b$Fz&yk4UZbj$p?FX@&!88zzW^~H>$={o9B^qqkU*}f2T}-SG71q_g z*&!SA@lJ+?Z7mSOm-Gy0nf&+a$22(i>QBapQ0E5*I)9|zptl9@ZumbOCf|gJ+K|86 zUBh-IhWVwpp~K{h0P-O}eVdSUnEd{)WYGpX?6I3Zr2BS#-2#g5Zt{D;s&pyp1p)N+2*sCFh8zdwXev1ZfaJ(^{0y^@Is>f?;P z{7u)`_5-)6zgGNFduZ=Q3yZuNKWWGbOk2fple`mj_#h@6mbY1lZBHY1 zTFOQj;j6wM=bD!tx4jG>SYn((-<0G}ffMN?9i-rYuENfzE+ZU7kcTrjc7?Hn2t11k zhZV*SBCszO*%d|(La8N%g7E3|cy>2az5gmSn2reyra5yBgXv>p(0a!4rQpjw-l)TT z#APv**wE-{vJXj8wrMMGMD%+J?4jnnF4d|dziT4e7#Udbn_MrsRwJAO90`w{`Pr9W zxSOigVfQlC$l#FsvqtzLCTt82Q*`8Drmbw>o-ALR{gC&J7sWR^fxk^}u{G1)DDj~m zzvPjSMI9O!pD!d2pjQOq8DM^2p#MdI?z#6pyCyIF&jfUaWAHmC2Tr9=>TZvJqM$u2 z$%=PqzRZm`U>z~i{}*>CoI#A34LAfKA98;nqYOA(hyVH?vjKC~X;eP~%&7#}25+g} z;&CQyG+?Wayn$&)8ZfzD<0i?pjRvgMk-HFbiwv0P-!IOLr;<@5B%by<>Bv8mk9)xh ziDU2~oe`V6!8Q0rAZ9T*k61wt=5Av~tiZc5VatdW*jEc;4bCH0TKpR=EYX@rtRO3x zcBH|1#7c`XOxtL19!jP>xXGnf*_%S(V5+f*^+h8I==pjv(+}OgKE{agHFc@8^^H)GMUDH%U7=5#o!xt48=uz%k{??BTp3xK@Wf&Q#gS)g~wJQ6V)e%}?cS)aYKrbUQ%TLsHg?H@&B( z=*Z!OqzML-(Y!A*ll%ByUhB?DoXAd2CWaKmMX}0w)wb#VFKV=^wrGZN`F%tBs+~17PPO^$0~8jlYlPxXBpUvx zHNu~$e~Z`Z$YDT6sW#bJBixG#hgDmv!@f@u)hN~GcG2j*iUw*W9rjus`4>W_>9rXr z#i{l~l1Jv=k6tCd{d4c~XPvqC6MS$(hb2Gc(9s5Vl@V5e7z$$H(6L5^4na0>w<@nS z`FE0Hg21bpu)zpA>{6zx=0{6@&#^-YAI^j~NlbDx+I1>+2(mlVCYQ9nTX$jKomC|A|T3B)hc1%HzX4=bWDA~d(xtlZ?z@&|1 zeu|D<_j1@V-FJhlaOxb-k8nzk>CY4z>2&~cPED^8cS14Rc6(iA89BYKTG`7z+J6a- z_HV(_{;yH0A&H0bTi>n2P>OM<9PMvQ%=2K%hHB5A+mg8ERdub7{G#3q2FA5uZbBpc zFThO`;}jiMNicJYQB}P$8!wxzMA?Ae*@|RL#UgsF#3_xE2WaPSj{MHev3#Xb8j*~m z4^%+SWE3UI!{nU+^wqa5CVSe;-x^AzBfabevoZEIb$QZ|y?p)B26-ZA>+XnN!Mm_U zAcG%iGqwGl0LMLHpnU`>OoclAodEODsV@e8`655v^YScH1rSBTt8t3EDiYQ(gj7kn zh^u213HMQu5c8*dI+(&bxc_kjpLYv8`lCC2`GW1yo%`!NDfCBozT^vP^MND2uh@E_ zG@~W;S1*7{Q0JopY%hPz!1gCXNPj251#*FUC_@i1(Txk7ZE$xrk30f{SVkHbI0bn< zkWtAz*RKSgWWwQjgzHxV+l^r6k;Vm1dPf%xvT*$h#o%nqW~MX5kL~XVxbabgqOWEl zRW@Xq*0^kywUw*Dab#3;)q@wof;^0k6SD{wcvmKDSp*BLb#AQ5c@Zovo<$3b$$1eh z$Z1TQCp6mzZC(URi_@64eGx3kJVI{CA~?Eibv`RO(tupH5=I`LV>KX`tps^3kg;Ve zfgjI=!v^HCmB4mos*!a^E?Y^9@BVEyAeXHK`5KV!n6>1UT(*)HcQI|F0l91?$V&*h zMFvcxY;_;$PEzN8K2LIdz*D0)&C*xx4&;xfElS0K_&V(&!?3@RWO3p*?>2VdbkJEY zP<-8k`G~d^6-)BG@G$gWR$FngM38-ebZuqI)45n8@HVhw%4E1$BCvS`v&2_bEQyG( zwznRfCGpk%)`OiMG$?v|7LqJyUu{z5Bk3tHgXOf>NCf#&Eh$hL$D}}B=m`ApfSVM^ zH4=eMVX7PhZAm><9;Cr3OxK*$>&QI`8JCz-VJoc~$tCQYk*ehy$plZePdrB&Fshbo zB!avV$SBovjYQxhm~eRR=NgH?_GGG2s^uDqH2CRRV%Vr!u8|1x4ML`=+V~ntH}-)@ z)$)Q=`ah95HL8{uq=M`ZWRz-oH7fAc&yWhjs^z7qz}^7N2_`nLr3I!m_#4wTs+O0c zg1nNDX{t89M)Eu;8eS!Bqc}0HI&*H4til+9OntLkjTH9gJ6+d#;xY^tHy+!=-^9 z8caRxBCM)4P$L~`4w7@Be4h+^ku7$v9vMD}0Z(nrxES*!R%#(3|LC!xcIg~xV&Q&W zmRBH)UKy)-z^RPQz=u#Dmk0W2xyPW$!_mjW@)|6z)dG?}m34H_o`G7M+CNXf*!IuQ zhSnRhy1H5Z4Ww;q{jj$g2McH}eCGk=LlzOTs)!WXNT;^a(acrdavZ(ljy$#EJFG9T zue)qHwFCY-zf;9b`ao3yI>Ezdl)Ih^s_KgS9aUXOAKVi^{s*B$di#^7w<%zwGEq9y zptmbo0IpLi_vpA)J$bbjUE}TtPq0p|Oro*e`zvI5+^VMF04a>I>!2ntI5D z9-#L>m-XMj8kkxN>PBO3L~C+Ghaq&3k@^d0lRpW`W&JZ;T(cInEOn}IsWD`L4n*xA*$Uid@d(5hOqWD$bOs z{fbUo)9;j+@4vMx<}1*PMa&@p`H*)rQWvvq{%G<^jzTpY0@JWDt$eE1vgl{5sm(9;gPk8Qi zw%6$y0lXF(o7NZhob9jhvuY+lD%-5_!a{;25t`J+~mt99gDMw$#hBt3(3&mCUR z7sd1}$iaj(iM%--=XQ5R{`Qe*kp(%Qk&czi+Padrk+#X(o^1BKZAhBl#tJC#__#2J z4?!M5NabmpWSpfbeK9(0sPlULewJ1G79F{Ok($BV)CljNp24~2g6&+rPmJkVkS7w- zH^Qk~()mH}dOGi)rp}p%VvmRRhohwzzjKMeYxf5BWYLO$IMY&*0qibg$>Kn4SeWmXIcqH>cy-UdP+SbS%i$2T3{l z2q$fmw=HD$PR$sE_<-R!+i0LX{@ z@&S?_21m~n+p<(_E6?G7i|0Wz`DsedJT!ScOlQhQ$(aX1o=Zp>>rUS;FK2Hy4{aU~ znK3*Fa_RjcFDTDdx~S^U|871XSv_2P+{D!Fx)9`Pgmi{Mr$(}f!$rB`!e|jW1cDY4 z+CA|He(+Uip2nTu%AGeIX)Z|IX}K@_RAA9tcB^51c?0q7TO+32MQU}&i{G6>*PlUB6}o63_zPX@rW#mU4Nr->3pgF* z8rnQ-e@U{Jt?QgEkCCD4j8xY48ti4jH0yaAA>G1I6RjhK?(IyMBEE-IwZ8eOB$j+W zj0vVotO>)o-4d>;IaTm>&SPSz5Yi> z&;6tQc_sMX3^DiPPQt@BAZF&~iuwLs>5BOjBSZciBW4#ekN9)XYFFyb_U9J@{`}od z27g{oO#1!#_#Ko#|4}ZGKi@H9GyS6u3qMJY2s4`KXCe-T(P}-1>_B5cjl?(KBe^a-wNw>vbw|fM-?QQC|yHHn) zog1BQ{|fzU<9(8I;YQyDI%gm?*|~5*@WL(5h2g#nq>EI!b0I%?p~AV)M=p@e1(gO> zzwX&g-P|5uYOq-fgo4K#aJG0d+yL34apvu&u4$tqboZ_rtTwdcj|whd5sO4wZc2kc z7;t_nr~l9ZZ;pvol-veTfLCnswMk1naaoiHGoT*D9I#U|V#q7J!YH zbu}#jUxOp5=ovM@)}nl+6yZaKN&|DKmMKG{^mZccf4b)7o17ct|H6mRsQFL8lr`5G zO!*@u{C!NB-x~(1#vf(M9l^cDl(jmty&~F_Iej$3^8i;9kZ#Hn9d;kVe5P!UHDw3u zdl_xbiO6NQ$du1a51O+52FH}&;6uoi3j?Nnd!oUVdEoc=F=aEUvLM$r#I?Hmta0qw#1rpAh{GTZ+~-BetB!qlzaZ!F=an|2$`}e zV9L(d7)*H%^!EFhvYJ%cfj??gP6PKAQ|9idAV_)!^&xL#^O{dm?+RxC}m>&T~pbQdv}#{!D_ zX|!)(+8e{P*Q9E7WD(Q$EoIDEjIg~cleT9ug8bht(U##XM&SPe+%)xZ79+5S30BqZ zF#NY|vTOwoQPDFR&q}ZiTgCl1Sv55SudU?UOGDo3>h6QGSu1B}XtV@>RBXv(?u^!| z){z^h##*agZ}Apd;Nf7CwTgDpxOkLl$68C+zKTiPttH44h;&3qWNQgLmkEc*5nD@O ztEa?Ts~e9gm@V^kb&JihV)@*zh688g1B^%gra zZKK87b!7Wwq8(*1&PRmp1~jltVw{f%awd_Euo&kf0>79Ehb_kWh`^2^m}PuXZURGz z#rAzxSqzrS+6+q-^@sjz@kfoVw{Ests#!-q3S^9>@^{l{moROkrK)x0@k~3)Qk-rG z+r5~yacptAA;?veqAkVghQMC|+!P5p-4NJJf>|s@sfT8%=}&u>>gKnUw*z@EEW1NV z!D1u@_>p!1w?kX%#t1!e<*!wIF~N{2v@mnF8?ozdBGzrs;V#3~=rL156J*Bjt^-vP zIZB4ZE9-V0c|MVj7&APN3gLs8aCpq{Br33N3Fe!JXr6Ia)-=<&H4@*g)l11EBEv!s z%@NYY>>I5jR_n-{fs7KdU5B5-gu^28%p?8h5zHqd1?FfGZzWYnipT+5x_JEttBCm& zR0VlIkWnI5>+s8&a9G549acy%pNJIEqD6d+R2?Z|5yh|mo}+&GXRCJR92SvdoWL$1m`_9s1<@ill2W8>^WOC&@v@jQLe5WaGVEKSQe@ROi@bxHYbfHh zc*_t~vYT_EA-HTSOgX2=^KtALXgrrAx@O&(`ji8KKHNAG!6daMLo(iE#v-7OZI)O^XK z?E>Rh=XI-f_|MP^k{CHZ$T`!jU57Oj%>2M} zWNA8&?BxWap4NNwKln=19x4=w{t1*(-?gxLY~`Y8PpGL5e^fHN=^B!op3L-C((ajS zEjsc;Mq)!xVgxb+Ck$IE@q<|T%sEIqTMgXTI(o=nYVchs7iip+UjXvp>DTBw+k}|P_!8O5~+J=T_d8%OVc{f=w4rnHYxhkK!21)6Wihd zp&*~%37_`6cni-ls3#@tsIzx&a`-Hi3&iKeB?k3;FWpw7nR%yDk*V7ggnB&=Rm@1$ zINiQOW^l|!kvH|Qb78JrAbvi&(!kFT!q4L#KQtlu7YL1K8`SV3nSpaBge@ExjHax^%haQ!QZYN!pb@cKLx?Z44x7KQP>%!anppAJ9Gn3Vnk&{ykhD*w_m44*!@Ef?CpKd+XQWynHwELq za)G`caT##IDe7nG);^UEFm=0=P}ir@N1+CXAL{nCOE+^Wt?y!(N`DbP?NjMZXBgCT zjj*FnrRzu^N^ivHPT(`7o^{e~2ehiD(%|AJVd{2+P}ir@GT#Mye`%^yo-)^E!3*0u z7fzK6#OT!%42*6NMth-|Vl?;|{@J4q`Z*ocjid3^wCC77^r+_8y-0}>bF3gYkQC|7 zv8RG)8gs1Bo<+1Hf}0?(V`O^4ZD}kU9GZl9UppHdngsd7CB$%gq3Lvw4U*6nV+-}E z{^*M_)jyDHh&!E;%=oAJ38x!;qydr`edITr>XV)e{THl8P4$9&3CP%~Uf{PgVarr6 zu<-=jf~o#x*zo_MseXc|(7P{+9u0z=z{vDQ!&|KU-*>8?4jCGRy+s#V1!>oj4>OVk z85cX%clrN8km7wbK{D)u)al5N#*@e-$beWuj)3*j%UO&=Ilbg-Ut0Czj|%sPGkeA? zvPMUC_XH`3733yZlMaYeo%JX%n99 zo4WlQbS{93cG3`9wGzt^!o&0H#fj-op@(h8MR1x@An4 zY~~@|+Eak^SVC5v1TI!~N=}_m9!MJ}KBRgGK6Kxwnr;`;L#VEU;@6(;o%-(E@a5t+ zaK!XH-1}9{aoPzrk2mkNn83V@`HLQgnQI%&Tu9iWPd2`Egkd@x$AYPnEf=41_fsj@ zH{(cRzCf8))_M3E%$T>8ZtWTKnv)FOt`O>a##|y7sN1{F-OP-6dWS(h-Gxtk#(biQ z&!NJOo-sF(K14k$&M~MbTe>|QS~X|P@1JN;&l^Hr&zMj3UC=V-g5ZTAjvaRmUO3UY zuvPHFDCfd=(78{v?M$Ne5Yf){L<{EF9m5Tx{XiBq)v?}0+8$dx<~mjhdQ?GoKJgZj zV+*o|km=>v-vO$H5w9@qh7m4d3+*En7uGtKAh%~^dRfJFP*gM?yQA2^6vZ7UbGuLZ%lR&Sd5P zzAFx1!3%q1m_1{D%PV+64)g?xFD~8%^~8^-|67%m!=$ix=NPL+I7|xiLPn++CYvDi z?=1)i+IG*iUO&Ss2nSk0-p@!9BtFn?g3!OWARMZNy$z$Sf^eu7UrPHVroTbxA^ueuLpN)5ShN5|2Ns8=s>;Dchg!rW-mzpr@xo(}azskbTmkX2* z-*P%|!7O}7>DHcwUvBDlyinJ(@Rg%HeyH1R(d}m40`B~sK|TG1PkR==;248?UKV!r zEc{rxKzxor&7huLq}zOG)trToG<7>nsOwqyn`8#ZTv`_Xuiyo@ZvD651vfpP61?E1 z=a&R8xas-Ha)D&N@>GM&{YBU=X;PnBGPj~ z{z6ium!6*tqAEbyc1&@4F0>yc+7VN*Ag^I$dQi!22X!Nd)2Q} zcm2sti~`41^GQN{G2@^ zsAW|q-a5iCc>jB{J9x2{_U{qN^{=g~k{IF3&^awAmq;RnXR@csraR`vB>#7h24(59J+k(&8&9#IhFQRf8a32GXT%dEhI+ zcLmcnz6U12_jyd)UaAZ*ozH|V>m1>DYl89HW$@yAdbu?nF4Q$yRlF*+`k`0X^`b43 zyw$BD^HWtcBm=^1&P^}+#+!xd1D&gW2x^A$F1Qj4Rb#Y2Q@ux4Q3&mv?CrlwJ10q! zwqwd0C-!>@K7~^2($sADNJGv*cPhvo!N$anBhOLPj-#cie1Lq&?@tnAHnTREa$lyL zs?ovo07hk4$o74S$fhz5&}iPrG}(x1gOpeA)k-?T?xjrESQ+MBiGnMU$nLCyoxrQDvZXb-sInjp`2G3AY6p4S9hQh`XOxEIt0^5OL zGU~}Z{FckAZe5L5%iJoNVC%S}zv?dCSSl=8Lf&*518ttU?n#nTjUg}V4;u1vAl-0k z3Dpzy7Dq5`W7()lN9HhX#kbwbgzMH5h-eFe~4b{g4+CRWMqYnogF>%XbIbvg26?rb(79 zC&+&R=?;e|%SnqVOxtcbL7w5G9p!P-VgS>&dz>KG75Z&gzSz@A-LLOA0~^p6W{}C!MX#~;N*Wfl>a@DZ^-}tLIOMM(tSy9YNMHd>6QndCrAD$_3*7 zj$_@lmYhNPH>r5W;N5&{(omN2bAR8}=;u3{(XpD;N{%DfGL8pM3ggKFf)r z!22*^OJXRncF5|R3zT=#OVWHPV0i&TN@ZenKe~(GY2uERi4*x_mx*}(>KOird;7~g zT}5SR?VjYAsBQy#utS#{|)h^DSBC z`{oQv)Or+7i3#NS5U-)ylkvqNY`*X$u{2eyBddTE^MxHZ=OB&nxlB0hxFtI5aDtil zid9ulr;RR^g;RoQre%&|(V9VDjmc%lM2jZKe*x*L&Eyv>n!wALuthY1olUTGMS~q- zxuUQO?2OuLOUTN4#;ARX^1_au$$L`f1nUS25wReu@s!`ah9iM*ToWM=@gyry%{K zKiKG##sMm|)J4vru87|pX%#UaARqEoAfrUA*5P+D;joD9I_wgH*+e8;j`ho#)BW&2 zIxk~zGGI^WWxRyyFqf0qu0@BrLa!N2LL19TY*#@x0qKr(OB7+#N{f4#wsB@>>k9I6 zA8kvf8{qp0rftl01AON&ZKV@agbFbI#Sx^Cu(1Lh*Ar}WGF^;fK53yM^KIptkyc{Y zEb*)~f|)W}iCt5Wy8{_xCH78f(LRU-Hd=`tRFMAx(xq*&Qh@KjGHs)k0(@V_wCz?3 zFg=(FhpiOgxF^9j$4Xw}qfW)}7XNIr#OUoQ|LdH6=e~H>+R=o{Tg)4lsyzc%7LbxD zZ}E`szDKTZ3G#eK8tY6nt<^elC#u_8uiKt6-3oH$;l!Y|+q!6J)eV2I+XtDLQCfAw z-$P#Gb<1}=ys55mR#{KNMFf>9KP*@mJh-=lPV2D10uB5`WPA>^pzqMS&W$mMI~Jc&-IUN*QH`Mk_;* zV}W!PZ4BEw;eDB~MHxc13&E_)ARp-7JYJN6ABwBUF7LDn^)APayLZy}jNMe{H7tEwkb0jRQY zdYY>x7OfWal~X@^P_$@*oCc(;Hj`7cXaXP2ge{^8>;QrpojPd}O_hQzR#OW>PqgCmp_Lo*dla-7QYyf z?tP&H3a4k^t4hAv)2^4PJK~;8yC>Is`J@4RIb4u`2h!Dmaj5e>7r|f8l#S&dzULyy zkxbdW^|ugQ(k1s?e8qZoK%dWkzEI=b zf`I|O$2n+CclLaQSFB#o!Em_}{87WSE3yIKFQrkggeodiEFy?@jeUNRx((v_>xs+w7tl;tc_edixR*g|hZS`wq{rx%g=Ay*q8Zb_p0!LXEL*!L+fB@ZT{c0U2BfPut84<_BT6=b zZP<5nWbF2D*@E;o+vJgJT4mciRMC(GYOd<7b^jE?QHWQDjW*& z0%pVPLppLhrX3L2opUx@4(mHI%5oJ& zBt4lKGD^x-6hRIEGAa^q6-D6x+uJNDS5XA^4#CWls(MMJy#3R#EX`Qb-?_||QQm4D zc?ghE@^a)8!U>DKMKo6l?6bY>@^Vm*lsBJk;M$ia5N%VBvrkKUE1}*Z?g9$(cpybu zGDv8}C*ZzJ*0SOg*qS{xS$%1kdlxYBkh|m90Ism*pLp8{`tddb+Lp#EiV|p)&n2yzUNB5pVf;}wO#^O$gW*z$@(V4ZY<-Rz4uBk)bLNO@0Z6GY0(6%Xm}t^rng zRr$x$%q2iZ$*amg9=w1FhvhBe@{b4WK`_hUrQ%NXsea>qHn4?du1b0RJ+eo-@?H<5 z8}f})yG}UCgk$C1jbK|W?=_??d5&<}Zmvmu8%$^-(RO}r`?*^a^r6T{v~4I0KeO!o zd`@i@4kZ>@E&van_<^G%0@SZ9!rr@8N7ld0xfn70o1m{!llcy%xL}Gc6!|u#=f(4!SBs4ecdn zHx{}(GhO2@5q4ui{95sfx}gTJWtFa%q2=qx<6{>6Y%OH&9k?Mzw?fqpC-v^B+#KxTO~LD_BOLGAR6*L*mZz86JV6Cr9mOn zjqq!a^iD)N4P6WE6+02nc3lgyfsmW$*AJXX_f*E3{F;2aC2gN(XZ55!lzF!3xz>Yi z2Ur?4=S&;t*G95iji>XZ8u4h4xA>`)y^dZAHSI<$A{=jv#=0!brN29-puz$a_@5RRw?$8$9_4 z@nLp0c=8eCjvgEFdBn||tBqItq^pg;Fw%{`(do?3U^xwK^!JQ%n4JyQh9J9nY{YA$ zB$f@HS%vs>y{+0PqIf09az>JG&@Ap~!%`+%0hXh+(X12Ci(mtz!^g(PUc@l5L4h}# zjSJ%0pqx%*e1wS`_kIMlaXll+H{!MN3544$ZR{AqhOUkFo}>+8BVHS$NXHVfF51E6 zDk_W~NAGCh0&Ye|@5W?BV!Qjt?2xQGYMYNAD>-VrA^0J|KOD^eJAi)Ja33KMEAhX* z=!YMDKjhI5EBS}y7dP~L_Jq|9_~8rw;VP!O3n5zghl}}t`_T{Y;)lw_fs2pj*KO=& zs%5_{5!HTRz+X0<*uA2B4n5H(s<@rBsJ_EE%@poTJEw|rE6nZwUEz6;Dx(&49*@KcX+Oh#(D)1rkm!6ge&46;dQYYmPyJ zR5}v8@vA|CdA@F0f;%04lD-SfPqD+#F~X0M;4e;#-oX~*oEF`s1xc`rNrFa^;BTO( zd?ILrERzJA$fSYV-`C0)v} zd~G{)bD*i4*8|l#ihW5fhH)oi-87b82`6;Tb zVJdih04@2X`CoT*Gv3tA8-Z?qSZ9#tYoS(uC@HPL>E;T#K+@bv-E6=;xR5k&1-hAT z>SnS~t3QysDRH{lN-j`0ds8%lH@QMhNi*B&=3yAar?kdy=;i=ZH}3_y zssF{GwC9Cd{Q)FRtJ6(^Tp(%2Qa1|$2r2FTKsTqGx;as()#p<;Ifcpv-h-BW(yZAQ zXEuxv_3>e#j~_Y=qI@l+>i3~OhB$p(As5*G=%cy;`%5;pT?4kNlT#N=Kv+0y=-ETh z8G7!}^A^1}I$816>B+Kh^O6;l+L9I5wpNT@Rxz@r68|5)ELnL@YqGKszkdd5>dx(c z)I-^VytCZ4Q@>4;A6L9uN9DA_SHMoj!ouYj;vYOGPCr(nD3_T%<4gQfnfM_O54RLH z)fXR})h9Iye;P85ch@EpcH@drV#cz?y?t#vPu{IQ_nY2*QbUgI+NXiqC{|A1UBQcA zTim;{u&JzLn~CQyEc{k6@B#cJqq6XWvi45pjn^JpS@`YX!sU|(CaXq&kgO_f8eY-- zQdZwSed@C^`t(WVywjUrU8cyMxF4#Bh2M5sHBU9Vxf`S+YAf!+| zZrGGMV=jtnm7^Og6P48qa`8je<5W0ybeaR=v~zV3IIB$jvUd1(c%Co&&Ry^oKGlSt zGNIRn<<0nX5GdovES&4mlYh*?Cpzl@aT=F1_^Hra*OH?xz;`$){Y(xt2@d3ZRc}tq zM|--E>ASE8D5Z*>3#+#AS`e<=*7zFaheB2iKMR=?n!IF&E)XBQg+H50)kC6o}N&hCj;YcabPZ(QQ-T-gmx>iv0~aCurD<2+IqT1%1&? zW;v~m;P%{M=g1CAK}mJkKej``{shSk9WFqJk-g3Gdixhqh!jt-x0-ua&S- zl$UhH8yeMN5nw)SFJLh?=r+{ox@1)`oO1MA$*Pe}7|V5PJA%^Lp7c@>-!8KZjO?;W zEg9LrQMaSE1+OIJAFB!% z_?27$CDUz%Pw8T1;Q~wx+0$wf7R^&bcKH;FpgcWf&zQ)cqk;k(q}embO^FDVu{{J^ z1T6W<%Fbr3b&&Z4z8jG?YpwW14f%5>2#;(oTLHqBdp~u0i`UV@^CRz;1Zx%>9db|7 z$kz~9mC489A^haLFJO>)${_q$@({%7WWY>(zpq9a=vta`7YxUCUtc2eUj%BJW>;KI z#|^RmWv1O9{V3P1D6B=}T10O(z&{AwtkoiL@vF3O=Ub<1iL%1JX#POO)-W?i@<;EM20r1j7N(g7Xitm1%#tUi?q0x zX**HZJX!>N8G--LqXh}7Hd^NPcSZ{x=pP&{8|jWl6=r&OkklbPb%)Q>xbn1jr4>*< z~iQzFpO<>p?_WtU#_ifmv)IUVQwD#v^c_Fh;{ zIVK{!mSgVzts%$k%L?O^v;HVFNHw87WoxrCNRwVJI&b6pa4LB*8aSz>ty^O%`MA*5 zJKaw%5Dz2Jshct0i!>g}K#zVEHFn}mzY&Q~MPcKLBj|n1l(c^|jg$5}#+kHrjj){< z;c5$YOif!qtu$zB)h1{`DMeKna?qYQy_zqKY;-U3nxoA*utBWS2~M`Ka2b2>q-9;Q zXS8EEO1n1-msux?A<$XFEc{WE#ET?%+4?To?w+20FOlccp1&p?Sp{Te;*xh(cZR15TQ|^G+7~@t#||X=Sa=&FUV!UP%=J2O?WI)2b&%zy&{eWxUb537 z923|H{~>WoZb()gi(}j}U(a~^K)lU6^KJTwlS=+ zHL80-o&{uOuS4Hn-K{e52mHkwv(5XgSZmU559(p+I;A#ms}+4!IuqnC>(N7;u?7AH z;8DgFN>@!>(n?^ znPg>QO=X5{s^-;h&dIKYA6rMVP)6wUT9qI=t6jlfPguihw`@`qPFk9lja)AA$ClSn z(-~b;x&5%J3pOES4jXh)bM}mXx`Q=(nOflSwQVe4g8lp#BVTVRMS$VKh0T-kDBcP0 zf5Y!InzjUXMJ34^H^zaDh9-}f$xPq4y}MmUjs~)_@GZ0F7oVb0-G`}$_kuL)u+9Xt z?6^};fx5?j7PhQ-PXsgU((i!e-2=Otds{Sg9zSgR^b%xl3Vl1*!s7R4JeZ_(zyBlw{|; zNvUp5_m2vWq+L8CalXs}P3{ww6TLd$V%(i!_jJZtGmo<|R1=FFLhU3>(P^$8$Ndap zY(uCNFdz01!txMWj`gcoFlt1RQO#`4nAr}O!Gb3~jmQ6SoNn=0_;f9i-4u?~>r|W; zq4kd?au{6^s8zs<2P; zLU=YInPZvcy>PCDerYq2+C+wa!6peibzuWGsRm|%vXz-|&u$;8Svfcr(7sEau<5~k z^}K#gV%255QHl;j%KVkul}&=HXpZ|PO%REdi`@WRb>>FI-$Caf{C&__UwS8&;$a`b-CER*}q`eM0l*VXI_wAK{*l}kX zs(YhQK$2(z?~fZEsENP#TJK?1<2E`&NX<9Tt0AOgl`eL#oT+J{x1 zr-fU8B+2ZBs>k*q>LEe816vy6=+EfP#}a{`CRR1n;>s0Vbcb z<~x%Qud{Vm{(gaS%pDiS${)9!J=z z3+MkPlfc(}>c za%q9D``oIu(-$$}yG%cvw>;-~S zVzU*06q~oKB=}fu9NkvAy}7a#AiX!i&9(dh;a^vf3FmjBqDk4xF3wG; zaf7$+%Ml0bN4gJ{;2(VJz!Og=h4v`yfW#e1+?R)T3!7v|%WQUoS4)vcCM)LBv02HA zna)9K-TU@>tvB$Aspol4TG&dNYKC*6#CHKY<&)NMJ&PKhjca%=3XwXedrQyPdLyfy z=Pf|@7jQ<~1#Rp3_}5wf9|yu;i1FF0wr4AV_gU_T*#J5`a#yR*m9DNZb>)CF+J62< zQLPpV8Ex}n1nR0rx~g`&ssWIcV|SJka)1{6QO+_N!dRX<$QYv`_hTeBWniJ-|MtGv zmQz?KGX)+8{Y!kdK7mL!zz92@Xek*+hqqe3p=|Xd}iqj-<0Jyt{_9^c#Vy z-?(Cp{GZWiI6q_KA?Z0fLN@8hQ-F+G4CIg12=`~cH-`CMld9H{Yrl2~n-&A@I`$1< z@kp0Ybsk_XK2z)bF@m|OutwBIz0seTwy_|Vca}zen8R@m9~Tmuh^lpTZ>EbUJKa*Z z8>#1~+I8f&U#;JCQdKwH4(pbkEL(vR>b#y9Yu(;vU#dEp&Mg}D_AC^CXb6s^Gw!fe zquWl&PH%7kDVu*Je((7q!;!QQ{Pk+U@${lPTe}X6#?JbB;7VQ(#Egidv!Q@G{83JM z92m8Xh*llhmyq;yKjlzmjTibx29<|s%EFpIBb#1d?PhUU6$T4($#c+uE&izfUt7*@ zAeEuMe9t4*qQf2_nCAu-Yq4lTdIFO+K6J*S3G!$l$qbG7L+1^SoyUSw`I}1L5Ed7@ zaU5{f-^jA6$?XbNnA?WpbAZRt^G8F@h4{1aM~T1SzgG1(>&W|n^mqiL;E|KrT!aIS zJGUY;b}Y>c=Tb6`T*TwcxKJ-R4_wW{AH~%H%vHGb+oZ#~63ioPF$$B;ALo*O|4Ub3 zZ?L)NwlZ}=K1ImNDvs}4TW^sE$WLVQ#)km|a`2>zqu?f#*V(D&mu};R|Qu zdCHSIB`cbeR0VEghj4+eOOTTB)}k`(LV>%hYH<*g)2m|)Pt-hu+jeSI(^^wdfgz76 zhVB{~Jq6zVg{wdh=_;^IM@}GQg7vq zxK!BUWhrzGrvhSIFmDaUS(AL;WO*@$At>>`xEVz`lzTJk40Std>bLo{Q=#J3TzZhG zVr~xqGc$|-smj1VBPz-}@T}&-!fLd}ZQZ}pKd==4NT2BoHA6?pqsA;Eu5ai(aVOu; zxYYgHZB=S@ZOlcO>T5mU3GP70bYTo#sH|eGJJ)@?1C~H) zw;349NUe98^=Eoj#F%^C*X&VWGk!Rw%Xrn>3c65P#m04dBeH7CQQIdzCW-%4mecHC zOs|S{A8z)zG$V=TE<+zd744&`s4_8U5$&HKMfsHUPaoTwk`7XATa+~CQ;U+;^97rd zCi$9aN?Je{tV(*p$E2pDD%G1sN#wsiQL8^$ziC+I6J)=IMgw&F>(^({_GwbLA8BQ?qpB1%#}e$%Due1+y;HXy-HSa1<=j zVOs-6afX$kCX!C`B>t;&kdP_0ApvZ>0myEz55Wkd5l&Nb%fiCxq=>Rf(^31Mt5y>W z3-3_B-GSdK-4(`k>k^=aSSq;4)yj3yG?oC8OsNedyjTFpha3T9W#Jvx`vHwQd}qKd zwW$6VYlMGXN`$9d?_f;P;R^t_)P&n~n-L`3qI&VpMi@n)mW$^ zSjB4Ewb5zy@TzWE$*QZcX=4fRiLL5~O;!3v8~5dWU6P$}f1KacNuGINGI1G%q)eV% zGg&e1e>s>v%O=77oVv{&e5c`htSs13onNQ(uOsm*jesQDsH}5r6TZ*PcV^%s{+E_4 z?DF2stbRY&`QD?v70P$%(9!VD4Bq|Ylc{nrhK5Ud2N?(xF#S_e-sZGWJ-IDlznD5Khg0+X*l@ILwr`ubq;b=&Z(Ox)UN$d)D%`=$Yy$ro$` z@YWB!X2eui6H`YCQ?>zEnONOmV5$w&f14rL3J+65a1OCpMw=-;Z{h5ahoHc!0E-)f zfq$-C~m6J_H4MCy=p2P~hh=;dSOAD6j&8r8fkn zQ5U9dAA*8h@*e5-HyMJvz)Z12uy6DFP3%-yBiF)DRe_F@%~f!DObbXh)o+w+&Qrfd zMNFP8vi|70>eeKW*<9?cg8UquaI)EA-XRqrTno76PGW%YtxOonrfG7^2d53f#F#N|6) zZ{$=*m5K3E6jl7K4G*@Vz=eIHkCP}-k4VG#RXp^{BbD!3?9oiD=U?F(x<9dAM)|IH zV?nL8mZpjU@*&s0IvQA(ak+oeNZ(J$=w)1~-r`cG9bU$@=&)lM zhDZJ8eTnzjH)9S=U=G|Dx_8%WIisQXoW!7EZuxF(VG9gKe~Ra|o%R+NYo>i#iy2TG zW-}4FIs1ZxMkOHySGt;h;cZffJpu~y4j^4Cnw+1L5P_e=ge?(JV22Sby$C3cIx%f~ z1Qg`QP*wU7&|8!gHfxdUY+t0ZI4}?Wah_Vwqkhd>6L|Cs@=zctQdzv0N57!EGF{^$ zlSjWGKYbH)MrbWf{WdwlAt3f~D6*q3j3o~pF!caI$}n7Qm^ zT%{p97J(fc&c7!6RBvh9geo#d6#oOBN=Uz6<%<{7s`kJK8&goGCn;8c>y}`T#%eQ^ zMvsExVoIW)RH~p8E3s1sUMylCV-yOPiKGs=6?@sy-I3l&aWy+aA}dy4fbMk=+gY!J6wpRt$?7byb1qc*x+)YS2}|8SFU9 z6`c9D6dp7MjBVs3twuSzHE?uCsp6B78h^J@tX!v4XP-xYzX_RGISboKk=@}iintpoLRWqm76S$90@qpXvd&Eas7YbiK2I_U{-!|}F z?b&qqB#QDgP?zF)4g-}8bu9DYt4)dzGEqH{ch5~!sZ(#&)R#}pNKBN4RRT5$6J;}$ z2wkqR`I{(uKz9whlCQat1IVnr4>FbvhI}7XfQ_V%I);AQPTqdW&o(J=& zFgu|hyOOqF&8BBNux3X_Js)|ZaK&r!*XEip!K`bO4s0`AFLYU94$_P%;$K)~xgg)k z=X7DS*gLhy?2Zj|H`K17u>NSROcq3Ix+8Z6x?~?q=CD?Ag{b!0cT~ljZAk~8?rcjE zTt|E^9Jz}j|CGm1#NYP|kCP{?mP>VBE&$b|(R4(rrvW@=byNZQ;lgmi1Q)6iC{xkR zxGzgC_j7j3CiWNBt-uly`njj;{n@OdxWZ*WRnOotKuOt`V(XpC+qydYQmjR;1rl>E zZsrOK6UdT^%~6LO0bv4JQnAP@g*H5$0sr^OWHr{p&k(}C?=r}0tVNDcOb1PrWi{5K z|MoZ;_MIrpYOF;*2IOE?V{MLGg?8|2tVLE3nLUIgtFbo6(Ly^jge0r67PkA(ApC#0 z8jF~%XWjLOXxNz+@@sgCsU~{>BEFp+vJVu!Wp_+AkmncHErvBfgJ%!prZD!MzrJnE zas_vvkCg1j=wVxD4U8MMDAZuXbE67p*%c2w`+*5@)l8e!Rqpy|jj~bG5-+w%bfeYj z7cZ@1zi@?N%@&0h+a$Pakq-bVv50^mk8}zPzX9-oAdhqki<~Zm!EtAK{K<@c)lap_ z_Gb$}LOA}9-KeZK{Z30frM5p?;H@=nrL6Aa%W zMy3}Q{(Zpxh8US!SXe|j4&JSiriz1vs_zbV*$Hk5Z)=sx<2ybHBcWhR8*L&1lY9-yp8^(ha@K34fn`}W=(K&1|u66cztNj*> z`JF8GMbG5zyE!9T;(qivU2yuJsA=E5Q!**C$fE@*yU%Mjvg|z{@;hUS#obieWgm8(i78;0M@CFRw$R!MLIDJaj44(euA$f8FlKUOya>-Q!B&?H z?=_}#(hM`_y{?>Ll~Y|Za!#ePQ=&LCJ9bUnkC#-*#1PBm$JFLCrRH#XlL_kLCS2ak zl7D|Zt41}Z=2)aU6p8XAjZ0-4Rkr4ddD;Q%k%QoY<#W&F9c@UD%eg)2Uaf0XV= z+bmxY$^*kn3-7jAk0))hLj{ZFBW(VL)&srik!pcR$%kn}Q1iYEF0^rAi*X-Z8w?*{ zG&dT!Q<(JOM~WS^Uz>*ErHB@B9NPXI4fU+0B4yh65`@ zs@d}V8=)Lf8Dg(2_D8^?67JYc&B4SE#&3&^1DTuw|K4w1 zNfc?Zl|q$Oq^I-lS*L}a0vL3dqMWWdJ>HMNQ#D@cWhFBtIPWBhZWvf)-THidSs0q` zh}qc^D5lh_McxHupqQdOi@jE;W{N4Av#@gsOPzxkHlE^3oATNfM`X2bL&j*Y(@XB*vW~ZXjg0rIfibQ!j*Rycl!`MiOt!LyTV`>FTOny2y;PnGAt>%Q3Sgu0 zjPPqgAt@X4q1nW`=-PMAioXc+g>g(m%hDI;J9?e-XrNxDJ6hydfrO~0P2aJBy+gk< zq$)F4sZCC)+aJkZszr7I$x@XvvBkk%s(xr#D!B9AN!SBwUrwEOEwVP~PFS!2srVgQ zcBI-V3gkNTg2=q6tB`_kq|@OFe}gWq;B-yrN*_9N)85>OV|S*p(9@x>Y+KvU#IE`O za)_bR(Rl5$z{!J5r7ZGNAO{m-usKdZjsS05W>Xe9L}=#-F`#EusNP!j-UP=>Pd`cA}aFxsv}a8#lz^1_3T z>FG&mA@Ch&nNLq})cxHDP=NoY7nx66WGRp?r_5*ImLb+=C=}Ac{)t8YFQg0{xU0bH z8jD>?jmQh(j(@^c+qQNu>}Q0zmqYEom+{gr^_CYkM5y6APZHvCF(dKCmvAKJmte!? zjb@(Im^rtt_3A8t@EDKuzxfeIuH*vMfKL+WGF48HNRn7^I<8f6EFU2z)isA|DZ? z^J?O_IJcr_ti9~fh80Wv0>uXWVE;8?i#&$NL9Gd=pbk}`S(c;HHmv;kK2T3LJ8yo< zin>HtwK0XZZ|aJA>wd~0?a@@EE2^;BW@D|seXqwK)UEgu9P%e`xT+&6yU?WD3xCZ` zU;A{$+vg5LDtgh#c#~@LGIOx)mh-M~!S}mdZ8x35a3DhG06{9vii+_L9Uhq0qDwGN zqUvQbvx~PPP4$2hzgK^@L9urI*tfA4iK>(i_od~zMC*r zoVJwvQ1Y(#Ain}+u!Z(w#|Tv(*^y?Uy|8}jhjXefbe>P3I5vzdTbQOp1)0Il{kd|H z5$xPTQno5izsIL4-nCV+N5-y*;%Q?iZ@V5oUm3f*DbS#dT?uli8rVwCvKTvyTq{Ul zV}~l!FUrEK)IF$MfeC#l0%n4i^i_%pKT{_&L5rMBBnU$M?3Hydbdl52@dZ9tE!Eu( z;^~&|15bxch8Er7{R7GZnPDgWH`sXJxUQlIMn$W}&Br%Z-!zul(|EF|ZC z-Eue+2GuR+J9Y5QyO1YKx3tLP1o>a=mX`GMRFHpsPX%ZkcJC*0Fx_$lbg`%1a^OxG z?Hlx{T2T5o6-=o*&?lI37)NxDu^i100@CByriDl@hxyDIuxfIoT(cy*J}k02!#Fg!2vPhPMVs&PSjvayS)3nk~NF|T%zhqMYd4|kyg?F&-L(Lghw?JN5kVtYvIq;mi&1~ z={6A@>i!5-sz8bOO)%Ta%cirS)q{{q-QH^BfPTV_D&78Xa>)xU+aPTq>-i2g$9SO~9PYEoy@(t{xXiYz z#LTLKz9rOPZ%<*xejb9O`<|yJoZxMkZ2315CiXiu_J&^*}MskZQxkgo0 zJ^^l7lP{p!z-tMl8yV?si=rX8+=S=Rh?&rXT;==|;qhYj4Af(ysdCI;c^K`?W>AMd z?Z<~pVb7VlbL&wqSQB7SxrO0_b_#|BY;cLxvY1*Nemh?uNQQ%Nt58=+o7axILK)>P z$x!(Bllg75_*e{5>1=b?3FK=BrGl<~SbL1lj6yK-Vl*9`B%7Y$AJ`paGTiNx0gWyk z87M62L}SDMFk4|INU_z8jC_bE1=YyLln<#N?O7DYFUP2gzz^Jw4{zA3u;v^1DWKky z8+PmlM_`Cdo-1Wmf?Bxz!@`T7RI7`Oo?522FyjEqjo@x%XjIE&DUX~)wir^V!;a8< zk510x(7p9gWC?A>FHiD|c))+uHwtGfArsJ2B|E*^3&UpGjoM)Qi8QNTGsQU>t{>4c zoD5g;F%8l3V!1RF$t4xW1t-G|=dWa(5IL5OA9+CoStTXM+ovWrO)H$*0|awxMEkTv zPqgq+9K;xJFHgKZ_2ZYl>1^0|=dvA7Ngm&xpctv8rKmof&m|0~BC+sN&xeUoFtV>q zO?2877dpbUwaQ?={(ZIHLNr7TO?)xUX}=b8@(CzR9qv|&JDzFV5g(7cY82lQKMi+` zghW&FkTdNMeu|Mv{q(MH++Y)kyR(i%qZWo$)|bGdjS;%2Bhtx-6K7%rJzvtJPlP@a z5J;ZRzT4tBLv{5WLLMYpaXml$fgy~ryCsrP{>MM&VDts_*wmjv!wh3r8`MTq)O!`6EkmKM}C ze?s5n%RA4(*5uvqpItbz=AXrYP?37iK&R}hTZXaRXnVNPb~&^?M0udXFUK(h8s8Cl zMyh1qGlg|#ZxICdb;p%=dZQ70*CL2LX-2DaLIBP|q{UpT_VgAzz|8>iLrtolok(w@? zDx2XP5|8b;0-L-nRy8BcPFPtMdl;}&3m?UYl!pyDCpBt3bjBWusvChZ;w!wt>`Rtw z)H~Mj4z#hE2zBa;c}6ORdyBF(s3@dg)9k3=z7#bZ>2jJnRnVnX(B;L>Czju&#?h4E z4nUZ>QQZ?!>z<~O$ELAxJuRKbcbamMHG|z!BdaBlmsmcXGpIxZ&-scbQl+@S3{H?s zYCIn%F5{7F1NnnnZ_#H7BavE9BdgVotlf(}P&1P6EE7A7 zaF(0S$d=o|$PB2&Z-ZJI8?C^?ihvD0O{WzB8*AIrc&H-~9~(xO+>BHAheU@qNHgK5 zpNBTET_R-zPVvZ(4pj|^r|%Dy7VG{D+tVLN(;bft7z2iNOGWCga-Ve86VX+SrECeP z_z5~V0(JY^N~!e2Bi@s6FMmink(NMs#6k91_ z5sN*a*wi`bw&tk5VNc0AXEM&dEoP05B?m@sua2hF#Z1Kx$LDeB{H+usP004r9&%WWUFU zFT-Gn7EX&F4i)VhMb8mxsH7Vye`LT`rX6m@4DMp`TOzOrJL^vgfdqyxTpEp6Jue+M`Wq^C{8^dsU111W9VVs9ii_AgY2 zj7X@&VeiQY$&)f(u~J_#ZMq7zoVEUkaoz|Yv63%2j7$|t1CbX)olwFdUt%Aqj57_y z>Bs42A#Ffgk%z^KypKs+rfqo&4qWlq7fG?@$I$G|~>pN*lPqq`pZr(C9IK=@4S z!fj316N1@K#d{nHdw_!L-!P44G8LcBi|gYhm%)EX#+iu8>Y_hj7{YvFHgc-@#2nlW zh0EU&ufkn5NF_rL&f)sb75EK$e9W1`J#o~W`nifnRkuBZw#9@JNl5A9373yC>jRu0 ztZ}jtd_44!QT{MaIXdFa$od9|cEnq7#|e#Mii`5e&}cuVMxgBEQWo72FT-CBvPrq) zO6;Tf1x>04L4#~BN?bF2ff?;zC4)iVshJO$3;PJMYU7D$okvrp#^!Cws*fH=A5Vdc?E0|SyNJa?O|nK>A!aR{!Us$ie4yL!ADX&6NT zofz3xSocHzjC9iz3E;LnN>|L`_OXRov@(0D2U`m)eSBZvYQT%VgmnEsWmIvFhw3S$ zS`MlKHoDadA1Z`*sP8X1V+DWGNEe;!q5X0-xWKu0(S>WP7zAGX#!%emJ$KL;`F6s> zb*1#L>BcQwr=DW1iN0H^QGTZ8{T1z)6_NHGfy0_mHxazRbgj&;{>rL&9W7GYrnbdf z#1>x+TPz-3?<=z1C&;=jgaoQ%sh$wjx8A_S+>NVSk7nVR#rO*Rf>{=W$_9gFpdOq@ z@GCw}x0XkuNVm1T>3crb@;XtW7|&J3pwd=>wnGEB{TAvr#a?WzDI8NIJ;&6WBvoa@ zr~dNV=Id-v*aYIXEZDKTzHdHM=WxwFp22HL8n0d7_2IR{(q4r(%<|f9*M**^cnz@Z zT{lw_XMDH-EM=5`EE`xZ%Rb4EsZ&t4w(OB!$~JLkZ(-dM+|bV9YZ3t+Rm^#_(^2;$ zlUdEV#r~ZV49c8u29o^fCfh4b_A2du=<#yOO*?k?@q@V;N;%moTqOe>(MoJpRk^yLGx)f&);H(kI$3cpn8_!BkW*5rYzh~;2Az5C~yV} z%rXc_nG+m3kCIN-GA%adU*_WE=&94*8<7mX_VaB;{EksTtClbHRyie~E+wB0E9}Gq z#;xQ}M(1kFVazRdA7a_6onxeNVx#(Ebfhym`l)kS{3U}ZzSb#z#SIiZTk#fqJFyAp zooar+$Clrf9DR%YRG-a1?auUj{|!e>Pw)Y=T&;fY1n8+%#1XI;9?Me{`9!@bXWM7J^vl za#%PeCkqz4mzM>$@N_o*SVuNiPo*Z#&&kGs!^T7Nb7{h2Zy`3VDl$1anVdxGgL*>o zLTI-Zf0TD{JZbuG6l?J!^96}sA8Q|NzoZcQ67?Ut1b>4{EV>mXR^yKs7(1|H_)kK*BU(p{*% zIkkrf5p?6tHF?3q0cL>CHv%-g#zXk8KO)1KU;CTmg)Jux9g3f*;P&BBaawit(TWhF z??a;}az+vjl@-9)j}WPop^q13k+9oa!Z%n#poA0R9M;9G}5fYUf!{=g>p`EGVHYOuW#ZOHA&S2?5ZA?Zp zi#=8Z${5WW`3g(GXd->6+9~ZHbEy*F7e1s9S>$R#X7r(5cc?Cl?PfkNx0g&uWi>mc z{a9%1!0RPW!&P6tdbO?9i##7lN`Z#Un&>?XZ!wHr$(Ghue1V7NQ9^UOr8#z`geYpg zuwB=ADph>|4u9ETL-p`#XcyYQyEnfgilAwIV0Y|-G;7A+W~%GAq#N@+TDbxs7xE?` zwMed0c*d@zN7dHq#ZDKh+=HYQrN8o4RO=ymtXC-Y)fm2PH98tvOqalsDfCf-^#p}> z`)>;rvF3xkvNF$Kn_Vo6ydOx>m*08BB9_JeSf~bwWno_@%yz(RVjTmq5J)Q+qpl%! zl||vufg*C?XwpPo<|T~8um6w|^HY@0`xtWK2uAf1fLzEw3X;9k3n^pzmet>{aJM_I8KqmH!jiEBd|2Iv@i(d&T)4sEpn023^28>(0Wq0KyD4aA+dl-wzI%Bce?rVT`Pngh-l)H|F z2|0Z&bY%@%(&)M`laZqa!N>%MksC=oD0|V1F>nIJ5S-T%yg5PONE*FXOfxy9cF^&GPMpXR|zZGrc)OCC1R~o z(&sTdZlyl{J((;dQA=u09mGP5!@^tNqc*a!V6lH9*0SI?ow`Zb_<^u7EGHY?4jY%` zWW!>|6Ps2knbWE53zdQVgSv(ul&gFIZuD>Uv%AzY?k}a$9Q_OZe3532+-kz$t@Z)PRAM^1&R_fz+qPvr$$3hI0#K>1-RIO7c3`s|13C+^P8A}T5?#2zLdyyrY z87CHRESE4-;xKcLix~&2W_s(|gc*xHOf#d|NRFsYhHgb&Ok6t;Q(mK)C62qLu7^Z{VV;xR+*#7zKTspMa?+`0Gdgd1^v9O#BJqHXU zp*rsxlXr#A`^Gz{rP#J=XIohPjA-J2-TT6#>Ccv8?H&qQv!+;UHZ&P|EY`j^c6|t)1F)5T zNTMr&Er}iRPLy4eV%)q7cbMTY!af`eN~g-hFz(cm3GGPc+A&I_%-F~uUym!I+Dzdx z%ClF|m3gczdCfD4$m3-buX((%?jF-a9}hAYQIxahcle`hT=SJ^F_R+L{;0hWZs}>) zTUt83*qexT`xe|KF*L=x&}_-4nDuJVb3hAIx{W+8ee~PxqgIAjW`Sh7R`#}9Ic5=6 zMXjihH00LGpM*(AN%L8Ns?f4zNk^oWH3qg?5xhb(gEh-2o+ar@ImIbnt#ITnegcZ; z2D^Y6lxTkns4DFrcSSDkTkI}MGHC5j2W4p-^Hk%QhsH5r8b>Rm5}8x@D)6<&7MNmz zlV&s(nkhUtku}LyIWO=E0Fx2Z;v5@g#)RTJ57{$>EXF?9UY;4~WwME^+Kb(n*uqDj z;GWoN`2(^{D{W5R+)>SF6((^o7qSBRT}X{hFpryYLAAMky>0HwZ%e~$HK0~$m>;4Q z$0Cmn$61v;z!J;6XK*|8!u7O9u6-=^^~zq!R+f6T7{-m$J>a|}&h6h^`edWR?c4IO z3wLC)BVLU=wIO8Kd0Zi@!Ef{iK4y>JaXG74ey%D(hPHm>XTq(n1TJ&6RgK*b-{0-% z-N%(6L8GF2m1DY1Anu1%sG>u^cg03*ir>VFnK*M(VO^8c1H2MQNJ~j@BIv@(hd410ks`Vm&MWpUzCO%x-bx}3nPN3+k@Gh8XZ2uIjdW=YM zURxh%QQ4c2kVOdt-Xf1CnII)p{LCZB_REYC*3JYU5?87fMQHY1YoPxk*7dlp6|~6S z>Dk$9XOYD}H+6Iy$po=i3YZJ~Er-2@b8@kFzGaV0bc^Cr z)7~aUdT;)Lty+hMF?DI>ox2C+9qv~i#HOTr1XW5I0c*xL`$jLV+E|o$8M@m7RVE_a zuuljIq3QAVX6}m6_GlqwRJ~b^XSO%DO!eu_-vdv{Lr+IJ9cmla#F<-eIgw+gZda7M ztsX>Kg|AB$ZZX_)E6m)@$wO~lItg2tWEU5*7uF3$CaJY8LA|$;HL)9il$8j9E+Ttl zTsDH=uBMGBYVaUmyF}MntbHylMDdL3yr43wy*clZoW5oBdXd)?>9r6H!q-Y+S}i<9 zM2|?FfKzN;g=&A$Zum1sg<{U9{z9qn*FxM{nznMCu+Sz@)xWuz7G_&nstWV7O(>0< zkkBLkifUCog4@>$ZoHH=C!6plmiH9aaYv9O_XMe>0_Ck)fj>(1w|`4izpqR6O15=v zU0!4rk$5iz8_^Q$)^0!i;|0BmE(8}6HB09}TKD4!-WJgZlEuIr=Mz`6O&2^f)5~t3 zW!KkV5oS;NrD67UCc21y5e$RlzXqU{f^~_!55<3Rv^7qlLdz%1iuK z3*%N&3)9eBI=#qcK;lK=!0&d9Z}bqp8Sv=B-D~o|Y+m+6AG=bgSY-biZP@$O)SOqHjl?VPL;8= zSE^puCIgj)zkLxaoXeW;<|Fnr>J#w?uuDDp*m5>Q+~HmGjj~UD;S(eR)QHy=O3o7> zx0yc>AI3Y__@F@gpoiQDtTAX-v5qeTmTjnJvk92thYfAgq-CnIYUVCV=eZJPRTAmmIpS~d=rihZf*(12J*Kaz%vqR4q;dUerwGK zxJgE~M1AdG(XS!f_4uPyzIg^@Guv<-Q^=@WTfG)S_f_(?gQr?W6wxOCK)c2~@Hx8nyTgecjp@_m`Yi=^M-;}BQOK3?X z&ECA;b!6@Prmgb~%}evL*ww_^=A~v3$r)P`FCV)x(a%7*uXcyu3GG@c*OQ9Bc4vE$ z{eC`-C_e}0Se1&Lvso2CyR+xKg5%A3m0tF1;FX7rwlHtah}*}GQHcHj1nyI*CE{;4+$R?~U`&AUq!p|rf4|IW(^;UW zA~w}>$5@TTYn78vH~d@*tbKlNwP>3Q-k9*>bSYOCD1igl%>0{@s=RzsY^&AT?o`+bvc1(BAwtaNv40E1A`UfaWwS||

vJG7)_>0pN65hG>g?m`F}# zQ+UanFT|+Vzofakf~upT{EVJTT_q3wZHjaIZgMhlCf-@wVJfye$UT&~{cR4j$0}w6 z=k^x4dzz|N?0|L6?Gu^1otxMkY`zZ3=R30>-sC|pB@zS?R%UY#R>&|^6vfqzr(345 zd$aMRQaruTm;{#&Ul3JG6ZA>_qohiQXU|@L4g=Jf&wJuNNB4vB!u1R}%Xc!*X@ZovnRCx4sNw3sr7hU3%ou4TR^EBCdU_ zqyr_hyt5tY-+Cs|13zMU;ZX5r^{y!H%lkdqnOsiFp-qBSZ?N`9)e%=cNQm^q2^$UZlej4tQmT=hom6&KXg$q;eRNt5pCS``^DK?>;0#({YmworAw;ZbxIh zdEbuEMEqb2O@_W`P$vnh#Elx`pbBsoxXZAbZRU0SQJw8-R2AlzEXoNxj9bZ{+fzaOWp*>b;rxSi_DhbaZVb02YUHLTPEyxlT6?vR_#W_w> z^KyPG(Krl&E@yI7L+KYz9!nF;mltt+a#v#5Qr^&A378gEk>DEqQF=R>1QAVjj1-q0 zd-Wnvj~5%Fbfd4ol4?^YJm}e--gzpLS<){@StVL+4w8Z?sVwqgB6*fc>g?T^ZHiP3 zRE=t!h{oj^8h*t!&e-}Ad#2WS)AM+|Tw&rXXo+m5Sf^e0@vF&DZDLYhBGQ%Ul2{n7 ziO{m2o|%^%eH{{#p?h#&_-I!$G#fwj*Cj(0nfL|7hpy+}f(Vl(uJ#|2x=Kiu2&oGV zsY5lXf=p7U2&of<)UH|&slz4d6+BcY(uE7I*~G5wIod3gFQ_#x)Yp7aZ`Gff*AaRH ze^q~b4R^7DS%q~+;Wr2T(epqd8M+tGMf&gJC#Am_*EDV20!(uB48dP-@aJg!8JYNR z5Fff4e}kG}>2J^km*S6Vf{%QaZ5rI!adMXQTP!_!ku^kOoJ@w^sXLQu>P&{VsJnKU zuhHA&UVWj;Y~Acq&tQi~4%=K+bt`y#7LQn-G_2(4HvZ(MisiWB%=dNimA-KsHM9`V zL_<;hq=qKonx>(dz$8bXD)<=&U!w7&GVv!1em}t_3$W_!N zr>N)3vKM8M_Yo=eyD!MA`W>e3q<%-py|;e*Q$)%}WnI6cpT#4Z0Pfj}41nKmj&1t* z#&2NDi;Qg@p$DqA6``S_r`(IX@376m>cDVBdhy0Ld(OfI+r;YE{RTh8QQj7$Uon1_ zj?k}s1)_*qcQtE|QMcG-#ESXD=xSyNhsn{G@FTj+)?@e)%DNk_v|-#PZV!*Sa2ZCP z?@&Thm{{FSli3mP#pQbN*Aef>-FXnGBi@5M+(7O)QQX_I1=nawQs@FLWR-M8y7qxO zaFrztTzf?n?Q!CFyVz`;JO(b1eI^!SgoM0jp$~H4QHi}%&ZexACXd@nPQ?(0gwFJY z6Li9(Hi5We{#>}8vgt7(m#7yFQq;Xz4gW<^FPst}>g(wVM%48-fucrA(?vz4&N*Bv zwYf*CsU4TL8q_NA%9>8*M?K?w&S3-xCl=g#>m(eU_@q9L(RCDK{BfX?@;4D*f_tFl z`s5SZr(L*>r`wW-TG#vhrp*Q|I6&=~hjuTt3b97R)V9u4C(zeOGmK8aPVU?Xh{izW`t=aDMrv!E3|8`N)eIDdxMCx@xwb?9H-~P>N z#Yo}>y)$a#8uHQmCNz#iY4=m-s`gz8hJ|(AwRW;=#UBE)?=&=Qk8llmDkdRNglaPO zCjO|J*?3l<2zmE=kV}YEIxAdp03E!-71g^T!-RDXtE*6a2CFsKu={nyi=gexK@;CV z!QD7O@vs87bL3$a?k3`)+R&wD!aI-SOn4Mh&^@`&i!Ja2VI(EBub#ROWf`qfrBUcq zRk^YS=pv?CQuEJ5$Ozo+?!6QhBq!L){vFt?YItzXm})fPRMf^O&U(dk!`Clwp_&SQ zYZN-~%P3*tcJ&6a6JO`gw($VDkmnMaj5NtS232~O6T4tz<%~Ry^uf%aH`qH^QYJQy z_`e{T9I$0RE{V}**k*Y*+T9HCbcDPytq&%`37~lYA*-ke4#TF5Zy>R$Acxu#0%(sTv zYE8IbM9-+#o}Bf%!4dWkld=nIk+nqT7S?9Ch#9g9Yq7@@`>BLwNFaNEeZvuU!_bva7`o(aTqu+kwi2UNj>>^uaGm*JPwi&Kx zhO8o6?775#29XD#ga|`aSbwf8@8Yi>-0_dR>%pj0CI2v{BoSIUiaFA4vWN$c0GK+N z8B}ltG=Jfb%_5JTQY4F%f_$!0bQbv}ePucFsJBR3;b^ovUeJqJ!N!aaCv?jx-TE+Y z72Sdp)h||m^#qQViRI(vqE3}eq>A|~DaS`M-4S@_8QBH4$QOwml)yI6UCfhJV2iz$*iRvF zJD6fcXRYB29D(=CA+SZhak>bsm8oKIjsxLwHf)|{%#&4Mi(N?Urw|zD=;m(H*Ej+n z%wn?m8y4Arng~3ICT;UP&OBKKw%B@NKh@9#|0;K*PB}UwI#`iyo+p_n ztH>6+l-N%tGGCd=*{tt(L_UoLWf9pT_f;YfqFLKKFQ1Z2WQ%=}*iR)gysg{~d#xk# z6c&_4WQ!a_SzH#uE%GQL9i?Wo-8RpAU&tl6#cm+>GYAgPNBdn|#Vdz{ zR9TK^Y8kMJiS62_l65|Dj#OeZ6N#5EW$>KaN`3}KCAKiJ$#`l)2lz^UGDEpt095h? zUF)eeGD{a9_v5a-m-Ikh(W_=6ABhiG-pWM4RAMa?`ACAYbR{dvj!LX&VzuE!=m1|y z?@e(6KqZgMbvTh1Wsys+SnUiQ(QZ%BEv$J1ejaJ^!_1+*JV_gMl2cq0fl^$vr??hX zTsXyda>*`)7nd_$ArHcc+%h2?TE2$sHQ$5_94=TX_pVROoQ9_k@?NBZ6p;-&cfs{4 z_fu)qrIg-ZpQH}{EkaRfqH@8PrSWSkzYD#iLVXunF`}dZ-{Ep9tQ_))e&tMKJf#LJ zBb2win(08Q^w~N;pUM|g%BhcXAXWMtl`eElEwzJ;ytvIgXaiDF@?tO_^eg3!6e;xE z)^cwSDxNzI`4J{j8vJ>iS-c)8!a-CfVT(tU7jepCC7CUH>hZ31v zRGVSjak)gb*mhz+)kx2IcXnaR9bqqKDOrTI$niwx7S?9ieQYjaE%qg1KdZ&Zr>fMv zyBAH~&a^xEYk-{$e;k8|6Vv&@j0EB`DUN~>={t#gF8*;rweQ?ZtZmQ-~`#~hMpKTb$@l{a!nzUbcDMF z)vY4jO<+hzxL3+UMz{~bLwAJx;88vi?vYYM67XJCj0|nv$j{JsV+pISd}lN>?wv}{ zGobTaA$b%r?m24fnY?m;68n}b2ia;XdO($ON_vh?o#R+nj*-l9s?L#TbCB{8NAq~^ z0VpMJ>)yD*6{{-x^KkOTF)YsU+hSbVA_~`Ttm*J7A7zSieI|iG30}#DHHFOlteIX+ zdh3`SSQHv*YEOOSYyWaqof@%efUsui`Meh5D}k#c-kFexiQ$PbiOT@VztJHZO zc+ABFG|oKdHk{YH6f!!$B}r!hE@5(9qE23zb{?e`z=L*OI4b90EJbS z0y>hcZGli2|3i=3BEQ*SsK6#1A-H)vyF zTflG@aFQnGJoae8*+*uWg66ohY(NtQ1^wj1jP9ZP3>Sc+Xht}88h5k7gu8p}2>W!8 z_bHcuFBqee27Efm&Lbt)hdxPfNRqR_bou9Zm;oG7IkZn@JUQ7ys>CxsD&oo`ff8a{EsVv2RgK^)iSJb zC5UiET83q|49d`UgpUko=a#`u-y_4Bu7W)>tf#hI_STW%Ay98gc;A&EGDNiu zeYOnBu)WwvhO*o;xaoUj__3>Cj|_R~38o(YK{aaOM21aThLc=5M26{FhNHYPoZ%a(-Vvgm$?#z)HW@{Z(Ru@LzR|csTGbgJc6w`8GZVk+%mZ7dt^A#Rj{WX z9;3G0!WkLvbR|eV{9DWLrYk{Yn5|`a#g;)C-Z|7qhH1HFaMSn5aJ8#oj|~0PmY)oN zaV5y>!TAp=pXe|bDUqR6%P`t2!_j^+oR?b$H+_!`_q#aq$Z$e>f~kiCT?tYT`)L_2 zb|r`m9g{}lot^9(zbBk&uTHs3H8A5GG zYKhjn5=5e6EzzHC8IFkkBfUACpgiO#Ei~F`TD4uOyiR>$pa>KsU0y2@&^E1CoJYz5rUAOX(it0T1+9AHN3~?qxI&9QBT(KP+n10JBbt^~oVXt_& z?>Nq0F!@#Ah}dKK#y(`^J9H1_vpL@yJ81dFdF87_eY5-UP!7HMG)=xe7U)z*plM)h zPb$pjJYetwrBzrhlsLEwLre$tdGmp)?jXO+WVhjpnd~;~51U{%`!C$quC^mqV19sw z`{{CNh~kn+mGMW11PaR`d51&}j{*^V_6jY7w-&4VBB6BBW`{ATGsTInR8GKfP?+j6*SzT(ABtmBBPZi*7%>z!wUN>fk zdLxtc66*@5&TxuNrpVS5VTs=oX6e1xK_JjRT0~X+Y+SS6A$K2UQu@RM{6rndMFT(JEEEY!y5KVz`iJ zQn`{Z)-zPz$iEk5^gYDsYdTBETb7=7SfYtlEa`*$*icyOX)KMh<*s5(r0sc-Ag_0V z15A4zUb$OZpCeN(Nsd(ajAHynPUd9%WeI?2wM%qF3^BFDye(10ND5cv6|TT^eJ5&a zpSdIPci*}D>4eF}^Y)hG@V17ex+JJ(Lpa3@&4CPF>Op?A2H1&3^->OR?=ok4=yrn7 zVnd2G#Sa^EcHU_2x7;}iUspE=vK*z}XLh%&Qs7;g#;QzwOTRHXG}7C~j^Q-%!_j-t zj`jxuAi!1Mm3V?*O71B$rr=bz*tXf=+-~ell-Ey7L~6?t$Sz1__tEN| zucbZy^R95`j;dt0>^ zyq@4mGrFA<_jr(BHxKgb^#bn%5CU()w=kyV_u_c5Vd(tPp)+blJZxfCf^A&GYww|` zE125hkPz|St>Y#_A9@3``U>^i=s5`Y0Shg3!lKTWbM$m&Nmb23RW&B&EyfnyT2xRn zvN#bzi>twLR%k1e@Oit`E0P^;5Kp$DMkYmS)REaZ!BDvkR4jjJw?W$Bv`0)k+;{*6 z$8|g_0h*#LiI>FOCSEvpZK88%q{cP+(*nCj|4FD3w6}|sGizcyj=A~ZXtG>XA&2wn zjyVQ8+d3gS>m`$@pYKMo(OFL@=&|dfvz`_u=65>Ad|8-%xVIScl_jsNNzPmxf9>Y! zQzriPCcNmT$nm6db+Ta#$Zb7!>a0ytjD6lH#k@3i*81qN>-cwQq|qtiT$BK%nKvfU z6YIX9+G}jVmOOj#xO#67c3xC>m7}`kNaUZfWzviq=WP;n;Tjnn_@mt&=&hacC@2)JnE5F9Y*c*KjvF}!@#QVBBgkkd7J0gHi?mxH{{{Y|Ji?F)l#GkL+S zn!L2y$%{@t0-b>id95xlNwJ>~PX?BdpQ&%rpQ*nY9+>*c4!~S|h3&8EW)F1quA`%I z1$(9=oAb>P91hD{%aRlUmy;tCKeUs}5*+Gke;rISX{MK!#$BM{$#v3{*e>@3bLy;( zPOp;*rp-L`UY?5Ht&}G z(p$)LYI^&;^h)>i)@dQ|PG&;gaO7(0P<>^I9^-SNfo&AGua%Jm-m}p0bt5)LMB>zmuU0Rd)cu3%a3ellKUEM~z-> zTLM1<0nyL#k-rX&bZbGUBsP^5PVGs~3}X<#ii7y)F^Hoer5+wyEL{uMMdltoptAML z7|(+ts{sKG_t4(%4DEA<({`^f6_0AlrOKyDADp1FZg$FT$?|QhzH`-8S0y?>erN6W zA&Jhl1BWaBhwc`R!0N(LviV*4?H_j!jciLqdd2DA7F+Pl5XaGnR-U0o*UGDGZKcrY znx8sqt=flb?S+4a_xSNzvwPm}7u#v^W4)eMM5>_@(A3Gv0fVx_B0tbUhEr)bo!*pGD8wM8HDW_RNY^?Rl1?=X%!Wp0;P3{Q_j) z6Fu9UV~{gY&!0Ok6+0pdT zs85$qxhM0pJ0THjGVA>8dXV>3Ti-NnWu2cD|Iw4_ zaAeZ+v*SO*{LK9w5pFobOXLhDB5JDwf(s7B1p9 z)XNgf{4-3D4D*m-y~^-W;i8iygOs1nPa-}U87O`$#lP$;M*Iry*&WHu z61M9eC%di-j!jRhX3~1*+CMEx_D*9=E=D3ZBFXmPwNZSBN!Z{sZZ9-DawFpn4kU3( zt%|9uX9`!L0(2z?2d)Iiig)9x6mEjX>AlylUXttQ_bBfre9rg0oJDmMSJ7CHu3ZDZTZ0Mp%u9YT|Xo zX)GWtNRz8X3Y$@coQgr&8ST!s^;G7nj1!661;4q++2AaD=JZDC3jP==Kg#mwt&go{ z3&@*0BDRb-`F-!=Z(05|?XlHtOOn8w{J#4o;mXHjtJx|gfj9Ym-{Rkyt&#X{enjKl z{Jybx01iS$Q`np;+Ho%(sX=Y(=E;2ge<|O;L~XOX$+LB68Bs9D)qwe+Q3I{xG)CO1 z69neqt{UC7BR&^*Xw}?`#fOZ${&Dwq2KM<=IjeQ5&U(Kj2`QFs(z%$a9gq|9V5@aD zQf&K~&Nh_UlI2m8=*lx-i(<+9d;;Xc^|Ure}_n7fiWZ9{U9EwuXt{wCP1orL=zB zaTN*YuHdd(?zZ4gD~%7y`pvs2WJ;LANhx^h{<2C~RFD#0GgIGJc|`=B%Eb_^*DI)$ zq(}x03T0R7S5+zn_DL;Ksnml{>b^|H5sQc(9Sm`B+k;zi)S&^~ii{ocZu@k=-sYhh zXh6TgCL`#6>Ht6-;)thcLnwJ^J8m@tGRM%mk;7%S?4W$*=#Lq~O(lcsF?IaT_U&e% zkUnb$5SlJ?&o>XuJzqaa&U{>(e2yo#VXZ8&bTZW8w%`1%#uH$*wa0ie6<~}fe&|H} zKIFl8f()I`c(NNOUt>J+&(JIx<{`r~&Umt1GA#Gc@GvqsBg5{=rqx!^(vOT(J0ruE zv?OhjkCRR01sl^-mE|}t@(e9ob9$;+#AKuh0k3`@qf+x88zK%G5@yuK-y0Uh^S;WW?qJtq<4VGJ4u;(BB|EKKAwk@Ch+Z(sQgTUOGKiu(1*04 z8}4+*33*!_ToZ!fkHcA}gjXD`B%Cg6Hi+(1!4jS>aJ+ZplCVNaI9`g;K7m)l!(`yB zj1wsmrU|#~Oa8(Yt142L00HjBNnC^@E~|TRP$V|c+S;~{`GlP z9N~uNTE(*}EtBV0k5fDoCOo5MXI10vQpQO6pck-SmNFa0w=_%jE|wYjf4;hv??$(>|o68c*{WCO4h6Tvbq%!O-T+}ETR{Lk*@$~q1d?NlZ zZaO0OF&B&le&iJ{iXdmQfj<%r{@I(6Mjvi5bsIj_=(a-iht4ABBM<;%7A|=AluW>Q zQT}m}B?LU@11QmeCIXiE0E#u>J_7FW0m%55j8yTcz`1jy$w);KZQ!_e;N{32(;mVg z1PhHuoRZs=aPOW||56W9(&dsf(56#l4^5k5xRKXrB+c2@Yc*Akosfo|;-MPE-Eiho zWy)=4ng7w_70aXxD|Ms1i3KN9^UC=ntHy=RBQ({ZE=z^6WSsp*I~1JTNL%k+Fpi_= zNb{j=_B7}ZkHQ7Tnz*A`xUJy^RV|fxsa!eMoOGcmby+H^K;S2%oYATrP7TZe2e(gH zu5@1ZMk0I;lvm0+y?H*pK^H@H-7BS?E2)1?Wn(nCAgq)Y)nw+l$><+Eoj{Rk1s616MxR|@u9@iMJsBZ zNiiV#k^A6KfKBlmc$44vI!==<%U{q0hr%TACciH(3Gy9 zVTUSLSpQY^R#wLhB2_xUijbYGpK-?I{E6Hw$>;ab02zC?WAxG#-x6xaX zpR4u;sBN*1j{Waex&|p>Tc^7MWPfpGRC+H z0!gOiJVc_fZCi3laEWER4?-QGlIX6bC%s1rl)ILm^fHrF4${A<7eBGR8Cy*CDqG`;-A*4XCyN zhHnL%jEqN2j&kTq!5u_cDo?P}8!TkR+{meN1K%Q4Nq)v%mQON(B&3DPTCX{WcponSivY@<`49y;2^OTurMprW%}ZP~$*l3QiWN&%Z}jf_oEJQ?2P_gw|^1*fPrwwg^`5_pr}x4$IJdn~q^okbFOli#-NK^>A2$(nIi`hgqYKG@B>cX7m~(b3b!Wm6`iFxGziIPv$xz z)sAT`fIkG&dI>mpn^yi#$e|Zy$z>`}jbmNgWo>4ef1WFlvn;t><*9WH>~)f7xqqJ7 zl4rHbbBD?U8+)|mS?!Y^2`<16J28h&Rg}6c75ya_n3#ht=brskIaN>}$cWBCMke*V zvV2}uI@Z@vs){_!gv`w@w*Gy%m6?*6VWnL$qAp9>lA_7gwYX%+JX-Uj$NOH%D0S|F z+6cqj*b++>MHTBDfhu*-tI=c zZ&&NWrz5MX2!sAiY-UWwrGV7ZKzP1}&v6UMLMbe1Kpq}7 zsD$K%+b!g`53_aR7P3VNsV+-}<)n|0HFyV}8dkm$4s>*DSU+@ygusdyV)%oHsQAN; zhNw!b)ay8Mthj=-XF#;*Jak+^I$^URKz;<#komZgfuA?|eSbhSL|N?o8TGN%b4)64 z^83CnL6ik|#8%VtOE%u*_kE1@9IO@9##YZZ3B1Ygdr%Utsfn%Tm?SxPlixQ}60WR{ zt*$Z&yvgqi>!qQ$$5Hjx_25XI=6XD-euoPVUck}&QpRVxpkn?<{6&^y5Q{;lqfVOe zM7rN>JOW%r=H$s7^Q6UK=9?$bxa4x;FwEF)9@b(CXpm5^Le}et@M`F`WbtacfkecH zbqTMArUG7#^xi~jJbuBeDUplBnlZUD5gYIsE_nD5YDmTf*G8VVZ*)AFNWFGEBAw=@ zDLJ!6&$+lAR-fawS8N;)oY^7|s_r3j?D_UH{^zn%G5B>oXM?fomi?+HvqKq+*f zh~#wPNUgj7n!bDCkA3^D^xJl_C;f_3g)n;>rwZsbSvu8#>Qqw4iRIKcI#rQeWNikW zYB+vj+io5%2yvKBB~$&c6`96}&-Ef59Oxr-!=dDS+qJ4qkLpa$d{~D)*rSd_Od_## z8&u-%QT(koSOKde9_UeTs~+WtPQ*W?qLbGkgYJUpR7)hoGXD%50})d}2Hgu0Q~A1N zSni);Co-@XiZwZJj1jzozO>rb>GaX@>8b2HOqFhWKzeGGPL*!@;X!GXr7g=I7EoXv z>l21mADxc8=$z^z;T8lZJeyjlsbLjC19j{*D4;cm3w|yIsXnUsQ(Y)36m@*T>}3h# zp|=aY42#81!TCO$jUhYPMOF!>$d)Ry>av^#BIEE3vbSim^!%``Om}@YNK?RTqixrx zZj5c2K$2#Y*m4$)0`hS2@_m>tph>#EC^r?1?pLD|JG?$Px?Tn@{^2uE|DT8c&sHM+ zpEvn^PfL{H8iXI%-XwuH`F#r|;Yx%b*m5O-H~D>EL_+?|7Q6f7-#bP2&|9M@x9t8f z$5gk24KBfSfs@cz=1D}M+qKNXr4{}sPL^13<1!J&+Cq?rhQZ;zG(CkEghk$Eus zY6nk3ZM{m$1TwF8%!}KhfAtBLYS7N>{ohUMNh_2;Uup*r`(IEGY0{mCf7VEPk+i__ zeYu*iz#^dPYvtq!o_vvUkV89y!fT2jGw*196D;7Pal@Rq)5on;%K+=zN&#{qj}s&g zyTI#rcr`7IE55>ATfKbT7;HQ5iKZ~*BsNA9&x^6@ePQUnIXGz|{k-LdlcykM04K!& zxsXkQbT}#Ya?%A(THKs`Ehi_{q~GIkQX0%j-U<)$ATKB5y_~eCbFz9!E}c}7emnjs zC9dcS)JcyQc?Xfn8MQoQuLsg8)$LjeI_^ zlB5e+Rx!^w5QoJbe4$f-mQq6^s^V}y2a7qZBI zKBH?sy$g9d#FwFFb|K}C1au*#4&8-DNDlLL0M*nhqytK~=y5mo9;lApg6XCU$vB^q zZsF-dk7+E^4OX0vl+bN=)2$V3g${72s1x43*3l^yr81thdcOqc9?ikfh?6VkLH-#S z!o;=9>)q>Vj&$LIyRLE8mgJOnI(~V*olrN2%U` zVHo*)_lkz9zDe&DAL}e0x`=1ghhE2)M0~q~6RBa(R?osG#FgBNy$mNrBtLJJk^K25 zEqe~uuqU!x?7hGy)gEV@kwm3xfwWRZatohJ(nhHcQj{ukTjV)J;_QR9Z$Rc@I6)@5 z9iOz64;^yQ%kNTR^BdokI+_@?px<)@eY-Q4pccD|SeN4Q8mJ7kD0s6=!@(`9)+zRX zNXjTdpM~abSr!=q(o=O#Yhl}FYZ3k045M5UIapJ5qG5~e?Vv5`nYg*Yl@86w*56D@t#lF2^5S`b;{{*;Ge?FbpB2z?qI`4m? zIN2kb=o0@Eoj2B7;M2N}s^8PrwbK!NteZQZUS*N*t{+tEvKiWt!BnPyud>*m5vxC- zLL;636n=f+ZD4zU>IOFU&&Eg}=i7F4qpmk0F!)t!&a6Olt1a*s6FE&c7{vNf=b4ID{v0`z{VmZb% zDR|7|MqNABpC{I%uI;uz4zA$SoPux7S+K=km{G86jIjm#+FFtK0;kyhNXp;V zikdC*jkSa74K_myGMGB_?+q4PLu|G&#;+?_NwYPoA2_le?qVpTD~Plf`$794OrC|W z2i#GkkIA#hUlZvuc{_qkp2ugg!Uk7s(h>DEH$Pq@CxTk!z7*8$^*L4LaUE=iSJvdJ zR*PLmtfyLcxjPK!)Z&!xv82t8r01}REDhKqi-8=3q&CC5ZMh`1*e8hfNctbIq}o~V zxZjs1+{4&lYIuVq_t#lq7P&351js?iZ8LnlI+xrQ`!umRhA}_?a8~V}ivFe}`b8`= zi|7`4B9Ma+-DcSNcrMW`wu@L#mE)%!JY&5>d_0%$+3lB@h@i>?#?)tJOf66Kk0?u( z>Uk;$)v}4wR}1SZun6f)RMpH1)sP&0FtWtn8Iu3vvFp&i3LjmUShx0_!&AeCynw?q z@gx!dK4!LR_ZxdSwo&2t(84+{1A!nmoyp?`)p+(f^-S&Giq0B{VoR-aDzh9R6-{h! z%Ug}Hy9$3)M6vbH&`S&?&6&*OR$|JES;+RbYAHQ`@O=n((V_Jzn@_ zLU>0eVFXFrJS?0}!m{ZsI;%e#|0sj$_uHDhIfe@DU757sZ_8Wbq5aA##dN@!Q{_cJ z1T@0qpzDk{N*U|g+P%nyq?>DoY_+0Y&3_Sd=OJqTJZvxPbX&Vz^LjNFYGP~^`c&0wIr^Xn3pb_-X}^%|Nzz)7=F`y5 z^J!>@4XU9Px1%O*#UIts&R-eW(3-r+qkxo#<`>TyzScwaKdq#?-L{0W(tO+IcwsLB zmXy8}*nGRaIhsg#;f@PlA7%qZ<5u!#G=Ubp&cnrZLY}G&Y{7Z#9abAtgm2##Tu=B+R3rcH3aUTY znp$KjkaBc(Hfw6(BZY9VHMPipEZ2mynNkaH1w0z_ZHQt@Epm|%HVx4+kjC*8Q)o;rH=(G1;Paj1D3@M zGi)Vfc1;8Fwu5ZXg3kVHtHS_Bx@OISR{=V`0-sQagG9O-VY3&2g|0_H9biKPk4cOe#pF(1_vt1?#aD5h-S<#N#0|RFcxPS?%TA z6yup6Ov9o^6Ae$^24f}8dUX5lsbZoWBzrTF#Q?dGqk)u`7|>>_z3{gmVcq(+nI13l zaUk`CGic&by3xbR?WDbMS1~wsO_=yIn!qf@3!X{xoG=Xp%)~l8v`-SgeSL>2FLIdh zEh9`L&Nk23hJx9OH^WQIOmok$oW-3UCLRDX+q^~=6>TNnK*Iim@p!IyTI6&h6YnW^ z^R$!QQ=I*$rbY~p#`+4P3)hxTP>Y_=s^!1HA$o64dt`9tGMyQm*)p+`&J|MGiksO9 zFOtET?KJ8enG^eS3v{A}vR^+;#rYUE4!0I|9bnq9sS5G8KQ_bJLN|DPw#XtP{l;g? zYR6|KQ9wg$VjT~8(g?NTAqwT&(24R zQ1O(9j{`|~;SS$!_i9_a7yR~vWLhaCU{I7=whDO+IRXYn8D%W;cA@R+dHxk`GgJ!c z;EJ}$FA(|Z=3{Ey8TM?|6>sLN5oU;XNtG?=Y?%_g;lI3We7cB?{HI6)k5guKbKY|YVPgLcZU9oQy)vC6 zhi%CF>0x7xFOcqn{NrQSv|UFcoRP$Fu_$fcbEf@VMvj6O1&aZ5VNVtA9hXXivD5TO zup#f+lWFNg|N5b`SwCJXlM?R)6#V$O zR59fkX~!wj?&Dj%0`sh zMLtPn@B~grQ%!eKn~<>2mfd9o8=dwvTt_Db8Qf!~iArw|(0n^tJM2Z%VNMbu{0%NZ zF61yG**cRr9syJIDijseb#H;g;Z!TzxNV!gqdIOy}Do z&jQlpGi4Z*YHx=9g|x4Jdc4Rjzsb=u>8D#xmIEHtGQG$o31@GaQmK}9C29M&%u-gW zMSg+EoGsJ%$KIC724GqyUj9%oh2m{b_8}9UvaW!uWd^O~w3D~umrT?A`8^^<=J1S2 zI6yAs)j(z&o}0YzFOjhS@SL~FLpV&NcYx!(8v|VZ+g~`8+m-9Ng(TZ3#^W@6xhdD=~!a9H>dA)M)HOK{J^ zUc1{;4e&Ussb-tw0ptkqIC{LuTZFb6f`kYib-jVRF zgd}|;FrkO1h?fIjMqCEISLE!(mN_BoHmFw|VeNI+UXk~*soe#4iLL^Bg++b?NYyLo zuJ}$orDNC}N04@wj$x4>FQuM5o}ag4=)-@q_l-|>`u2@KsFfI>{@@=I!lXYwwRDb<^!2CCS>6+E|IFT%Ypq1cJ`Q%1y3dU zEHR-{=wgk9A1r+PPSu;d$o@OYw`*paF`VZ8#Y1`xG6Xn!RbFHRNoR5NWRsfZ`6?3j zcl2a8lSNJ@^8X|zgsmF?<(SYK=tLRypZuDN%e1{>(JkyUz&sV=xTem8i8kG4I7#RR zhYBom7?GbbCe*_^KD*lp_4{8@DBp%w1&|AQ8<5#Xs!lJwLI`JSYy2x7swWH8tTCZR zZ;suK;5aZQl=rHK{EGnRm}1G$Z57f)@>zy%i~Nz$cJ(~}inbXdLOQsjE%Fc|KVwWN z@|KPXWjca3CWH?d28O9i8GyMQ`c%#TwqHt1$sF~zw@IkRB4+}b%@>qVjfI~?!u~^^ zgla5um=HFz|De#lglcT2=YK)+Swl4z{2PExdkJuyq`lZ2b4Wf*sKz3{N~Cwd{x61V zren28#~b9NF;_?{K#(TJZK|F6{apj~6clTfz>Njp0I(S?{Q|@i1F-OOg|_e8R}urT z$RixW;xPrpano4ewpgmzH%$C~TN>+Y0M@Ll`FTdSO=Er$sRuf*3}IOIFDDiqS8Y9r z^$o$&h6x}&OYhXo^tj(9_D;x#=!R`puX|N?MpNhE-HNBU-3zZ9!1o16(-^f`(Ma3B zsKXY{h6TJ&uhv3%*pI6r_|=rC@-C+p-1Bp)(zm8Wj~017kfsR)RFf#u=7FA>J1fy4o1wp+q=TbF7P%3~Z0@Wq`C9ldN!auR zZ6ox1eX`zbk=Ky$AfiJy$0T9eH*P7>A&VSIJ<3=Iuztw`ZW04mK?ZHHgY@S0&JH~&fI&?o%_z*H}(6z{`vjL zd+(iR&YU@O=FFU#bLW;U+7c%`V{J7EI#RsG^o~Qu&-Dhx8q*Q2K%^g8owE|h*M$MS zh+_J&+43+N?vGMpti*v)lim6~|B4i&8Wed6kZA$E5-Xwb3cx-7f`m&ba%&Pc?|k`O z9SN7v9IrM}7km>e371gty#Nc}1MVm#3GP(*Leh3G6i9HVBF_-Q-gsdN?o@QS&~=XA z65OfCO-Q#;aHqnbTS#H}gF6*@H<1OFqhL`+N}NT?U>u80Li}gAFN4E0%)U%JLWaZm z4Cp6=GtMs%GAu!y$45#zoQyi`xkPX(Y~A&2*{}if+#8AERP;SSn+a5eL~lYI0}iR; z+c%1AB+{|`#1o+wJX41$Wq#b%T$l^r%KIc4X|KWBJXgj-)S{=u9T%pguTIR1MDyl# ztc5?2M3F0iOq+V(2uNH|m@M<9tJ&lAFfTAk2L`w98f)d>X;mlBLvJOj08jOKW< zkz#QU)S@(sTtcKhIuu@=V4E8~k0w>*E`P;oU-38cn)-cfH(HKXw(R;dwkmksOsVtt zBUN~D0qgACe3LpW@{d5uqP)v7?&S+Mid`sF^XF31M-+BuNYc|jGLcgF{zBE+KCDz9a=jjGWB6T9A*d@feCsJ(XL2tVShZ@PY8?W^Cv~V{N1o~r*F7vj&|hm^lx!A6nPzyb`MExxUs&v8SU-~C9)Zv*AxD|Sq=83 z2REyc)&4b+An&jD&T3?}Uy-*0nKrAD)qaIv2)M`2$b!EjD@ZtfRwE1knqw4cduKI8 zaHCcz_@%ml@3dKs!v72Kv{{WJ7YgBUP|aJFkXenQPZ7HQS&bsgNw?6fM&Uz9I2?|m zRSA7%MUl^a2gpIqYOquAKbzHr_Ws|Q)$~P5xi1;@&1w|3DPV2bz_r&Id|GkOL zu8}Haq|W|{jUxYgwdpI)h!dIEDE1y;UENQ*iNY3!B-17~3ZElXo$VtN8%2JRga@F^I8%5qp zq}@qA$J}Nl<~GwOncf~3W;QvROG&lZmtX=n9i~sd zL-31M;@clsPReFpp3y&Yn3+Aay5E43-QU_syid$W#ip1z{b9e19~qU(TYA++>n!XSqf&(}Ep;5EkX~vn zDRttH*`a^!O4ij=YOjsGk63fE56)oMy2|$_0?M5HOqc9aO zqUmnOAJcSuLRQ|U>$I^OFE>pGmPd+);v(~KMfj3LY4O)?U%7R-=)}WaD?9o&N1nWf z3O;Sb^fge2y!6T?tK~>^^hA6KmJ#h{orYIfS1k=sYev29kPPFG|EP`XT zODpjdU-u2A-AxQ1g`(vd{B!tKBKgojW$z#uKRsf6Yyrj<`Sv$OSaKwzd2Piyu|p2^ z?Z&CWwW^vp)XO4$Qqk~$C~ich!oU&7_T~nAT004bz*?{4hc`G* zn|M^;u7$i1es3hteOU|ldYuFZC~`QE>HWCt%NqZJv2KT(tB=3zVd?#U=^X91UnALb}sPo+n zMSge*SP1%q<4B6&ji!R{jmSp9wh|&1vUz(XFaF zs_t3#qxYV>>TrInG`zczcT3D1;l-bW#_Gm};G_*zT;14$tFbh!s~fv;dAB_5#uYVY zbtAu^T~x}`A=>85C~j(8fcvUudCV_i|B!Mqw@dN;;G$eR@-txvP2lYj7Xz0pcI&|u zyN9dTGMoBK7opiRTO1xv{i$V~`Wq86eR6NfqA}qada^#?8S}f@=;bemdbgHL`=-Lx zfW@%CBUYxu_5{4LJ+x!4?ExvUJu2`55(y20t&dWiA}P;+uxCU_kRBDQOJo#6XCguT zWr$M_R*&j~8Hw>@(8r-y&EI7uO%M!T3C0(uXgwRQU4|v)2LtO}xy-xk)-9rYB^2{F zr8wu}R5d^=$|K|qwsSBnxWw6R!?z`2w>V2*wFviL2*TvpvpF=+hOY$NGuU+7$RCn$ z@iz|ZA8GjJDM_$;O+8gB`;KVryli{<)I1M`D}T-6=nNK7|1ss9_ip&wT#F6fk6_z6 z;nlWk9820G?{wqy3UbsRM(c+VQz4F;gpm$^ruX7Ehe*R2V}q8Y6`BHLZS2K)2%)mt zx7VC}Cej*kZ~FI>yXx1&_PzN6O3V3nz&wCr$P0ih*bGYeP$BGG%93VKWGM-ceQUBd z19!hTzkBP5#wVjzSY=v4^YzRH>psU{8bPsl0h^r-9rAqKN3>qy*OIghYS9z~Z4KMG zHYZxI$P-9-v02x2eNREOUg5ivaH#e6tU|P2ksHn@3-4mWA3MQ|Lw=wqrq_$2I3wdm zK1l5`Zpj(+bw^MCv<~g(4Ug$&bCH8GW^=dF-?DN5(;PFpH*A+RE zNUnu6BdSOI+L5K<)D4Gs!SV=8mxJN_0pUV%(qhB>rmeVRmyhY<#-~3& zF4%x#1eK@D&!SkI#!8H!B99?b8c6V%A^7#p=JCll0pks^QXOt6zW*;edSVmVATx0u;BgU9fSGUlSD zSF~Rjmd?q)^lxL0@x_rQG0U9g{2k}tO zv3Zo0ukp(Pi(#h|D|3`ud()va&1Oh}Em47=QA%!`A;l?@^0X8=@}5FPPLTd3N&D8` z62#{d%jKe|K4#7ZMGieJR`P%5X|+eS-b{+~ds>S8+v!oQovWEL=Tvw*;2v?xoKun4 zk#HeTOW`MzuzOigrud57hlGna-B+jhsh*Z*{O4)pJ=N1v@M?nX5#)c%)B2=7F&B7! zke*g|)V_O=yA)4Lk)NG9h-OfDH{fZ_pvYg5@YuS&wHeYpEzS3JmXPXcDRvsmDCB7= zd@Es|6OU*NvOFzCzIh4=7xJ_ez7+6C>m8n!B3p?3k9%7Ekz$mh{4`HXk+07&ijn4N zDY^q_&*VjBpNjlG>CRr(unMn=r#V}i<20d7W0h#Oz}Zsd{-iw!XG?Pwk#@SXrQoM( zl=U=!tMu(~IJ+G=&|UIb5Bx1fUP;<18Yfd-g;$YqIBeM(CsSQT?f~TfwZC=r8HN0< zi3XA8Z*A}Lw5C=ne~c@h4I3y-{sP3y*nFvn6k1edZ_SDz#~0$xLk_- z0g?8AIcS&bEwG<28h&2>^SE5f(@^r{ZbNao6xnlP*oK8%uEU+YCS0z`CxBei<(jOz z?%#abrNW`>+p-=y^Z)DdQ5$-!x6D+lBlXxoc2Z~EihYb&<8qm4HxCbtyIfPg6_%dn za=qqqxuy(80y`bykia-;pUCNa{wogo9zGcA_aE+{zLa$i7Hwp6YaT>Lmuspf@b)ZM zu}2K1*qN?kzid+<4(ijhyX~_}|46f$&W$qeNp(O3|v=YQeNiB@0 zW&2oku4L)Jl#QBZ$9C?74_qGoS(EJ5X65hXu98I?jS;r2&tUhv+9HWGR8ydSFHDhh zfHbAMx3k3yQ}~`F>=w0nVTvpw;X+=R!k;*f9Q!v~6!~i)vlHseIQ^fG^`_?GnD6=b zyENn1Swy-Qrr@ar+k?vg+zX4EIan?t`?6fb3oaK8k2b}=f|#n9w%oKMmqRYJ)MfhQ zzLG_EnvWuc(4i*sAzOSVGT>O3ArJ4d66#iR&&sBiE8oP2+lJu7ZQXd%RM}za*xEsQ zf}N3$?RYF(%)jTR$bZcmgeoZfA;8mAL6JWp;jvfjpsJAO32MG`SVF2NsMtv?qmU=4 z@XdsIzbB~3zs&?;iBoY$@<`89cst-x&$H*{((@E~9g+WWPp~&qj7?B}nkT5p7mhKC z;Tch6&rQ+41KKmEl6kQr7mzO7%;N?Yy@TOL;j@LX(+#Y(k$VZ@4(|qRrw#w)Xtr7K zjkd_ezs=IA7s|$I5dm2QV_czhy{T;7Ur#6Vxcz+0{w|3h`ALN*^ zji7|Q-rt@xB$f6&oYuwm;zlCXvbuxx4LhS&zq5+1?)ME9Sx01H-|$Val zJn#zTIA-~@_d?G`+|%GaUnm>}d5<22<=du3|^o)E}QFPUFCs&}pQhQ&}u3vYbX|=Pm=^ zE9&sa3~7&&U$=A7W+Q(Aq$$c5z@H#}DM|bMxCHSdiH&y57#?GLbBIke4|$dZw$Z}5 zH=Me+xB6dq>Wcb9@%#<~Pjl)Nxd6z5PMyMMldxOvGPP9XUL;(|sZ;nTQ^~R4sZ->W zKxQZ0wWZGFQ=K}^cq@xYcj^>;F~Rnj@E>*Rt~oDMTUi2FKHB5d{lVkZ-GB`Lj#D?- zK+3X6$BwRGi}{^8MV0|sP!$yZk0a7mL6NJ0%#OXiR8=9(sndLmSVF2(r`WSuMj@w8 z;Rg!yey2{6o0D)Mr%vI2JsdoTJPoVsz@>RL-}b=ogy~{l8TY$)G7M; zDXf=&LaWGofJB?6ZSTnxRC8P_wEcdaB2N(71^haN?@Geyew~6p{F3sW=Gx7RWc?s= zq`7vA{ISqZbL|v+38{ut=SbJ&M2<4didHDH63G8+*X|qB3b}U28AO_E=M1F0SSL7b zUf1s6-5v9)eJCNXYj@mXlIn5o_CxCDaqU{8R)73Zwz|J3DDn;>3%hosP@Q})CeO9g zFw3-UKj~V^!p_31-@8-fz+{?(H1AHaj{qB~Xta>8Dyqnz6KRj+gZAz|0Pp#7`MhD4 z%F`(F7!+70~P-4}Otbb~Lj9_rn_aWERN zpm%qie1XrrLE!S^Wj@{+Df`Q0IUM++mgclNC$n)yb^tkuslH~oo}^Q!`iec3Sl?9t zv`W5)WKH#rpYo^ELYC5;zM-yt$V&&)D!1wl4mC+HPq8-K~3ExD* zg&Z@5pH0Fkj+r7S$2j(HvMGKuVL3I_QNb@905)tZ{y+1_j>HmO!A-W}Xp?O{0%Jn0 zg!jJBaF0KBL);$=ci27~_U}1k4-RsNZGP1J%lBt1`JFLE-V9{H=2!SRLfG$@EAmhh z7UQ4tGMmD;AmLOWOtF9452OqEU~+BUZ15FA8@quX?@O4jdOsZIjuNJwk(I)^mOl^f-MXm<2u=j<5uJ8+N0O@AhX9pJYxYij&n#VQ8wVBqalN!Ir^}u+? zq~^aV6|cv&ZXZeYcw8%xY7N|j+)SGnwe;zv@1C%W-YfC|;iTY;Z9hSE@;!?@k8303 z70xhv+%L9?PHOpo*qfX>-7V2cMK%HHb+<$(6?;0VhARTmBwTe;kp~cI57frpO5H|l zhSc)KF&q<8tKA0qKAUoL$6nrc6GuytR}(p?ZM2tA!+c&7j@Ao%fLzkix=yvak~V@*GTg21*tcn$V^lKF}I}Mpg0Q64;0=)(kU;yEAl)NE;K(-_#q^mGCxpcF_HF*?tC5D z)o&2!$QRqIns1cT61b;`m*DdiFgBqb@b0W~LK{wFxmJ!yvY)!0tInv2&z#7X;4CNh z@`L5fDfS>@&Gsh_=ZZ%%run}=`G;fEbJ1Wr%dJ~EI!lW%VKzM(sF8aKbrJtp6U6`h z0$Mk7*1)?wqw!Ox|Jz{uzr%bYlQoN?e})r-eRoe0nXFnUb||s*e={Oejcdh`aP-Kz z)aGd@DRp90Y6t4$Z73;Ku{RJKc)>W(4PLM*Uwz@?86P?f2jWf(8IA$>IUL3lj+os- z7)bGiBjiiFMTWzxGyN1ZSls&tZG9U&Kza6wNvg3lr06jP^!zZl~<#S@Mw zf3_=GPWOZ(@RJ1FhT=c!3BNpp&0#ciXm;!_|6=!Xgqya;Jz*Rh89Kx7{qx;_YiIH= zgLQ@@3AOLShDvdUBjj~J7Sw?G5&UE!>>unDxeo~!bcQ3s8+QiBse_%8eiGP%gPp>E zLDDIMog%+Q!i5Gqg&$4ADTAFN%Y=phxHB9{w{52a&Txdh0?2~SaD=V`I?X9)i;z2z zZu*9#@rR=v>&r+x#UGB4tAH%*4@dBuNw|PN9D&ah+GZm)%_Z)NavUgp`(0v1ZXWXO zb%_=G;*O$gUYA&re+2UX!X;k6TOpTtjX|Wj#D}X9=a^b&1#P zAgLag_%5VcuGJu2;{K?mk0$*TmspWy!bw4wxCzzCpVsEN#6zM*_q0t&=}yYRZ4=0> z-z!$+H-Sv|iWPelsit_vikv{CJ!lWYE4~tf%a_QI&*>E_H;cCSHl29IiaeLdL3zc0 zK@IbHO?br*Z3}WqulN_*0sLNZ`=*W#@N3~N@`~qzdSS2lLh*_(UhkOP{tvz4asP_u z|JzZ({)vJjhXYw~qM-0+D8ST-f?|I|aTM~36@C>-r%V(SSxv%)CJGAQiG))o3X1%2 zJdppNz2bCdt0HRRW5}z|D;8%6_5BnSCB>H~L{0#v^m? zYB#PP1P%{Jd=j3!T8b-p{UFSjxcP;ApQsB~_>_9m8y23$lGf6G-KztuazX6xlWWg|M<;{R%d>9>UYP=%G!i+eY7brAir5@#nM96~qj(SX*$gT>Z43vM z3?9Y@m9svn${$qbkX$g1?~V+)iOA2e+Sn1o%-i;f z$7YSy>grPszvp5p_(tZZX0$m zNrqDbjNU8XJfiX8!zd{cr%e;|cvnFNd)5juD@}u02g&y_7=5 zkWgL?Lf5Hha{-DW=Kz^5FQvMVQ1!@5VVjU-pl55>g?i=_oaTFMWFf&R_UFV7QgAK# zhN%j3QmZRp9elVfWn>WL>54>LCLH*^VMTthMWjENsA7yY=hBbA4?Vxg9EYyphXKa8 zU>!<7{yyFs-BIMvNH(PlCHS33!fw+e`mThJRDN4ITXpKY!nYyeRDDyF8k}dBbzGMPri12$)Yo2 zM%gp#6Z6?0wd6@;%00%51y$tUKxQk~yZsHZnTjnU)%p#_Xhu7Byfqe6kjl8hK^oIMPiu@`~Ms*kn6?lGl#I&5NUGENMYD-J=`L$OB%9_M=TIDZMliRn~nd_7|6_b?I22G8*6G|0(R zBrgV|0?oRjEo$3!o3L%^CPqkf51CYS*~mW#(uBA7;>db_yX_1Hx{qG)U~hq`gxJA} z!$O6cE2NxlBX&@chXbkOzUM<03NIny)KyHyzLEjy*_i&gwuxl!qu6_aH47I}*d*tS zIhpt@G~=n* z`+-gCnTotssQM?8(lZr)Aql%jLFt)_tO(dm>ywJ!l5|szr($0p#+G`bYRJP?{61du zn62?`xOC%rn&|o#!SegM*G`mANd;1OvoeT zpMVS-t*JX|G`A-a<@yfkr+E?)ayIFwG+Bc2F9_q#CQC5>$wm+kJi+eA!zS~(6cIxY zAYV9?MvZH^&|ML>mDps1;mPN5DUvgr-umRsCM9lBCO7>NUq$3AWpbm)XFnzK^G$AK zcpEo7oZMuEl(T81H!1Q=AVZxx=rN;Ho>`mpCe67!%LsZ?G;gyOgB1JmCn&`?&ylq; z#Xb(KYn~&U_X=A=SaP28iJ9jtc#!K#SfN%gqsRSzfv9vvB)1c!Y^U5rr4_jcYZMsJ zPag^825npE`plW;`^U$WnsMtRsaYzm*aw02O3keFM4aDBx(!^Dv1f;KWtk^}T}oI| zYSHYlB{4h9AEdti5#*X&l^x(@-K@%fUzL62b;qjg{bZ+EEJL_B8{6u8 zZNoF?)QZ46x)=%8?wun2qSp{Fc;RBd>HZ^3zpB@mHb5?GSxr8t$+FM zszw8J;!%~XotyWfrbhXHNc7u+3lF_gZ|Lf15Kf>%hzHaku*Ht7Y&p&u|oY zB6-B$i;7~~CPP?ivLbsWDpK)^qaueWzf19AKvAwEs7MYi7pw5!{`FCOpQZ(=W>e#z zXF;OLqW4P_{ou=vqL*n2OL1CSQSPCj=r3ymWq6KV^jM^>&TMg57@~0bYHr$`hVDyq zkd-StM8R&~g8&1=^U;zfM4=8>CPaa)Yre%h#>Ma!!dEkk3fms)iDsAYy6q!P{Q{Y2 zxb}s3h{6l*Nw$P2^h8$cQB>0#qM)!}0cI-cTiKHk186G#D0T+1@qxk{qCinC zjah@Aq4rYkz&^eZ4^aqv&5zy>dDjpHN>f4qafRmq_jt7;aYf!j z!qV;8&ys#o*(PX61)oo{?on}}jhsScY;zpD69|i_!L#sNt>HI+wUyVgm7!G<#+%kU z{V2=W&u9O^dOBYklJQ@WcL5pJ)89IZzlN04TSvjikvO`8uXV<43Nb3YOt^NgIn>$6 z5Bins{MPBS!4CuMX`Sj376U&ca!{?4IXn1@UCLh5wCuzQ;W`r9)H4$m7pwzvHJUi{U2x-Gj1ezPkvjt^%Hmo(5~ozLAAon)uqGa< z!X5`KD?@8G^NSVXVu62sM{_P_0jcXdioHOXaqPGc*H3mYSXY6ONUG1;gg{mh!L1E{UWT0nZ1;VP!CaTd^y!t1CQ)~mV zgAyEU9rUl^S}0yHE$x+g`?Z{u=S^1c!7`9F#{3lSkLaqu?@KT3jAY-}N7kIO7sIB= z9FVG5o)tEQFCyX86*k45P13W$vv>bhv4;r5`73N<*%ekwSln@n_FoYMfp4)h0-_@s z!(xWr*EW_Q47afpBF9*7o}GJm^EWmlSU6#@4}0>1u@bO9^!bRY`~SmFDUaH@b6 zJCvkT1+3U-Unj#J0W0ht!cql%noqzBKFt^K@C)Mt?xuiS-6u!L+G1&OXgqcocKuNc ziv097R?IBy<2V9r;+|JB5f`PE9ngkO+&qCW20mORkqj*bW*ySdlOjfbD&+k=Ns*TU zS+JoMUP;2K4XxPmB%RvOihbwRl!jK=LxedSnvo23iM49eU4H&?iCJ^8eCu;C4Y$ly zbOv1kb5$D&d9v{A7qTKtfXptY!;sCq^2b6u1Dfx-R|-j6vA+e@D{Yx%DfT<0>*!W8 z!%|ocVNPj7Q5QVO*-47&+H*sG&${!xc+V=(8oe-30Q>?{6INZ6Y1 zLY9!K+KN4#W%yKEgss>uh4XwHCc;+O+b<15*j_WXd>~)gQ_hVGn`W%g7G--R?$gOl z$`+*}4-n-4vL)+@7f-~ssHSGpnf+!lry*MsG?2SbNvyoxev@8DNSb{i&RcQ9D zY0m-Y>Yc>*;(AlZ%W^PbO!J2?vT^<6r6M0C((J`?D2(mJCD)n{0MD4SZeq__&0bvS zNr6|sHCn)vl9f_r;b>vjzZa*-G9ZI7)v@L%%cKf^e;sP(UUQV4I7L28WVF5*?ZgcU zUJvBzVz^!uTYKLHt&}g1VU}kJd0Y-93FMJB*HLZ`BR7L;yU{>qXTz~vD;w^K4cfK2 zgq&Y~frKgN2x(TN*P0b+WuXH|)8jc?N1%rZM-QUs6LQXyY$)ewL!7VOnKuKK?3u!V zShNKXincj~juCL=gA_TYX*>d>aT3+Cx!L^4R$zPwZAjYm_fR zK>3Zb0S4BZqP!9l%s|QpsWNwhFz4TbQ{)~%hNi;51*iCroy|NS9FLK|pGkh$bvn zmr(SkK&RPRMXq}abUA18e5P0CObY)U;AWpVV!`yaU>9GJ3rJX&A~+vwTAK(?T$__= zlj2V#AkhFs^*^kj5U@a-fUj>3-7 zL6KJi$-~oVcph~c9*+u-k*S|Yg-3=eye1q^#u+s>KY40g52@v=@l44hVD9o>(+vqo zH;u*0?|;JjPMWIZmC3dw3muOg1x=y}4bw6Eft=k)H`S zJP{@E_U^~IX?@VMw`0?~avAt4!ZC!?C-;{ux_)%vh%AG(U>fTlAlHvlD&3j(_1;& zq^^mq!B-&q84aH%-^?dc_Nf)QI~%F;g_&pf&T4!$kMPS$!UGV(jD}D03BQ~q{1}jO zZl`C=k~nPT<7a?Z)qge(HXwGK0B?EnN2|O*A64&Cp$(>cW;OmbkLk5ZroSvq=Pks| z#0e`2X^b~aqZz${BMH9Wc#M2+bn^XPlJBJei?F+AH9ncg_mfG!vw+W#HP5KM;rpSz zpG@+7rVw7{-C<2Iy}vO1v6JbwNv1O)(_OO~AI@WXjbXYjYMW;tCDR|}YsebIv?A{U z()5NZ=ZsI7R`|7m!$w+6kH3$%hGRvZAcUbFowFEl5ed(9mQ_iPcNLBq3l|}cm{%n^ z-tdUgY0qpj!SEwMrw4K<%Wc5UsDE%7UJ0*i`iL*n4f$wB^T?4^4R6Y8$MOn**>9=A z!DRms#6N)8!a`IvJufNGBW3d-WsKy-h7Go5&Zy);(jXANuQ!A5>@~b4WyqoB`l^W> zr-K8XFw)?&6Y|!xA;B*)tqk^lGS@%36<%VWv+})Zcpds3bL@FCC~z7U@5BlsKDm=E zJ}|W8`?Jt+IQlM|Y5d8%BPXvaS#-Cts4$Mcr8qfDdr72e7ypIwHK!0IhWS36{{FR5yJF|ywOebYvax(jw? zVSGEvd?}dcQoAA#y20X#Nn3_fvj^6Q;u=N+6$_3_ss$*9{1K3p56q88#S)}1AZcGL zY=Za{V)=En`c)6$JJZ=Qiz^=4beo0^o0lwj1)}F()lK-Y4$IxnyYaPOAxDw z2E$u2v+z(FtPKtJlX!z|exqry&ghH#3M6`;1xr?H8 z$!}N869OA&S~Ra%kzZd_><>xGr_>@p#a;v~q=hplvSW|9RYce{yCsz0EunU|LUO?( z!p!DfOCdHq5wYC@v9-j-_S7P`*nB;*bPO7FKK__V&f3)}%(vOt-vKMEqDL+Wd0vPM zsm{FCpgK2*T${H?NWttI!1_Y4yud`Ln=*g5a3i{ILmiGaw0fpT+`{pQu_4D33v#U3 zPaaZncmL4vxA+>YN{8InRnd5HmAmFsBjH)t-<5ON;~al-{lCSr=w*4t@fG00t01D6 zianZGr(W`0!$Ig}O;qe>52h3=da2l_fDM{<@jR8!;>&o={(jRB0_n-g$4%kOjp)=H zv0`M7L#Be>#vG6!iNw`(Z>(I=94C9XPfgq3#7RkYQC$v5t4sM?7IstCrTC@A?~bHu zjv3vwcy3T53>=Fu#81CIXi#+l+V32Qnn7(vYqi7~y;Ou0vI7!59kUn1h2=-vh5PYqvJWDL8n=?B2uikhv%{ys?< z^t`xO2UrX{GR9y{#1!?iQEp>?+q@r$;L7kRlepmA(}P|XQ?*UV(jBWHGxwX#^8kt= zuLcsG);Bkhsw&-Cq#JA!N6VSvJDO5e#qLC`emPaD!7q|QwwV59?(E_uPd1H)KU22* zw%cUKK7JE=MCCHRaqqFT!cI?A&D=_5CmIATb`*OPu-W2^Swzt3PPFAOw=wzm-{O|P zhcz*&MdQL2*hN_LOeDpmD)z%pO3F3V_tT_~z4yjQF3!23H2%b>=p`hV()fz}Hjvrk znvlGAhA3j!Y)7$xR4q{Kc2c+CRiBJe=9m`z@}YzlynR`A?CO@Nz8+yqjjN*?|9OQn zl&1%x@QVE&v6#FB&O@MkX4OSPqXL^Tdl`2hul_rXNakQLG`+b3Yv}y+t68JzELn7_ zSy8ptRrWN`7%b;|^+9A?(NHYeJlIa*0Q{LD@SHfCaNPSiue7ZDt}vN(EX8yr1@C*gcT4tI+jYm4-*ei>?-qIZfs8Ax!K&Fl+)g7Z+E3aD9jCGivmROE*LrcxJBK!yJW zaL+4mqJWCLorEzn%*?-mT+_HAh|hUcpJuw4^-=SU zU>WK9sNlaY1^7RrkDY1yxQGkex>#Pa;0t(pD?4FAHokDpH;PB$`P)NU^48Lep`XE8 zdKXl1wXCI=!I;L^(pTN#SWCYT63`h+WZo?oyD!q}-`>XtOdEF<`yFDFFM;(w~^zCjJ)NlA>CEY3p-D&gYzld1w0}b+2r-;uM1S>rrb%0 zADjPvN2(NLgjVkE06J9TNMhE+NU`4{R;0kuIXq+?v$T-GSQOPdFqqO7GIQ@m_080O zN8!TL7BX{J?ECi`E?`7;j`-78I=7aEKm-<5$!ra~RGi5h$;B9(p3a!8@@Zk$u`r*K z$VVI$drH7q_?^5Md!N0m?C~8D_?hM>k3F_WcI>;?7n;{dGiuo{{<%;yD)zsK9b_|Z zO?IM5f21H$m!gk2V%xA8YlI6=Gm4L>*u5hzsBKnbKJk)DYm$+c`N@;kl@NIfd*XR( zk@x*6r7^|xR%|n|A|&H4#~O407<=W0J@b>tUJvW2dwp;ILAZ_H)(_TyW%~Hf4bY1E z2e{)XAMu!aM*K4#ZP`nsc;rUaJq79uzaz4b;nbGV&_4KPqH+uS0>W8y&po z{;0fj-!*qwzneb@l(hryRzWN>J1mVM>s#oUwzzigz$r%Gy< zy(*t7Ni@)-?~K5wN``%Cnjkyj&E1~b8Xr7GewKmHIpT_=_7aqXQQJK5jer#p{)#sI zG0i)bvYo=^ve+2NTaJNUHg+tr>~}l{06N4oX$Dc)#O=buo`!JJ3sdY8Vo?~Z&n%pR zTADekBiK*E3ub*XBPS0@#oY^EvNARM@L3Q*2algM^WlM_HRf64Ldb0p{8vZ(k15E% zV*ADtuxS zC5v+fdG-lZ(i9erB#T)^S-<23mm^LwXDiso=_DN)R)YNMMve^TY=v-S$Y##}kwcPa z2oF-G2!Kl<$L!^3>%O1@J`{fX>Y{`&Hd4e~ES{=6=7 zzP9#uz?s(OhqQDakj;Fn)zRAXNY2}DZh};iDk2YhmCPiJXY#a34H}GU6?aZfLaM2z zucL@LDF?sCLS;zK*HOfrDE9f^0?V;uWiMVBUMO}WU@+FPzl_SIvrvQ5S18%)i9kI>F$l}s~T6{aMWd+R);fOI5U}H~yHu*OXS=fQ>ypDz0T8Oc8^Mn-W)7@&k8xr?>+ra;(6mRJxvA-wQ zRi(R25>=8W3tj{7kh3hljHxb_H+a}C4=1B*Wi!WrrD7DuIJiiGlABL}PM!@_@7|-3 zs`rz}nq5L66_dw?f#ETa!A#v&<>~;6Az!%zO+R+s?<+@Do$U#u?z40J9yzcwd|r(9 zdC;k=NKFieL=HqsIVvD(eskK-;8vDhmT zEDtO#39!Qj+q7nnRZZOp91c{Tp~POZC1UA-D2>8T74H3QsmN`B#9XbNZRr(@!ux)a zA{K?MBuvF(SCwM1tJ+*MbntaMS6CQK-3|jXJNBS^23fa}gR9$XKQBx3C4j!&1UG1R_IBi4$9au9Zz_7wqXO3=}+MTV{LpqM5D_iK%^H1is$3l;Ij(N%uRKY-jI~w|MWWR4e zlVk4^@L-hq8Wvx_(JXF8GcYq~yqA-~SHZ^t9Fp(xoSJQupCIIYhd(CB_qUO}xBGQd zaLUXopgpE^((V?rm2}76beB&>+ids+LO95nqk+Y_zGSzXPls^%j>kTJ2qP_?bmYC8^0enHJ6 zqdoXzn)ueAc?DIphlRX^NbJ-^{B%;`y5gtMR5ec<5-}DUO~fC2TTP7ST$E#&8R=(6 z20%r={8P5t3wQcjO~w+1{{e9CSfa2S2>W-8=CHxntz1~}8%^b66RBI#VC(kWZG);? zJ7H;c3ykLU?5?5JoId$nn9VI<@zN%*EmV{Ks12)Fj@xXG+tWhs0Az~UoNuH1e*(Hb zv)OB7A0U>&RrRZAtk|Do*0XLmhxJ6*Xk}fr9(XfWGHFv6QpNcvGvi^ksqz%sZ)_^` z8#Z;A*QSbtQMFg7;}gl7*QSbxq2P~yEFu!}9-EpV|1feyui_<4_S#&6{7;0uw|9z7 zRc5|U((dW0*i=PMC*84!|Jv6(#ilBJtPu9tRE7QhM=U?Esr4)Aa-n4gVOF8pd1iH? zn6aVnmBh{Jb%thOSw;OV)A}J67*l%uJT&r(o~We{7k-^RzG!a?Ifls4w2~(=t=0iw zFs+%$w5ISdmz2Bl$5_;*w|d)bz(%$RQhevl0@Gd4cNX(qyH8lyEPr8Qz7@F(klC>l z?(ivym~Vyuvz4{TR}k@B6!s`#X(lc?=fy$GUgb~J?Hj^^voRBOI||6`*r~r9WZlLM zu5SPMVS&1Zb6(@RV8+lSVen0-cVJlz{^{gO*z%jVO1I?;(UvRvqXxZ(g?eoHz83O$ zAX994osHg=bbYqG&&Ce?0NB8mACzy)4|mw|R&wH8XsP}pxbDCo(>s1b+V1-G+Q>_R zOsQX0xkYz6>H6x|Zez!3{VFEq)vs-;qke7P`i_HwQT0u1G5@G%X_PGLr#_rM;s7J zr(;BV_YK}`uyZ$4GCpfcdjafca zaHWt3-~F>1Kgi?zy(HgT3*UKBvoo@71PMIK7RnFD`XIm-KPtW1V9#|WW4fMu^}_QqwenOLP2 zA5)Pp-biUc%$^s?%b^)7S|jzi2XN1eE`_GLmFlJ>ks-fF`u zh3VM(eb^D7%oQDC5#BCj+Bdnk;p-QXY2SiLw+&ta@XV$ztZ~j9(uG%x@#%>wd?hqk zb-)9jrZT)L!!>=*qs4N~Xr7Jm6Tvi(DV^Db^-*N+43{n0uE z3~abAQg12~*i-2oX|{9Hq|kQW^nL1?-*zf;E|Ac(bi=9e$t0X^I2AlnXcsV?3SZYu zru~Lfk$(VEI*04!X|bA$y&l*!tEtG-g=zt-sqlS;UBA^-(oUIiwy-Y^M2ay*2s>?O z+2Iy)DQ``fRvel$98tv@T&m#+s^W77VTL855{&fz5%V_TklZVgMbxU?@;s)g{XhMLy=z-q}S;Pt#j(C)rY^5svSioU9l94b(%2ui(cQu5)u{*Nd&=*PLU-jg8W0Ejq zcSIVB{0orTu@5#=8lHo+MH-spR-yfIzBJYvX(;j%(xxR2Qbwl9KxEVIlw z1Fu!{037j;gLnZ12$U9&`O*=H9pI^B{q)V7N0vvPb&Q;Di-o@bG?K$MlZGGQ1hX0} z9?Za^09O(~YDBbz5F@3F0wJjilH!VJ6v;qR;BIv0io*~X>RaKJK2`eV(Bf_QwzLTv z+g{<74yCZC08{H?HAxi&ogjLL5Y1~6A`^747Gz}c;*$PKC+cDjp2?aYyo(TzH+33x z=_He)>TTz%9{1)H_Rnk6tH*suMLz_z*{6*J5gJeFd5ZjrAfxKVTi#W@Ou_1j?$<`u z`;yT2tj{ZKYNh49smT`@sGSq1TgY`+Qxe>=ZD~O%GDlz?>ZWaqlh^#^2^IV?lZqb-l>ujYl`Jnp zeof2!<-b#&P{AKl-tH;o9m(<{P%#455IAz%C!t-^ZuR!EDD{V!lKwkg%|_mOIDz=mZB!}2d9Vq<}^&DC#P!k}{b zUgD^o0Vccf$5dys6zr_dfQ>9kt4{6F7S&hgyQ|Y>!sO}K>NG^fSZyVG7%1*~QQWU1KrmmO zr%OEhwqJQ|B);)*h?GtzCc}RuJ}!Hvk(`g_m98Z!`b;upvQ%zFa$`D4W^7nzhfA zEV>f9fw@cO$H%@l^1PChA3m>S-<2glG##>}aRc~g>Zi`s7lPCk&eU9x`Wt6zTabFQ zGxfVc>J&%n<#Wl=Uapj5nKIs$av)PSb){^}l(CMK%l4^izI+LewByOGICuND8VaEZyW|qkA(}ie=HBM(G?u) zcOZ7&$y0-ir>&5;H-i3ctKmg&hY?qN;l6TVly=l_hYOj#U1TPp0Xm`4eEB4PqWNzo zW{Tb(8`g!Xp>#XRQr|HSF>MVx^Mp=Nf#TU9GBPA0Npf&$ki66U4Bn_b5ILZdxGE2> zSir9x1zavVxY(5*`1vCqP6`D$1&w%(xX|DkkE| zT*H1q6CS?l!4YN>h)_}ipaQpSjNlf$;vnMawi>rRdRqf(fhGm`S^(M=Fc-I-dOHud zU3xnow{6jFoiv+yOHLoMh?AhJHGpcw3$Mt^0tVe* zX)4_jL(du@^a}xW2~*HBD!U^|!z4770>dv1?_1e@^_Vba>ApX^{^!4&cI}Bs4-V&v z-u10tU-KipSC_f;n3Y>MHIBp=*H$-vg8I|@$;#DX^0Z{pDL5(`KJeA--*b zd6tFVv_jh!o(o&ou$(_dQOH=?eZ<2^@NupJ9dN}G{9&&w>igTp^Z_J?hP;(Y$Xl4B z$Ifv#au~+E-?6AT)+*y_UcuHdhHx{A;*mRPaKh2Gn-z{RaILIeTU?O>a?2b@W)XdKF+l>_qeZw ztuqz5(K<|WR7Y}D0UXOw6044954YXUvF<`v$F-xz*uinfTjbjT4y)52^gb@(_} zXXm~YxltV^IjSQ$`s^GPEKhoY==Ty+B!3)5@^P;E1dHL%E%b8>~SlNBNg^Ek2 z4m;BtWD0B9Zi?iOqewo^m7Rzp7vhiUQa7;3hUH9h)KYSE*f~yNd0NX3QzU;JMe=d3 zY_?V8cvDLzxoatz7TTF!ovXF%G)3~qQ6wMd`t97mLT=QONsd}dj+&D!CNE=oTFWj| zB!3)5@^P-yCU5|YtY6L~cP%B;#FMR3H=eJx>^4R6$5A97=USM10Dnwdb|N=w$s|WD zCC9`XE64X)p4PI*6v-b)k$jwMu?fs#k@d@&hna9P8U{ zBkM@m^afvWTt%%#`&iO;4oBRnZMVTYg?xMBFv`vZ?S=Sb_vu=^F5g*NgMAZN!?fP_9`8ub`Xr+t&}35@gE~BswAzay6{6JtDF9A7iC4>mTf_w8o}%xf<5k z8D+g;c_Qn8os}leWRT!UxgZ8eM(yAqo73$uSv*VcR%JV^hP=BNYk!dlo)?qdf}qzIc5? zTBj(UF35sMi~h*PXgCDH5_Oj>QNQ*+f?}le1ulf7W*5`FkR#uC!6V<65IE(J`{9rw zfvfK^d7xy`@^D8c=65UzcVxP}L9hK({F<}FzIR0}`(9p{H9Pe}fMUp*K&JJ*ej7f4 zgx!6w<{XRg`(F*LoX1*-Yor`M0Jz6mh)bl%ACYi4=JV5S(U!4zUf6RKk4Q6IK+?Wf zzr-O@>=a_-r*mVO@o2n)5IZg2ybh3E@F4Y|stNjV0mGrtHZI#G)$D|5j8R;72CEs1 zdJ7H}k~%8v&wvf0j+&v7bW`i7*g3?SpbZ{3!}k`Nt3MI`P@QMo>iuo*wTRTAr9RcJ z1jzE3xd$pc$$rfh0rf`$T6Q`G9+L(yJ2&hRe>s)Y zGWUlSxfY_x+kp%^g|A(t=WC8jki#DeDs8UdX(Z1!A8@}ymf(9U($1d-^ZhYVR3-f8 zDIwqdMv`AqHOIZkk*27MY$k0o?RgnO6jkA8kg&UViJ~g)e*>lE`vV zYvZO1UlNqznWOSSV!F7&jxdNvu-Mm!D=}S)MsZZN2U%Q?wu`i>p=DS7MDd*uMI<^IGFMG!5rdvP8;_8 zNv6+Icmt*;{BhKTkIfpg3h&3r4dF4#Aw0<;_D6GE!1BTgQ&VHnd7P>*=Z~XEJ~k65 zB`}di)=Lhz@FbHcqh@-4w$^flDUv^qBKbI1HrEp6pO70&GRaX($x&zLXkd9-%TiM$ ze;h^fajw@UFpWjlFK3dwmXfLB0*lY$SS`nyBKhMel8C_^~;&$uBBv>g=oz* zm8Ax?oMejRkE2LFHVe^hQ7wm=S~AH|OUWS%(VF9lg&m?HV(D3XuOLbMWS0RhyK zN$y%oCRvEqOsBHcpq5ppNd7pA60>EvrqD{Bab? z$7Uf~3ET++s3nu!wUkV<5UrUmXQ@FgYfO>+aTLkNW+A#eYRf}dWW#bMIch06WFcB} zWb9hjnj-n*D3XuOLbMWipjv9lBzG+(lPpAQrbWmU)^e^Xl0S|j`PeK(7hN3o%dfD= zhUH9h)KYTDLbT@Ck>#n4oo9;VkE2LF&dIv85_s`AsU?%#wUkVt<;!TTPMtaTLkNIavyCiE8;XBE^?%O$2r{y2){<6I{yxMJeh!hU%l za-)_^a@10C)BqgIaXrh^TDF@a`Qs>(k8_qEk^FHK$;Y`Cn?Nm#tY6L~cP%B; zfSqYCmKuyCU8YF>IEv)sTM|=i-my|0vSVU(4Y!RIN60LX2-u087#3 z7VWpI$hR+mWv&g*0W5s?&1!rniYeM&Vv4wP+fDeSD?+{(k#@tUD)aEvp0{#y0g55d zC1J4;-tE$EJI4XS_XbOa!Y$+{bCa&L$Zrzz?RjCnjqnlkL%yFoihSp-moh+U5>O80{4N(tMYTyrrSk8;J0h+$?qsk!ARA0RDj7As^^wTM<}Sg>Fs zM>gGHc3|-3Hzo;4u7)*^-~-uReB{o=Zr(K$V!MUe#}EryRDzQ*r9phw+R{UtjPVWfrcVfROfM^u5C-%8;BI6kT{ zPO$v$%tqP;9)5wYCL@3+ezWviSiAZ7V=U=}sT{fTwkH|9xx_}kUlEP~-kq@0Z(Foi zB8PwEns0+|0XTJSy4S{^13dO8^46xSzGLw)goz+O?G<@|BX1Nk`DZs-2&2o@qa zbc~GRnJMA3%N_AY58_rcHUT2XX601%TUM&D~{Bcx=k8>?{ z?)$$a)nSsOI+A0+&T%7hgw^qcK-OMwarjl_n2`v8&^djV4c?#RQ+%lMg`nMvKgKc+ zBklY-CVi+b8~OZUA>ZElYk8AJ``5_fKX|a!246+;oEv+##EZTM+H>*8@O=zv=Ua4! zO10X^onm}@=Eg-?i}t&Rl5dy#aBe);2CpL6%#HOBQ_P7mDsO^!zd0d}PO4(WopczE zjU!uSj;nEt3%8W94snYbphs(EhSHhci7D}!1}>A9XfA*fXc0`7kq;zB>%*-q9DPlI zC3{_v<&{J!TUl9x83an9W}7T~ADt*=L@Z1E%+_F%GV+wf7(XyN8soe4^qA<>*t@=) zz_%%$bAlT#jm-)21N^plDU5zegb}QYg%Ld2%fOlEHhXs@zF_FuP{&)S5>^nHA&*t< zrfQVm5bEf!Cy7tjw1brPjzyzy=+`_#i6X( zB0d4g0yZbX``d?z&2jSnWRmwBz!C306K(UJCH;nv^LbyJ3UWGy_iZt8#rd_!j^h?!8vCdJ)Ovk0JjJ0~!q;Ok=KT~V0x2=B!oL;GZ*?fjH#>CF~$A0^BfsHr7CeWs zgBkU!9{CsS(=-IAKSQTwM#Gy!X4J2|cpQux9^#ua!J@&FBh?DdKxpKQ`p&)g3P91y z!txlXs^MLHc4+nbDaJg08WOtQ!r&t|^$)Fo&zR_cg_zqc%-g`+zjv>}tP3&UurN5= zsD9O58B>N#Je-OKVFLwR+*!5en z>(^t~FUPK5j9ssdT|XPUelm9bSnPTYuCo*7l{x~xdT{y=KIX=-H<4!$qiP**M-7&D zH0z+oM&U>7PlY!t#Sxab#L6q0ihW@}7y^m9bALKALHcgMqe#dg(0jbZY$XXd5ZQb* z0^rtE4SBL^$Qq~_-{`1X`7*vT{XHrp!km#DM*PeB9ym5=SXD+6V~4}san=Z|4#RxW|o^dL1|VDc7ddnB;u%2Na;FM%rdx4@-&P!0th6y1*nht2YwZ zufCWfFbT<0>{Y;~2`oYS7?O4iEJ1iDA`=4R`m$eOCeRdG#4H*#TE<39zXG zvq|^v?H3sK#bZqTnaG5yak1GiFq7&MSkLK^z~m$i%IwHPjjB~4_}3B}RgDiJxt)PY zmz=zpH!qUh5HjtPoV=N**k|@imt2DMuK@R`T7vL3L?$H1SGxR?GpR1g{q!`I98X@M ztM^QioWvt2_Q$}c>0E;J#U$jXO0{xEq?hBBRSsZNsbSpb2(trbxy)ryCcap?~x)oiDFgknZTw= zE5`LJn9itrf7>lZauN!o*gpW9rgI6> z3rO1CTN8v&Au=I3?sxhnXHs2~yXGX7Ty-G1Z4WS#<9(jw_z;r&VE;mrlW3g&NOE`V znj$#~#!>9IfK8KJg7ncO?Ur1Ea2b&a$#LV?FFBLylH9b}Dmfn8#j&+-KO;Hb=Shwa z@%q6y{G{ug!~>Q6Ftl?Q?UEun2?tW_5x}NNPDocrq)SQKEjb~q$k%tymmIgi{gN}O zF3F8JQ6*OvNbb+&MsmE*lN=vH=WYTfU2+nUR2NlmKQisJa}toG*bJ~~l1q?&b|;pEdN zEQx|rY)@Ibuo9$y1Gq=c5`@1)WI|YsgzyW?q`HK4`&U$0t?Zp=?JGJ~*=i{m1%}sx zGSiWou3fT^-x~?+sU1@UCfoRm{WY*@+La(ZpQPRWGC}xQA`=4RcA8&cCe*5ZHD|O&6F%3Y4~nhV17%qzFs`1r&P)uxSEIkUol}-2zJx zojx(pc~LUM2JRY-EOi(e5*ZtjE>$;l?Z zV!s4zn&c9si%Hroxdh=?w$GQ`NNke&C1+AylFJ;clBy0T_DjyBx+M3PnJT$? zf#iO(hmjob^CZWIkX$1$>5`L>g|uLZUko)1%{4#3TU{ioG4! zG%+PeUrN$$F(n9B5t$GZg9ZFzGN~>xU3j#Lsg3>e)ZNV}&}OL?hs`$V)fA)}flcnQ z&L)Tl6@2}5?p?h;-0WRJgNv$GH;zNx#K(~1>c%o${g}Hgs~anD*;anvsMU>M!c`GY zGr+?dTyA+QktgB`pU%ZqDU%V3kj^~}hDbh^urNrdOeVAh3413KT7!hGk_l}= z!iHqwOM--#k_qiWLRT`OBS^R>na~*|{2-ao6(n4nOy~|0&PgWp1PR9^6MBP${gVlO zLBjZCLVu7@luQ^165iM*(H=#+nf7=rnJ^+q_){{WG)VYKGGSbh(2z_h3lc8W1ggN* zV;rjX3#eM4q)?Y^=FdYMO1g6FM;!Swb9OmnJ9Mth1*dIY6q=)w1-n7J^Hlhbh;E(G z-PuKVJe5Dt)^XI<-JtDcwG9QvI?2kdV2?7pyx+NXcEV2h8$Tciy?N0U_#g|mQuCNt zqWx$})Q?7^prFRmj}{g=YWy8xpqa;XgbbR`Bt1s^;A>NPmsCsn8cT81R9+xe*pYyx*Hm5@)eK|PYAU;AihXmmyQa~W zmA9rxumOBEmFVWWNP8Ptile3y(yXx4086i_L^NxLy+}8h0!Qs1YOe$|EB3QecTE{T zDUk-=n$Cti^Ft1&eiC(bcJS(wkoT0y!VMpP_St=Szp7~If}x9wbH9avR&+#Cy789H zi&ikfbom?^u798ghoZ+m5ytO>;qzcEIJ^d*2k7GYNttDDzB;n8^YUY=Bx6xg{}Gt$ zwOe-`)?KG{*Ja&xzj>m}JWKVjbeZR)D=DwB-$z;a zExyhwlaD4`ZOXhK_^6Jc<*28r>r*F6`7g!F51K`I03Z20bicVbhOlhr2$a<@Ftns` zN2;XNZpH7vE_Fx#(Us7XQvR94Kcg#A*HZooZu@wPKf!H3Z}BI%9l-6_UmeH#j$S<9 zC|PAs-!)rsk}_^T#~Pkn1Im4UU7H)8+XBk0 zc;@Vo@|}`e)5z4mYYpYwOlIY|6Ipg^HI(mI5>W2%`+7jRJ)le(W;P2cR|k}t+P6!< zv&pPHqtQ#p9v<-A5l|lJ8xv6O3@EcXGWQ%GNaKUeSfiRoruIEtY~*Y*E6?3;ZiLyx zbgKs_;~Mqi>SdYpb>pfI$=S@lA07pV=V{zy4#mebt-FncAvCv35p7wN>x9W-`CwRDH@DOb>I&)|6aLeFfHy_>>Jj?_S zp>d-#t--?q`JF>^I69LJ9=;tsl!Cq;JbW>DC^WwjJbWp5D13hMlHv2|;GxibH+cAA z9JwWKg74SVuWvJB)Lb?rzG*5yXgBkPsqg_mW)psC#z6QzoACQI-Y4T^gbRt()v@8C zZ`cI6%7E#QO6Esy+fh#K*ViW)#P;uS&VSMTbXu9*WA7prD z-cwy&U0vN>RntA5B(1tw1^sUqQc${y4%tHeW)b&OhctarRXN?u;uX!a-=p7MLcd9S zaU0#{v7S)oTK2N3yX+uv=k$l5Q~7Q;roU00Z%2MmTL$Nx@45S0&+uza?rYz2X*dUt z8Sodij33GE#%A}O7Ziu=d(M3AuJCIm-zp9#siNv4iaI;|PK#SqiF&6a;+=iN?{v8D z3{dY(i+HC?_?;}5x3(S-YjJhNJ4^VHPYi8tIZvy1Dk9#Q9)73YeWyabLr3okLAQy{ z2*1K!`XFyozHoRAEX z?mMmgptwHborU3d2D$J2N4-OzT4$7V6Fo{fZ{9Fsi?umBV*-(VE&m_$yIgfPS8Xka z@iX5Y87^<;wv#u5fjE#K@YzujMQ$yx0lY`oUpBZ$K8-qb+2SUU?S{XW&bs_^w5eaVEUcpSs7!T9(j=Wb2M^FOqr z%vN3IwXtOi_PFRWC#9VJZ-3L9wra3cHE}N$TTXw}DzYaRdl=b!-vQA0iTwZiAojy9 zP)2_qKAqW`wPa74Qx!F&oV%8Gs_d-$ys{^Q-a)pq1zQGejo!+gwzkHBv2Nk%l#}1N z!V7faIkANc_VX;RIGwldeSiSRmXzOIIiVsiXVUOL)2LZQN6wNSSlghCxR~3dS(ya# zrbt~!5L*f?tK0T;DB#f4uB>m1s;;Ichh_~O@)-V`Go{%37=)Fdn=6rERKY!Gi%t@~oAt3xeBzw^y<~2%kgns7jVR6ky?Iz&caONn4nEe%wrYS!&goJqmho(cBn-%x`LdsbaJp_){D;g(p2 zJ@p3l^de*FY+_n<=?{XUwVMm}3Sj*vhK3^5&B^z`tD>UWDQE9al%IImtNjkK)~)-oy?AxdSp$Rx=uM&Vs5jp$@2BYU1j7!g1O^nBw#~J^H!}Lr9}O}jrM8G(GrkAJaLGabY}Nmw$2eSEvA_aQ6Z znE#&u$rNCb)k->bG@4Q6x_gy#WuYdckPRvdzfvh*b2$x(+qWb742Zgape2X_)xJ5* z;s#-Hz#OoM&#MO|1IRBr+=?4Mey$6`pC!1Vk8}i)lbJAeKEp@bA&yUC!oO#7yf)17 z-X6zMk;4$XJ(y}{Q-yeK{TWTwLXnWJ*sMu)z8=Zi2B;^VUyjWZ!NtYWGHH4+kOz`7j~9`AJlB za2QhH<3ZTVd`ag#o32V<;(j#CK}bO!1;XU_F=BE<;5Ss ztXU}pc{3qXG=Yj79a*}9Jrh(d8X?F7nQDwi2t1qFHEV<*KmT5_Yf}e7KSOA{ItaD~ zl;hMv;3t5zMI8iL0OZD~gW7_}RfkEgI+SjdI&e-#vsQ=4)`Hncb>OrNK~@sdW+ON$ zL*OGoI9en4i+w@v48j&05#o6Lci=cuBSIX%MaaM!S9ed(Mud2-2We9%KE(AUARea_ zQpqCb8avWzCIU7>kXr%SDW!1FlQi211?Ys0U=e3M?%9ZG?GUk9DFk@|A&oYIr7PIO zK-HoVg6zjs%{C&$?#ge$u3005@LWQIU4xAXF?LBp_m}Rp%2(mYj z8>0?p8xc?ko>aI8HP!J!P51tcFQ4FvA&1}rMU!)CukMkBVDVzicMuBkXPD+pI+>p0 zNrI;@yqQH+i}HK&rG}rls~OD7+76+4!hkt6H1gP3K>9X!npV2)zCkOl&(qWK0dw+P zWK$6N%Nn#IFWWFLXR`g7Y-7O_0h(_TlBW1Vvp>^poEC)51Wcy**y@vgXjr-N9ADOM zMKf*7v#bUxzKs5;v9^SZk6ek?1(7>Sm8zENeYyMdzTA!NLF~G(xl%I4?$3*Y#*$fq z!rul=D&=2^I^B`GsMn)K)2JDX@yB_5Z{C`g7is;tT@$tL)w#p>tS3E7);?3nm)ay> zrmCBF0Z*=UsKv0F?}pVhk@`O0K+Gj;J|-u+5>5Kf?yNj`6)F}~t!~6$Wznr&Qbo5K zSN*e5-TWs#S+7`4)t$J$iB!g?LHLPzSP9{L;O7Wz8_Q+~;nhq#xK+73-_3t2K=@=J zMd$&?AmtXqF}N5k)z;;ww#Xt=RMUNGed&JLwu)Axh<5s;B)5K*t)lr)2f%L-*jCZ% zAp9Pt9a+)#Ao5Zm<0}gLfF{Pu1{Q?YK;0Lj!gv7fkq4gRhaEorEahy|l-|;f^{|o= znpG1dAu?shGoluy&yWz(^hZf-@k-+LAf*`^t2ASNV|x&L7hzLVVFaVM4=Q~&K>7lZ z_Qo`KWOp?q9YhWVQl(>dnU_3!ekl?Qa6Ia6C({3X;!vB80v zgP!v$y{s*+(t@2y*w{)7{0fk^R9cWn0~uNA6GD|n)=qZ*9>z*XE<(7SOLdh${fhYt zn1KFs@Kd$2AfF?oeP+n*EH7LS(x#~Zx3pkS0JioB@{W2NMV*~?Tf1v=w=toL)1p6- zz0>ZI6=zSnJ4R3DG5SAk8#Z{+6ZJp^Rj}vSGx&?Z%Ld# zJAkbM79RK7x~ytgu3KHp<;5^vZqRd>(>*>XZVozUL7I+zJ}AER_=|->UK7mgMVNbtW^3dgp&s=V;U3TaEd!tmNM#Pu9t z{ZmRlgF2NrYd%}s`M%hK@?B@1T|XLY&cJ-q)^P0QnXMac2+EIcIQH@gGKY}1hGQ>; zz*|2zHXM6+1oZ4DRV_+KELd7cOwF2wOkAnfmix-q;pXNc!rLE2-FVKN0!g)ozL0Z~@;@dQ#+%q?d_2=)kIBeEg9QIW=T zH7xcZE@)VE88MA1oDI?Qc~1eq{+OuSvmpdLm%uiA%GnSCuLEsUHiW>=2F$P)FlR%s zwwo%+*$`4f4{Iek8$!U#KeAVnvmpfjD8ZvD$=MJBn*>;ADjCd%sHQnwbcEteZ6t#ks>mv^f*($`4V4jBJQWl@lhBZeL4Z{-vA;A44*Lv2->s<8VP^#@dbz{CU?NYmO0*$@x>Ctd(ent|Iu z)G7eMo&#*y%mjGY*lY-uGLdkywv`NsnM9GCPfqVSoG?&Dg(9FhjtrQW-(;ACc2KS6 zmcHN_j2D&@yV2Xi{_ls4a*+gQ;dlXp=7nNFEHB^rC=M3g(l==4J|b z5m`6XdJJ~T&E>5DoUig(F!+&6Srl%5mtom36D;(6N!R~<)ITypJ(xf~TfrAHvuF-Xk>>3r8dopDjsP54#4CNZ7qc7kZ739Y)y2&8r z4A-b2pC+WyH7c-Lz``MQ4xT5S>Yi?cA+3eN`LrZX4OlhOn#4YckZjmj)X)%I)oRCHp=d>8X zUdL23Rh-je1a>09s3NYrOS!##a`CA=p@L~KaEQg!lrv+hbxpr{*H}}|j1lAlLKVFx7~__6)>bO4;N}9-Y=Pgw z7BBo^>PU2b*B@L;BetgwqunoK$9P}Hy1mNHs_}Fx-z7&DMZ1}+2DvxM!6r8g=w`s} zq_b+siDOVV_64+Qb-U_qRyR3ADU?+s$YTiUP3EH-k39hb-xh?U-A?QW5M;+v=rM6@ z81X_JFDAIn-y($X0b&0-G}?P1FI)i9R_}#i4+HUH;@Xx~Bk(PlYjcQHkSpIJCj4#@ z+KB&%Uwv~zeL|1x3^7N{1D+8Uu}vxZ&Gkl{Gr>Z1#GD;Of;^Cr#zaik2*D;m)uIuC z{Ia zWIsY0Z3IhKuwTDU6|-oBAmho_z)%Yey)$l)GRIOS?)vEnbHOiJTOcq#6XKF2-@v6Pq1$i+cV@;R94+mk3 zq6)G<2qVA8u-Xf8ylS!6>Uwodo&-+&VACap(}e7V>5>4LwG$sU z2WMPc69~=(^ohk_XgHqbwdjWXya{5642SX*M38d`X=^y1g$Vox5RPs*o|g);41_HW z7vgwN<`~^Iqv1jv_YjV!@rSC>6A|I$-9?s$3vvAjun`S+--G6cb0?C4h6_v<=u0#m zRa0GFMb)^ghI_ReRYT~^5LK&Vg?oQuj=I)7}eT5iv)5sResD zu$f+Ja%tZC@ydR25x2p*%=HW7$`tIIz-E^DpH$w|+rH_Ya(1GU=>$|$ZIxGCmpqY6 zwguhBY3xW~{a$fj+^H#*w={pjyOK zGd}nZ3fzwhMD2G} zS4Uk{?YCuSjJ5B#2nt7kRC_Ob!C3p5LF6NhMC}I$Yd>eHwf0r5|I*%X=>Y~SsB2r7>qqnZ3Wvt zJ0O(soO0HikjJAnkjJ61EOclVrh}|?b}iWRgDgZ%ZTDg}wr4h;C#l#aF=(;Zp*D|Y zL$F^ym)R(pQ`?3&RR0>Mu1*K?YqMW4$X?%p3N_jU3@+3$H2_EbBR z%%=04d85jzQnf7SOO5lxl9m0GMXDUe=@QObMG1Sv96psZ9cB~vq%)NJU>T-Wj)RuY z56@DKqsKFq0Kj%O;zLBlR57zqa-j*Z6YOq6PZEf>_q-S32+ z2eKO|&(%^pmDivP*wS1(mDiw=Uq551oyu#_*fe3I!s9BhLBpm47Fj2q$f9@rfCzGn zs;RQsYhP#Wa*m)DS05@RvQL)(7gvx<6%$g8W~cL$MD`eUb@tK7T&Ki+R_H$KV9M&f z1KnrayU#w<&l1_~`v193cY4kO@319N=sG|6G(=-bqR_~z2x*&lsgwi_AI^j$=Upl* zK_j6x8<#=Rd!gECB;lO> z8We;#J~?^`w8!F!#CZ%p(P(EkK^m%9(T(`L%6r$|j;s*ZZv}jcv*%LXZy= z($=PIB?La62}iam8wx=l#e~gm%H3Yz+c4qCHsx+F$RB4}+LXJ!U>6hC*zE;&A7Ghn z>g^MQti%kf;AXO7l8fn5h!C(WX@Qmm#zcV}~@SWN|)WvdkHs9={p z5#8vlpaOdgu*gbL6Z+fJ%{)DS(aL^q789li&II~RCY^GY5_#Xf!v7yhh8|nev%SXG z9iwYGkN`|J-p4oq3~R=&KS4{5$7ikl9d`EHOohi*o>@4@@+GHMyV6ZH9~pHLLIQ*6H#{U9lSt+Dr=OK{HdJ1M{)4Xy7k`6jB>Bfw z(1ILhxclzc6o+)$h*iW6+;`unpZsn!{RgNgzM=rd#03am7~&cY_zJe>rBdFl`I!>F zOikjFs=`fQTqE=oSl?5;Jt)dF|e6Fp_$76y=owu;9hE=&%hhRqn>t8sE%bl|E^S0#TXD)~@zC{<`HMV%czWXQ@A6PE>%18X; zYE*wvC(7^nnrD2k15N9?S+Jvk4KEUXEVQKZwqO<>eAyF$X=ylEGth+@uq_P*`_3Z) z0fd)^*UZDxuN-zb5O$QI8H? z-ujuSyDjP=*f)XY{%?$WoaJu8>ud!UOwLq}dJKBq>k5~Ht}!OSTks0_2m%{p0vz=a z?AFY%A?hKppC6|3Wz_Cu)ME&>v9X36^^g*#q6A|NIqD(caRfHjkfR=gJrIOVQ4fLT zb+(4VsK*I&p-m&`FGoEtQ6JK(sK>!{*Q8@S!jSr7C3=Dmjm zIf0Pwyc+tK@qvvXk7JsKy@v$0D`29^zRzYd_8#I5BW{(rhH43H0$`o1*0IlHf}Y4eOkEvyRbHHf=^i+<1y+)}#yy!gb0kNQAKwSrL_~7l znWJQED{#Cn@OCC+E>Mu?n+iOS3PkP4sH>x{s`jPKjIs6{SedDr+0@JoM{)$&daqae zP$cKu+1A=~fi1eg=}acF_FSMKFEkZ+Jr#)BU#6~(x=JKx%Qkq9l{8KRb9vn_#$4IOzy_w~ z0ZurQ^X9YQ}-n zuX3He*)310_xtJh6SeBu82EUn-*u8CpD&#J%=m#% zpbT^d)R$;itpL|?z5Ep>~Q@ok$t@Wf39;b{htMH z3rtmOf%m=x0yhVy1o_!?SKuwtn{jcZN8nEp+|ZI7>=9%Q6E+7iI4~vf6PR#h5Q9JM z6=Z?Oan$@Y#BO({nyDfoUO$=!6;XTNcXA7S2~0INKv9B$DY#upVK^|=;68Wl4w*Hlc{ZAd4Sy_Q2Gf$`Av|%r96xhBTdSpiX-JB|LRxzKsHLP8z1H;GOURC|-m zZ)^%84+GM_0!h|Zr$LXkc803kd^YW%J@)L62V2tvNZGKAW!?(j z@_1{$#m<``9|E#-ysc-bkGEZ2-mc>Z#T8zCD4Ec!&wE^-TK-_it*!6r9A9m5yK&}j zskRFgaIk!Fn+mAq|+Hr*rO~v*K5tZ50 zed9Xc8>I}bRTm{2UjuW2OaK%bHWd@+^QZ! z4>0#Rb*7pR-P@uk{k$CsRT&#oM6lRbz3E)2-cWg7NmXZc$*A*+o1sy1yRp^f;x%~y zjs9>7X|%J~guicwi0ORjOt>pQAYFM3>9*?1IfV3g<=Iz9bmb>*5+=AGolEbd)cwK_ zybktKUFZ!@yC3j9;CArpvtCGna&M{+^l2yw*&iq$!9rJo@}`Gb*GAIBQv&7HDW~89 z36$e~%u9ix87m(Goyh+EUA=(eXt}emBCDd{gZM|nsd$JRxOkd+R|VFci}^0)tC5O3 zc`oz}|IME6%~Jo(^~9_)bvqo4XzkDR+ZQlzqn{k~{)GO6CcLpNDqwymHs^Jo<9Bty ze5UvAJ?^`gd`jv!aRH*@hJbmq3Ye=U=`#gvvZoHhCB@5CF9sg^>3#v|9sKAl--kou z@6n~k`3seva<+1LoAO$|8xr4y?wBks2Mo%!r9JaTQX6Z)K(K!UHZx!_E#*ujxyJ>< z>vfrHYvalk>?^=#mN_GpH~C~ZRko&*~6TwFH{c(~=Yc^Kg5GM)2&IUHrD49OCbC{Fv#6!wC zllZap5B?CaUGq|EKJqAP;m;v)!S-T? z4Iy!Xt*EB*Wd+p9kob<&#>N_QNL)&|4kZ|C$RTk7k0h|Mh8z+X>|a6H6cQI$*Ur{3 z7!v;}Nbxx9_BX5tAkzkV57Mvtqex2ECyh3R#9MV)xAObukhmbv zA*7rA0R77di3_rjX&OS}0_zW$sIo02j_g^k5YFk-yGW?-)0Q{#&Yy{8o|%|e1(8I? z!+GaVLEcVCV?qaS`zhFSnQG=@p7;C|*igWvQh|6lHqwOsQ^&*Nh#_;GIL2S7>$GN) zu}&Q07v#%?G}eh@{DQrmsb0r9OD=4VZe$|!t0N2%))O+gN0kDm6SY8EaboIb)D7M zKpHj{1Una4VIgY$F=;BA|C;6&k z{Exf$9#Z#ojQ?);{%vw!$M~OIpxu3N;JIIG_EWwSzSKB>r}vbz=N)peq4c4O3+EDf z+bMAG4dN?KJ{+wQ2H^k1OB-%cEFYp@O4O=n2<(@toy;4&h<-yLqR+0KKt%s>FKdUG^H-Ox#dHr5{RVP$;ZLH7j`>)20!Q?VCvd0-A7h&57#yyv&`n!& zH8ofCyotkgf;@$g#yJKDK?J)uQ_Y-Xa1caby$OaYR6xiT(Ze!5_rf7;Zr#w8xq{6I z>Nn^L&b-Q4K@MFB@)|-KE6CA3!5+(0Gb_l^J%Q~4m|?b&5!5Fs;Zhyn_D&B z?as4rQi3k$s}l4i{r_BN8vUQ8&|&%3Hu0Wkv4=3f=9C6O<`B|0YvvpQfwzt~ikH&_ z1onPWrvn^PJD{x2pR8UE&!20@<0gbiC9h1lJbsb;oYh}TU4%M5?lCRb;)CV!5} z*1-GL_O~f$x?oBJEG&UIrQsv}yp)^U^_x@cQ)yRZP{E3ygL()T)eWy6y);D>}|`&PvDOd-0&SW8$Ur#WWt8FW#cEPsP_NZGT91bH$c zjb@8QB-p)}szF2o>jjv>Y=y@Mt2cx-Rp>r-iLp|wse-H_q_I-0rh+|*sb*G+byQ&c z0Tx*)-&n3rg5@amqAGmgsj?gJ<>z2ZIHEXdHT_p*H`LMpRCYrl{ZC~#Ja`I1qC@F7 z1!8d<@yQun8RNQ?GYIN+%is7<2+5q?Ajs2!^sl`ny8%V}vm53xlsS4nklj#74G&_x@NxpUN(ckH2^&B0YgB}m_4B@`E$XS89T0x^ zd9Jm2vc_|WtQK$dTz?U&9oZRq4k5_52x-(?o*as*foGf>TS{q%^?yj zE44jLBD;1r8KZi7JJT2i^9aJynZa^b$^wz<)%g^q-n&P;@9zHz*`tXIkTemnRn8vjUiQ)Mv;BR~wsM~x;678o)q6eMXWv#Tn%k)NNO+07&)s`lsC(K~@wR)v zo7{(NofBPJ_q)$m^HsS$Zg=l}qV8#0|8noYDfgLHUzgSt_xU~QIrCNN-n&KK;rst| z@2lsdQ3lg;`OWs;ds)55&)!q-WIy4)yGTDvWFPPpbZ^jGbn2IPijSlCOk^uu!s$yG zcOxz51((KCe1&r*x2PkTqJK4xTaRo{4yWjHa-EcVFMBKZeRWmsvyJ=gx$9K>{LEMN zte5-je)rkuzGn(Ye7es*QO{UTFSz&KR`+yUJmud1=aZ-t`+yl(3Kx2fGhznPvn|Ij#9*F9!wyX1VY z-pk%aU7h0;mifQdeb(1~c8KrUx$d)W?lbkiYTM)8XWn~m`7G!A8#3j5xr@KhrFAV~ zJiQ$2K6{};>CkGvDmgsi-upz|)4K4md;ct^qjceA!EoKSaOw7P%UrLXGmjgd$mCI# zo5(&_(a!s)TgEOfU6Qr#YhGLSRpm3yJ2m}W$K@}3CzqCcb>6$ytQW5lPo&pO>u4XX zv5JOs0A2k$axT+KICrY|pa%n|xc7Rf_g|)aJN#4K8`w?V`;hd8?n7p(Eqf{Y+1t7A z?#)-l$9s>1r!m|wd%4fIQqR#A;5BdkHQa95>N$o{9?P5;2p2rWy7KEndLjE&m(X>J z5EFk$5pizg0#$=PA_Xz#KKH%NzttOXJ70--%CBmJI*Mki=)pHc?!qIg6SRW z;$LM9-)DM?ej@wN?(-YebAD$t_ujkm4&VQ2f>Pdh9~0xWDunrreo7E z)Pmr8<%AYGT;x&8*rq*|Q$;PtJ=+Rs+CF!hp1U7%Cg$#uXH+x=k$HqmClTd;+%p%xQlrfT|vNTz>H0N&ju!{b3 zAiBn^}7#KU+lj6`}6ifEZ zPSzfVDSMxVg_I5r)#`P%xi24o6+c7s4*ijw2j+%MgPlPic#E*hPB%7WDS>>*;e?EB zNFh9s37Z>Ikl&9*LoV3|4LO$TXm3b)?*)3#-jITwf-Ye>Kzme5mkRusM&0KpVX~n$1p?@wxmrPc!>Owz8yaQYB{9Uyh zPZ-dd@nm5=p6nw}<|S+YP6Tpk(@`Rj*|_`t9%|g(dU=gId~ADh)lZ?+sNpqh8{5%K zOF#7b^Fw7F8-`^XW+1Bxz$ zt;yhcRhZ)+P65Zig%1xJUb8C9@vDSPIR}DcLo0`Po=z`#seW1`;N79nd1Hv{^Fh3r zxc;=bYK1oS1K89LulcNzbzS6qFmwHDSb>0KT^D2@kMR4&$ZgYnU8R9@E(nzQ~kURhi@&!Vs22S0B>}K{Zmy_M>-77ivmt@T^$$2XesamWLU0J6N zU6B{BqZgACH>gYlT?&3CYu2e#R|Gr~r9duk75j!jPcBQt?C;3z`;4dRSi@_UhS~r1 zB(VSP?qGl1WiI>QC2PJ5vA;IV{>$`Ya^mli?5_>8e;Y~x`-_XK7H3HRRW*pWYw4dw z@L`p|WJ>>4HI57NAdm38VsdVTn{~dDi@-Ny!Wjl;GY zvgZAeT$U@j$cvBCihv&JR50gq?GW@{|S-9UI?kDbwNr(YCnjpfB3O3{>7Yb@YJ1dg@F z0-r|kVg9LX$Qlds0uUaU{43c1xIESx%ZvLm`&Mf#;GQ1)Hfvm=rT_l%VBc(w1^EOa zSuQqfEbs~@Y_`UN90kGy3kE?huUr^wjpfCG^kR%P7I6D00&kQxrZr;78mmbq8L_7S zh82GNe6tlk=K_AV@mBa4MKsb1XDg!QDH1EZr@9wug)?W*@BDz-^M}f<@gcc2_N16B zFO5Dl9|=#oHn-m=p1mG7lG-8qxaTB+e8__dnQ|JaLeciRAqdX_;pn3``?UrLe=&jx z7ZJyXgr0^V$IlYn;D`xvJQ;+Y-_JAK>k!W;g0#tAhq&GY#EXe*+mY}h=Pc$r!>lH0 zlFPGaLB4w&F`;`#vbK-mNO&5^)##k8Jv=x+q2V5Gk?u>>*d3z_71SDPB0dHsTXaE? zdl53_%skhs3j*&3!WLZ+!_MS?pQZS(xn20bD}8TLnfkd0^X z7#2qan9EGp-@! zy$eu+wIKz27|O6Vq+qvX&J7JIuy2Q>f`6nTe;cbCGQ*jCsCuRv^1ta!PJHT(lKU~^ zkxkAcxgdKJ($;s`!6xvJjx;uTi11T{WWrHiOm-#<)qgQnG)IIpSzu)@NrRh_oymgU zi-~5eCfLac@2ntuGBVbg{L5*oO?fgOa3+5xPyRQY$$YMRp>EvKM_~Px(YSCX^SSPV z+=GyG{snOtJsswg=mnldaKjXc&vX~$yTiC~jn3o{#}5nJmZ)KzLxXKd=AG z+;y(mnJh2vOE1Q_F9h7vW8daX7Wn)BfLwgWGvvMyXL7xk{-2qBv-?7j?SFR(+uRodpGWXvl^F&{JCg-@I|vWFs4wKw?UY#e zg}it&y%^)Z5b&M=>xsG5ncREY-w@)awc1Tv{~tJ$zZ%1qG}4)T|4IC8{tihSgwyjyK(`!S~>Sk*!$a@J%XH!$L7Hcf(U3vo)3%+YSZ$R%fz+Um$R-H7?N7pGvL_E`(gHp*;cL5Aa4=WAc`Stg&{N{8yaGryg&%!UIeH zpcU?}h(=oBv|FIxnY=>Xi?qU>a3&ua?@Ue{O_TjHt#Df%LhTUkKpY=Les?hGg)^2I zjJDUULHK-v8|-zmB*4Nn5VkmzLmZzC!kHsXlIHs%jt^muXN@x3>k!YIfwaki7~=Y~ zgV3>KoXH~RrwDFyAPTYuNZm8c&g7(X-o3tQiqDNmU#D|6-WXbaO;jK~kefZrEap0` z7+sm+4YCOJl8@FYF$=QgKq$shBdv-d@P8BBrWk^}7KGyzL*OMKY*7qB?#LX^7!jiw z^1_b?5NWGo2zD`HV--W-cYw6Tvn?B}Ad$#yD@@%b~a4T(Y_rPZOT;3>i-ng+r zGq49U08R33AMPnu$9*&?3AP)stXS$S!``e}i;CQh8;fb<#+|6})ksL6Y;^VWL58iG zfyehJqQ*T9dDlh(Pb9E$Peb0dQLrOHIATx3rU$4}0^1!h`JS|MyEa;?$6GcE`8W5o zRgbr96!3inHdc?fY!vKeARJM>l7|A-I~uUgR8MW$*hd+Q;`*UOM%L#{IjlD~XXS($ zq*&X~56idFY_Sq6yw3dYzErR~JVaY8!9D_Prp20(a?1aN-emk9n+-^zF7q@l)3zdL6j+}Rc6l4t{Q$;hPC)vCkq+m~Cs+r%B^KOs=+Yd157}nh& zQR$heCjC+SIpKp`+SG|ogPNCfyBm?%8@K5G7<}=|9}Z{lc_qhX5yz=gU+)TnzTsV+ z#~BOB8h+^hpSD<1QwSnQ9yh%y3T72V2Tr)6CNNV0s%3oFZ4oT;$q6_4sAcK z@@pQT{F;&U89Q6)2h903*OD2@`oinYJ@-OWMb3QLAtcC;_e4{X`Hu?furElkPZHKJ z?Pb5bAgh@wv1>}M39>sHgrlduA$Iq0*);@=LJW5SUDKR5#PT}@B#2^S*_K%&HGGKR zwmGjLuLiPHbKZc@7IR+GoyiN8%J4!c zI=_ZxE66LDYK%Gv{0L?@!vTxsF{^_h^Idjr>LBQ~yA#7!br9?;gpE}Pf!_|&mL-rN z&j)g2)IpX&qz;o@btuL1r_<`7+z(nER_z96Bh^8JVZ#*JanB4k3m=-<23PsY8h6=Lj3C4rRKA)gWzA2SJ_;WT(_2 z!&MTt4k0&***YjUiDveLUBIka9qKf479ow+fu$?hDp0kkgCI|2sxj&y@Lic*vpNW} ztIMuU9R$5>XJXi@4uYLY*jRNC_!S^+Q3pXD4dlkCgV{O+)Pc5U(>Zt#a1P#MKVz2= zS~a+tdG&lZ{XOo|(lD@h$OqryE_p)SyOSCF^*6j%2%IS=s_((!Tj@}~72X4;<{949 zrDkeBn$jd|Y7=xBUQ^W*zf!zgjOTZupMd&);uYUdyz2W265dZ*(NdYTG0MSj!gZ5< z0A1L1Q%tU#pV`vS1C^l@GR2ikp8m87Py7u%|fEsrf$utS5z^47bdqA|y| z7oGUZtMQLJ#?@G`i-8TTwdiZ7Q0e1z>G&{BOVMk7wb<3=U&`g%7Mq0{J4}^NQg7xj zuJBD3b#z|rCZwbPI5)^pn|q{_g|B8}Y3|@QPi5wB4=EX( zZQ}^$!`=ogcS|Rqx}kE+NKqNap*i^a@xG!o*7NHPUKuEA7hTj2+tCRuZdo-WXOKCc zku&8$&!=Ztf3wA_Cz2}t{-f=P@#t}yjglarA|$Qq<1C)Ma2-fnEuLUU0UK@cM$-Ar z(H8FjdQwULOyX+z*ff71suPgW4UwqB-LP3#_nU1gIz6#NslEo|C&2ahlB5MZkHBt? z$96>TR2w2ch2EgNfC%#V5BbPTXzMbZHv}2pg}gio`dEdGD!GN6gU97%UHPY+No{n- zQL^$|+BW1DY#Y+$mjJ4ti<%FVOw%e?bp`AlkJxu-DPngr%zu^`U~I@y=BqC362NLl zQJJo}CvBH4_kpZ;kw-A{I1BPJ7nx5;F+UlDQyIx{ZdwbnWgDn~!P2z{vHt;3TTNV4 z5Cd~tH5V(kMm;*Z`T{8+0HsfS9Y8)$$kfPb(Yw=>2eCIYRUAI$_Kp5?K((g7*-Z)0ZfwPt z>0+mYl(ksFo*yhWYFY=6m%YYyy6CNAix%wK0mNo#?vOdHgZovLZk|b{b@_LLrgbJI z*o#&9hG`uHPR;J@I@UjL83X5WE>3MS_AWMx?V6+Bu^b7ub*q35gy(k3^_Xy`pB7|^ zf(f}r&2cQ{f8(j;6)46kB*7K~8)~HB1P=`aL3ImpMIQ9rqE_^rv={$K;}^}IN8^u* ze-L0aP;UH#0G$jD^ijoad~g5@TPh~Y7aUNxRB)gI45bkqC{RE|3Yg*Cs=@v{t-uu0 zokd0U7;outGzt#v@8wI;HsgjlO8ZDMXC=BdR`(|fNBet9M+K1c2#G-?GB}V9VyA*? z^gMFV@d2vmGF4*N5F7}xdmytL>F)`#ySdA*VcHX7ctt-br5f|}JjR=HhghCX*i6v~t$m72UzsSF1P6hLQ5#i&E!w_fM` zurHX6bpNq@1^FZ)(do_VAlU0c)uIl99K%#&)Is38F}r4U5M(!(U7I=x`kgI_VXHa_ z_TPk!RR@7z3DOpI5M&9E8>0>(_n%S+4h{s=flWog(f1nVM)7*ly*qvFLft=J)Z1P%DM8(HNA(@9?FY~nqilQ4mrO$XUeppuH&saT3>h> zTCTNlRGv{^co{0lT?v^gYK~im$_wlJSXwqj{7qn^m!Y!`rzu*bqa2%8$&3iy)zdD!MBIq%bM$=c}^J3P3RPva-f$KH&|d7sg<8+dm{-wahfYNhG2da_(? zp|1QGsa?8KBYgY$n%<`Qe;pX>pg-z`6`K=drZvx??*iD_ge80H6dpWxOXvXopL*UK zTlU4K2W`u9)h_kpXs4^ENl~kN;(#F*>|6?J@2&CE*OCXYQ`KMd`pt-ixS1 zt+wwNS_f9!>5r;bHmVgJOV3{$Kz>eaLrEjeIXAgbeU7jObI$E8$Xce_VoWLtv3oKI zvu)JQ7)oxVRoL>z5WD-h>>A8@h~YnhuF0H-SYDnWtl#Q}_ULjq4wWssdM||9qJ3%J zHX5N=y>S&DWM;V^K$^kLL%Ab$^nchd+CM9DNyp})f|^RT=Rsi3w6SzbX6NyoICcbk9k9}Wd8x;JSb_)johiLlmtGKCx?sBl8!Zf9 z^kSy3Wg0reOyYa5W$xcBP85Q@99R(rA7!YFh;r&Xqee=T%yg_@78V)+p4x7h(c zbdv;ld3A*FlI{#I<(ii%pl{Vy!Hx~`5<1?os>#8(lfT`I$iXI zu|*5^@Ld+6B_#y%A*T{jH9(}>tRaX#i_qTitew-|;ydwEfbs#LjBP;HaR^4kvDQFT zg*T%SmV1LVuV*z<%_!EX&1eMqSx*pFvuT=3E)NCG-Hks5|0Ln{*MXY2ikiC{e+syY zi4)grIZ~qGitDvuu1A43Punz7alJOo^{$F*;{rff=*s+>7XX56=|QFIjna94&)Vd# zdc#ke0QFEypWx2vI$lxR9R~@@R71r$;Ko8Ka`JY{a>y@a)j;zjHbTns=SUmMM#z1t%(Kyl>=3FH^bD#l`6Yi6ASox0;fhU8$Na^Z%wS>ifeak zVu7a!uD)N^NX50gHL)O1Wx})qSxdQ{A(q|khy}b4v+RDetSKv&-R+14+=D5TpkL+f zh&5cX{Hp4Gg&m$|v~&l{*g--g70a)x-Y3Y%2}w31Io5121U!+zF$P1xBbYKtc|HfS zH6w~;ccWv0@4zf)D4pJ$LXhjbG0Qfmfq>s2u+3>8$OnM*+l=l>wi%|Ki9MT8O5>7g zcVcgeLTf7DX2V?qw#D1w*o~EDY(}hUcn6kDS^{@FZ>M0$5nww}uC?LSuEs%+?Svrf z2^nW61bs1~ZFWMCNzfg+%s%7^ygw6$3s-9m)E9#z@4qI|PZUz|Q^)#2T!J`x6b|0pjvHb&0&ebR@3~vf#C;U0oR+AR0P@D?0dX^%l zU7B^f)c+0%Mg}9eu7bRbkoI;F>=8`W&@KYo&Le4S7lHrq8+bLhiy&VmBzU#8i=byP zU30q#@?s#v?LxgyC&=(-_GyROWwP5Y31_#V@Qre`*6U0(BXr{v%Q$MW;z$2?iA9uaq&ELk3P^JKDLP`3VLGbO%$?zp^4!*`lF_hPyG6`J5kU`Jy8fE zs|ZPBj%f;+wJt#SctRV7hH*jUZlG%!8k&Rf-`9iihRiINb&Z492Uu7}NS@gk7A*Ba z_=6xk@%PA_p|y=Ye+|$c&$LO(hPe%!5RvjQf~!?$U_8UzhP#y@w_(CG>O>tJ#Rf#M z->-uxe7l^vdwsIG6WHqnOBIs|Fa$%&gH^kWsjioVp8=fk0|$0X5cy9aacT$x9|sPR zxf6z)VYR&}MADv|N8ybwJU!wQtCF8$P{PgH0sWG`^;S1`-jkh7DI1ooy(x{v?3(WH zU{Ph$>B8Trac0sVrPCk$V$|uDAaWKVEjnHJdw^~wp$$4cGl(1wx)z;IYzPqEnF&Yg z^!Ok$iwW}r1L=xdo6x)QIazBE{#J)gr;}MH`o;DDfm0*RI^7V2UrKO;PWS5)Abcbf zHt2Lk5W5XHj?n40AgtqOyH1yM4RElS;51Z3>GbR%@*W`nS9BU-64L1`gacij&fMYS z&~+O&WKOR7^4(=!gQLV6o^lz&UCKSx?L@arH2nlcSf`AeYw7#X!OzA7JEt`AZbFLN zO9w-hf>HV`jAp(@mxHb;D#bQautR~ZO_F$sKPviTw zBWEXT)!E85uOw?eQGI=24n;{3^E1pDSPmtc-}H}=!}@?62Cy7p-&hm^zJ66hrNCjKcXe3R~-np@_sV6_dPV?ZrvVH9S#l zzL_hED0VrTSfS-G`v=G&l3k7^3i3umTJ(Vpj-bmx*Q5_@Zv?v!u$lV69mJ#$e5Q~Z zK0K{RlU8uw@@YknY`a#lG#a!t9{C>9Flhw~M8FdWY}5)ChhUFk!jWQTVF+?3ATzZh zER29wWD0{^ACQep;t=>3-}xF*+b#5TbZu@#0nY~b{~(Ful}%U?DYM%&Z>~cU zN20rDsJ8)Q)+7$We&7BxCvjXgAS#I?kDt=M4;Xh$NgVb2V`VQ6?X@|Q#4(AU{m+s( z3U#%T#EfBGnU5q6L2gY*WB1~IE7-N)qRAt>7q_<{n+S=Co@toiBo2X32jS?YWr*Di znJ^Ng5GB%T=M9%3h7Sc@lX(oWoCj=paY-SJ;NsGE&f0$^i6d=G;wabcIsO||#AY4@ zI~>?f1Yoz{S9_Us5{H$Ydd<$tHF4|+_9b9NictYjFNwoidb2M5+}P3udkC=6!th04 zqmnqR%w##90r~jrI8g}pNnk}3nE~0G_Le5`k~pk<6lgw1#quH8or8SnCJDsn9Um`V z5{H$SGR@1YtK(!M*t>xZ$;8((e>{n!PP21BEIWeD0X9}&;*&Viy7ZS;#R)^OHvucc zh;Eqk_7o+FPvU6TjO-K3h+umH8!d)_lC%~GFB_M{k^d*J2hCU+Cm_L=11kdB_#}=J zUF;6A#R~S9wm`AEU3`ifpTtq2i+%*;tkztx*942sv>7MuAx-dKP2yTVK;fYStKt_?m>bq3{VA-C;A>bcB z2iGx490GrZ;Ip>|-@0aR~TA0_%B)XN#aQK@CKqSm}PSk zhagve23ZixW`iN%7YQ6=Fa$h>DaRyn2)vBo2B$Cw?ghD@V%g?25b!3=g za(1|Tkd>foiE8lRA@C7Q*qp>6$eo$6 zRec5g+b6N=E8u1V$BuUbzZbMEJ*bGr!gF(AY35|_j=ZrlI&NgU0(!B;KE z(13|Tpd${ip=?hiaR@R^NK3$*lQ;x@GocMb11E6^avbPdh6YaJ5cm)#92xNDBo0C5 zFkzmIMFzY%3@7l`_laYB5{H1FBCsvs%_c^;I0W9(0;-V#Z%*P6G{+GI{K>m^ zo#rGCfj1D`pwpbhA;{~QutBFei9@g_5H?DuIf+AHdxNA!r#Xp3;N6*Oq)u}Zhai`~ z^S`Cj{|}Nl3Urs~hH6EJyVyA;$oH3_OBkb4Y%~S^D4{*KmyTe0ZZFPW5bU+UW(Mv5 zXGt8TYr4cIag=E}tbZGFFoo+`6as#Oz{YqY3qr8>G2zH~B8x$g#b3LkFeY)-X*qnq6mp1Umt%>7TuexdKCrWVbzty%<=0CP&}D=kN6AzO)%Y1-_Pbh7dP&pSBLU=3)}F zCH;X*&)>uw_48v(7wivjW|Uq{rLR6;G(EbqCAzp-AYrYnV5_2wlh67nPy6j2nVt>)4o>SSD)sC4CY)ky7h#S~wVAH4z9w&!UJ`UyfC zrb9f%7i1Oa!ry90dFCm;z(*0BW)|T*ZKOQ&6km|LGGUqy89s+)BQ5aXmJr7iH>eao z-N0(NTBq`~1>8(vX4>$jUjqr)C%bzIPEO)Xrk6J6ZyG>e&V(sh*6=-EsQHpiINFsP zY`(3TFwL`;^T#Q=f~vccULYM_D){Z|XupgvDv|OOYQKeq$1YiH;e6ER*(w_$;*91 zmkl-FR3;qRWkbz(E)zC)*--l(NN_`!4Yl9qOqjmhFm%~a`+eR7!jWAz)P8da$?>A7 zuS`OXR|~4qT{hHmV+r|x-(@ko3U^s`&+W3AS@xA3QCaq*`EEGNeh<1kbO_C+0+X(p zKaqKvxB%P5op|V&Lr+r2MI1T;2S$|Kp5&><(a=1l49 zO$k=uUgd-pG<8_xed5)o?zPb_0WW*^Xi)&%*K}{Xx|b^YdidC8{(N0e2ml&hv$Qdd zp=wYs&knu*3ipsq@8HtLQUdvq_YpEx)MiRmO$X7J5ZW+x7}PsJ_XyA(xxzSgs0+fk zVumB94jn<{cMCzd)i`x1%MS1{pWtRY)D#3yW!fZVgSp@lUZi{`!A)P>V}uvvflRo~ zKEew;8%$e9ctL)?fW>Sy7d*lX{Aq$4%mt6|g1mtVlbEB-1y9cedorj-n+qP{1-TC) zZRVnD?{eu6iXTmFt{fCEJfnUOe&BLuAk6^-v{=>tq zV7CU<=>EgQtss9${~z@q_Fwq>&%)JRcrbE@#IM;8aW;L9Ryl!&E%$C4-#vuy(g5e) zUBP$r=q?Y1?%h_tyPAet)#c89guDm`eP5@?%^?$L*t~>Ryu4|SH*C^yc%-_QDxzj< z=Z%R1!y%R{_0Y?#&AsmY(p>06Jc z$)qI(Ph&#}bE}kWL9%Y3KdQxdLJ`re(i%km_L8Ml3i|}8zC~C=tJDXP4>MJBtMCUR z!tQtwwtOHW$fI0#4XvWSMAKr(2VIlvm3^2(`G*$?+nH8TE=FJ5)YFkdH0#t|Rdvee ze%-JE{VdQYZ={<;Q#*{S)m@P$!_*h^5^i7gO;`U;6HsuvIvfy$e?3U(;yV%(0JMY3WD zwjXnwxwQdng}}a>L&f{WOxp_32gc+CN~VS`q@co0yge&@ZjB9=GiCh(yww&X#WF0@ zGQ1AOMH?xWp&&~M=?)!;2echhmA=HkskI^rl; zY~;WZV)ju&+BG(z%JOR!6U^|omzpK;G*?P!{| zyv1g@Pi_*YQ&g_vlc{)*k$`Gq14ulgGbv~)gMHEINA`O^LorXrcLI|>!s!IDI#<@$ z8|@A!c2u&3o};Q5j;nKm>`%y8ofG(~ry=XeuFg6q$TT5&7`5q~z;9y0W}Oq{SxmSU z!NABNnrEK^9}L3L(`6OE*FxLO?dS+zwYfi?@25OszVTR$9@Yq+(fe$zPxb1biZOan zy|VtE(L0K`G8sK+h#Wm7ZAOn(PYBOo!e-SIBV=8>weJj~3)uLb~&4!@^0h`-7@= z;Uw5zple+?3HFo!fLp`DNno=9`yVcx*bxwL7OeS}qhbhvQB=$y6T^Y#DQDXWoTSuc zQPJy|_z;2DbEcFJcfAI$8z&FyOmp2L>mMcNqx+FMc3dOhB4nzF2z%SF=(RiM!dT~r zNY{h3N!>%lF98;bZJB49Uyn_`P#uR)J6A`F@|ou6(UX+Z|7yyQN>hH+>p3^RNl9A7 zqbcatPL-Kk@@LPSZg@l()VU#Eu4Vz*j!J%k`XBbqjwy2h%A`N4%xkP=2C>HiTU&y&3ubn*uz@Iy{;0xot%U`#U(9qX zNLz;0)@AKQ8t4|Aby=UjF?9r~#MWN1cYu`J3o_*z#9qL~(ncIqEKYvaMYDL_qOHnZ zsLS2fRxT^IV1Ihpt*#SLZrWKj-L{UzmZ{)vaSC3p8JP~^CIK)bf<52D$W0GLG16df zjCx{YZqIGJ#>lquGMHdK?59+otK@tn8D>45Qu2DHlkb%|9du0fA55^hJvH`xDU%(d zsiKBXmdSMf;+46BwM>G|?WwW9Jm}Vwb-i#f>pB-3uj}CC|XZeC(1nJC=e ztM&8uS!&Hp2=+!`11(R-5+|I?|1cZnnvK0<*$`|GU_X|&3ZEIob^#XZ$J2wXtoB1XXKH!gl(mCy z|9VS(dEO-08-bO16BpdcLNQS&(1jLR3k_oXdxf%n=ebOv#_<}T0Kqa{c+)+$8V9j6 zfQ72D)_XG=UGey`d4#FcWe>NNJs3lRVDq_b>hfH1j4m5nZd#YS>~2e)xm>}{1U69T zjVzmowsu{1sjX}tTLil+myMZupDJ3FWd)TxUff)C{*InD?Pwot(y2&`wyYD<3Eh!*BjD|D%AXT()iu&)5?jb2=&XFlR3ti~{1>{Yg6 z)flF+M+57QVXnE{i28EDt(sWZ*n$Q7?wwSy+qB%7V5v8@=)_K*R{aa)Ow&ZJvtUmI z)@xvztNg)w7wW<{jV)ZTAKyWR2gj#Ctt%(I-Yw_GBQZ)jH=iqRh#|4#RypxAw?#8` zDGD-4r01Xjb{MeG0^i(m-%q`PyW-$ZPh^;faw5)kYWr?_91ntB2yDQ_q1?`|k?T>V zo`3a-G&W z!E2buK8}LRzfQApbSxW!-457L zKVe2T{lko;H6yRw8Yd6I-T|zypRgWQeGn;)l`qnnL-r2AM!Q{ea-fY99ykP>09JJf z7)~v3^X~cqCEE3>y1v?GcKquJ9ve{gg=(3Zxve{}2b^w>yx$s{aQIZ`FmrcT-%w1^XzlGG)T> zyb-l#Q=8b`)3TFo1+%FY>|VfX?S`4%*m4VXxnI^`96yE6)QUOsQ`mb66!qLH<$X#t*co{>8@zK=Wp7;450m1C#{nz=DH z<~W8b*aBeD1N?zfQ?#gzikK_!{!oi|JNozzDCq_NG;_V#D5M42vR3<@z-n;kHM zEg-D%L*zOlIajdVnfZ0ybMDa_2Xv?QZfp<2K1vbE;@2xzb~T=OSF&e-@T2sG-Z@5R z2&g#n^2UZB_!@v~k0evFI5}}1FXj0ByXjd2cgyBH(JJ!LnAkvAg=&nyNt8;4lw->rtR*|lOf}>Ao$M! zL&ozy?Mgys|8Gdh^FHh9N|@#8Nw_jyK(>yg%yJJ(dFL1ZM3eZ0GvKt(dsEbG@tHZh z&&#=8rQ%*X7*95hda^a1bex*`PR^vMo1trX+idC9@K)|=)GB`;uib{$7~8FcKtAN3 z2^rgNLU?txz1;*kkB~9#)(~W63ez^VTVme;-=_iWYd2)Ubhh0R#&)~-d28<|2M^8k zNA-@6|7DbN)_wux(}awbvJkEUVN352d0F#kZW4F-V2%zbPS*54m=ty$yJtCxl-nxS`Uj@=%onS01raH{z!CrN) z0WCvy2sF2!Mvfq)t%tNjiv_-er8-a$L9V|xR2^tB_h0rDQ*{;aw1-Q2NRupW{)hfXeO0um18Cv932A0$GM;Xm?K{Hxj?Lp+B zgfz;l zbpU8a%d0+!%thsJ$W)YmWgQ-%`o-0tnklccAgqyKG5W=mQ(<>92pjc_C#M2F1>i_| z(KNNQ@=Al{K|?)x_2Qak>}P`kp_D*A9R*7F^;L375` z(%`RglzYeEwK?KZ>&%k9Hg&{|lJeidNAx6~y*7dzKuFuTshUp{UNauji1ga1#?#1` z2^lA87M_0NCZ=tgSq}OKB(1@x11u{h&aQ}9{ZE{)q{{BG(2B|IygC1oKLw|i&ybge zM|HA}Exc8#{-|2{U+C;R@Yg|qR0}M)94&zT<24ckFt$n^lnfy6Af(%cOkca#1>YD4 z+TI@hn!Iu$u5IiHf)8Nw#_4d`umCgJ%yy*pYYHMizs#;U+>JyYPZQjrINXN>c>|EO zz4xPky^@tHyXlDoIoh~K(cUub{;a)8?TJEp0yGP&oX`@oO~u%6!#Qq5*v(!fcRKB6 zKf-I*uP-I;O!iB;*)=u|?8e0#^t+SzT}!+{BTvw!1mX?sX1`_&&&?iKC0$9X=&7^e z+x#-3KR2xl`SB7|1?Np@eN*bZuCYCce2tJwosGL=lpGnLJ)PbdHn9Wx>m!e!*uS|U z2tJR=o4X+|?}YDznD5AL$jduHZlU=$ZaTp$JbB~Of09g$-H;b~0)CpnmStRGct9lA zgLL$ytvrYv1G+djBx=&u9K;qd)yy%npg2(YCImaOwl|#z);mXaz@L&`zNZ81yCo;S z40B38>fRr57u3r9O2xr&^MzeQ(#QoM;NI8}>b;OSncDmds>@0Yh1$i4G-K-Avmk>=FoDgD(Qur`a_|VY8nx zYRYGJCtg_(VcVVLb;OSn_Lt`yh0RWKLB2>xo3J@uP2ja49Ie@$G$zQgARMFFEI-Zk zo=n>)>|-Eo4ek!GUda@0lPPQV+^TtZl&H;4@@wzzgkBRjO4L`KXB4&4YmGdHkTy|s z?o^$IZwtcFqUH=HL3W%QFKU*aru_=NVP2IFIu@eV;OPKsQKxq?h?)~)H_}Po=Ps+L zmAxizl&BM6B-(^1d##aQo&!-EW*up+p2j{;*r=8HpyMC~4ZDS5w7~)CRptCL6?wTM zkkL??R>rcnns3)um19o<+TpF{<7Ca7st()ICvkR%1jhjDsBrbdRw&mrq30-|V81=v zu3>4ek|v!dxIx1PjX>o!@-`r`y8~4oO+0aa>$}aA;Nhln@Yk0dbgHf9MXXo#S9HC1 zzB8`g%B)kls@~h7NK?I)Vb|E7$Hml}Yop;!1UJ=tBjkq9$&Xs9!u2Eo>3B zM&3+FoB39LISn5R!qMhiIps8R2nffBn!t1G^c(*lb#ES@RdvLThviXJFo^fHXT6&y?L34vGtI;iTv zoL^Yg>V@hl^Hufuo8lTZug=tyKuX)cfGoB~&5JXF-G#9B7=AG7sQG?<7Oa>%a#XEF z!(Jv>oTgcMn&Qu3Y@NOyS{agJ3c!*823GF1sxh>wT};l)iVpQ23ALcl^Q$mGc)!+V zH^!;D1+$ekB#~0}`;#21=E+LIK1o=&ss)cY8ks%EdQA&Hc#r=RIiHG8#S#}d}6YR-2AI~05ks^(-zVC#Mr zuWHtw7I+y^*i~J0Dpak(w*V}v4(vaSsG5?WP4((~u8&hSd-W8ONU6FXgs}w>_G-bt zTOFrr!9GD)tEvTd4OqIpx)ItFiiu!s_3AzoLf``c7F7op4f0a8)2oMHx0$+Q%)6xQ zMP4<#j_xnSeAj?shFLA%2HMN?hUdFJKQx!7aMmCD(0o^-ywv{!eOk2Iu8N!FHVvgTH8=YveX7*d>IubkE#k1$hg&RuI=! zys)CT>-q~Tjch|L@b8$ht#9r#5eC=bBLEhIt8Zh5^B^@D7ToL=?41(@ycN$9$;#`! z=4IWa--7v$DI}EA_TNr(XuAZ%b-`Xq*cfeVz9)mPIT=#g*2sOq)unA#poV`p5sanJqmq@9 z#-UJ~zq4lwb~nP>t9=Hlt@(CW+N&K#z62yDaCFTJc*D4%=DIUA*ZkwF<6MtJYa5lX zDt{u1wpJdYRj_*kt6fhA9kc5#blZSkub|o&L1CkK?_ zEl7LcKT=EZ8aaZHR0xhkTJFG(u~@H0tMLa?XCGRggue4^ubXiXLR$s`ofI& z5s(Piy};G~2#DSuipjU;2+xft5mN4vpSk!sT5R>Z5&A#|=3c3_XX%483H6G*Zhj*{ zY|pE36zcevvkOaFkqh5^Yk&HiziLq4v8+7sxygn8Hk&opHF*B+NWIq3X^_x-8MV4E zStAc7B=k=HW&B8r@Z17Cef?&wd@IMq0$!$younJ%bkb*Duld> z8Dk5v;cG!`PT_hgxY|CF!Uh%W{=kYsv;7Aqv&Zrjx@Si9ZDKmR3Ul(JK0bu9wy=sW zu#J2jCHJFjqxb_i+@g69MDAF-xJP>Y+o_hqSv%9WonZZa_A!iVQjHW)@b=TaO>eLC z-o9ygJ8d%PmYUxD0&ku*y*UJL9x=Vy9&he2yqUVC>SyNO>wPyanNA$K*ZY0xu9DWL zNIu%yRYbqH1b&|%_`NCc`#k@5`m78nGXs9V3%+>ehs5D+g>$Z<=Uzm#1g;vmOeg*4 z^?%314b&!@oWM2c%n)`@V0mLw!Th>{WxZ34f4y~EmZbb`DqI<_;_cc+J<=6yys7y1 z$bhz=M5XNIH&}nSyDnLc-WE64Tu)J|hKg5GJ~R$eVlGtgOdqm z%l4)p>GHQpI_}mk@K2*q*s)x$&)rXlmNxrKsx_y$SmsK6^UQ+m_7zxKD)l%3nZqZwHJHo8TQ~JdcnpcQ0qBTr|n|noGdi z=G&|k!5#-}_7^0|B0k*SH(U1N=G)b-8&Ejw*#xyxj8Ai4&BH4sO}SlpmS5o*d*HA< zcjXt;8|8?$uDncF;qeMo0i8(TBsDL1k>hie7Hl1`(XJJ{9=T&ptU7h#4(?*7=we62 z7Ax4|aItVJ)vHUB|`xjtwwXAP%>UH(^*amc; zGI0lV!`0U!QLI|*?I}zYj*2KMj*pt1g(p>#?8 zlc>MSP_#3tzK8mLWGI470ZR^&NgPZy!7!E*m2~g9NK{DU=v{MD%G)@9gP?{dPY4UXGrAoYqX|(GcC<(+jehkYujfmfp^uUI?;a?d<6V z`zv6xzch8{vj*HCk~Gm!o#BBtWpWz)DDp_QbE9 z;D;W<7^e}Mbg{!?ixq6&aIvN&;8(Flcj%&@868(+!Cnh&B z_()gj+#3saTVMnFj`W3ph%L5E7u!0@QE4t#u(ts##hQ|UEn(J%D@^e(()%OYZUSO?#-#1AW*3Y;y+|?B3Ay3gc zzW2 zBGY<$?vRQ5zA0L!AuN-t?-Oj-aFQvv@4MDrVB3Fanxs(|_yCyLdZx*pA?y{pz{F6B zwEa_<%9Oh0Ot!a$$;$#oX{R$uO#>qgxZ?Erg^((E2Sa9XzxZf^)#y*{un71hA%VZR%mBE^X>=$;^zvJjt|n zmAiE7DUZi#R>f0^Hv!SkYh3LVA!hwkEr5CT&~qGmEd(nz1;6#%=beX2Jv7!g56_1P zb{eppqwHF4$WbWo$ej+k6l?XhOUB7U{mCQ8k38wbD0OEGocVE zrr2s-Y-Mb*g5B3#ET1IGq_|jG#`CI8Q)j~$PusTk8NBW}ZOdgW9yVNNl%UFCwefsI z*OO=qyR>}se~PLaFI5=&yAbjkLT2W&FvbJjHDUM}%sBTJo{lhV7+|!(>s>IGD8OU} z&2J-`B+1frCfi+~vU5qwM*2@J;&mQktxsDR*-S`ReM-*@F`mPWbL&$dhD`=6P@mr6 z`gFwBrwjbXo$uFYki9-N1PUPkd$7Ac9bx!Fg43?&oLjicE(mdL04z|SKH>Vb$JVD2 z{0gS{^+|HEMt>_MPyo48OnvIZ@Xro1*QYBCYXvM&pT6Pxv{8MiiRmV8OX9~}=vU|x zFm#R`1bZB?lF3BI4ymCOqVp-dMi;*Rz_`K%`x3BHxM2j5D$P@XCN03Fu>uIT0@z5} zlrxSaF&t*Qch-^$ytm5TnW zaZ|FeQv zRk4NZAQ1gmh5w4n>*>$L+`}gB;0c^XL&dW+JtGvi8&C4Y4DT8I*q6VP;yUoh3lFYz zBB{W--iaf<2V8Y;Tc9T&il;%+lI3t2yNG1GVajGbgmPYf#A*l3{^A5#?W)?uAf`XX{?2wRXPJ_HM z(5vf*rQSnyjd%!yq{_U9ie+U=QIhFBgDPpOe5w20e-UcNp2Z3zZNS~;dNucIt82Yj zy(jxJoxjXpl~TeKrwY9Cl^lLK#i>5tbM?N``@1+*s*L8zg?#Y9@~tsmyNeR`uAZJsd{APJ9Iq%jma4%K4Y2x(HZMMA?60!GNRtrBh$h-^naLrU7DS z)82?0{O41Y@)R_GOTh;(4#kU1mWNy3d)f_6udqqBbHQHxmPcW^Z*RrhY!>zKdD8^5 zPy4A930B|lN#JOO=qji93U&53ROnw^wyF^A<0@$Gaj~k<*-B(fg*r=I6Um1!Y=~Rj5 z(<)e2mA9e+wuB-l$L-=&YvdsXpyE`U_Yh3cewn;J@{k&1ajGHmkjWeA;j$DqSz-iP z`wV{TRXFE2lq?b2$hwK|j=X#n=>3nr_M;Q4KkiiYu+tCK>Zv&}Nw)bW}5%%WL-$L4LOz>)M+Ivipco zyZ{P^j|lQkLi%#Md_*WN0B?tn2(mmRx64O_rWiC%9}(=ocg@d7gyJ!xaQTQJ(?D*D zk0=-Ld}OxgBNKCc#E&aHQ&_9Q4SK;_>1JPYu9OO&k>KS&3VmK_Io< z7RQW8J{>eR$Bf86mazE*FVXq-EW15;39@S_$&r_1&VD8)cqu@B!Had>pnc@Gkiy|3 zf-D2lpUXAxY-3Fe#nzy3_=q4s*f~ER5sF8N!sR1^tOHWZ?eYHsHHCSB|AfU}FIn5* z1rWQrIt*LC18VnQI-9TvpW(~)rUOa6d#R->%<8Em4M#JwN2w%DjT@?bKY4|w)Y{12 z7;ux%6a{BGW_?vn;~-z%HdC^Jrun8khXpd5l0XYfHS$P8`n;W{)D-=gHyXOdlp6W| z_H0VmEWR?Org#JtZc}P(im>sf)bNwRy4Ge&4Lg8gKg5)nDI)W&B2%{0&u5LMEF)9e z;#7rsi1#}h@CDkk#YjBdXw}u2JQ%e#8i|J+f;@tdz5}!wj&O015O^Uoh8p$xE!866 zfCB3rq`6u;r`9mRlSDuZpwiNYa7&|MPjfZZD!@7mB#vm&nmqc_%|Omtf#H$O0ymkXX$4K~XbX&;)K!uCy6|@G{1}gqO|NYpI+;R8x3<8C z+tHQfxzQBJnlSQdLQ2Fl=BXWFimO3k&Oyq~2}w~+NM+rzlR7I>pXSK@aa8X8S?=$| zz{(I~(;r9W?j4fbV&;hCZ39ViTMiPD{T{-q9AxaIcPmmK6yC0f&PwO+HFGah@_Rq* zT|^XPYQK#-(b)8R&D_ffG6^{{Sq@EGBqyy)rq_7~;)g6Cd-7Ze$0i{e0Fs#-)%HX! zi8`ORzM1=zBVfRz5&@^sYtENk$q(|caxP`@9X)ot*bWqqkSoYfi}MS) zLh%ox7*lHxxq`fwkRrD$x2w6PkYD} zlDk0S4!HuJMqpodSI8BLQ7n7TkX46VK@L{3``v{-yo`|k7{)R{=A|T|NP>56SCORbJR6# z$c@O}OjyFrhC+ZPOPr=1JB1*SJA;LVe!H^cDe zUeg=Ch4^~Io5EQSo=wAO^Hn<6;+5a6XLh{x%{6^%Kj|@i)r{?o2Qj7{lFZEI+-sXm zzw-h9sK@Z-g{fn~hR+8?uiYu-0=&*9GvF}LeB5Jr+l)N|UeP0+_-Li$kzyMl{kChpuZq9jCq(b`CL-&7Rs8Kf0XGpiL-)F>7@BixA|m;fU~N7% z5s|zSNZ*Ofw^K*N-h;(9eyuGc^S8Z-H!hjz;eP~=nv3!4ceuY*4RwoWttiDrBpgF6 zwv(q|rTrZmHmlI-zmQeA%rEqG$W+=D!rlRF@DyY+QB4QK z-IBE*tR$PK+p*1|SX-4^vIAX`v9<)8$dPOjF6p&tYqTd*Q>;v^tvW5!pL@hp@Cebf_)nEEj8QzLu<)OET7$VBaht$=nSv!u?)Q_^F*Y$L8JO8sU98kbFty zaz0bC-2Vy!`)$;p6YS2suTsUIqVcdQ3ndMa<~Br@^m0W%9*)XwIKDSi2QVPRcSy+mme)U zn(yoA7k%^45!YhSWqu%7{Jld*To1wC2&`L2YyqJ-3lwG@u>%S6Fd#!Z@;;-HqZ|o7 zIc_xR0HvaNaHGm{QIU3|gSX08MM$qS&B`ZR(b{%SG)bZpn zvK2_TjvF_Gc;5&Lb5rf}Z3uZTOYv+^Z#PPhML6Mw+=Ns}OF(MLW`OslbZ5>|PdA}$ zl9nfHE&rz*s<3NWkk1e@4=oG9Y-VlOvLMd{G7l{aMJXs8S{CGHEXA`4rCT$cpbZ4uaW(dXgpfLL~rx}7gho$)OX~vvgJsp?E z#|zeRyVmiCpF8!g?VQxZ;H%=K|^WWll4MViG9K zTIMuEki%JuXuSBrX-4JFo~k?6$Bs^hL4hSTzC%C!DfD4iwIEZ3bUQS6fI@H*vo<<3 zcYuQ28%VdRIn5A??lo3bbDAN@mw>E|4;%3v;MyHGQOlKD%VSswyOssHGa>WPvJm|D z6V%VHWkD_hG7l{a#dV-CJ2Y!qkds-8|3#WHdq_abwng0mpYc?TI#xaLAfC~o$(rKS zIB$-oqRw5j@(s4`R^F5OQs& zZY;Jt=p(#ah{6)?BD`-5@HQ>x^DK`D{TpVSdrpC;cm!4^T-7vMXfrQPA8=YH1cl5O z&08b=X|(A`odx;t|DbAgl7!DHEW+I|{Z|z1LQ84>!3E4+d3$Utny`>fkXcKSYGRI) zmLqV+%fD=+a&a->SYqPEB-=NG>R`g@WF0kltzud1CKTiWg!Fm0o0pFxyubU< zZ9?JwDj|K|Ruc;R9%gJYp}=McSBnX`ixq;g%-3i_&SnI;3nQQFNhYLk#>bg(zBVDc z{r`;#=V=q(_-}VB6y&*t^wsP#p->#gyp7%AAhd8n76o{lOvsZa0)O`d2%T%f5{yR$ z_7uT9i7h7NRwx8%=4&(|w?aXl!N}(lWWt2mgqRAlw?bOY`H`)#U7PUL_uVEG>Y?-c}O|d?#jXF`>Y|c~47hF(J1?A$X1mRGyR*NVydX@-{|3*N05l zC*Fj)t?1;d#B%|{`U+-kOgDKhK#=2sbkAz>T!2vQ3JP<&$#VgMT-O2K`Z(3+Nt}?=c2%OU zZRY75AzA_=e`AmatGy{}n|Ve@z&8;%W(y=|0Nq;YzXp3ANon4yr(WI6Nq``KPROy@ z-w+z-K0n71-X3(M3ZEr^L~Rz}W4-YUDc+i}w=j4@@n*CEZWQjVyJ0E%ditf`k$cK= zXOkaWkipTxp52Oax_6ga3+!!f#$imrZ3K>SGftuAYng5bd$XHy z7A453grqP=X-?41@(*Kr#w8raGJOO7g=7g~Z2B(ZUq3R8b?T}3F!`F z>|a7q$*hfGjOV@txj&G3_?J+8y9y3q+$qZbCCHUP#&(k&#)Rn4%--f-9L5AZg~0jv z*PvN`-*E)kJN!$K{Rv6_MLAf|zcx9HS=?;5Ee&o~JXGB5hljBwRd!*MuJPD*)Y#}| z9bx1ygmk+ZXJf+p^EbiT=w_Ua333^bZa3rJQYhwvVy4l}I2#k>@0fR9VN8gA#_Vlw z#$imrTM#(L%{UuNrhGSh^9^VQ;}df>Cdh{f`Gd2u;D(EE7+bPA`&S~AjXkoX_*YIC zqf1*OlP#8PjQwl6uIifCQB}Kt334$Z^YAYrxRzPl{Y#L)0WuH&5{jRK!r@wvtxG-vfONYVXJbO~(n|P&F^q9vNSK#)`n+>}H&e3G%~Nend7#{$=SVzv~(B zFFzamc#!zlj|^kObX9k!3b_1BkQ>_a@-HD+P6U>2lKo4NjX>t%UqW#{C>;JJ$kEI@ zuP`P=h0NaOUmV5+{NBs?`PXEv^d_Qk`IjKCBIJ+F#_Vo(V}ZfVY6ps&{qQi>K$TtC zt!v!;5^8J=Vw4^SV?s0!MAqJt!eQNLaLi+}0WFMn>VB;RbT0sb{#SM~H4QB}Kt3Gxs^y8Vm0Ng?RP ztd0J~VN8&#UVsmHXIRZ!>baW~iu*ue_Al-x1v!Ix=jC5Qlw|g{Fvk8R;2j7Y<6k_v z->H@U(Q>PQ@#MZB7ZNfz8~f3d7H@0__?KFaE9&=b@&C-f2G#jZ>HFv1{w2uQ2H?ubS7soF_UJ9h!zc_vg#WA2T`xnPALGH-B>1$&!+aW^U8e-Jpv zzc_v+wbIjI?}%T5JcE$rUy(_%O`f#S+v~z%?1FUxH}k{T#6od1z4r9O!q{|OMbovf1hsxZstd`uloKk+^n4{yRa&MmOVVCddbXbh{ZxGoiQ?6lOQ$XeP*CGVi!( z#)C>B+Me0l+>Com0e|{ztebH(Yu8Hu2T@qujI%L8UQ5UyoQ>HhE#`g6{-x%|{4h3m zOYyIqZ0wMHvoZFsK3Dtx)wUQ_HHIiFA zfBEt2r+xls{xw-wbxI4WYWFWe9!*HMe{uX0f&t9h=wBSa1o_EA_<%Fp=lCTQ3qWD^ zFOFY=oWs21{ENFuAv%@W+u|2zV*=ifz%l;C@vA{AeM7U=zc_vg@)bh<*lf%m#@_ub z;AVapdnqAqmXnQbS{Q59HQs`2Y^Hsgax>d*vj6?XSwHV*;-tX4MtT>zoT`bw7>5h1)NMn!v&#R(SdDt)#Y*Rf zHOtDfrGuEkNAp9C^^ z4c|bzA|Y_^v-d#>y4tzL`^qS70*A%_SfDJP%#O@^cX%IcIvh0HH`dQtN~5uOd!itV z328M}k{AZK{(C;^o@=amVOTT4VvH3LcQ!LN8Y?31nc%H&6VoQ}w^Bv~K7{!ijTMo3 zOCa+z7H#Rn2iiPiz4HCGe2)nki(W)lm%Dh^WW-h1HuLIopR8}JYo3H!jmF~L3xYh0 zkXB<2BZdnFJB+#J8mlo3D+Wt<%ZiBmufIcFqp>35K0!!_u_6NhiTN6h6_NQgAoDX8 zKGQ13+U+}StlTwPi?Mj}xz9DevEF^cYOE40ZV2*8LfVZ*3II|G!pO|Z|TX8BRuxhY$#{f=+gkpbYY;>!LxV=4b5d+E!Z(mkc`1g&e zjZ=rP_H;5NoL_5#BD#B*72YA(P&y>odBCRMO!lBVw{UB9e@cfg$|oJV;2Yny@;@xc z`9(>+Z?Jt?PNTs%zYt_kLRt;R*@a-=co?JA)Y;4HgmiMDX_F zfYD$Pk#}Xz7K26P{^oCa8!Vg>HGicIwrMF*r8d~*DBIk^IL8p=@r1M*j57?u?#^6u z4aVt(z`lPd)?l0x3B`*<;Yx`Fc^7!Q3>FdjBIax{SVZolfz7Xlh0~&bUuuJGT3S@6 z4YvG2tHC(W5M(1E?FK`ZA=s(RHP>LAVhF4PEZrfI(;}hRff*ZHSVY{1a=!( zx(&vukx=}W85<225x2|}*V>0gL@r{^mKGL~`-A)QHdr`4dZ0@iEIvItAL&tfO;7rk z)~w-_`q16zAC07ENP!;xyGnsh^%x=rx?Mf%=lx6^5qNjC_s;v7*d_4p_uf12XJWI! zyEEyX%qI0Nobx}KP3qL{cgs9DiqZWzClTZnLR#Ymr%-|&&0KTi1*cE~+Yv0??#C&V zP<(P9#5KBKMBGJ$^aFg(fk|&RN%&sRe2tlTMCNmV%r7$!&nA`r*LS^5olUydPoF;h zi`7_adPXCkC8X6@oQn+7*qfMZuCX``5!e*4bQ?>}CTYe;Fk_>!BI5S<#I=lhBLaVT zFVtW(Rz&8ffy~cX;nb;ijW*V%rA|p*`!bf&*s?fv668QaT8+hdh+sdy2X)Ui7N;Nr zdzN4^#^TgTD6VD3Mq@?9{SA2A$Gj1NhcjQJu_7`T0-2w&!fDeRA8TW6TG}*S8|(VJ zp;n`@I1drzIfS$u3pt2jM=;l1V{!T+uz_IdZdsf*3B?C@L0qG;BH}(pNSCp~0?%f? zMq@=}J`>3Nj1^9q_WDR0YtvGudD>VX-f1-!=OBW7nvhmwasDCLdghvIEKWTHb`Ds& zjm0UGP#nsPjmC7Sma4eqQE1KQi(> z={-L{p7TXn!)PHdlXxaGdTV?IGEtmrhCde4})sepHFQ@1kJCJo8rZyJLrYl zt>V8|6EZL1?I`sYU*0ji-5qbUSqSdGrRgzZ%e0qBkziH#XB3obC03-hKJ+gILYz$3 zkBjM>K;8!^YP)+BUh@r!B2PN$d#fG%72wbc3BK)Hb3I*o+o4DWoF{ApcHgz3z&>E+G*i5n?l6qm40 zU67!`s)Xgu{FNI44-D6#g+G0(iBt)Tqjh-qHq@cpSi<6H9UdnznL^dU`eCF<9j*Y; z%#DT;lIiu)I-HUIn}lsYIkdC?vflz(<7t{uUD6_EEEl>?;X7 zrglw_G3v8m=dSoUX6pN{D7bc~?Z)8a5_I#*%tiQ6GCiit()e>pMTt-D<9NGxOm++) zfp=9LZ^vYlHq!YXDSe-*2e{XM)GLQPnf-tG6dkqZ2p*&D-NMEakHq_>2 zvcEMqHtYq0Qc+^VU7>iF8v(svPcHE; zned~cJL<_4B3)H_9rXhEeh!V{Cv}@|S*!UkrE&$=`w7$38^|Q)y}$fjTtOa7NM>wl z3Ot`D@Ew@3aoo-Gd4l}>dXKTC1u)}ME%9Q4TSmytSda}qW0T`YxSq>gb8qa6uq^jj zTKql2bUS8>-OqX(mAXxBadU+4r`J)X@Hs?W6I1&f&o6%EZGcLtAyic>-vC|vEME41 z$A78DQp&lhSEVysiy82`4^V?DAJ9VkJ9#r)e}8{fN{y}$t?9@ir23tiby{1r(t2_1B7(BXGG{ffU#v-D9rc-AhpmI z!$-v42{hI~7v{gVo&>L;2D^&$UhOGr!yS#G&|pQ|&k|g@zeXyb@;+oO$eV!-&JEZ< zWIb2qluMCQ(g|Y>H)kz{8}L{&J9A$KczIT=Yt(Dk7|6mJw|ui}2y)FF*0QUsVjT;` zQ=o9Th9K(+8S5GX{}mWJTtkqDhJ<#xhS2l^jng#*`{p%yxQ4*z5!~e(g8UK1w5zjv8sNv5(@Y0TGdlJFN@QFi>RDv&V=A|7$4p)qmlh$#jq2VfU zt#4+=UD}LWLT2tJG*SgF`WiE~RtvHtK{Or-x<3|9Y9zQz@J$|@|V2_{7&Qvlpz7i6u#A^9%zF%`*%zG|w>LM>WqHZPA;rayL&wUO-4m zaqVqWC`N$7(KZFSEg@surocZ)x!a~7pCY6P?P{1pa}{Wu4O6hE64upk^1`ja_hG?v z#(HDvd=oF+3bKb{Y;Bm#xJjFFjZ0t89jK8j>y8YmWNvq86h8YGJ8fF+^XqaKZk7}45+M)$iYga-m$TzMeiza5* z(~(Ads}%Ts1b4McLCyf&kml4+0BzbvW z;0*+~^p(6kFUa#1V{4OS#zk}e#OFxJY;KawSdasJ#+D`-VfHXd~<-NWnX+r(P zw@Qlot>kCw_m{li>GSE&pIx~BqQaZhD6VkU*+*f+z}K@U>@cF02BC#>#^XWiT>2P+ z=kPfnpQ!nS29jmkd3s&}8+Z2%d{ew9Zvj}`T(l;HZ2u$KcZztj zPNn7Ff6~?lb;zf7zn)mLOo234)8Cds)3Y-ZcA&&Nov5W>GKm@Vzf$})HBee~yGMVT zT~Iqa@H&$?=N!Y%j%sMY+u1>1CMjs1LdL;{i~r1!7klDGgZJVkc>&yKmw&zSqlslG zV9nKt&F$tT>lPwkSaPEuHu`|e%nj@}GA)2Vlj+sXm4pj`-}(cA{h^0B`nQBB?gxcA zCo8!r#QO>$)A+u5Pq;z0e~M%%z_0ve^WO9gkNQL5c6!=#=Hj9Ms4GbKp4<4>TepRi z+;&p#rk`mi;LX*hH^cB|y6MeMcypEEP2sE;2SCGDryUxuN5#77Kjl$>A;Z`;T+$E% zUkb2W!}Vc`W0<{hb*wv#+!4t9G>kSvYv2W+j3hVc{W=t!NzAQtRJ!_Rsz)pRrz-u( zWv)thhrzP|c2~OkmJs_Bn7y&mtzl#dkj_f)_dNW}_qR;q=s!_4snP`_7HpkKG~zE? zTjENW-s)HS=}TiPE#Nr-=TT{)IE~rcD=o-_fpk_Htt?yrPnbEAn0QsN#(%?KDo@Jk zHn_soy239^r*c&2yF+7D?4V6SFtT9p05;?Nstg-hD9!_gA3JpSf&DtoY-B->0y3MV zZ~oZhM-PrH+4@zg&QnssIzNTKoK~*am44$Ah-HhITx$W}4{#n<7K%%lz1_-!tN_wc z<6d5k|D|et)hwy;vea}6rI-}0eFneLGVKfW7nW)Hja3&dq=tnhxA`8w{P!e+d1r7X zfda@zLS_;tKn9C%wS);S0Bf6XmHauxd=#+aTWk6L1?`Y(?ssi-eVAx#5c!wfXt3T6 z76!jN4QUTlWlnnvR#TQFdU=%Kl=jH{(qLX5Xs!;!GJu`)btR=l$@C&@Yr>ptw)bXy zNl3Qt9^R696xD6k(iT`Tdu>7a!8#=Sw(|~Jvq`b(^w96|#j`(%R1GiH%%{KZ=y@%ABin-}q`Zzr3y(toN4cz7yQu#qx9 zz1W~q%Jt3NVPuMsJnpmX$*I00#Q0=_(+Dcc7?T>UVdTEdc$Rf}q_i=__`8d(1ImUl z@>N1+5~-PvpiyvVi1(dDVGkOU!{Dg^OVF_FZDpbUIar1mbyG&=G_7UTS%}BJCALU&8N16;2P#@+)&PkpagjqA&<-U-C`_#xD9Qj zZ(%ZfXY-C7}Uex;3CPOy2=@~@f0}vZGVh&bfK6}6fur2;0(a|Il2&?%q2J-UBG*x0yanIr8U8RcY)c_ zc_mO_ZxGDw=zM@o2<~ORMn~rZWP-e$kU5S%=*K&H(T{NSHtp!|obPsYK|V&vSVtH5 zEP}fnU67|T;}}O5_&&_o?&yN-0mg~PE{}6`p?LYcSVtG|T>$6j=t6WJm*8}C0hbZj z>gY)Y|?*w@rA#)skw;%85LwLhm*8}C0iQx(tE2Oxk6`x!V}qmfijTm0gQYWd8HR(4Lh$N2;A@QN zd~i{a_Y*S5(Mx~4qnG>$M=#Zmz7^NQ?&yMicXA$%F7QVQ?s9ZN)-mH4M;CY%GqyXr zAP)fJ#Jkht99<~B`%SE)3%Cv7{2X0~Zsii3jxONy32b$AUP=+{QDAIvbY4dh*bZRn zbaX!SDFh#%ZFO`$^eM;|LgqO7;XmHd53x9UEk>ql;7dbQjCv>1Its0>^z@(B@Y8+p zly5-wUvP5I?>2Pt%1h#`BLefrsX=?u63W%v(EpePE(^ZXD=uG_sDq@PeDtv>vHe4` zJkh0|WxKt11NFPAR1uBLY5QtQ(G{1sP!TBwtn^+MrwSP4KcA?d_fgNY?H=Qb2i0-e zwS~tMpQ`dY+9;hWrBOdI&3aNKY6wInw$d|{R)zo6!B(%B#P1n_t=3gu(O7RPPIXgp zSOH-X`FB}!nz{`Y$_OAk=aAl~BnOeQ6>Rcoj-~`2cH=B~Z^c zRf5)#1o$hH`0zqU)32r&xUf|R!k%Zjntpc}{Q9I&(>LuHuf8Y5{%%nCGnwIod#z#Q zr9e98^mw`&7WOQi*yFFh?-ga0?kls<0G7+>Llj$#Ap6rkIyHy?$`prEO7A798|XhZ zu>JH*mr`28;1+--9-5R=`j-&?`1YrTjbEO_e8oacZ2$+^bFE9);JjK!+n03e@WA^a#-fQ6fKF1&JDiV$Hoz z*SX)#{QE=}ODV57Rq2)BDKpV8T0#*6DpP&cw9=2I8qGg0$tqUV`J#d(f4Sa2UT zlF9U+YJ=yT;c9~oVesJqyW3#Fyb${>nZ2_9&Q8Ek+>HpwXROSn>+>@on^TG5te}ObucibIYCeRW*Tpb=yn{0~OrwoATm` zc2iCZA;%EX=WW^Wz@`-5+c9suDFylI1U992je*^iLh%n!I87q-DRp7F`bg zD-~En|EajR2a9IZWm6dWZKbZYac3v%QW&owxM|SIx)j)7gsV%JLNJZ_+I1<&te#Hatd#1aN@nlRz?7AKEQjCt&RZN zeSr5O3o%ecd$b7eMRs}wpo{QcWNRV-T?Afa5^tYH35U|XUguVe;UL0Bs=8aoR#&k99G_oxh2lX_II1hiD}gjt_tZ#r z+j6S={h3H7O|g${TlA1$*&UTQp{;&{7vRrkwyYq(I0;qt&n#M6E!$RT7J|lhjDf8y z*z1AK9!m+wa^Cg1Jb6xwXW^d7{U%1L+#ad2Az?ggyFe#ny=SG2RIzZL)<-{*VodF~ z6tndX1$3#nTdF;beE&oWjET9wvinup-$JY(B?5ah3S+MV)^A2*Cw*Lz`n2%&P&*x) z{#jtlT!%!g<-h2p(f=|w1ZV&3Rh zHB#}WL$t7|Aio?J@JQ@;qOJFfJ~}e>{M5lbh*3%r{1V`2u2)LYVI6-ejR^7<=Iniq ztacHdxm;&Hr(3x=B+;2%!p2YuLl)pqTn^S12LF`673X{!-c>awJ33K7M_zj;y=_(L zE?nZ&ql=yyhdSwYe&0^-v0p@nLbPRshC)2gqB6I4DP``U3~q^^#bJ73Om-wX^&8Z_ zEtV>iOz{W?tM?(cnARS>A+=Iw}j8u|TkY*Sw^wy3A^F9Gk0 zdK!5TbIvm7E#jk|raPBQa7R52K9a!y??t^Lif|O&LQ&5j?;4{XT@6rh;^u*L-`lHa z84H(@eiqKtO4|NdvYB~ap*@W38iU|>*C~$R_jri)LL#sSzcBWCVEy2S@R9mDlJen3 z%AzMi1)L5d>we|wVeo+v-!V~GidhuVOHc4N%r5a{i@@GW5-iXC$`~JGzcOte)<;O( z9aRUako>zJ70#!^Ea~}l0tJwx2}$SQ#@lVx5hmCc^{|a{lTU`2|K}LO`nIyB=S^Xv zM~TSZQ4N|ODj`K+KVZ7j^BN*k9o30o??}%DxtEgO4{G-GTu8n?nkww>s092xfqmIs z9hFerL=@_vaU>1((sMzc2SI$zyV7$ZIgDj@c2olH7m(f6Q8j73zf}&|vHK(5JOlssYgv=z?jdKLQ zFu_0~um``VLd-ut3J&2N+Oh|~Fwql4%|%TG{u$7+Y$UUvXYPiz1V`E#+Lx^3Vs^-{gL3DZ7D~! zOz_j-n+g2?Uhp$z=}oS=2?W2fJ4S<_^;BU8>1Sc`X}^U}J%Ti9&eEq7D1bbckeS4{ z$2o#um|!qiTQk3BLd-uM0f*2hRxMe2Q9!TI+dFxgDslRNz-~!zN8~gGUl}&72JxvRffq94+z-(3ktBh29!6YY56b{MBJKi$Tbgb}+&N&Z z2JaTDLs1oo3qE7zd4IL2-O)tnrCI(Btu_x$8|)OW2tBX=cMKDuP2Lre_z{9rs1k_>FMz~Rajynfh-+yK+~7rs3Cz{l82B`*AP*FA zE#Ad#UI+@9wb8q{%?t94L*ZSka1yo0sxjHVeUjNKa;{hSXlnmra<}bhZwH+(U5p#_ z`tqIEv=0Oeomfi1>2B}%Y0&rR!0IT%HD40ggE3P@c;hIY(hTaBCurya|MWqxDCwJxEm!R?)hNs3=n)OM^XdKVYZ!KMi;%Q2VF#uqdn-g>KPft8n^i9NSXGZ&3|f*TYP#% zknbF#4PxsJ`1puWJU|qdxW&gu1UVDD9dRon^a;$^7`Gxqmjr}1IekRpbqAZBJ|gZ) zg1Oo#$1SPd-OSY?|cO-j(kN8=ll{OS#T z2ik(O@O&-~MbN9pWcs;<=#&)E2oPmbun4(HANt2Y`k6`+0i{vc1x#DiiW0Lg;k1{( zjHF45>H4fYhN!ROeMcz)U6+<5Uh3<3| z+@Us_{-#UQ@EBL14S{CVpX%#)w~_tu;_gyd#Q(w_;7Ex*Rr;&L#77WRrX3nhX{->W%%OC&EPs-g<@Nu z7C(bbu*8O~WRRGHk6>5r3ys9ZHg;Jdx(7sNk79=vF>c-Qp9<}-m zw?|C?X;6*E&6RBSVOohT`*0ntP9?}2fef;@3{WBkoB=YYUr7-M1H0*d_4b8!zgkwl z2JTZkV-3yIyyaa8Rldfyt(d0;CTs27wKoLHncszj@w9*-r!$haThaFc^wHmR;*p=y zO`9>rHR$3Fjww!%TQIVPKWGpr?u0ywYt_Xq*~?u!LEgg17XCa!pt!dViLYI^E^d5G zae~}O6~`Z22o(1RD$d%!pEe;;08QJ5TXPJyTi!af%N^}5~wc> z@je$6e%#Pgd z#i7Lpi(6jmXt58Rl1GajwAgR41Gxx$ixuRW-Kg$jKXKPikc$|}A2JLUw+$6%?PBz}V4Z1^L#{JX);4A0)V|#R~FDFjf+~+Nuy757y4MD%jnD{ol6LN6-F2ZIx#+ zhiQw>-WmEab_+axE*7P6XX{=K`?Hs!Qu|jqqqiL z+~1gqy>^0}rHVsa4Hma_ulU-v>f(-!DNc|BRB`BIgT?$ZT{sjX7vGx({|sq@O6j zk4y@GVK%x~I<+rthN1cAt>8lGMDIQ6M<$U_e{H7z+E~Kxy7u6A>(pOs`7h1MIvd?_ z2L?t<{I1}{9YmvgcE_KMp57S3?g=cH}#0P|Epad(d|G z5A1jC&-0md)p8iQh>;Ya5-p@EDy8w>A={#(~T&1qu@4?D8!O4{q?6JDo zd@CokTgOyRkRJ^8RT!$=i-F4Za#pTRSMFx8a#l{T=jmeet(?#v$h3CL3378?N~m&e zfy!CklGiZi>&iVn$Wb|-NEB>6uyD)BT1CBDtKjqYwD6Ejzp0iTb|1@=a(YFR;S|H zwJ_Ur0d~a+va7#{;#AxbIGs<5>mbF|;G0WuNnSO4NxR(^BqXI)1^g=8r4Te@FNpsf z4K77lK#@o{4meon189*7s2EGG=!`@ovwW7~o(%UMJ2+qv{Mw_dGK!>OnGS3c7HjJ){C z*G&2%1Qr`xL^25aN-^Y#Pc(Stridz`yzAp_h|CtNco=%&|(aTlpe8V--sn@YM%K#mA~CTAur$ zdEQKFj#Yx3!AKQ3olWiq(u^0Y{5HkP<&{%uQ`eK675Ps8Tc6n^wEJ!pM0*`i|#CDZMP}FGxnXPX&!_sVl-i39Me~TC%2ERZMCla!hTeF zN0SibTp;}>A=X&DLa>|rBDq>cPQH5Ii1b4M&{8l zmK`B|fY*h3$_u2d*OHV0^;v@occDXD{h;#YmJW+@7b@6RVB=EOoTfPW@c6hgPRnx^ zmtj|*AP);jLDWphNWAW?Hd3zGy=f)WC`Ld%uo%%O$$2AVdd za%icM`fouxc7zr|9tNbe7vJFK&?02LK;{fBf_<|O4DRn)@;Y2P>Oy;uNCVbnJktAS z5*Mucq;GZ{&H5t?rd`h0>_po@mzBS!cA30JyG;7ggHPy-bmgzvIz!%O+obh$IE!YS zapvOzg4~9Y)XZvIdIvT}9JeiIoZZEB=;Bsv4o%pL6Xe~D|CJy_hdJc=vR#eKG!yLN(H%1B=O3Klnzilc^Oos0N#SSUDIV><_@DO#>m zP@1i~;ov0LeONLTP4l0Nc;V3a*xsn+S(acuS?3}I`DaGv5!*i@&3O4eHs>1VBIc8b zhIXElEwb*~w5&To0;lc-`&n<)D@J$Wxrpn279Z6MmizvE4HsaK>ViBgAc`}pKT2xx zqPlf1Vg?Brjpx{LDtY1rLb!tY6UPRExg%WE{FlZ|rMkYi_hLthULJw5Lq`}nm63c4 zY$TrYB*1topFYgMcbG0MrRWJ6Y}>U;FCrnTwGe}uwQ*spHH`eMCy5*EN{l^K>BS-T zPl0Hr@jmf}FtVPIEVpHODkAr>qQMoM($`Q#6K;|_K-Cg=)yNK+s^&o6s zi&Sgw3vb$rm{aDevNILb8=Th8pbpSmmwJuYrM~K>o6>{5<3DIM$K5;f9?W`e`kf%P zxx3;$n1cMW07&i~gL^Rd>+9^UxVRQw+{4Vo*gJA@f=n@zd&gjLFKrcHT$e7cET%X? z4phZO_h6oqM{z@6@PpSY8^3ZYQ;_#Dl6%Ks?Yg&g_l|EI7>e-j-f^6kYdA`CMtH&Y zW65G7e9p+{q0;!?u|~^t|M#pXYwsw?D;Swa@3?|A^}Fxl zbSKzXfZep-aq0o_y<>+KW(pTz?;QnsbU+km?|1{LC9ZcogM@VVjw1-+>K$(y73m$F zJyX$(ev7)|TWHkYGYN7$kbci(9zbz$7m8g$;pmnGxo*Q(@!gWZmlNF8EeWy_NG-Ih zPZFAQLF4R`1X~8||It3lk?HVe-ZE{<$JawE#?a5(T?KhHBe|yuZg+h);SBv;+!S40 zc}#JF+<}qY(*%nB@m@9?L+<7?NZi~CJXae^G7ii_?YzJ`i( zjl<5}CloE+Jx#$1zrDWPEzYUO9e%>Z<1oSA4QyPr%;{-H?H%9Klxlg7gJG_BX)B=`K7D+j6h?o~B+4vl$m)SDYZ< z{#rzFDsFF5OI%O$RY_b=^C}@+J_%oZ-ngIFR1`$e6E~jfn)l=4hQ8!SzSqBS7bnOE7|H!ju(&V3k1uYVF7E7@;sklP zDlWR`eMTO|)#&2BS?jKyAXhLlXV3diRGh27`F6KZ%yjoROOJ(+r|@OFJemGT$*{0HX# z1pdW;A+d2YIM22T@_9mf61(~sA!q<=XCEWjbAkQ8)yMGRiAHV2j!&Typ`aFs&X!8R^&zRx_*}evP;4w|GxWRc8 zSE`GVa;|!H^NHgv}W+I80cOTQDWnK7jobCjBJ+N`Q3->WU8xr5g zbZKD@;sWf76J(!&C{D$lOlpbiV}3$Hy8D=oJGlFps?tsAV}`!sH{g*UL8JCQMv&VP zGLu;GH8mjnijKfLJBhKQj}c@uA!GX(fzJVBM;{}|UxRUC2T1JdV}#%kuy*z_g6#wB z|It1scP;0b4{4{#%q2KfG8C?xxAawkYpeFrHg(kqdO|;OWx|~ zI9fyCr%BrST(z7xHfG9cgJUtZSw-g~$Xhj;|11e!L8>y8g5q>(;HyjXpGFHSEAr1q z)vigB@U0|#3kg3znXYJBR^F*Ladgrqj&EqgH7`?jn%!+2x$wd!?Z=mX2(QTfK4(W5 zb^^hu+BSbETNz@y8#6_BqgVDw^}K6yUKqLl-&B0|R2uYG&G z@k_ITurIPJa0< zHQE+xw0+x>*^|jx@m12I{`AKv92^+Elx|?Qm2NN50S?|0{^yaRRk0lE7F+vho$KKuu>np+9)?-DOpA0NE z;LTjj=K}lB#fi?^^#clL?bMGH&ovC37_9B4H@)eNwVp+Hl1|%nJ-_PUdLBiWaqA0* z2QR>%&5dE?3xssnGs5~7upXf}>$ZSDu?r@`{Cr@u$C7h-9|?uSzpg$*9*E5Jl(zfx z7y0D4&^CKY8r9;icfp&!FManfcfAGqDk1Zzw-DR~*3Nnh_V>W*7(kZpDHTuaYlP`) zSYyox?IAU9bJx67*SuFu%>}vYpLx|>2<8!iyXJ!Z1F)gb!qPp;Qu*vS`e3ZzAJY}e z=KAk0_3uFaRm!MGb1FpBy>?Xjg{@ixJy=Z3CidSg7# z!F%-ZirIy8=5FC-Jrr5m%~LMb?GS+eQ-Q1oOlNLT66mf~jXmirq+#%h1kRMtHb<@M zRUyWEvb5`a2AVRZ9dv_M7*+rnX&1+Fdr(i(KgCg;eqGd&pi8TJCHMOYby~^9y$4Vv zQB`L5vQ)2hbx4Xk-*#26Aq<{MU~BaX-U=~Jf^ltb^(Kd5KLISC>izqtFlm1_X}Y3e z^ziWwg>#zxoyZL~cj9>7MrA5%KKd3FXX}CgR8{9cCq6l(!;3qnxb*c^vi#R`Etb z{)+%rK=D`S%jZ+F*GT!(JOJsxD*RVmPRU$R1+u&Hrn3w8UsULQmSgI{ctp=90#SvO zvEL;_b%0Dwr}Qj{C@!!0WB_|`GR-f&-)VoWO6>?t`C}!&(~pYj5!C_0)Q~WIf&x#t z9{gmoS5BwKOra;s%5Ou&tetTijS~;06l@+}bcT_; z5z^Wfjyo~LwfjxtYEJUzhmkK4lEt-ryC5R&9bjypp^1n)jT!qrmrj%Xo+~2oG2m-U z9U~&|0L~SpVavFMcPxvB*SrCd&EppASQg}BASGwPClI0+>Z`9FB-T847~F+YHe6P# z1}w9e;sldAq&ZUo^nHbPcW4?%B6MkYIFf}m4>ykwAqNl=ZQeLEZVF>RdYvj}c85W5 zGAVx{Azkhe5w{+U&F+v4i*PnG_WObycZdi)418_w5D~dAILEnz)bO3xAhO*Z1o;?{ zdAY-btJodX`6168W_j*VNoRIvDuCV=O`*b@XSt2%Szg$%aU=K9-qQwtdID{h=0wbU zI-8%K_cfl|3x6=0^#->iPaZjb2EXYis_`R}!e4q! zn@QoR|7Rxg)ukBJ?zfhHs5|P_t!AT#cNWfBK$k!x#HA*Zx0}6>(}8Z$#r~ zNV?UAG9=-DC9pqmth>h`-JzE^#n!$_*M4i1>8QORKWNLh_CnJ{H1669b{4P_E;ez* zyT^7?|CZSLcS!wFs-ymb{NUw$>n}7-MB}c%U}pjQBgef%2Z|QjVj3NWvqk^(gUn_u zscYyf*wrtQq=BLDZr;$hK@EL>O=G2pXr?I;5T(ARxwGWD0P7%}gefpq=Rqf{uWMdQmx`-~jbXJL!3)PJ8RL2EbA z<%fDYPF;Suy16TiTtY}r{f%e&J3?F=m}_o8Y6`G?wLdy)YZ7+WD^wl2KW#ecPhF~3L%&^9 z#1H4wuj*2@7Wz$Hsy2mwQ6klyP-7hriPfJ^C7`J_q)WVb`#HSG z^6<%fAGlQQboG{drrft>>g{y&Ti)BiZE4p@ktpcyCEWUa<-i+wqOGXks=oT{HC&P> z*QkNQC)6B)f@hOT-uGk7Q7o*{DR?$Xkb?;6 z_3ifgFM)rwnCfPpf#As`K`tbu%PArf*MqUyDIyY|4aUJlOh-IFHu4mhNb*y#wmC&a z>Mg)L&MAcT>P3*+?i7M-0x~bB*wiU73LD-8);&CdwUDO3lzxz+^DEUK)-LXm=Kc~f zI{76gzi5Ew(W;5E@oPbgfQlI^j~0J2Eq(#*m`@2cV*TyU3|z)o=v`3_?=GBkAH`@I z@X`I51Xr){8}>@iIa|H+9nKOj*flD(Gf!)AyM`c-Bcv|rfrei|5?&Bvi$ zkOwnk&ID}ciwNC=g+{~9F<(UJH=of$Tg(>``!7UbHD5&V%YZeQ@1k4n=JQrm)D*gB zzVIT73ZAy!dfZIPL+5Mree<-{eDlM|6@+w|Z|IdF#lt+5&R#(8qD|D&35yRZ40b`c;-{j{53E(AHu0Ew%@kikKz=M zZ9#kjfoRh)mNY^i+f^$ajTF*pA1{q~%Ijn`W9LQh^+gA;WETqfiGK9g=*;e0(vNic zYt)&%RygMxdV|?M`=x1})*6!2;NGf#unt4-eQ8 z9~J1h>h*r<^4lDxwS&X3fBU8F?J8|D!2;Ot7x<+e+HV&rjrL*C8l-8Q$BQ+sx~yi< zoBf+-xdeFwAv5JS*oJx$zGs84E&N9~AI5bsF4Md;lq_tVT6>({WX9i?NxYr@r0?*> zf82xrc$xlCL+o^ULuUL9nZz@JC-tB7CB5KH`3<~<(z2Y&b?MeRx@EpwM}nL}$PCSV zSQ=@B?@{3E)RAx=2+pV7mg)Ct9aZ;*KmN|NuGM4ONd{&0n05r+ay~zqt*B3CX$3j3 z8T_H0Nxjr~ya6*dT~xNvKWwF^hs6aSRS<$TI}PR1g@+H*XqXjymiORxdO-YdAl|nH zKY{zj)$4ioigq$u?sNj9{M|sy+H|~yH(ZJS2wqW!8HVSRj)I|`UJ4HRj)3L-2DV9K&Mix~pX*;otsYWK{=K(YGy_)#7I$sgpFlLiy6=}R1|yeWoC zRhZ{ju?FcRbtr=j;o3P3BH+&+k2i>*7ZKWR5W&s`XQx2~dk*+I3?j%QfixHZ#=%6) z4^sRaS*3VyCZ~d0w3=UT{b^ZNbKK9lEppXHu=&bjMzAMzhxupauuQ3NYIwBp66UKZb4%6N*c zBP`~fdf_tkV*6t|^r3HKug!t-F*aL(Sb{OYgC5VJ|;r1?^{@N$ADO^qqC1IW0i1UCy#c&>P}VC||dvr|x>Mx!JI z_=x|-G&XLh^@Yi-Y1kJO`SLCBh4utrQ0#KTCi#LQJD6(;Ur_j0!8pMe6#03hZjvu3 zdQRp-g2Hblc#ocJQ#X^sA(tso`8R}Zn@uVwr4O}m6IdtAtSjL&Suq8}!7vM(t1XW(4Q7ZiRLSSR>`A`b`h!}x-;?_8S_#}}F}pbTL;AWx$M zlD(7$<}W@-ImFlDpL_l&I{X-MSG^qdMlQPvwv$n&-73UGLYf5Qfu>@=2JC1?=NpY? zbY5q5CL791_hgU=2Gks7S=eYt=PkBEzIS8E3Q_RU1TIw}ivBcMhy0Bz zM6v(Ap;Uz^_I|=9Rfr<51JXY_rzQ%#&|CSQ z1-^+xZ^dR zzxTwWT18ec*Ajy-g}-<$)b)B~fx62H33UU#rvim91>aClxHKa4N@mj>eYz26e!uWsu#U%DFA z;Po0F+$!?3gbaEOkG~XK2Cjau;eo9p|MC-XEiwL5_)P>4cuj%27ck@Cl4pU!M}cq1 zYYJ5UG&q+Uf2qoEUImrIUZcqSfE<23r$0tzzH2l1i1} z3yQptkR^RV;XeUme+FS+P~^9maj-QhQ1}q=P4orjyd^l7@&$ztbwcH^FDNn( z&$orf4D_DwLjL;b?F;zQ(TGc17B}0zGNzjuqaAF#car&-l(Ov?4544soH~ zEnPJGr`U^u<(_@)u%_1R*D(w=V$*G@Oe1|Jaa{1AXI`|KX61j5C)2gsfNfi{k zF|dViBgM4nk7=>&tC1l{=M^s{)E%C zuW+zgVATw%2}cl&)>!$dt5!KeRvlu$l3I*dEk09H3&nnLxuXSMBQMmX(sj*SPAO%N zs#l}HxDMPC?V;GSfi0+$Vr8bf%2ZlqXu|HNHuj=8ty@VZue0iGmZZ+Gi+$lTQU`HL zRZ_XrR$J21C}koWiK0YmXt5%%1sjv2t$I#sQ0$qJ$dr>BWMYXw2iZocVb_x*`-h9% zW94th@&g{pMON$^KPoEn_*jui_HXAW%I35*WM%&h{1TIbV$TIOo|b5SM`FPoV?(b- zQc$w(Ns+2iC{poS;!d%p|K!C|51Cs-#$zfM;;7QtXrMj!_CTM1|`mZ6`)132D)7wfH_bCuRu6 ze$my!m#eB=O~#beWWZ|j)+MDhQS80I7F11fu9`;5U>nSbd!P0Azo7SG-)B8qj(Vcy zEA!SF{hE|*q8x-fw0WYwhVQhPJN(ue-)WaSj5Tl6=F*~4R?ziX1vna{iP56i9Z-Z0n9IKhvTTi`|JzHTKaOCw8z3>OnQw4m4>Rml z+Viu5_xy|-W8OGFA{DpE;XK$=*Qik(N;k`<}&U0MG? zMJjAVhJA#JtZAWIe3Dw_0lMC;wb(_q7=@<{&m?N`Cc!c9a>__8dW3TuXDs}ep19?t zqd!hp{K0z}vxAbgQeR@|Jd=SYWryTGZ@o?J&krR zyNQ(lJ5dbM^&Vup0VDU~G!&|5J?I${Y|iM!I?%m-y4PX!$%^=TbFIVq%k(^*I#T$o zG?_7VXpArTi&iK?dg3Phj1M?S?PzeKkKEhJxmRy=m1i4zpIHnIg0qcWG=7;q^_y}O zudcVCXsMg{eq60d*=ouiC`y}oCT5`H6matgh7rnQY&Y>VxkoljPd5*Uu)X_(;Ym_R^+O7{H|->KL9|$bc9{9nv5s zf?D}-YEOeQlNS494N4$aaMEnFd1%ECgSmq9rXsH(WR!<|ZK#Q`GB_I2HNA52$FgbW7E<_`xRfpvYt36wd%7{BXxZk zG2y|gF7p6}k2(_#^jmCDJAY_)_Fn~GB&uzFDKC5WtbI=;C1y3hSNMJ&ki1mTX_5Ou zT{~^#-I~ku?@EyZg@3w_S7H?TR5q%++WCXCv;Qd4``JRhe?b(pn%^zf``JRhzfVZj zJ5-6-f2#T%0h-XfUxDu1LH8Vb0k!j=oQ9dyn*J9{iH1!80 zcVrZKHz6}^xdl|!ZByDNUOt!FzX>19%zr1-`c7uWiw9@#wKFB_@-kCmrTh@Ii$0Ly zhgC_YO4gaF5(_?-z)>L{nH_tbV;g>*qqgKu=~`pDZvC zomh?eFr~c?w2Rhz4YWoKq~Nmvwlm4Ia>q=jH!Ti-R|n&>a^uEPf}wYporOtBO!MSD zo7R!cnBL5o5yIlM9PO2EZYI`-`S~zC-@thuO*YVJw#0A^H8tG7q2Jux&!GsKm79)q zcr|xvGpz&6X@EGHH|#KjN6#&%sLT7D_A_jz`xdVUZIngNc_k@h}PD+wWv6v{5lB6P!g%+lx z9nHx*4o*Ij=k%^LJAx)LNh)^T_cb(=l4PB=c^^?EB}qm8l#rApqx|yCIVF>%(w+p` zA`c3GhUDdVMP5$G zXb2D(UKHqk5_of0tZ1iMf!@;`y#w9I0?o&PCX^uybRYN*VXfQoYhF&%Y$k!IX*MhV z)Ckf^-_-E&+pE;TIeV=mGbFv&Fl4LmI0#RuK1J>Uq-`j|)u$96oJSN1)u+hE2^r~~ zRDDYEGw@ERK1H4fq}4mA`jn;yG>O%x*eSq%l!$tRCU7Zh`Fw5KPrX?VhIHmb&w;hv@k19sWR<)|wmWIH{TY50+oDz?>pfa|8Nu-pb^4_=>er zPiW7DR7`(m@+!O{#}g9ARs~F5z<15L38pUK{A*zSM`mA0`zWQ)8C&1uBeNUniK=7Q z3DI{)*CsbFlD>W=z0-%bz!`uOH$UV~Ivhye zAf_`j$IO>w{f;H6MaTNxN@sen=A-(WNT;^c)hBsQ@VgAMa&)X#@i}}w=fv%8m39g^ z?Tx4&Pa|}2c9aXK*n@!Ojy?*=AMDGulu;2QEn?^WUC#<~G490eeDAa4XkmBtP^)O( z?V&k?9>H1AQk&uJwK$vp3d)RcFI0-W^hP-*AxclTze*u%HA( zQRVcy1P`JVChu5mw4z;ghQs_iFrQ0WujNniS-8~u+I(*VIksy3ZLsDpv)%I4O4hyMt=BtM~kh&cF{U#6guS&{F!fh!9l)$Ch41SE*uA< z>bsKQ;hq~is)9QJj<1@~C;c+-!nMwvjzBc7m7$|iF@mL!F^$8=GbnQZL|+h~=d!Ls zu16{&DHE*}E^O&7dckwILcaV3P^vd_!=H7rK-{#(cN%|kr zQ9)4WAJwc*>K^)X0ZWU!EOu4#w-Df@ZK;vhICH;6mssXL#eDL>CRkgYQNVM8?X>QC z-nYp%A)n#|tJvAVvRz_X6sI=VbTyA`Qoi_pe0~7G>HBxf<#))l`$&Q1Q{Rlz&KutX zXPmBT^^HzfZ6Ht#c`qYTH(#d`(Gf*RB&{{~G+%JfnDXpN^xY`3Y+LHW88i%_c?NIW z#lF;Mg`WeKk(1hyHajUhk|JvfnQ&z62vk+{Cv2hYh}jnEEeHAL0u-N55sKQ1$0d=* zzPm>s0mlUIgjFbUto68ip0pk>qM0u|6!uRAt;Y%TJgvuEDC%!Lz5~s2v%8`cORXg( z1ss$z^c8x=IMb-fs-yVZ{Fsg7PR(!ba?P4@vn|2k9RudgMT&yKz*S#It>gh93ey^4- z1d1Vl2V{Yk2PJD+J_=QatSU#BP(_hD`BaHHu5HNEv#AZ{BeZ#Chz=UYRA1z2vChLO zTm|;H;&Z-U+J+VXj%?WZjbs}t@(du`I`Fv}Qg@RgbJEStP4J~tpS&$vTuY-XQBS=H z`yKXg4sl<{XFU|Krqu*f!NGx@qayR0HVI30{j^iUMuPw=E3iXwjw#evnornzf#)$e9SWVP@r;Cft+Mg~`6MF};+15c)9} z++#Y)`(JPu^^vJj!1^uP-AxWK?e2j8g+8{*LhIn`Pler$RqRZQ45-$~fJ(s+5txF< z-==pH&*h4}9*jL-*iMf)u=AN^l#XpP?KB$7bT%{fo&n#0C{X05ft0szqXzv!ZqRL| zQXdnmAhfFDZPiiCfC(3(2m|z=w5L6dC@B^Vt^K6uz9v8Z9S3WhV2KdsHzj0sn>p-8x;B6*HEaJ-Mvncy*z$TUE;;h@FF)17ns}Pua8%)iwyUD zmf_vW#9tp*MM?9A+d`D*Nt&v_A`R-T2I5J;BYF(MHTJP|Eh|q!FK)Z{) z0eq2KeJAj-|ERVLnQLq*VhXR6N2dv^IMR0iEmz4NQ0c9M6W z%zUc}$-^BZ;lPJBdOf|hrmt--atw88%l4m3Ii`hvAjeSkN;k78R=IXZ$PN+~*PX(~ zDqO+EoDSEnBF|+cZ=RUBs)=;QM?vMw9(|DQsQ4W!77HL92csWDZL@7coK4E^C6fjj zeqL5Kd@zCgoxcX!jwgy<ph_6uJXmx=}-FLd}#0_j{I&^)Zd>R z71er>WB^mtDvMmg$aqn)!b)>0lWO`Q&pTRTX?2!IhItfc2DYlgK1r~s2-W33{Zn=M z@88b8O}i4J+*-i)_4!hW>hfz9>l8zIuVO6kgPHj_)fj!e6{7^Ix>{7A6leg3HFej^ zL-1>OV@w(+=GDoUXb07wtmz{CI80h>v5z+T#YU)+cARDvxf3JJW*i9v{<>^>_K?|* zQ!}(bxryUf4^y*hhxa@|g$>3hu&(iOzcV>?TcqPU(L|LwLA1WS3s`gN0>^9 z&E4@#-4E$W)ROf?Kf0qI^npv%LZ~TfAEv=##d}dx^qE*WY`EGP>en6Y2gg%6ByC3% zsp-^xH$>Y|CuK9Vby;gjntjVOO4Dq}j8))fFbyRlHl$*|XB2Qu5-R9I60uxqXD}^I zbZSP(ntc}mw(S!yK0=@Pc$0pkJZ=1I|AF#LrqZA2 z5AcnUN}2abrKR*A*VWK(=E0uc$)Z6QnI&W`?MD#y^p%QUYC35~96h%B6+=Hij@Dgf zP>=d~?36B{!w1lT{u3QOlc0l(9FwfW2INSh!&De5G7PtwZ5=Qee=r3AUX zCJ1JKRqR8+vfx+@NS|&h^78bU z$lx1@J{!j3hZcpX)mWLYCQuAHQ15eA*qWX7ow~?Tupv6xS-Y9@29XZmBhC~sUL6Ru zJZ+K3B(3>VG*INlI#wjzunAY-Av*s23oR#k#5SXGhl zf4M+b%zFLCdN~gD6=PcY2SR-V8iBQSaHk=4brm1%pVN59+O1 zdszxPSmepcI-Ek4C_2pHF3N|EclMgp-G4Xn&ZeGx5B>R( z#hMmbwOpBRAW#f>0U_Hu&=d2|l=ZprBbo6!Vr*Byzd7xH{lu*OFQ&wX=BB+8(_l%K2D3%5~yoQ9g*>fjEU#| z&Ersg<(JH+HFDdxr2R9iv%{n-YPXGlq5nB9!r+mAaKueaBdI4Yw+EMD9R;{uL5Isp zYp$?O2-bEx0!D~ZCNK;Dw&pAnD z84+7i8T(3>vCncml{p5=sIVCX`%q;>bD-p76UzvDDE5h?U=Lpzmtu4qztMg55^)f`fAT64(plBdfm8K7@Azz?gF<4(B`NVMPChGCFL(qEM8Sz zvIXZNjSx>M(gU+OG=fD^yYe)MUX&|l;B&;I#?tHW&kWIQk_#ImK&<2kHM7m zpA_kx+HjFvkEzL z7T*p~>g4^dmsiu2n~oJXUp)kF3CAjd-t^E|c(>#4()jGNmX4B4lI3`5C?ZH(-OLbA^o zvX}Wmj=My~(!Nnhwud3RO~|;OK*#$y|iKj@(*sh;%#!SLrB|pfJ z9V%p)0`QPci;=NO4l!iqLWa(_hir_J!R(u5$gqVqxnn_1PTI#)ljCEhr5D@F^0SQm zOJ>=+f`p}qk?(K&m&ZMwH0pp|NlrkWv?3L%3RJjwZ;uLDmc%3GsHCyVzln;LJWs+C zi*=;7#PgS6i-d_qMSd1Y>_W@&Aqy0MW2v{Aj+BU1?vF^t5=vmcP+31h<_am{B5wjx zl$eUT4l6Oyt&vY08tJ52fu|qvS!et}RydU+3g6^>thnCz)rq>O=L~}2KCc!s?($)4 z;WtS#?en5dQ+5vrc8cPa;L1%MUF2rWTLwkGLl~;k4&&!)pfrYBb~FK{^X)F|E`k{^3Vn(w z1LsgnU&M^DJ5Au zX=l%v%&s?50MpKhYU=V#ka1b=FzS%g=mSnEJ@a%9BQ>)qETcAVZ<5_+glr&zxol># z+bHrfLbk~uI?-*E;zXiIavMeN$Gl6sjZ&nTci3$d`P3oMyM)^){8t1Ix{boJ%oPC| ze5r#|VAZ6^(qCl8ez(EeiXwNmyv@4G#DBM%&HVpGXM+D^#G#g~8fGWLu7~vOo@k3f zPh0At-K1A?EltiBH6(i!G$b{quB9Y$uVRRG+uYlz=Kc=Q^QZWZ*wO%_;U@} z5_(V~UO6*1Si`TGjvDnEo-?B&mlAR`F0K^cW8Ro{vAMv>jEX#ld6#x^rT7%{4!gJ_ zN2*8R;tIc);6WEx*!6&wb~tLCH%iK0l+UOIZ{c|*;|H-u{6Q2lc00es)bkCHp5yEm ze{NnJ__30~mu@;Jatbt{fkl(WH*-gO{b$6bZ@>W+259m_$nGBfd!LCRYc~hb!x<1SK%(qsaF^Z?ysw~&6#aaGqCelsqv$oPPYwh2D9uO$=l7GAla<14)OBn_CJjy0 zV3KUu2G1O@YYtDRDRM`!<^h9$S)mGZWD5T`nNc#jyR5)dX$tEH%*<%y=B%dzWBM$? zX?7>;BzrE;uBn7GSdr0`a3*R#HoImIJ&I2kD&xtcE8(mAf5;NfE?tM1nNmfTKtiAn zUF1oGOsqppH!0)2m~rvaP`eA8P*g%pt|ipr=`b{hRN~xQXH+CNE5Y z2N&d(BR}oQD>W#D>DO@owU+D)?HgvPEllbDHK`oqdJM zA?s}TbpYQjzvKs>mP9#uC7>M@3`G_dD1IG~#oh8t_B7DQBU-cF|E5AVFT;N3%UxB#%@fvPVSX;t`44`97Dy@ZMZ6c;peu6jQfw zMCOUYCWqE+uZYCOBNDgs+;db{xIjeWkye#;1*YI0q*5H=itH1SxOhb3cD@rjmu(s4 zr%gm89(hDE#Z&^vCE`#kwBp!L{k}3LKinV*9l-6ShMIv;VBp!K0GQ|RyVgifgi5D1lSVZFD5sBNfxlG;X z{yn&qc;u-|rl`hnLn*E#idc}0h)7&KB5^xE0G+G1jy$$bMB`bnR&xCgJ;JsbSZXV-tVbXM7&?h54ynwCj*y`jzY2+XD@%=pl>aUZc7P*&zA)S?IdtVS=% zj(&-HeS9D4A*&np%uk~pS{U=d{47>)Q&w+tSq`CIK1iZHS(pnV^>7$dUoGtjBNNn) z!NsVCtOt9I%|^dOH6&oNTrDrm5D6A$pi*t?knq5zSQVPrAWMlVvvM=HC)v6&%qY<- z3~-TZ!>jkTWB3Jp5>6{WbQ>!}RJXXWB}8>P2A6IWi>-`_#Zf{m)oj(`bExntCe4|c z#)B3di@&VID_V7*juK1HE(jw3YahHYYLOQ+(zwG^v9}!BUc3yIr~plSJ7h)e%c7V8iqTSSgNS z-ri1EyNld~d5fO}IxjrbR^B5!aOb7CF6pRsjF1)i5Ftx+0(eZV6ju`ky*pgU8>iaw zSXzx!QyMs&e^*QW6p>2}9LXqX;A-gr8m7Xf zSfcbmwlO;%xPiNTm1*1mOgld*>&rqlotY$GA?Y@)U1DC(*but+)5&>Vk=GJ3meY(mEx682JBcOnDz*Uj4y|C_unRyc58fL6VGmqV+vMc?%CM0 z67$DTP(_=S7)&DMAESE0;>rwukV7Cv&tpr!_iU?VSe4E{Zpc0+Wb6-yZHz1zBja_S zCk)wR^dQPMf&MzLvJ6@;`V>(F`s>`&Q{>1t;LQaIc|Z5`l;TfBLEf)zl4LUUYPhGT z$g7z*dV0Z)ZrsyTiqn|4cS?qPdWx)O-pC04cc-|Ur|?P4xOhh=_wf|=($<;_2K-0J zaUV}9dWa&hQIY$2io6)e`1qVUJ+z~fr(vSW7~0RdH#r#hj55(=0w1-)!+cEPpwoj- zFK(FGRi|ChCkk(rx&eicFDOpfLEQL!F0Kqu0*=KCC>4a4dBl6S3OW`KG8K6(Axk(G z4>FbF8$=OsEFNSk@(|{o=vX|?REkN=+v`|7)Kug@r$FzpWAQjs;XMTRb#!=;sjy3# zt28nJ$KpYzQhbFO7d4KU<>Nu7BKHCEf8kive@}L-BVg6IW6d;<^(iiHqGNF%W{!2N z1rRJ=rT7Z-_GT;| zL@9C~<{fq{9ziL5LuOp;SUh}E*xxpXH4+_*hfhjz3sD4`LLNRTvKh$#MaH6@X|iKo ziNYJl!d7CtXLtcr3hu2rNy*UtJ9D$h3(eM;&?cb%r?FEAy(_cb#sG1Lw-n(A-s|}; zfT^E+@Kb(~uZc7(+B;NN&|}@~gF}j6M8gzw2ppq$ z1;y6QO_d@N7mrBX&iA+sen|{SBp!K0GDYQ1j>z*^BzM2DC80`0;^GmB+xZrk!88$x zM;?((G3-)o$RarvLF7~siHk=hZs+T&9GzFCxs-V15y=$2F2!X;5!+reO+@125sBOR zs+}E?Ul5UaK#Um28^PMh(4q`w-f=3>aOi{L*BXT~AM2|ka zyx>yoK@@@gbp0-JBj$|&3~r~Mw!5Rva{F9|7bA6!c3!#dRI&ST!* zrSx9B5~0YWn72$R1hxQF;hh1c*p_*Fmx}ns2t~fJQRK+MeSjP+nM&ngGKd_X}QK1y4Fz?s^E!w~MXr7}%kq0nuL__WTz;ZXczfx?>yuHgJ?DmTM z+lGhZT0a;Z`vdRA5>&B^Og~7V6R@IOK~(&1g2Tozm@k+%v;8- zfxUX{-%9aT3cQQ_8xz{>--^7SkS5`U_Uf^JE5((}+dJ99{;kMwGH>qWcu#|7=T>+% zGmbe)bOI7$Kw(n=Ym@FT_K}UvPDB2ZpHuZ`mHw>MpB4DI>s(q@&!nn%c6ay9QCJ2) zn}=||lANk!Hu^OwWTF0Cpg$Y+XM_H%!%tVL-L%)PuonC*kjh)<4$qCdoko;p^x>L~ zOi7n2S-1W~17iT4_!-IEKekPdnB6GMW~T5BPbnncDvGuX(p)@?qbrNU&Iz(FNym4( zHo%4k`E#sS0FluN*kob(ZhaAd{yf5H_T){o$!YtsaOm)!JVmbC0LcH+p1ek@z|WYi zUjgjNQ{;Jsbob;PHp`q>AZo?8EMB?HRiQ8uAV@0-!NIcRkX{RKZLWVw;qMk+C zzH6U|#Kj{Lx6RPUGN=%dc;peu6f*R&6fcyal(vi2FCuaAh{SC(^sx+XBnD(yJo1QS z3K{xXiZfZH?P3jxNL)N3aoY@iEQ38oBp!K0GKCC%EJYcM6BJQA#}Wh-3;G`dEq_QJ5K3h#V4;xOhb3wi)_Z2DKs*k31roLWVw;Vk;JDN6y0{ z5*Lq1+|G0R)n^$z`97Btk31rog4;2rxQQrYgMtweiHk=hZs)mWQwCoZk$B`0$rRju zQ;NM^k!A02D!|1f61OETM{FtIc#lhoM;?((!Evb+D~KYN3dV~_Ts$IiTjEj~G>J$& z@`z*#j!UIDl119MOp8cdJR)&h;!+t*6p?u35y=!BmrC)}yC|iN%L);Ri$^4GOI#|0 ztB3&w2_AVwG6lz_QZ%wi8<&+L5*Lq1+?Kdh20MvJJo1QS3XV&qcx$~AmsKJX7mrBX zmbg>~w-Ey>B_4T1G6lz_Qe4O)ZCalyB60DE#BGU7WiVYt;*m!rQ*c}=MLCPKsbHFj z#Kj{Lw!9(k~lz8M3$rK!yN^vz&#Db(+MB?HRiQ6(IQME@j$~sO&;*m!rQ}Ad@ zDRyR&*0327iHk=hZp)N}G8lS?ONmDwkxapZFs1lCQN-HIIU*7lk4W5>DG6n8wur`5JR)&hrX-ZX1|kxVJR+HbhippGKY~)0o{~_CpAtpVl!PMB zV&0{vB$Q$X^DY{)*(nJ{RxocFeg}4e@+`bkyzp=6?VpmsnH-9|i;&XP1z+*w>2{^K zh`BycQ;A{%171G_1CN}|pN%WllOXsC&o7&%B3IgWXYO_HW0l;Y91VUyTsGaA~P zDG5b(6H=lfc}hYl&SBo+DG5c^GH(BA}vkX674b0Zn zw(K;8O~p@{QMjCsIi?wfKhrnX2IxhFHFW*6XCecs*=U4@!TdzCWPYMiw6bL)LuC6W zGOFdZ%)4pZZ2w1|$cXmkdL}Yr{KM~FU^LVKy_=We;%UQhTgLA#Hs2kzmRo8(@{He^ zg2(SlF@Y#z{gFlyiHk=hZp%2K$BMlFbry+7o@SFNc$}aVR}w{RG_*iO;^GmB+cHj2 z26ZA5k31rog2xF;u_KGLr8t&F+D?0?h{VMs61QcXpbVynNIde0WC|W9 zD8)Ztbv(99MB?HRiQ6(xPzFCI1{BG7~ zvqdBxc|xKsw4iby>2h-3;QDR^k26sNLCJANM)k+^t7;P$}`qBa$h2{H_$uEYikh8SR852Do@c z;8dCA0a2Oyd^7(&8!5!YkfRT?< z+)5OIDFYt-D6%!iJFts^hxHj7Ltkdz{wV|Orcvas%v)>{nlf-H*1rI~i-v-jGT
    ss8N7Pj{GY2l{Bv49fxhEL^xJZQ2#mqCcDT=R*CtKz}yk zrz_PC?z2cmFK^%lQ*pn6-!>tq^|&_r8bZg@!lN zo);RvRq#T?^oKkzG)#MrT+h6);k<&o{8>B*y@ETPpUQg>&MUYrLdKD0*v4ML-K3E0 zEXu=pBjRWwUA(M^> z=2sIGo@T~K$L=xDJV;U4i-W{fj5_~GegU@N1DtDQRH77rAPUJ17AXd$54`L|SwxXJ z=FPpH`LAbikgKIY%_39rn+8g89P>s_vq<4huNvbG14Zt^yt#Wb{~bR4%~A;Obu!LS ziVyw9@8xyAiag$5V=fm@yh9$H-`u*zpv(IrA>&?9-!sK~tunUo57f33n(%(&Pixy!4tzdlvcBe~0~6u%;h zKq}-euOcsG-iaQ`U0$V_!@Rv7$z5JW?#R3oQz3VGmEz4c(A(>g+~rl|eS|EL3c1Ux z6rDs7@JR0RD)J0Megu!CK2UNh{5kBAmo2;dcbi zD(E_Ic(tSv4+p@u3vI!E^mu}86?Qtoq8baF62cowlwyBo z9JZ|@%b9mc+bY3dAA`MTifo-dLpZuvq>Yr zbz$WME9JL%cS0FI^Jf^qZ(A36D|zpi zTvdDQACi=AvjY8#H(PcDY)0XU9Tu1hC@t6IPWy zw8fT`!c%Xr|Kk=k2gOOdQYuI;MHO^4X-*V5e7YHwcf}|xgiv&(le2Z`serjE2>xbYnFdh{$^vR{cYsn^+dq=(1{T@!R-@+~j`!Qg_ zI(5$!s^4+h>IXL3(tmzh`%H)O5z>qjfo`;!!Y|6?t7;tB&7K0-mN{y}3kq-!SQ`hh z*vJ723UD~9QCI+RG)o)FDJa6GOzX`H1*Q1MgDC7vW5iT95I^#k39FiBYy^knFXiHx z!A$N#c{XVrKf{JG3L=7xcVN-&Np#2w*X_vI@{9Y3YgE-^GohYTe;b*1j;-q>pxC1I zy_+Fe>`C1C6SE!-OC0bg2;6h><*6xcWtq^?e!VWq%MYO7?{5%ej>%KZ%vI(b<|_!P z>IWjdK>HlHA1KP(NEhX4Ud+|xM8e+5%5UcXid})`d$8u~NOR)`Q|{nt-vYHa0qoR= zSSY5~7QMIv#yj;Qs-rteu9(Clp6*oP?D+PbAvOvMFOCT2cWG3 z)!LR?a`j6S_Fq|^i`VT2$#vUg>-L-Xm#kX_&mi!q>Q+h0!9SsH75V&qTDKd7vJls; z()^ZalIvEnS;CgCTgA@>@8&?=Dr`5vK1$slc)ipuFI*JW?c`k!d}s@Cby_KD9!j>z zQd^(b-doBdianFCr7fcPL%=&^5ru67Sb=X-W=hpwCk5`w7)Ou%nA6h%*)(Ob=u5bq zuvDk)c0#1+Je4p|6RJYW*;NO%>8e~rTmg} zPdA4_;m_vYG5!W?kSxDEvrp74R`yZZ7p;?ig&i2+&-_9H#gK2WhPy|+Lv0Bdo@@|{tpqk~ zkk>bX0p?kQJi>ot5aBaO*`7Xw1bRK}=7Uy|EACdMZLi06Z{g;OJsnu?pBL3&H7lq! zSjm5%z@wf<%8R6UR<4t>4fJ=RZLR32cg$+0_m66Bcx5Ry6?+e`el@S$*tP3<{I{st z@PVi)*Gbtr`dictbuxIQP;GUrP1F%X9mQ5!9b-eC*3=#S^;;X$&?v$m;}~BputJ|$ zL4^$@L5Ni3ErcvId(ohTi=;H?fqT%UUH-Gdf8xI%uHU-(olVLY&peq%5EF;^&&lPB z_n|*cdgKys-hshg^E!I86+bE%H8qdWlQMd;Iy*rA0=H;hhF@dLFPTq&y^N1%mQQ_#>xNAc9yQes`1pGKe30chHfJoLM z39<;Ze7r?YDU`Lev@GWE`AF6i%fsa&(DLyXc?*#7a*e$r(;4f{W>wdA~zwVv2#$t}wu{zP(^sUd)>H1lpZWF`4)V*)mK`zFD=JWV z2UdA~vC3--RbIa=QW@EdHjl5!ta$O@?7cJt1*PG;1$y606qy4>Z5!M86W9XHuK~9C zwK192M>1pX&E#ksM{e^iOpH!Af@c+|*YV&U6Nxx8=26;VwE_JbE2-50Xr7AzXsW1F z!?Dd^2y6)_p?+?%lTfdhodlo0 zsONT-odmfqlz*$0Br((3HYCLua%J(t_@(K=Q z{{F%S6sF@csfLZC*})xd+!|f$o67k0QFP1}Kaj4Y zaCB}QrrOHcl9l&Y4l;xy|J9RRIUGR>&l8*`A&M%8n+1hk0j{ZUwZ1fAEDy31(yS7l z1m1~hRzyYu1g+7UM#96n(vO%tqBzQ2pGL+D<6v)hkf zMOqlONrxhn4ux|0OO(r#2U5Lc=;c)hMG1Q>D=yPUc3>EdN$Us}!#;5vYKHP^uDx;} z>gTl847igeq47a4^Eo(@c?tNKgj+0=V9Tp4cD~B2p2jiMrie(S>YKfU~tFOI5h9!9a| zOpi=Z!6MdAx4rCb?wHP9+co(n0>zN8{w_JN23`1af>Zie##5MaJ~PAN+6k_y87u0y zHhyAz%~TrhH7|7uzQ%mL%XAfoIIIt3q%_Mb{=Ok~o$*~Hw97LxZR1vTjoV{NrgiZ% z!?qVRT_&|-qp;sl8%*s+%>Ye|^;6%8{-tXMWtOZSGi5atef2bFn7$Eg=z7fvU+a%Y zJ<}Te3EYAoncBQ4h)bHkRa&fKu&YLFYY zT#fR#E!P71+m>sg{9RD4rubc3t`>9GDHosr$dxrh#if5zS;O=P{iDjFnj`J%>0f#- zSuZ`C$hi*F#}9mUW_i`iYiE|%zfAY!&*$(b>g@PIJbem(K4t&>GyX({9Y2Jp_v240 zoB8u@{7L6#q;G6zRnymWippxiE_1_Lu-n{#1=&@W%8kE8fA`4We~td`mA{{f{_Zmj z;2FnTF8%SlR@i{K3kxz9zIe+Z-6bnMOE>Y4>aPBA;*Mh8I3&-WqBWvzdJp20`2 z8J1@zNbsR_6rO#sV+7BpmshQ#n|M$I9r}o>qm1@O;K@DF6X?uO#+xU^L};i;@&p?x zKm$(9&C+0V2A*J)!%r*?HhkboZ}cS6Ad9^^bW?l|rr>}=kYFuWB4mVa)4%@{&V+qi zus8O`ky`3Z)#e6D#=es=H((yVF-LA#XR4FGtur;q-`1HL4Fj@b%$XL%?^B^pQlqtyfLYaXgC%3NE4GAFwgdjJzFZzvcSX|ovhri@7394 z|4cPjqnfBu#>!ulK4_zCELkxsPhT6pG$jUKaNdKeuizGwJHdSr|q z=6Q#qoj7q6di>V#C;2E>A6+?W=MYb=Tz~W-J_fDh{N|>x;~+W|nI)w14n-egJ3TXs z?W4gx=s3_su{!`O3+1Nr)hVdWtIMKyNCoATCY4xc3}4?TPGh3duBO-Y5?xI@5s}-a zvtseOEUcvAI4QdTR!hyjojUtoleJ^FV6`pOcYh8=J(~&-bzpM|)|UFn=aDw}r3|3On@ao( z2$J;f-}r0n=nsRI#R zR-K!FmZT2SfMUN#Sid?ZYF%~Gjym4(;ugE#Dzhz=3G@e`iXz{*ezX}$X_gaBaz;|@ zWrRg!M16rHUV?|!o81@nxmYt+l(9@R7WEUNW(<9SdFY*SU5$`Ss_TS}Q&tlt)H0}_ zU>rsM<+{-tM`^Annq=cB_8h{-jkDW}VjL=4yrUF3MbuHEO-=Q4UE^4X!LS$Yv>2z% z@f>MyY^>P0f+J70=J|V<7QkX{T)}%3dplv_$jEz6pF{OQvy(H;4k@0_Nv=D=;g?OJEaYxMx)3S7o zrzd1JGg_0eeTCeMx}V$wsbUVyGB!A0iV|g5Y&zTEiWi+078@9o_V#(0Dy^&oN#f zb0{=k-)M4SyA7B(uTIW|ioB1I$~&p++H1N07~B)Pu8KVk*g)5nJfg0qNjetk>?r%K z>XG>Zjt55aSDOoW86gqTS_J1q36WBy7pGF^IZe~ReK#KEciAp9hU@RS`_RYfc`Py?E6kW+}N z7dQEdNF;$yX2D$^IRyNS0w|p`-j$R2Bwotv7;lPQSx{_pF=x%Kj&DR69O9xOYhkMs zMR7-lRi}=MTLj_M$Ty{+%sNUsV2vBMv@!Z%VQ`mFQ>)L|Ymi#VSyq#kqI=mM z#>6a#%46!@!Y7eTH}Tj)I(=X}{X^wUcbG|^jyW7#wn97Vj9C}>%({?o#>yV$b}JFa zH>`{1le#E$H6Z*kiN60*8xA{P9*#-${1y4ZWuwW2pc%B>?HTD%2og54c z=^omfY>PECwr8!(ta#~Qeh-_L=yext`mm@)xcf)2gY1H}qeEvGtYWVqY}@2-&8M9; z9kTE9QEZ5neYWw_)!C=%5hfCvm*E$^K=9lr%rx3w<}!x=zx%BGZIyOTBcg-QXMYU?q8`6_yOYqol}N2`NFBoOhLC5qKx$ zT}6JGd2`Px>|6@30^>yIQtZ1ek#jYd%DYOmnutpHmx8YXSi2>;&FO7zeuLZ1x$)PZ z0{N9YXEg4y?{d@czD#khos=CMr5BYQ9%a`ze~8KmdyyjVBV=3ZhPskoq$F2>d4d-y z@*7~zy_#e%Qi=mu@$k!eik!r}^%a@Wn{G<+w;zz+iH@Y$TM0XwETt5Sz&$xjDfk$G z|2tlUo-KI}t!vN26yn`MFT#vcR2kma49I(ycUl+vZI)E@ybROl!Y(41;To9U;XbkQ zt!KXIx7sd_yxO@^`oxOdosln%p}q%)0ET@HR0woe=-!g(toEGrq{WYyl`7^D zC?@?Uov!;92a4&!enzn5VsigR`F@-EdW*^Z8%1UqDaDjozv!*V^u*8JFRh6!awV0H z?BEs|r++2ZY%PjR|4EUbXbu$Fg)Jpma*?HGE#L1mUvH6lw4)l#Wu(?Dd={muqp_DM z>f)$&c|c}H^@|_xDpl0kC@TFYMSbPMKv7-TDuN{!RchDry`1@aiz>Bik*6_IYu8&; zQ>$)Ko3yABS$19`TH&60BnnIaNnt-&gu+58i;NFu=OUkNimEs~z;-E?5(OQ% zTi6rB0K0(q5197=Qd4LQT>Tym^;GX;h$7HF54gx(tls8r6I-{D7gWFRuzu(JK`-cb zkq;BHjc2gXgPH$mCi|#8pLWU}U{6QRI=rs|?`VsOoyNk@J)bE%E?&Sh!8<1=349rW zJHBd?>8v+e{(^SFyq2%XEx~)@>qk+S#f~FdpDcLKeT}^5u2g^j9&`_luK7iG1>Z(s zjIL+pG8p=0ksXt;9L_&e}fH$F|4w8u8E^xkxyJuIuwvEl;TFB2!(=+JfC@& z4h7{sizt#pL6O^8y%R%0310nfawsVB9zu>L6qMpe;GGZ(ifjb$QG|k0?8|zGLqU-f zzJFmDTdBP0sIbw zSJt%vc|u0K!wzE^U&4$7FQO~gMsQ8d$XK%^IE>}J7kDQ-jKyxmdW0Ni>1Z7W8y1Qk zrePEg!)`$TiNh>BC+sj^guN~DNJ6%yst&W3Np=`Zw*@4Wa2N}I@ocTsP=;YQuoQnF zijc$LV8~(GN9!=y z`%>&MWif{d*qg`A?c@vMFo&@^-T>usvm&=8WKw_@Fn;w+l(X301zcAXO!p*o2Hu^+ zxqhn2WndlLq=Ov{iv1d}bWj8)N-3c)wqK~oq;OCr-HNs*Qt;Se z9-H@CbB=r)rS_VW$L5NBh>%Iw{SPBf=tD}arg z6SD`hgy%KqP*2R9z(#A@<^VU0x5wZBx9$G!UHRVoW3hpGuo8xm+UkypeEQ691uLP2 zKr!SjLfTbWoO4t(z#Vo8D!|&<%3`C9{B?63=C3Ru<{h$3<{RHm#bl;xy^P=zFm`Z@ z8I(NFc`EG3fYE$2jzHrR8fbGy=G{zg1|7k=cvTsyBX?;feR=@LZ8YJ$^5h1)7fM%s zDYD&utcBNs>j)G>PDJsdGl;yl>vaj9{$_&hs=n+nUrN|S+hK-OwO$0)oNNkg=faK! zENHtyN}go22S;JFc4#|7|49=_R#oR92hJ#Ew04)^CL#zr+=z=kAIy^+4s)!k zbuC!?jON0204!*dQyAc<8H6fE7uHjgR_32<8 z3f4XsdoY+M*$$Jos&$&RMmjEVI2ZQf*OP45B(}quXQK?(smGBPo%EmB?jo@F+pf<= zHV`t|c2nm$tak_NknK8M>{u{QvK>##TCE>D9a?*A=fZ9QEa-RDVmoa88>Q{aj;8`P z(tl#RBUuZt-*vjk?FpG|yRs7;)^D7aV7o>ayPB|xw!;*cYTXIeKHIslZvhsxU8UGg zz7;X5Mxq}|Py0$_yUkb&uk9KM6hjW3TFQ3)F2Ns&An13~8XV?rV4mQ2Jo}*j?d8=T?5+%rN^Hb+3qim(86oGX#|QPe?`b-zw2@d&IjvI%#J_F zVSW^tC)tihxG`NSS?l7Mt)g*F4ED~~l5E!?w(FWc>X=;sr3dIgiP@{b-fz3{^Bv>@ zLMGd8flF{OSchyk;9@@x=1I1LQB>=Hd=*-IY=>T}!j=IR^t&>#9cK5Vd>86UKlG-z zD?Oi@nb;av|7@(d*eOD+xYV{jny)^e=H;qSjx1h9O3h;3EfSqlAO~FJ`=>+}$A&)d z=JM+AI=mks3OkE!*iYeaRpq-~Jj7!YV+HOLKZwMZ?QS8{kh1CEbmO{Yspj5Az5JSvyLca z!NcK=9bSDda<>@o&>|Wd9p(M*eDLxJ>*5jI@%O< zm^^4Q4?1axh0Xt&+@bQI(md$04|vP!;#C;$f)!o|z$OCv7>>xaABYjRND9R+TXol* zlpKnRJd=t#d``Lo4FjY^}7y%fw%4`eMb z3|b1|zBbRDU*-N4aOdP~k>Yb}^W6Vc)njoD|j!SiI+i{Sh=JX7Y0{xkj|}30d82b6vcup~#tL zNJcMnoe7EiR~MqOFo`?V^SbtJ{mzz|;KWocPjI$fPLdbhgYS9KhrZ+!Uzz4tre<0% zXvwsm-IQtVScn~Ht+@qsscq%`ShcM=ydOlT1UFIRMDIMv`|kMI65TepuzRhKZh;QB z=+9oFXrbSg=Gr*ku&AJid}3krAg#QLo>eH*=-JbTuA!0`@kyoTMqL%%;G;^-jX6{4 z2EWl6-Izu{xEOe#tkZ?K>H?f8Y7s7@s-w&vme`b8GZ?Y^(AI01V^nXfGdvxFqFWJF%+4s@^>YAU}7I>gYZ^4U*|8Aop0Jxpk-)n`c{ z{@LShBU_Hhb6S{mkGwU{JIkmAA^LuLj(?OM6czv|uo~z&)r=E>jdITkzy)&8 ziK>Ono9!QnlPIRUn~I^K0Bm8X7RejgHUQfRofLqb@;n%TUGh8_fZcdLiU3?{aHRsU z$KVnJu-AY}1z?}SB?e%>0hJ2C0m4Pm8HFs&QG{IK?t%U)Zx>74Fk`L z>97Hi8G( zuNGp*(;Rdh}hxr!NFv|cbk0}FcNer{i;b#`xU zYfVpW>ueNmfOx|B!FxPa-U|9nO5iu2ZHn_^(y4c^z}}7BYKF?MFtfAU5ssR}G+lZ! zt+*_WzBv5i1XIl~{5YbzOsL8iKS{j;VkoE35bH@WLK^?EIVRPNfG^!j)4$nxmTDo{ z^qBmZ{Dk$u`Bd|sB!lob1IRq-)R_Xpxh+Tuto6h%k$iIljdTx*Ls4D!(_`#+2(jPZ zWe;xFNc4LtIKNEcS<@340e7R{QVCzAAzp@tcvJ&t_fk!e*=7@AI`x(B{Ba9q$ghv_ zNQGRMCW2~)=owUo-6kGFSgME>wjTD*w4F`$IJ+m)HkY>kA3q56tk&0OwUV4i?p*rY zV~NLK?SUMx(s1_W3k=8ckbZQB-Il{nFnb7h4~X3*|8hjo8w54|VG(U4f&`#wEMWL@ z5g_-d2Ac259B2K~u?G2NH~D23LAyXsSE@7~#=g3e;tDR!e3b*qaRq|Z)Qa<+DF>3 zNpY%&9Nq?H%rDXzj$H?bN*nj=HVRoT^o$jf3~&NY{-%=K^B4IzB78Z3&a;uyqlM+u zC((*Rbx9a7VFiSgG(IW)pW390SUB|uWA&2;Ma2^%rBe-;an(^$;mRj-q*IUmi0_%` z<+jbVX_EE|rGPjtfSAmSj+jdQ&@hX90-<7z%)TL}LfNH;h6%`jam|f@j3|g@WWnik z<-_dV)TGdd+3Vo=t+&si%j%lTDbbNHWN|af18u2seGk&7*moU6m)7jpDL=RI|16B97XJ#^KRgQs@PAO=<$WU|Mf>1>Mtowp-P-0Xunw)xv1t^W z0hadqXBMsC&-_lv@n?SDp^y3Q^(m@LY;`PtRDnnG=6&JEf#3Ti9^pun*oTt&@r}vWL&TG$*r=7w%C%K zr8DxH78wbIWX~b7%X_TsZ!o`+aj~kT4_>l!4Y|le2-((w!uemsobxq@@#f5U9Wge` zS5xi)<9v?``&SK>XT`G{;049zp;RXIIQhxUw(Z$bR@Y!8TQ+n5Q`*Zf*>EFCg4B@> zy@XKNNGdeZe{74POToMO{Y_%IZxb%t+Wb-%`87fsvw7D-)2BL&r!ixc&2C8GvMq37 zlbEYmY{38KRKH8{x0%p*y)xd0jh1O$lb?E;qr&Y#(tDdL2FY}|jK$gpj?Kai`?9CJ z(79KpxtIFk<5nU1$X9wW8VDJ&9fF4GKQUr0tK>Ce<>?OcQ-n-1Vv`Gh;}Dd!*ocEJ z>;ZzcWfP59{dGr=tC+FZh+Qsn0g$6NVoGLqtr>gQmhXbEqtP&Y=#&{ad2muT!(Cjt zw3@{h3#ufCC<0*&%zXiLi_pWM9$memluic8sYiDNoeYDVR2HSr4MKs=Z9~~NqIz6R z3P$anO|?$jpx8QKi_&N4#qow&SfR9nwr1iFsBtjCvCSRQIIPQ$xX9P1qiUs3liUg@ z_hm#g+G1P^Y;iFHq1bS^Q;cJncztm(*3e#i2f0hE7|FFU)f(yT>Qco}?0vu%7bEbh zC)b7&=a~5Y;$p0o+ECp@}H0~U{F zeApd}yf4S{BGRKI#mSk}1Jt@u#7$V$G-D$=HZD2za*G6RK1tJ-I_NVqHqG{)wX;Jg zLzcSPO}MLpiy;o(+GRGS3%MUH#Lh*y&!y;&KCF?R-4YE$TDawtHDYQC@dnJhBRt7B zyOa2&+OsmG9s1{ip2QMK5bVhj_9s*io+OmqYiJ%au#22X$i!a5 z84lyWQbD9qaC;3)UDzK0i}xCyNAGe%ywkA%Z0a-YGrnY>A^lBKU?Ke{&E4^=0CvXM z>_x1pKeRUA?IQOgWKwgNUf?huXG`Yx85X**XFdn&^tnW{zE#GnnRHh>oD{sbr_aHO2xM$3vSK&crBPAPz?F{0m&9z z>cUqN+}B~KIMdgLBSy7&kHF;x1Q@3#(_Yi59Fp$6>q^Gxl1r#YMii|EMjv)b+su zWKMjyizZ&^bSWB#;0E0_eIumUF5II3>iO5irY4!@i{_CQ@ZU0b*KPY!((3|OOb~s9 zPS!9LQEF~GlrFSCoCn~FePbGGxnK?;xWkN_btV2i6Nfulid;g-(KYKzQwQ#&EXHU3 z#YpO8si4>PgAKx+EJdy&WK@jgPFCf)QEglb?uo@v>?y2CQEeo3vXr=riNl>NMZUkU z79+XKt2Fl!&1j49Q(%joD%ig8ON;7!0$Q@(FNUjZ4ZS5YTFUFsMEi8ur{UI4VTFsU)WgxGq zGN%wM>PZEXK1aP$?8S`VFP^Jgo9C!ku5V4m#+S53W*=e;EyLXbFRR{wX(M6b*Tg*aqpjd~qwfW~;M}lyTN~ z9$U6LyMTU8+LCW})6aQi{?*x~^lMXMzB-FN)MaTJqNN_$`jCmO*^}w-Opb5U`twj9 z3L$^q$rGuk5j`Fp#Se0rB8orHwBkDwLyzok>#UQH1hMm4q#jRUbCoTyzR*MjNr_sK zUjpk=iCW=1G2`ONZmukal>w&1)|j!=m>uAiXWpBU$;m;Z#wIk1rQ&dzXRE1Tf)jRq z^MmFKE-x!z{48x5FRGHsS|we!O6Vngr%Ddm%2Or#zyM}$E;%ptS@V8!H!5CYE1}r8 zNb%x;4pigRewuI_v2yNU+L6hzHH*on!uwq0B|y?CqOnnKOYbpFnT1VylglWUpQRKIP9G!LkM9|1O zV_Nx}LFA)z{BgNI^UZM&Di+nogSVEaoDPehzW13oX^EVdeVQ+5-?03WvnZDFLTbW_ z1uy$leJARyTtzIBmVFetfRItr_jQqZ*+=2inQ`&(nU{SOwiR$8Rg>^93#7f|0Bc+(njJ=h>%SMXaAIQ;Hf-D;)R>Qeut>S7} z!mlCOR`T0BX$;a9kU&qgAMb$G*3MMEo!Pi)^4OWzDwctw)%C?Ip7GGk6VvAxG>KnCU(TXO9Xx4QhwxUr{qhgJU z8Wl7kh7i#N1PzE95o=VmL8$`11$^QEJ7?~lbMNk*xf}F(p1+@uB-#DWxo75_IrBaj zaKrg@8&HOEd9cZ!WGKn6_`at{cu$VSTN?8dKHOX=Ih8{j11w^f;xwZrqjf z&B@9?0CpiOzen%_b&}?PCD|3*pt+uECe4%8E+TBwEBw$z@7<#18wTY}Gl7suqubxNkB$`mHWrP@%p1cD3s0 zQMuDxwKfm7ltdvg5Bnmqx+J{H@u2|k#?PGTkHC@B(40`r5ieh1tFC^fx3lAs-5wLV$OU;8Z+WxDyLO$EyAMP;+2ftS zlL4m{?(nILdD6 z$346n5pmC%ou`>QelHgUWqp$%Ydd>clfvMJ2(8;$BNo@0>}%aR^ZWr+LWYRNbw=1* zhz+bWSyxU}lZL1_oKttxbk6jL(yKeewMIV=)kxUw`AHgOzF4b5OhVNcp5|nYv<*}n zfIqqJJa)6HJN=OXlyE&`1e{jgkt97h_vXHWkZkpY-PT6sJ0*;Tbie!`PvCAJf`6T24EX zakPBoIclr-$TQuo${pNodp-~GwuNgX{-$lp^nvt1{&W{@Q$FZC{7}QdQ6nCtVPMY8 zRX=eyDbt@EvAcrjx_Dz!jrO`v_9d?~zEqC3`3l?!7!`t_fKAGVnYyGW#P|u;ZP4#bJ?ZK@W#C*mwFuG%cZbq6U(iJXK%KtqsbeiCGGy4*1UIE$S?PDpVCB4 zU?y)}QPWOt=3EnMg?%P0xXx`7A0bhuCXr1j?6vx_>1IySp1^6%nG-TkKgE~1QdC=& zIa6`6*-CZJ+6IN?OxQWZcFSy%b|R-W=S;|7>!GLo7g>lv>YYw)Kyjs?>! zk&c#XUU+R|{`EfDdlH%GeRl@_izfQ@m5F~W!eor0UG9feb~NlW5X&P_fGYQ>5s%TY zIWs?TZ{edqO_imJp4W+g)VTVA0bG{Zo{_v-H)w9mtMHLmfsD^$GX=gk#e ziQe;-oiM|*cU73$>CIbxB0qfhEhB-L)1iT)I&EI80Gt$y-QmaQs~!7v3?K5f3; zlavlLOqHKpO=LQT$;v<#^q8l?F^{Qxs45N~rYg>#l}50S-tws`&K9TQXtEHbJ-(@` zqZ8z?=)m}%;V?MJfj*@ z+WXv5UB*Wt7xq54u)7kQ(lZS_XIA|ijCLhu+wPPyb?-{SZ++Oqz>+hdTRq>PK}1g> z(bjRFu%lUxq_LRO_@z82DGPl#Ep@Fm=^hnL%EbqLD6@FYBNx?1gmhR}j%NG-GbgTB z(wvhUa9zGHkDP~m#c>|KZ)9u<97mtTz-_PO>1t9={Vqw#j~vI!Eg>%}fm2CR>I=I` z>36qprxsdOmygzY2I4kAd+3@9uUp)qUGKTxR8E1REB7WJc?(Ee=$h)s&tt) z9Ya#>ZAvum(_Ik4>(zeFvOrJLI?Lq}YyWTXZ##Y-J>-^-iL?(Kxt!q6tt1dft z-Lcof({&$Bo1(HZP^_L_NUgKw!!Sf;^JiDP<}YHU%;p#JCm?Nm#@PIVf1YhIzhEnX zrDJ|caVHBln_tMuEIZu%lH%7a+iZRz`?2hB^Gk~Ncea~f$Oa;J)cj4(@hXG)hr{xV zYqa@`S*bwtxBAHgLE376!7V<)U+ffY{whDV5?F}&JN+r{V!?stkN(4_!e3an)%%eI<-qCdq4Sw{1>`pE@Erf>c{U+u5A*M2sC!L4rXKZTVtn_tMm zAZ@ju%`fLrS5KoQVWV|Z$~;~u2NkWn|r|S)^!eHZzHxFV=hTKj#C=OT!I}5EWI(8r0LhV{+awGx874mKo=6zloTBz}`??}-uk&wy3w8&4^-_&P0qIE&v(8SpF`(w}rtnZ*md zn=8Jj@z`yW__w|y?YjcSHz$jq3)t};(*<2DzFlj7HBy9ZxD&Y86(6$OF8g-Z?e}K! z*4=gjfBL1Py}2D_?T2gei;x2CXh7l6EqPq_0?%~C+pZg(sAZ35+2-p;g*-|G8~Ws| zc8wODMWU_iEyBL_#pgH;G`H83i$^C;LT3HD?RL$XehQ8|j!ujY`sxV&Dw^^Yt}4yL zSBC9--(gJ#PwX+}sGv=Jc;Y$$hHFljsI)z&92pd4khYf621c11+@$?0rqyLm$%$uO zo4aTL2cV1aRXpp7r5k#iVh|T_t1jR>d>FUxY`DD5;yWSFnm7 z>`8&J-nbF83kobAU*kIeexyb4g(lU2JmeQ!$n)m~ZvpM{v#nr*)&a-g;S+fuVR8ke zy6UVK@`VjYvlnLbh9hd7TO#zr1+1K0(vUN=kF(VXceR`1M=L#M*qv@3k7jMQ@8k)6 zJ5lEcZ-*QY$V29G9_I$fWgR^-_deG`TR){-rkP8b6r;$$7Aku-mmA#*t|22wT`;!Fe!* zD7J$n{`_MqfWQ#Nb`WwI$TaLA32I1mnt8aG)kxml6F9zQBgj(@=ai{4Z_Fviq_4V6td=`KYSsrm zBHE1eC2hu`u+|lPbSe7*#L>z4M@6<_8_0z8ie9~Qlp00q3Dx?^oj|e)G4+dg?ckd3 z&f{@+?4Sj}Ga9q!_{6@|39;qd3el_5k_RoIKN~0e$tOTMQ@+N*WxGGgHAsRxosus_ zr&ksiKIl{9G>}{vhB}#47zdKp74&}Bq{3L6To_ybqYI;kTA(Exj;~yH#XejX)UqWN z$8zA&<5X$rR*?QAHmN}F0lR=N0oWxv!LXwxsX#6w@qA0X7Vh2>pHv_tK^9MHdV$XW zd*2TbF{~5?#pra4tJ{#T}tV)#-HIhH+Ajcp;;3at9t_2 zFQbVY6#$PWpkmr0-0gD>Wwxb~ZdOv)Ez!+NE7aXSo%mJV?XwBLsk?n@@teAC>Ack^ z;JT$b_#0Q=(8qLX&{*UnjhI*mcTj#F{fI1}hTMj>NwfP9o6j_? zudWgD86tzPuAy9dFZS6D3aQ-2BGaRZV*Jk~!8c7s)vZc5LR=aVR!Zb3dVZQEvMc8* zIl|gXM4t1?dV7foIfuyfN@Ocgm0P@H1SNw1Q%YnCiz~i4;H$ILS5*OD9gnY^(PhQ7 z2Hf8B3VN%U#eK^Y zaP<-NWefV6$6xZjKsTMyFOI_ki!D8u?Il z>yX=6eEV-FSa8p!a&^uw^;~^jU`MX-MiiNuQ zbw{{KqMMPxjk%hoMv3|XNy2XLlrM0(8+-PVs@p2 znkULm8@WP1+i~@3pQR!HCxvA zf4cxRYu^a|RkdnFd(KRHB`q9H+^?bw>zOa9PuT)NE>e9dO0-qG{~J&xXo|4lG9UJY z(%7j}Iw-mUwAxRXlTfGAs#!OHcKO*7VpC@a6V*j~AET;hG?w`~#Zz;ZZh)>`I!nC_ zS?cm*>)uio$7D?C(S+F_#LLNtCx3k}bAQ5ZsRf2V_ zrV-6oSSd5P#7O7Wq7sdE0 z-Ihovc-loqoAT)nPJ>%;TivPM;^8$mx8Sx~;A;qut2?9cgrK&FTWm>j5{nOX4sNl9 z%qKDp=fJ`y_foL12~}vrU07!G9(E(&qSrz*EAUK$o!!B<%u0%LSiCv2LLNb6w=#?P zw~^vs#k7s+5xlya(;?kxoE3;7*Nf|P)|aft6NBFi)b1y52N{n*aFBV2KjM>m5lami zJNvOwglW$-w9cjT(K?I5xs>KO)q&O>4%LBfZrtQ&*RLY^d`2RUxm&|Y$I@qf4|swS zxtoFX6I7RGZDW%kzMkNUPkTfsygx(nXBx}yqq?S=97&`-bgJ&DPLV??f=7C)bE?S?Uvb>P;9p5fKLgwl3X+t*nMkd) z!5Na&E~PZ4fkKkvhmhjMC~ZTHQ&rk;vl-QKKjU{5$n z-S=Nq>Kc42N#S{*jlPwnaurD~hRU`+isW!CiMF&wLLNqBTE0cors$R=`Ig%jsc-dK z6dc3xt@Z3%?_gGfUC8HgPt!Dsj@0p498Z2ckL%PPH+m_RhuPzV+#jUf;|7j+b1A9N* z$_e>Akw3uW+-SDL9tS5@dtWgq&h2yPF7onCToMqe!gb{8oMkTm#Kag4h|f;GYdJ5h0*upC?Y7LcELx<84wwB6lOzNpM z)Li^VBZ?ND5L9cZ;~t|m)TJaoq2>v(HhMahr25mphPrl%{({y}zgY}ZW}R~{&Fi0y zf0Ncw8`K-)*mqIZ zqQ$8A;L2L4&=P5-1{0Inylk+S+hk&HyFGZ`ylIv%b5$hPxNJ~*n-4yl>}^`fZSu2+ zvEabj!h+j=nTihZ%J~!H2Wp zz|2qdli5V3llhFAqsjO9g=HS!^Q`9y5xZ$!P}#a()= zX?>R)ioD0&hGJsq1BE>{_<>m8y#(tUO)5|~@dD>5xP6aHpvfMnqgs)77a%wGKrQf7 zfbD@=*c(`IV65UmE#x>N(}`6SsC9X_A|S__mu%br7i~kZlD}wFd96eDCuKHG1bNrt+4-3awG%m&ha!EZFjU# z{*Wlf|LQrOCV081P0GGK4;saJ19?0HMst3T<>I)eXT^LTH`DW|neL+H^kPH{w|}JN9&8U50#^dI2Mb}xvS4%d5b`i0ccgmELzbQXi>F||hv&p2 z96X&bo4C}PsMO|dqc5=u!F|P(cX!&Xt?2obFQs8s(k6t8jnQaqT5B|hDiJGbXo;*t zL1PJeT~EBS=`g3v*O?lMO(^ZfrBApG#qnh8;Jb&b0C~v%MAC5tRNHuFbu7|RF|8vb zXGRo{#AmITBUAG{x`89LXeTeXO`G&1S3C_t&Zt|nZYPHaF~ZgoD~(}qXY|0+Z6k`; z=geGwsFPvL1=(V7e!ZLHViIY0GJ*36R+%%0VZk>)MY7F)CS(hd-S)Fi=+YF=I407W zQ~BIb3aTB!&BGXEcX5Nx^H{T9oqqB#kR(-^Quje!QzpsnL2^wKC`poEe-f;-fg7!C z;n}VIsT-9!i++txQXkbTq1 ztl;lI5t>1>|C+L*H`|f* z&Fz6U-KK0R@GF3AQ=dH67yMq9{k_i-_hCnj#{M!B%Sud^pQCTXWchC~m=fv22qy zTmA4GkAzxt*uQ+@=THiFf0aIg?QVsu@xy;3I1OvwnYJ~rKE9jQ%$?^pC?7v;x2BLy zAVaMw_$@5hYE6O9XW8a{VeX?SZo!Xa*{1U`b$&RTV5e>x*06Ht`ozCcM`dEXM9mLB zOmG_3yvwmB-8s}d5BCl6Hb^?3KJ;wrQeSRuDPl!g@re;Ig4 zdnxceEIY)Cf?vk6tyUEH1cKABqNK=W@m4De{K3D{v*K*C70=p1D^Au{`~xXrX(xsJ zCCJdaD0mhNw$?>~+aDy^=E%x*QSgU>o7+jQivnLmu)Uq+x+p15V)3SSaw^qDf%hdi zye?MVO;${t*~4KI{c_Pscj}{TME2LmmEk>}T5ZW&=h!VN<0Eo9biqSs(lq!S?!C?@v+2;!X82ufZq&bb`YzS$&VWJ~~l#YyWOW z)i!O#SMIl4QOG$UL#-(I6c%i?qQFs>9b!en2eE9c6$RdSUwT%Q6bmVZv2A243Y;J~ z4J+PjwxSbNyKTjc`ECy9AKjY9srHVrEZ{si!lwo%~gS++T0QGz{AdA4en;JjSw3^|8(mk0aO~Rdb*4i9eXdo1*GeKm6U@X<4%RK68EK zsM@W0fbrUX*V5xH{Tm_A02yjO!4G1=)_5v#*X%UxC-^ep=2nsIC-7{7)3BeU_%n;Q z+E3u)2oA4_)%Tn2$MLjV_N&(RYrM;DKOt`g8EQYl|HOi=_7iwG%Qi<+u785>!m>?~ zlwhU(4rPf|QWDU6Yn>z}~W2oASj)dOinQn6tgF|xNuY>je6d%=4nV9Ne-g7?j3kVLkVf7qy z9dvr8Mf-NMXSzvS@t3Tzxg`{GSCFAr6#VVmsVJ;g6!>w#wwADitSI<(EZb^Dflnhi z4J%5Dd=_uDqQIZumYx+KG+WW>nReTX`OmmL(@RMSOM58fD3GC66udtRwpvl(&RLEX z4U=PRMZp&UH;?zZE(&}z!HyLT@s#VLq&SPk8+)cy7X=4&;ChDo9bhoA8sbtULSLx@`}J_-Rl;sXA^!j})QW;1#)7R;Rp6d1+ZV|CZo1toW$eicVDRwiOGt6`SLBD+*ZyGSrHK zPh!DVD++up%Qi<AQs9LM7csOfp?&S!X4Kmbbm6@}G` z0?!3(Ya7{$f=^@FRx1iThTt@;C@J=1@m4Dey!nRotoXRuicVBDSg|IGjpO&E?2+Z& zt8Lnje zuWnI$9R+^Bl4PSkuH{3W8dtK{HnJrJe;T-MA2qD>Ufam^QQ#X0##zDm9?=P_`G#I+ zv+^Lm!K;xgqu{@1+0M>vP10tnMy`oM4ka=X#X5c~Z@i^li`aO}f8cD|q?eqXj(Eja z{Va~FC%bH-cXo7Av+TifE+6yT@=IFt|D-?dUUv@vt1AJ9ucC_zv5DXLbRAz5@QXI= zDyUc*O*wBp83$5u5n;n7+G{z#o`1}$;~$Ay{GjvJ{3B6~AGo^~=0{+tr0?A0krmUH zX5>siSG|j(6<;`~u<={XUZ8Obd$T@;jo+M8*!WrzFLZWJ z!a`r~ai7TY>v0wr=5BVJE{23UQ$?J(sC-WJfj7&a-O_|T8kf7d|(t_Ny zM5Zf$m|oF^vqTis;SbIc(28kI_>2zqv5%M!fKG*nE*97O_jS;*;v2lyU2kE9Ts(Gd zV~3xd2QrQqx|pt^i7xO7u4KXArwZQESnns#X2CFQSMYZJ@pr&hqVXXlxO{uymiuju zU4D4`wHCvUztbo9HLwQ5w))A3iL@AYXKtA`(Z76U{LfHP)aLrCjN=yU?nXF|egA_@ zu?d~lrHNaYBlvh4o7*{_Hl2^BO{e2&J^4$H4bGP}{ACS&`T94$pkH?l7lY?u{%mGv z6Z#) zWM;?JJnlo+u;V;%R^}P+_P!wJ_scHGIdn2BjB5y=`SEw#j|cYfR6iD z;orpNIJD2sgtOap=$HeUz0selOL+ig(%Re#`|;Ie5qdvVMuYE2d2wq?q*}$;YTpsr zYI~Dgr`4EAqU}wtz~=!bFAl62e1crqqgb%H$rZ9Uk(S^judwi*r>}`eD9es{t2sJU zQ*=;AWM|7w+#b`9qY#wUvOk&d%db{AH#U5N-(pMRSx@7093hPNe*+&t;E3jHd&b)6 z&W2*ujl`V|Tl>0p<~tj3aNTb3c3sj3T@_|^Gz zcDpogjYn?vltvZ$`RdMwTh*Nn@)zCNK&^W0irr~AfbVl=OyXZ}<~tjz)SV6Z1DV4g zIh7~lTeRUc!gQ|)px^0=0JEEM2f9WV?9wTee^bG72U_6U0UJXZcc6v6hy@3RGVVYN zIg-e93YI$1XlXL}sN$BKQ=8~Ig*NW38oY=z+p07B<`tot75EXrMla&b3VSUJHfL7I zGl<;b%+lp>`kJ`Dm0er7QBF8Nvo0&9##tKXoaqI<;UbBWT69JU8Xa3TvSG~iGx{tT zw&~}67S`jRx(-DZUcvczI~P*&AKy8mPBk}WxZpoiwtJ6`E8++jg~(MMV5 zdl*V2Ouh4361-~@7jj;N&M{Ycyp+VS9i@i?ei_}z~?oLv#fu`@8{PcAs)O5>x!QDQ|r;}vNf0!=K ztoGxBNwm8Xq4iMR+O>^ce)#LZQ0CIP-#v1KwwnJjX;)lfpeF*(do!af2vj>~SWh7$bmB-(B$ zflnd0;-#M9?@UNG6fWNJ8;YwJcjzkc8X)S+-L9@+qZvoHS)kvkS)h(ktnv#)0$gM> z9ryWE<1(76XkwQ7=p4M4G%`E&2A`czAkWCn`uex2o&PH)xMDYvST(`~j0AlvC*!$E zPhu)zdeXet=}Dz3p(~5-3Mx2T-4*m#R6$bZ;s8~0rkCK`l*!5sGFdtJd3UlBJrLhy zW%XGBv#2*-1~WOcsC<*q5lBzdrn~bOfwP$Zyp+-u*SP6C6P#1=m0MUF*#-&qL(S8A z$WxS%TLXj~;SsVf)sX5VQ}LFp;VNF)p`NaOsHc0TDGDXql-W}+{+x$?J$_@ee!H?h zO(?k5pPFiYri|9#^DAY2PN*g);6UKJur=kOeMx-#v?b6Xr3~J|LDaX)G7N=Mg8VPPrAxgsGvDFy^Md| zqG(cnLo-jV-;|~L4O2$H8FWPtjvAaWUa4e}-)uQk`3<+1$%_~ndvma$)fIDGn0jV_ zXIyqsl4l(6c*a*KESNuNb>r{qtDv|r9$&e|u}IlQ{YdeQqm+;!&)C}|WNxZwsEpu1vokN0GyP#@XxBY*Drwfx<03hg zH{t^{8nqttSF!m^&^#5RdBRiyPmZhpX&YAasC{6@O-7c^E>V{L70FAsbnkR5J>!f3 zOIJ=xvUJ3;bZ`D5(9*Y{TnsVbN_^#7x=xj~`jKMkA|)iq(!b!Zg3G5K`wz&FwMw}9 zkz&X{O0LL|lP~yuyBdyrE|&AmL7xtTpfl{5SN6;t6?{ftV!2S?pXQl5+T~Mx*{5*$ zhTbia*{}@Ro~GP3;#Yp6VOoqYD;tBNR#xBtLX>FmN))fL3Hc?mf@e70!54C#dAsuM zE8N#f;Sw~6Tr6}8Xm_$lv+k7fCO>-@vF^=T(P{kZZsla`m`FuC67rf{LhO+6t`ktZ^rdjJKQe9dhFv-7@;-Po!U0 zX3o8O(Oz{Znj85JIU!dOnczF*>i7=1HT*K=87JeBQMy;oeurGOeqvgf*stQIg!} zLA$0*8f7*&CP}`9Shpsm-XZ5cQoA9UmN9;bHT=mJwaa8O`$gPgGAiM?p`Z=#c$>W@NM z&^z%G)w?dE;SyE1Zrm4FrZcu%NXCSG@7(Z=3H>x^d&Y#lk=Ue+4gC^Xq`TqPp&i0h zoqGkIVJ?9;P1WmM9Z3?6cXRQsDS@+n8Ph)G`WstJ=(Xb|W196@OxVZ4#!C>1411<{ zUQzJtNVFS?v~2P@T4Co&RDn+;I9}3V+B3ydi-I4>vYn|#$J3l$7(971b*WWTosBYG!~>JkNC%uB8^wM4xG(nqzK>@XfRp)7Im&|N6aE&FAVW>V^t- z)(-8gPmCk;bir9QshqW0IjfMBAmb$)izYO)Lup()6y0bl_?f`rP^vZ3F#Smm#m*}5 z5SG2BXu|U1%4JFWO^ag7m9q-I?QD|0RXMAct(w>9$qA;CAEMegeC zAJVW2$Effo~O3CX;LNbqWw9T<{%3z3i)fGnQ0j9UHC7qwD7*o8K+CaSm^SfX;^ z-Xhcp-dGgNv5 zXx|whAhi1`&^vCW>ZH^a(>hV9o>reZO+e9vR(4PwAeHkkZ{%8)yTok*#*T%9R%}gf z0?3uQ2@vuykZ1ymCbSh-u1*Qls~foq5IlnfC!Ho!*RvMIR;yYi@N1<`6VP5<`F4uz zwW?MLJ_q>d@}R4Ni`=V%1^ye$?lcZy#@~ZhK=3GV!>+t8Ke-|v{pWx4a zPl5w?<+b|B7eV3(fw4gxR_had8}QMzc}VsV!WB4p)%eMaNd1`DWmQy6yjiNzOBBnyYs_2sU zGNVIQ(Y4Y&R;wXGW{=T{ei_k8Ee&|5Ie&z$hCO7%;bDj2)M0|fAUf8^_eh+C*ASG{$9Ao3nzH|fCBSIt?mVZR zpK}c6iayD#rVb*_V>sWf;O`E_R1vn(m%7{N&p16=zOjjo{QN|kC5g?am+j$|Wu^QB za}>OVp5A;`6iXE12VV6Ko@NZ`L(Ei|_K)xl&biHQ?0M%5@&nU02fo2s;Ch1f8vp~R z3-|_SNpUTU4_yD}>zjoMVbJdT5pfex6|c8hUe< zB}JUYo3kwBxkT;1gG+UPWW@mwy6KuCK-|Q?YYFK<=miby|AulB| ztt|VlYsQ|XP%CqmYju`0!?P^#o2PP?sdkYcwFT_d_v`CB;*eA~?%@-LsHYM5dKx-!;zIY!PZ@ z&T_^JZur`db+Tqz;LX3|EZeNiS(X%wDTO7=Le3&`2eOPevgSrwv3-a(67|jcbm7Q8 zXkl{Iir?5s!v#47^ldS`A(H2Qid{xyBS=}gM17r`HQ=_~4D3GUJxH}a0g#IAI{w6c zBV}HuiI^HM1KE8eRV@*>Yl|-MhxyJlbZ60oj^fJoDLusZ8+kMPFm0RbPoX~1x2b)i z_ZxXXyO5YDUmy6pr4>RNZ7mNhmUbpHw-2UA47j?ye{?Ltoqi}QeWQK z?kC?bV%6RLLQv4-i{(UiJ*99vjgH>VoUytUP-}66lC7ePak#=4%Zd0?kqE;T)o=6_ z3k_HJVmW~a1BOM7$7VX{1aq}k=*yF#dhk1ir_!CkLcUC-?nW8*x$)hllIC_wW13;& zt4oEwh~+1^Xm%-@u!QGFd|hgq^5EM`CDBnxg!z$xE;Y}O&`rVu??O+AZI1R>7VWVa zo$21u#9zLR#@^x&-l86Mv|>YMw0sF2>Of5Cw=(0F{RWPnw3g3?dSCXtC;Hiaqvapd zQBi<+2L{&j+>lf=dQz)O;q95DJHB&tsB^XyH=j~6XJ6{rNR>Bc^?YVzAKEtwdCRxt zjBCZuCD_EJlycrp&2`omZkn`(_dLlSZiL)0nk~%luSRQc3pbKz9woAe8-Z^G92#x} zF9mK3H$oojSKStFB+=F=scyOohr^A)D*&_Vrf^daU)!Wh{chlxy9f+7e)6xRg|1hD zeJsAMOJt8^y#o*Y^Hp6!4pg$k!VO)(C5hhuE!8PwxbegD0b9cjRl)qFZolLP;HGdx zR|E<UbnTrPL7ZbAU&$vqK+i0phWhlBk-Aktx<=%E@isZf5xf@M;$-;-HEKaJ40aX zQE=AUKdL)DP-y72>H-E-0uQDq{OD09CEQeZzmrqu?d0tH z*pCoyI<$ok9cd3YLS}+Qou!#V8sFQ(jU;-t5Q*&JM&SDahlU%${|ej|ZiF07T8Qel za3hKOvFg@Nj==vpJ|x^w*CqEww>577ZtLU-IRhkAw}uYhZm0?t>SDPMxGCIFhegQ05vhyC6mI-!PNX!(a6|nSkw1{- zhlU$}qW6!ZqA`xCsFNd4m=E~J4>zVxP8v}sxs&6IIuF&Q8FdWnh?8OC#qHYA4abuI zdyX9Kvq1F}RySt9 zQW%1RpX{$>yTxJ{ys10jwD^vrsaUoKPPkz0neTwp@Y8@fI2f9e4yrJ<8lMi_a7uA1 zT|6n|Sww1|F$D*Inx9e{V{o853q}665hULk0YxVm)-d_fQAzY7B`P>4fKz|$h-OX$6K;Crv6=@gm*89nK(z`2)PXYPgK1n%jg zH0~74Dx@o^iB5Voa0&)5F?FV3aBU(bbEja6X$odJrdp6bx6gHRI8M;?3r`)l+YCH@ zEM`92w1FQOjuHw!hMIAfkGzV=c%?hv66E)j{V9G+DUw<(XK!DJpWGKDD{TlhNlJhE zD^faT%tN~olayXcq*mJCl}Tz>QyORAx1P~c)4I)#Ns6COtaq+$Ks0d@X6Zb0X}xeu zM`ko@?qHs!!yXsR(!qL>_GqG9eJHbJ#vUFQKt_ujt^Ruy6+qybR4xD^Um()H49MA+ z6t_?ci`9g@5M-#;1Ru^yo2@3~?mne$R+BVqkA&J*s|ou!u{&b5ae-Dd4jQ=u9;U7K zD?HZL01MfN6x2N}(Ni-9Q_>DTT8yDRL==#cD!+bZ8n@6Z~1=HmeDlAW|!BvznwCOKGfD z6Lu)EJ7TqQsaC_DUA=Q&Z3f);T|R7`b>SUcEh(P#t(=M}G-Qc?#43m5FN7NYiPMWs z*mQ@}i_2apiN-#pZF^nVDvX-kG`6J9KF@ z(a4+Wg&YL(0buNQxBc{GjgKs$*ZQ(*tSOA=`ZBMb}T+!s>LD4kfbLU&vR8j3+ou?Gl}^nQz_KQyEJ2Eo#5NB)WseJ1-&7 zu$uSSqW1d>d@qZj1u*kbAOVYzTXcuk+Wq zQhIG;y&qqH5ZUn?9IMczI##i^F>j?$@IoRzyQi{w_f%q^1e$*MU!s;AZ^;L}qKSP5 zQP(8syh1e5Z)aT2ug@zCeVI-!(6!*|n8K2dUighJM~?kl`}a;`d*WV*jm9l*$}^#? z^2|LrM;6c2War>CJX6yPL8C`uJb+TB$!a1cx|uywr}zV*|p+V;xm0_Y+g>?6?;b%w;GH<@tF(K{+@B2pwnLdDp46K6c{)RJwHE z#?nE!b_Lfotk`*=={e&M!%BiYr=HVx_4D+t`;ofn0=N3f8}w6}5U%i)+6VU;7>lg< zq<1{)k;Sjl#lZLr`DA~l(lDvOS*_Pu9ZG_&SrxX2RwCW3O4=9mshG@J74j}7sX%>M zZP%u@=Bz{K>~xZ2&6%)2)6YydXOi}l{p~puatV_uIiuq@?9CbR{?~5m?2Q{gMzrN5 z-c^pPz6mpEZky}7J5UHbTrwP& z;p2vdI+s_HOgGN^caC$M7qW=Rr24O0Xt(dg2M#4o9;I>0P_u6R=ktcbZrqpT^HAJ! z#y{f-RQ$w^mjPMm_+{$5XP z<1YI(^(Edz2V_CUzC-&&6DPahWE}2%gEdF`X36_Kqp{b}BxF)QV+gv^TX6SE$DyF; z?v^P@eV57ynMVH9u#18@=-<4gads1{$yr87Oe8F#DH~ z8}}yrJ1veAnzTiI{v~M|D2>&>guR*Nhxu32?_tn(t^V&Rkw7{g!5;rQ$nm1Yf{r)ZeEx+dls&I}34bI0H9Gdz=pn0cgnftot7EL= zU$xFJx#Q`V^!#hjzo+Lx*{j?*yk-y;klBNTe1yofJV?@9NolMeBOYJDz??_Mlsl#ncG3YAWu4$hxU;9Ii-A&zEX+ zQB@73qB8rEkbfXDEnku}2U8lWFA4ko0FtjQZ5SHYo()U4YW0^_MupZ|V+nhoE4!8;?fy7b~ZXX}CWiY#y;Rrr`+%)g7SbyIOSzD#z0}F!oY3_NE#y zJr<>jGyZtt_)}-}X;dw@Gp#o4xA@i_nV7WY4pOPs_O^Z&ZMlCW?4OC%+Nv&!P226H zkE3mViniDPM!qehZ@D|-P7$7uZ+&YlnHY}oO)l=yR!j`8#c~6G=9w6*SD)FYU#gJ% z#hY{y{BuvrR^aqY{%byR5=hI$V3nUfhUErMzijf8eMxQ!ro)3KUP{;abog)&(smnG zbDYLv&;nX?X>9UijlgVkA_cEQYBl~*jx`gwVNRsUPyUGoo99Fd-tY-Niq#FAt*iEv zy;(2{!4QeM{P;V4sT^qPUN@R*@o7ur_&0qjJWV7H9AJ8HbZpt^A)AZn`dw#_A6?>w zJ$^JbxF-nemFJ-|sp8Zfs(P7b260}pq7$hw8CcPj>%1t9lPIUh=Cr8irr%pm44Qj6 zjpx&o=Uxi<)8zT|@A=-NN?wGp4c}T(0Lre<<|6Nw22IEWkx9Wrm#nXQEosJ58f$|l z>`<1krvVKO8b>Ed)RjZ(n>t4vo&S!QfduaFayG@)Jy+#RE5ia|rf$R`|dz@uUpgRDg=T93Ao+#T?tjfX%aN z&v87f$sM?r$-vF*S>rF^PEx4v6tufh>44r;_-5Y`^6TBmw$5a$bH^B(CzyPPhin4Bwky=}bhE>UY$?9O z?PBPl-{w0Ve{y`M!yU4f$&gKaM-AB|Dh<9UJ>RL)Mf2erNJJx%VUNN@BIP;e)gDjuveTQ?&hX zxP040-*RWe9h|k`+yBwQSyUInS6P%T^WaR#6(GX~XF}h@a?OJ?A+I30*1?&uQI={L zoC$USiL`8`;KA8cT?Sh+?SnHRTS3N)Lk4Gp-vQjPV9kRwAunUWA%io)3t6yva3aIkaEAS*S##e`?O?0-3Evs;P0sXz)Ly#-#+Mf7qcg9@ zZcRtgE)wJ0GTMqT*;c2WWMxmXW#HQ~h86qB2bjd96Yk#R#iFXR`TGoXCu%zWf?(_! zwVwP-j$3M>-xOuX`~pvC*Sd_PCpfKWN|delv;95VWR=m&9hFX=8L)*NSNU~%_J}le zYKlOo?6qzzeXNH?rvh5j6SkaKilr!_tfoBzbsBcHU#9~}Co$uAZN{xAz%Vlo*J|#> zk2af8$aNW_WU3kSFZZiA{qQu3jLkSv>vAcfPw{ADGGl&Hk!|_~m5h5Cymk~l z*+33nqqW(%eT&t>g=}V096XEsd*LQ_Y;OgdoW}E8_46+ddA^V*1U`QlTjZt1;u)KU zu}wmElCW92v?3pTkAkq8Mc8MFbv;?vt}Lot3pa7C@)pP+yQ5IS+iul5EmR?4e`-;v zkp073La!W_UI}qsFVzYy`OZ>8T-Sx2MXXywx~{7d8sjJ=G5I)BNNRbZuH}2OFSVHh zBnRs%tzbSs)LhGj{OVg#FSV9uB-QeJ4(0MvJGD%@usbzqU2df(Slvn3i5_iCwLBxK zmhYO5PHezVty6|gCpMt4Yrc^ZG6n0&NjhCQ)Mv&rZN?(lm)-$l*!s(6%v*|yesveRwOr2XwuZQp~Ab!?Abpi23 z^%*Z9w)2j3eao8anXGN(1;mLu2dA-Cfv4Pg0a3^TkYQs~p|^cSxiybbg=_<9AEOF8 zhou_EsDe!+%(y8&ldd>OTKcNaM;MjHQ7>9|!3@*^Hl1nbpjx9#Gn4iE2Cx0o<&L9t z*yypgkq0tDuKkiq6WiR;E;qGS~+$)aeLqZ&^z|~-A_5h zIAcGP{nV%dYN=uCDALHC9oHswH#F7L=x?Hi2LiU4u!eG*@t!NY^K+vKhXL}CjUX*G zt;SDRv)n)vX1wo{djZKcnQ)??J({Ja*0eT1wkKifm~a>^(sA#>XF_Qzd@Z=wkT(pb zDri2C!U1jg2W~N!Z=qr~Pr3>Ddytl5<{c424`I21#mqY*gxtQ_Qp~&~LfF^92Gtba z5h2)I5?S1CJio+YNbqUEu_FRuNUwrZ7@||N9EOBEodx4y)G~f)kjAOCpjQEL7@DXH zcQ6a4D=;;w4n{3+cwiMB+KmS&XU7{73&BGPf@yG#tN1+otL>yW!?Y*(}&x2{@PwehCW>tOOj-g*=hS^ecgi>M4}~rvrF0l)kuw2WCICE|l-* z)CF6wwo9AnnvGnNspn+SHKz@0l^+3%v_M@ z)h4eQn0mE8*`G?Y&EBgmSnoEehq8X=UagRsl zYa;YCmK$g$ZcT(do#dKA7B?lr{*0xjc8Rzh5iEl+vzgGVZ5qOp^E=Y3y=rgn)jE@8 z)?RIuF6K)&KqGsvR^X9v1MlcgFQU$A#R zK&IRSGNHX%N%tVqh4g9#o(ed$S36Y~^k|Z8>D3CkKMOWj0*>T@Z+hQY2{@7q`4UK5 zCE#E#`0Xq>uo7@Q7xEG!)2{?SPOmnNy71_pK^r%Y`#9)EgFX4vq+Ruux1ErYvEjoF zIBDaa=c?%5eFk;f0iy4rkW91ve4l}^`w&Z$>uKL-AZa@~D6RRBzmU%`Nl)<|^5^>u z)?VA~`wZH3R?i{9)~pKq3#~-D$KNIGm+#uMD&)&dreu}wGqCTvQ9ws@~oC!NkKQrB&N!pQ|*6f}_ z4%AQaxhLJ!pmG-Pzvl7en;O(NmNhue_C%fSr``$6wy-x4+pStDX;0#`=4=a@ub<+} z_8PjWVbtH9TDb;=5PDNXjn3tYb}+rh2-3p;fEjht)T2j8;c|G~Dqv5durXR4_0fqQr9{S! z(dwv=hOZ^qb08!9sL%h!O$`~vXBls5Says$@H6=7fc=Q2v{Ui$fNyf9_u3h&3{Lf< zYk$=0PeoHEUHkKqIw!>O)ca*G`f^#Cw?B00QqEgTCNXd37xL4$$l~eT{vc@bFCjHN{Gq(D-wz$_VEXm8}yzwR#klBNToJ(X{9wcd|QW~oV30uVS!#s$O z5>;vS2eSI6sK-Z%1pfF9%7K1@!GriHQGL*O*Cz!$zpD7afM1nm|6n>^C#fknGu6?k1 z(2QTQ2c>cC!&F^F#jlB#^;TxLgT$Q+VGkgdI+-LD zWKo^g_TJSNZMm~0>|cr1+J>Jj%JyiRPiKeJx~y{!DxN8MDWh-4)2<=+WYHz~_J8zb zQM)dJ*{dj9=ILM|F9#VmD<Gn_R}`f)-Wq3SPQVwlSSDZ+{jS_ z+;;7Qke9Mx^Mn;&`ylx7tZv|}EuSnBvOfzp&)V{}4}yR6DwRXvgcV==Amkz<-3hDz z!)qVz>=86UwFB2ag!YPtYr8hRg1lQAG$C&zGOY$p(ws+WtPPs5N3nc;gw@cXadeVI zJy?BHgT~=W;592L2l@$yUJ;K4CDFZ<$k;35a4qoV1bh0`;bXxcc0RlIV0wWbA(NC{W;^`k!F%RvraP zqRq?c38wBBj{*f=O0dUU!$*NBN4lfkx=JF*TSKps2=>Zj-;7NX{^2@Y!S;3^Bo@lNuq(QzA4i2_)p-ETPX+n2?pQc@n5Shp!t-@=sP_A z6S#_CPuD$s{FmZ8-MdO6$ag}olJIujCDOfBke=^!>7u!_g^I@PJ3>w-GA-YcG{;gJ ztM3S#%ks5l4RMEueUj+ymq>k+@9?ls;KvCL^&KAe6@2V=53k}UnBoo(`vfi`*yB6l z!@dCD>CROWLB11ul|-=b-2GGb9ksAvI^x7#h*DiR<6ac|1`qgz9Y(AkLWN%?@nTmG zJ>cW(7kopg=-2qxT_7=O%U!A}t?lDWE!uLAO4#d()!K#+_y&5kEu;xqPm!07PJ9Y-s@7?Dn@)TRQrPz!Jv!-A zkiNq|3x_2g-<`fmM`2tj>$!yBtS#YYtkY^<$d5LgQOM&wN~WH8PQISv!_U$+W4qSn z?dQYHDC`r&hL|xwsmM-+PGQ$mWN&mGyqKS0E*T*Y^ynfdo>Q-7-)QXHE@z&ZUY@SCIsa>Y)DVqM<4TWm7LQg*z zrjW2V5}V>DN})pMfbn=80uM`5A+GDKTA`oV6ymxr>{kmt3hBD86dL0wBr*9OT!ici z)`eQrE7+ITm;xm467JFpmh(f+z9i)DJ?f>_^5p9&wtvC~dOUT z))RIau_@N`2gZz~T7FSFI;lFc}r)C=2G$7XEMx*SSRuto)8dwR5ysNgTM z@kvECX9Jat-N8GxHnq>%OGd~mnUs<-T~9GMjpyfm>N<6P$n%B#>KSoqX)IE&rOX0e4Oj6xtEtWgkOYS zOp*PWTWK$%2UnPn@Ci8*r0x6{p9mkW1@FOv%}4lz?0m{A8?I!Mt#;Jd=qssKl&sza_3787gK2X zTEI3F@)5oojsK2hTaNGvc@PUW-YvohKZO3e-e^KT_#xyKEd`Rkzp582)_Ptp29O;Od;e#kharH9EQ4d;l^38`3Rqo=dxh)+#tsx z!4C&+JHjVqHVZb-4RRe8ZV|0askM&x*&9%<(lh) zkmr(IYh4ibNS11-3xe%Vn7OU(#>Et|byS+`>=#os=+c~3$E9h!ZcWIGK-#)Q+?;f3 z!N;;-bFWs&Jy@`@OT?{-(CZ%}g#*pRy;>m`f;5_mn-XDXu+&sDaXTW|g@l>S^usQu z=xF!Ot5|!rd7Isq;q`w(BYUq_;5xuI6LK(&YS~wjY)h|J$ltMGqX{_{3Vi^}4KyLg zLLt9?&|*Rkgu=cIHfY6^qo81O2usI=JKn1u_+}c7`&3=bd$8i>UagRwbI5+iV&;n} zgkA{RP*b>9D`YjvwFWd{&u6KrHHCY%f*nU9i`UpMrVxC0;I@k?gk1js2@biKqD~j? zeBg!#o$tpHGR}g{4LZjm!N&nNH0T_Mggl%Dn;UcvMS}NY!GR4rMKf5p7@r7Oj+UEE|-cE9@jxX#*EY;xnf{h}~ zJz!&Wf1Zk!L^((l(xnyn{aSks;3%HG#cd&;25zVUe7%s6F&1pD0UX8!KMS~_25=Y` zau^FX*8q;>g7;v-fi-}GxsY$(3l-9@0Y6TcHjP^;`mYMw15y}xE5(4ITPdb*qzfs^ zmv-UO$+MTeP!f%OczU#AV`(%ttu-2((iDxAG(=;?^+mBrT~VyKHX4uA#c53~7U{t6 z9elK?vdQyt8-8!oA5Y1T#!Il-;~YX-qw(U}(Xmb57rpQOI(0@MG_NIs9%V+xh;!EE z%-jXP;f<@7HK^h6yT!HI`Hs2=20A9vk#n!J(_%k7w}aYW4At8F?5<$rCFr>Zy#{so z=Oh>ZsNE<3zMJHhwCMrh-kiPIlEyYaIG@0Zk8#%O{hs*07p^6a_L^3}%Y=0NiWXI3 z&Cy;ZdC@qERToB=K9kfJL5(thE6o{JpfD<%a;9(ne*1RcRnjlI@2B;=i}o({8TYsh zW1Hb$P`2=o%GS=TZQzW3o1c7VwlyLS|I#P-3DAa!SnDS%Np1;d~afZH0cw(-ZB}Ghuj~er6bqwr#Ihev|iy>pWGIZ zq1LNI8fCp27Hqbjke9MxoArb}fu$O(C)nPEC0VZ-Z)|ewsbjq+*-t3#Mk0##M~;x z+%jy$>+{lUg?(s4-YFe985iXIehGcHoIe|f-}{ndMQY+1v?*aiTeNa@&OOe`!=MFJ zqZ-Q+XW`9B+8{gMfifxIMvI90{_{Z#=+DMZKlx4#NG)4!!qZm}sLI-$Ed60hbDR>c zSzfS2Fiw&`jaZ7CqB+6qf z{k>{S&bT)GY>px7o`@$h(MC zMu2Qvxk#D|DUG#Uggu5>bGdA6Q|0n4|CDl>(<8iGS|X^_8Q5jp)-z}3FVIEex4?5Z zrDEDTycvFH_cKpx{Elvoizc)eSH7*Q;yzpzi|~Shi73X!t-36)nngY5l-8KYE3@Im{g*CDBWBeKCyeswDeZY!G5RE%zi8$4W%n;Y}K z^$BhPj$t(3imnCIThVD=e5#+kn+0PS-B#?0O0MA5jr%LyT-}I=mK{xigpyAYBVPRSK}#7QkREFE(#$>uwdhMEiMV6cVmSEXT`WAgnaKG z)XL-T;h?S*7lW|#!3NEW@o8znW&kUSEm2bmD~g9KEsk|WV_T_f)nn`EMDJ|;zgskM z)qnM9t9A5{O+~SnlCO8)HCnL&F9vz9C+>Hrs7|fM%6#l#qt>C|E!9d=vyVxO=nxtP zNUyBN=tQQv6rrMXbTrFN{-R3G4Ql3hwGZqwuwvTAUT%MUBlpJ-;`SU>-`7k323y)W zNI0LiF}sS6*K**C_rLNy=XSAadpWVNsYeX^$lSOXi?n}&kpVYVo!)PH;v0O97AxNW z7d|1>h>?&E^)=ehSY$m5QO%p@8wgo1qljKPGv^O-##iWR1$7s?o!2pC8w0TM@^)bIj6&QPCuP#$*Ev1z`}AW z^c^hJoKqn$W3m}eZOm!Y?!KJ9v(2B=R?exRd8ob=y}*e&vpx7}ffK?7m-)yyXP{Wr z&_A#gtNnN#;pN-Z%Bd5*1}&gJ8@v4EwIEqz!@G5oH2xh44qhop()b|J*wE!j()o)7 zL_0h2v_q20eT?*!qlpuH`n;m0OS~eQC|9u4Ee>^%8tot*HBX$UY)u51-ODH<2_e<51h`Cc@qaHq=c7znnx{+(gJIkvrrj zSw1(Z{pts}Nvn2~1vio6W;YRXCXw9AS$stB@q}A^M98B+hWd!$JF~`S9})7MnAX_l zAd+A%C9pb(uw`IF9YpX`Nwmd5g!~ziJLDkQJ_ot(iyz=1UD`ovsz`COg9v#kNZMa- zjv&IGKvFH(Tf9dhIwMrK_N3pR?u;=B|R86hn_V(u6L7`q0}Yu-U6r+iT@?%6(wn;M@!O0FjnH zBj;W4D+ssPOURQzhT2Q;y;FOFDYs%g~dmNyb@%nj|l!-Ryr^Wv5yG3uTN>4n@E}s*FkNon+W?n*wA)b z@EIi9(uEW9d?I(qP5SxVWYNbzz)d=}o4kH4DQugkbr4}^gAH{M!7m}v76%dXL?U;{L1>Ch=F0Bs`~eP< zx83c?&AWyaH#>-sH-V(BBj!H7uzw(_7Vi-9mn=1;k1u!@t84ZSA>aPHQrG4hLO%xD z?i#|DljN{IzTl^kXp3tISwQ3txyD{T*C_ia#5HVv#;A6UJFX_h1IwEGj6z;Uq$PH6 zpHc8a!h^kod&@!&02$h66#PF|k;djeqma)NsWdh?NRrMsQvy@$NYZ*dv0gi--^Xw5 zb{~JLw%3NKlzX$igj`G{cL{=PGv{6KYQin{67m9&q4pB|SFEwwUPAT}jcxXl1nd3= zovro~ww~A>vDY~4jY}GnVb_RWd+Ox%q~edZZ%6ga`uKgWm5~P8_(7Xe>Z7sBsoVKW z{)r8IWoM5Zr?&HrJac3TZ7?a{*oJklV;udbM;Ot%~lc18$Qx~>5n|8h|`+iek0^h z^i!HJ7ZT5`tbK5wfw9OUe?xLh<^?a!=g}4nVfSLGsT<0t`mxV1 zN2UnqD`R5Jf1QHPd|j=MuhS7q?N)7o^HoekIXmm%D>!b=;7?%@=hJ!o>G}9H zG{*4lk`r~gk0BMESggy^jWK+?q_BgDrJBeuKS;e@ats0{t|-GkUCvbJf(Nk?IWa4j zK2B6R-!7(QX7&Bib9&H%TO|SbnJD0&yjh?!!}%;<{jAL6pS<*3nT_8=^U2%vYji#* z{>73{K6onZvBbt_QB;rb5uLD>=P-5QC`Vh{xanwm3zmMs&XmYK&81;gl4~1FkMY54 zCp#tSyPJ;h9icnk=vq2eLWsDUU-r-P7L($<2~Sa2wWULn?@L?W$d;q=WS+w zqVhHeRu_N*7=8YnDWvOa6lebLL3IAW>OwbXT5vzNl{0T}u~5#imxMDAp;AIZS?_$t zJ`!^Yx)jB)-%%;4lS2dC8@`6OMz_u6Km225)+U*s>?$AQ(RC-oZMLYM@X={cAx zBc>m_R*8gObF)^BrzhHM|`DrnFrhdTxCPRIb@n=R0 zcpiaM89m5hbX~;FXnmQjb{O)t@&EN6#pu$WF}j6|g>trkRu~Nt4x{JsVFBexK$jFo zFFRE+Of8mTgF8lOh9%q!^-UndI&=fRbPgKO$}j=f0IW%GbuXmQ3H$`J%@pKtB|Z&? zW%qMT+An7ZF-)-YfKAV4j?fR7fkJkM3HTfWr!q|3i?VbXR(F?T7{A{peV6n!E*8p} z{gf~aA{>UD^Rd`W=#s)P&q8z+y~iebA=>7A=30o}uRgOaL~G_FvrsqcA!kve`Y%ND z|Ls8zCZuH{%18P|?tdpiuJ1yWkMs-j4MG|hqI^-6U~glosS8m)(l4;{0JAMbtCB8z ziPvD6CLUB`@fL}GqHP=dfge%Pej80?~)}wl(VFZ zqu;57WF7oG`?sVQtH zS_J+W!EGm61UZcbzi&*wNotB?2u_4gZHh(eM2iUCkp)wP#IQW%Ac??#IRk=m7F=ib z&>TTQnpNsViy+@4BsYcO7zZDVZ_})}m*9qD9DFQZkQcLHW2}fz#S8jyR@irl@~L=1 zZVkDnSP>tI7wnJaXol1w%IDz)HW#p1oM)I#iYI=|XL~#=Se)456|5AxoeC8>(SHp_ z&;elfVg!7adV*TQ7Ek=Tr25<3`*k6#k&B~CR9K^1w{;;uVJ$?u65-SnmZ-3Xfcp_x zA4U1FWcWKJIGOG_ic*}DQ5-+lIg3K}Br^QhNc4~7vnc&>7KLI$nIEY02Lr1o^PF%L zML_1!`4r^>ecdk-ze{=b{y{cAl>*y_HM71ICUKLMynv|ncD815JcB|dbOK^vd@4Wh z@Ey|)xm=@Ud@SQnLqdk_a?3O3+|2LPm_atA^J2%k#g+g(V28Xyipe#&}D{7Rnn* zg=%!615wEFtCAuU6v{)~Pr$jXiweu|DwA7|R+)G$fSN2Pa-&e>jp(3*co}qF$R3c{ zO|@mtWe*FZAcEK#t|VVqIiu`Qclf7Sst|#$*Q5ztFW-3GA{DP|(%}68_B_P6WRpUD z`3Yu+T96&5xWpTS5T(@AU0S7wQO2}nD?sQ?uob{oeNTs=-O)5zP*rk6hH_TC1Gh7j z6?zEg+i>!E9G!+9SXYC-LfgV}jNUG&uPSc=@5fc67=o=3ABk6a<71vhzxVCAd&YY| zZk&a6%A$Xid(j`b@XE__jK_iFsqO3wF9GKQoZix23N0FkCzyF6$VNhnVergW*zIA% z5Yd`n2f1waG?Ky_yf4ItL6BuYI@4`rqT#)5D_|HZwD`ZU+Qub441<9GS7u*u)w&qw zF&ucGhcXtgdG^C8GbqhU4cLlPTxG1~D8E;ViY*z9~yBXpyqiTDGryF(1gB znYw}cQk?^sBj8U?Mi)47D(jM63N@gRg*k%!2O)!))1ftwK(2*3f*i(bCmc09bFw#b zhSai?pthAc0)CyqsY`VUZ#D!oXGK&oXJ*fsGmDFba{Asc%z+4pIjwVqInW}7Ii5xO z5@$i~+AVH!K65S7Z%K2JK0-I=-DA;PzPrV|NEhT(LIy3;1$`*X^<4q*B3+PqkZW22 z@FHEX%TI*1sVe|pqzmkAz}A0}{-NFlTy#V4CzZfO`efZ1Ii$C3kuJz@PEegO(Y8nz z_=^O$Ez$*f6AKPlqzn8Mg4^y|5aeDgIAD=3@V+cKg_ru;2|;!opJ)n$>&A<8fj>@g z+ag_%*RtS%MY_OCS+IGLF37*KU}_4(BAqXht=Fvh^*G}qoo{axj<#w85Ix3*YBAvpXWl zd4$yNh@eGKcZd{vleu4&{L*NayHgDvqK&{Q^@S*ACP&| zr5|tw3OU`cwQ;hsIP*rp#RN|Ewm` z-zM~c2*>L#ep$S3=#t`fr;h4MJ*q#sdc8)qE68M{W?r^4vey-%<*n2d@O*&N3o=Qe z`}hfFY6|iaB|Z&mMzsEipp2E8g584U2T_wVT`KegzKqzY$$0?+evZJY)RbM@4m&mR zu02J~udY(m>~vEz*mBL}VxgQ(ZWU@mghS2eUJz5%3KJ zP8})|HR*B>mDHp#ndD!rP(x)!&xXo0E*8r9GA2U>BAlUeL!%58=#ny2Jh78MJ;6aY z>S>2lqgrAolQnW5LRuDPD#1acH)6Tw*onwpe3)fnrV<>q)JDP@V<#dq3Yax^l63WV zP=dq#m-XHj1jbJ4b@e_z6e~naf`djrM#$g<2Mw(vv~O2HgNL0qI;7dn=oU<{(*+=hU6An=9?qIC0|(5nQ8 zmf-;j4qsoy)+~-^II)xrI^I$gcVa2QuHb!2M$RAC%lrlzwpdEf6C7GhffAMAu&LvF z)E~rDrqG)95}lnsmEfR}e`jPOOcI#jkVUl=ACVIr;2`Rl`VAMVmh4@EgRyoh!9nZ% z>Vd}EsRRd&tOYVw$G)c}IKl}Iy3i>oFaL7Yn zIYEu!1cwKvfcN97Q49$V5Fe1>fEa`xH^*Gi`*Guq5Lm+y{T=&IF_N0czP8HK;G+Rf zGYp{%ZOKnCQ$fTpAA#B58T&dK<;2ER4uh84OlaFqbVMU(0O`!A_MPYoUFdWaa>l;a z#WVH=yf=YU*HjXI$aj&*V;k_Adlh&%`+Rgf9baR@q@BaCCl?FlR9q{z0V15$)QE>< zH3co~tEsc-NRQ{x^7?E;&(v+X#s283l8Mu@Z z`0fO^Eu{q6j|B%Tr3AiUA82e|N(u4_LIy6S1b!6@PT{5Ah8E;;EI42(CGZ^xZd*zT zvion)IAAFy@OcEc<%$dP0Tvvv4V^u}nFpp4+_045ZD>Ir!GeuLl((S;oyQ9MMmu;L zT97~PZ5g7x4K3JK!umx!cpF+^_dsMxi+vkf;1>|wwhb-FL-BlPMlr9T;7yLuO`HSq z_HAeZckZ>}R#1gJ5A<*wn%=Nz-G+X2lCoY9?kt@~@PnMCQ?R3Zrjn8KWQ9y-kYQUo z^nyxm-{bw6)UOFenNQLqOC8@!_8>5roOqeg4I-TF=60qC?;+Tn>29s?u29(S zObhsr-O+w&o%NMM^H9i=)+xvblz30~Yd6+$sk!?La-2!ve3UV*tGN3GdkD)qlPmq~Pd>Yhj()zdW5=2eGJ`AigI~%FV+s$420T-f>W0~|o(d#|LHwAns zfo%-p-U+)h{A_2Jcw=-7+szeP|3^^9WW{;AS+G~I{2(jNLuICZ zz~LxlA1VUgiomHuMYfwKyN8NxyE!~w4V9@q8!DS|u~5#1Q)H+>gfmpOx?P3}bV(U1 zp6%wfPFlVzRe!$onJZO)W}2z`9lB9}+KC#~5+f1h+k^~?kqG)umg~C!;~0q`r$DYX zMk3fTEY%Pr5!lv%t$&P!u1ZUsr{DAB-rIt}7)f@nWA4t}5i3FScC#Qy5Hc`EBJfQJ zZi|r!@~gkBTGxaZY3v#f2$V>fC#j4rP$J0bgbWOn2>f^!Yz~wNvVa8#1WE+HdIx5` z@ld@WKO&^l9`=*xKDvf_39rz>gDnLv1`)@a(W*Hl8P+z;-Q;XE@Q5N`9UbJqdOScT_TR zs?V004l-=flkvVu{Dr}aH9;Yt|2<;Wkzs3Zhk4ZWzIHd38Nsw*?4xj*0zo3f{-(>ZmQ~K z+jti67emor1#^-8!NB|By{tv+Zr8Z zU1im1@O*&N3`pog_wf_Vt1JBYYjCF8N*q~(So*K!0}klV1@fyulW`(0Z6 z(jjOVQ;dw0P6RxQz^SV%*?4Ynk;t&|{6jH1eqi-XCQRBJ&o6VaP|mt?u?-O6tgB{U zFY793VP97*ch*p@bychLnQL8DpXRzMZ=hpx?#x4PrMRwo?^%#j2pP1l67(S~*Vk3! zb(J6oL#}mQCD>(KLEBVUjrX1f)(Y7Aud8J5`O~7_kN&`QRYZ5jrlhxRT_woQEmdb& zk3b9jIfC2PRf4>ckj^^7ep#86If2U9)>VQW&T0qb@(O&-UncGGVYLHxp(`{$z8i%0Se<&0-Mh`a3oXbN zLRtnZ??Mauc0wE0RlEx=$jOjv8mznvE!YEDYU*I+U1)&~hRBj87lAL`0&QSE z3@yml3F%s}nU+(SUS{ehz7gWh%PDNv33xn#H`H>fktc;ec^5kW2xZ40+*wC8@q?Uo zRIt~(ILtC~b{a1;8)VqlQRn%tqelC#qqd|^$>B@9H>E6GNs5MR@Lw(F;iALKu^4 zWULS2J1d)OU-!U1B^X4iRcDHC#;?Z^p^vjr^ZV`vc`YOP(yRQ9Gspu9Wqy)R+jGi) z85ienpjMzS;cxV6SZU=8hLK&{kQ2eU}?tSwX)}XtHXlZ{DT1 z#^W{cg1nuPt$icYTP>As+00Yf->>vmb|zQ0+yCCsj7#b6^bxwg+w%i`U&&VQMgF=O zj9B7*2mZv#xXdH_ZIo~>b4*;BIb;@g>Y68ek@pail{PF%l9avxg8g4Um8A5c9;FS` zOj3I@lrgOxlN4W)gDHc?k>S2A^->t!+Ak1X`M7#~AaV>JSG^QQBcni)<4Bi7#s>|g zf5ta}-h2YTx_7|ac&`G*4ElPw@pXl8gI*t{!1|TGq_~YHleIc)20(E$O$6Bvq(c+3 zRTid5p?grs!W2PXM94s<2>eji+xInP%oIW9CF^Y?ixm61KWT0yi(p?OY#><#egj0a z&*@JVK~4a&S7gcZkmbdHtOr@@HCaB`6pEY4BFKk;bf!=XQ>4U2C}ClWAde(uAX5aM z$4Z--BFG;%aVu@3iIjO2Wvnz2>@9>1q=~>!hiD5;1i3Gey`o9BhbHk$*MlZ)nkJ8C zLvb@r1bHwGc#*e`Tp;0&SCyz<=Es>Y526$PWk!b#2rT^aGG< zrG{WHf#jg+M&O6D%2>7fTM$8R4P>vV(ceRji!TnKhM}!XPr-|rG&N!y8QXe=7kLJd zb_-HI!6SQ5l<+4=yBC>7NVXsyhTCYpsw~Wc(kU?lOzufaKLw;#+CY;ewJT7@M3W@N zi-C3brtzJi1NLC&A(Nd4tZW*{Nl+iUodjF26>Q=7&YxtW0enXYH-I3Y1k!O5{D(DH zUrJno5|&;Q@|Vsv(n~X6XgH;xs|r{nv`ip8EdZz_6}fs(`yq9z1D460hH-p z+YXPlm|;Qw(w7^cgBPd%y~f5^O1y~@{=LSIv>@*yWZ-Nq@be(ppK9#a339YYX+y6i zsXYK?Oud$*cxRt9OtPr+9Kmg~wIFW<(oKh;6@VJVYFS)XQcp{Pfganu@PYu_Y~xFf z=F3kRsDXLf7UbJN>dheQQa}pTqL76$f;^j$fs_&W{;apJbz;g0GACJY8*8N4!gVVH zSR>dc2pc$&3;ZgGw)l90JPyd7utu*N2YJZy_<8F=mL^S>)<2-QnJj{=2hxdrS&WO6 zI2$D_OcCUPgbZYgz;jq>GgAcl&F^ldZ8VWG&!UXgxCpk2uz@rY_=ym0p@|@O0kS7F zNi{B8dH8b0 z2yzx7p{|W1f{sD1l_P>Z9g>6A1OhK)mCb7cL2d+OPdK7Y$<`ifoOX5qHEiBx-XO=j zynKzZt=YR2WC@UVQ^MY*l-LF({0YLYwIElnMn4ikIxK4ffwvOewk8l{J&;;y15J|D zo`f@Byr}c}*b5CBM3rw)L8nc?M;yy(ZY}fbC7MO$ev-8gKq2-ml%k@7G@aAKu`~ zdi_iup}eDoovm*2@Tn{KN3=SR@7M|P&&c$*+UZpar;M2}=F~B#&3kifB>JBdBGZ?* zaTNQF3T%l*U*av9Xx(J~D_%}NilfzK{3BjUKlo%-BpR-DeyMkUX>fj->HN~@{L(Zx z+^+Vp+TAa1cXCeLFK%D1aLe5M2g)86SHKAD$>&ABnvI3Pxftfsi&mB6Bl$geFDN)kS#imuPbBc%?~0PlO%5V>YBg zDr%N0^@azP)R`$#jkS%;bxB2)Q>7Lrq&B8VHFkix;1A~URp+dquBV8;%3~~G~DB;5IV`f#}7`Z z(D?l(j_pkC{9ZKJ>(djRPgO$(L|M3=BZl~ICjM*0e@z&oxC0zdKn}wLbKH3m>Ql~P zSfD|i!_dJw42kbKsloL<Xcx=|Ydv22v)ey>>ZCH+if{inkLsRzf4lmJuPX{UAhJLKcF& z97wk-Y>bC4pJ2;~_~>Ne1}$t9SZ{Wa;x?L$&@}1#9*Uc3BFJVS9hw+3BDl$=P!xqM zOcCVigbZYgzzbP#-vt>nMUWdM>un>86#M8q(%ecG!9GOTK(Yw@Qi!&YMUY1U*(JdP9VIMG5#+vv3}lMHH)5sDOcCVbF1OM) znn;(Qr@KhUPBam9a(ZQbcb9t))1f|Q=-k-aTS_!FeXi~RXp z^dk|Z!$10&r1V<^H-u7>l)f8Ct+atANot>sGA5cNDLx8VcW)Y_pBL<(lo8QFPJ;T- z?IhTGty}lno8O=Td`Ad3fFSPz(s2^}hc#DUN}PidmR=L&L4*wKHGyx&O8Z7Xla&5; ziCbw~uZi?Vl(F`jV6O+ZH@!B2E~oYDwQkD_pkPa91(1WsTFkH@zxkRQ0HF)IqRw|K ziv2n%@f=F{_ZmCWg1nKCfwQ&1%OKdFYV6kuau1KvhF(ijdrc=vH}zVQ;vW(=&?JjG z4?whKwie`NK)UIW_6oq82=T$u0Vdf-lQK<{rC&jD^K321*MW>xE1K9>08;2i6tXZy zkf#zdkSPM+gZ1_`O3V~NW+v-xBa0OK;7iinN*2LBNZ3HK2>fD*wva`T5g>a-7H=X% zL(zJWrACuw))!FROcp`j3ZxV2ve*jXay@9$q-k>d=TO{C6G2WUWQ^jO=1E7e z2STcaAcEYSr3S131pdt;sB0#OAZHO0>e{Fw=osW$sUg_YAvtITAn-y~*}MV}-wuK?P7eMwud*?lnQ)38dpB z_z!EYzLYo%B`m!r$O8x&*lPkGz)G7}0D@ey(5R$ zjyaJNAFvM^eIt*+l=u+hA9dOMBc4G&DDeSl6vff_3YAoW@66X(cRoNX^N40o)hE(a zI=?_&b=ulo(cr71L$`{C?Y6>ya`37ET`$Tjp{8tY4&`}} z*8&-HX^$OC7f}gvnTl6X~9Vz0|+C%FzpHAGWtBIHNqhC80 zw&&oQCbTCmwa!a=tM@|v9+;Ci#v$~9lx`E)0$eZpk9TFe9{V?1(!T|UpanE?8jvw& zm9Yg*K)rN{qfx@p0w;Qq+p`v`1?EWAQEz?=cOKp2;(ndERQ$A!)am=LhTuA+llho6 z?K20_0F6*V^;3=Tule>y5M%|A0gV6}NQom*!q5nyfgp#n7A6{`(H6&NDH1eM{p|z1 zVe=4@cc3l_8-7%Wn9-IFj?d3K7uG+!TG6b+y10fV1IOgY9qFG z`eSo`hA$~66Uc?^YIkXa8;kS{C`)1eTjRMz?HI9aIre@{WtO|BJry>~Vsh9UbwMbNGe~zj~ zPTQ8wr4zJ~F3oHTowNDt)(SrH5n9jpViq=kf~+KDjO?o^dt}{2SfompE`g zbe4LJ+@fZ_?@o|A1F46r?=m7u>0dsC(#SQ_hxuW%mBALl9})~28@z!eg&SBXF6h%| z6rK0pB`N$5Aman}i&QNmqdYG%eGaTL(Ph8~xDO%{KYAT~On+y7Q2EkOTAq!Y6PdH5 zZ-g%8Ad8;B4&u8bC}Du@dR13Hlz%&cf7jvTXvMk2jArfAf^D3!v+x6Zp9=CRLI(D! zz^AcbbDs+G1R&GsQz@}M%Qp0>z}CDU+^2$mpU^b58T(X_{{qt5r`*+5%g|4{U)#+6 z%JVg_PMbkz!=?(}uOH$1Ls?(%|v zdzZkThZt5rNe_7w<;-^ndLMF!dPo{>WRtGNnJ8m$qTp!=as*4ayOHn;q{K$7{2I*0 z+BhYyFDbw*F3836&^&S0oUY4VT~fU+_kL~8kYH9Er8Xt4XO|9j%*A6=sHkckSyPRK zH3hPgKrZAoC7vCNO(GNLMWXQnEGDYnz>f_5sC>>4CMO9WH@Cngl*f&Lkm+2)Q=@#o zki{uf0Pj!=_48J2SxNN|`e{S?X~og+RK>b<#Xf99#ePq%*mtU8f_#{e)NZO`#S>SS zRR1qU{HkX5?xoO$5I_A7PbG$OC5oe~n%Th@@S*An>^zt+GUk_`lu0)KJ%(^~7CzlLpAbp)bf>J5kqi^m>d zEj-bq;+ek_k2A;?ZWPJNH?ALsv9M4a!f4(lJ2)_2O1~GykDz(n{UV{bBlm}NKkQ}oxJOmjGS)|siw2T@2|XPbvP zLRahpDrEC81$n3vpN@wqg>oR??qLeJ^9`;*fQQNPsmZzmPf{Vv-L80tnSifxKf&l> zCOx4Dg^V61hrr|sLjhJE=2UdM$HN@tc$jq+ObN$>v_#8ccl?9K!&fK|vJ#?bvx_CF zHM4k-Me!roC5jup$IhSFBZ)(h<2}~U?{=!d9#vP2RV-CSj#dU&oOmbpX@nC zl#HCm`-zPrqx=F;!f$=eYVuRI`j<7U0AK_UoraJAeQ2SKhs%U};%3cM;`L z;wfH_a#^b~;|bFq6ey_ITwV7nm}CtU2zWlgF@6PVz*`StnWfy_{1B@d7w{DIkTlGA zo36!3l(Cp`LFTgbU^6ZyzLQ044XrGOs;*y&Avh;79q zAF3Xrx~ODkOC$kLS5L5WjP;Lb z{Yy~BpJS}QApgSB?U@yr!KK8?=g`Ieb3HZ%1UVPT^z40wR{eGsZ+xc^76t-7i@?S4 z%Z-M<14hai@zn#}oZ@lSx5~_Svh^ef8e!Sdj!&+_vPE%N)qq@)y;)F*U$Equ`7XN& zO?Iq*`i4h$PU)|XMK0sJ=pFN!-|aQ0UE7E`MMD(DZ}3D|=Z0sflm9d5Hkli?I_u`tLW2h4FK4xIvX^pxb5+RAuIdA6B0|Og9K@u0D%}A2yz$ zYx{!kdYP#^{K03zRp0zTevyhGFDGOy++fOp;1{U~x`^fazV(P-q$0@eAb0Ex|I8|W zk&0k{eg@hiBBs--I0JxRq$05Q0dw43!~LdJ_%35ur^q-Zm1QMxfC2bsm>iZ5sj1+eQNJ4{-cgq{Vh!>J&@e9A%~e#5C?pC_yz zf%pxl0*e6_OdzI(Zi$mvwm*S(1c5YiHznR#Cz%L@4OA)g>yxCDnLwE11pF?*2?EXO z6?5Jz8vbT6u}LxKSY}S4V|ueV;Uy}}`S?p^9gknNnIz){vxu5Sv1n`PYh#f{u6QC1 z7HM=Vp=m0n*9PnCHex@gc`6oZWIg1X%#dP{#$LcuQ_YZKk%ol5w)Sfr6l zA7}AFEP_8XQx|#;h0H91vmxN?0sb@0&<91s-!6`JrAemi7b`QAW;SDouH1r|q_1hQ z!P$(0oe8Y=L4vax40M82|a=u!POFnuxwvKH+YeQfee`3$OBY!TO|5k zWcZty@0_^}K44W#h$FW_8_J>2*k1K^t;SQoaS6;ZloL zoPe+sSt=El&e(Q$N-*R+Rd+JzcvX#@*Oog@Dc`E}uyLiu-roqV+Cz`|;mGB)ZTC5;LESP%m-ID5gDT3c^<{q4^d+_Ur zpfO&As0GtPmINnWgeb^Y2pJpO;O}R1{|PomSaOqS+SGnFrp;#VJb_Ju$dVbw6X%rR zP2V0DxR_2-aOVkpU)B||7mZZw`c~C>g6xmyi=i<%NZff+XyL!0bV@=S+-vSUK|V#u zSPA7cuZ*N*d_^dUi#t!?(^&8ysp+JvRObou1R$fIDc_qWy)TNR-@{(vEn1m<=wH90 z_<&5fRWMf3HzRYtAt(KF?P~S$IO!Dh8}K$ft)lp$So_l7I<0h0QG5&u96&wY0%M_M zu|;gh-+lOhdZ2o6X+TU^wlsn~4aoE?jT9PAg>05a zkn0|R-T{_I;OzugZw1u`!DDFz`6vqxurzJD2QO#AW=kW;5*BQ;G=klputrNGur>E% z#0FRzfxky^^&Vb-OC!h!37Mv)kwO=skj2sn@=(@0z|shO3l?m)G=f}qAE~fGERAc_ zYirZ?|ADnhws5^jobT3TefM5!9;?qK*!zJs`&`Le;!8hLtCKdiel0xn=_s=DD_WcR zR?UK)9T)HbdIa$?)z3=2fK!kI2?^^rvBUp{BfivG;7jg-?!VDQtyNI#+eOWMsk0!P z2pJ348{>j}ud~3TEZ8>*lCO3a6Gx06<|D={ zmf_ZHiWet7ZQxJe;ZG^@ocMGGe|j~2N+IY1objM**C`TB58|IVe@xU)T)RHzbh&sv z4_j4q{+RA)$N6LaQJ2j>;u-V<=a1K_^T+f9`%Uzr`IK(yUKD^R)8nS!UDU3oVch7& zep(L#I7_Diq?X#WODvtHa;;h;(GO=goO?@-3Oil#F2U$rNw7{eTBi%~9IH-(JS}c?GP=YV7MXTIs>~g2o|r)M?=Q>D;N7>bfzC84Byhv_z3=~PNX7D3ZG#c3K$GZ z+WhAesfa!VvX+Ao5naPsb(R`(5JIqVU^OdJf)G&69fbHqRU_x5FQf;$Nat_|DID&^ ze_hTl+1`tFe3%#||6Xv3n8=g&Aryh zg6+KBrC;h%m}IgRB=mcHk?7Z@^+P>YdnV+hs?sC>g1vz#{lV6 zAL0$Cl9L{=DT*DW_(Zl21rF4?tmIM1zC-cvhtLtN zaji5eKc?;QcKX>GiC^d0pC+a4Q#Fsn$+}6(poM8#MS>GQnvO~v!!9m1nDr|LGX-;RO$3AX!IU;S!QZ9~SH z&QyK(oOEqNx7Mi^&oT8Qx0zrk`Rin|4Np-Q(!7xK%R*_h;HkO=HoRaL$2P3E#iC!q zksj<@z@kris;)ekTEiVq$2B`*xh8;MdaA}(uBp1Sg;n2xQ+1iH;byiP@>DI@C2=<^ z0;lR-h!E(3CqI!Mfb~-&9W73^)=!EJKryTJ6Kv~UZ!5;X;ZMfa~;wd?iuGfQ~5`;(jD#{TBo;f4AM!kcLAIJaR2&M z#jIUE5@rRvKRn#CcXNjOPB#5`xC?gm4KDp;xcit$iB#M}K^{#8dnLP-3`QO;Ej7@VD#fp%xP)$d7?^i?^8|DRwuCSxt~&{|;=AOwi(=f=y5? zYw1(tx1nwH>)(UneQHyly(~ZQ z*`wqUuVTBgc|qdYbE=?J98Ys}!}k=1g(`>fx4H&zO^0R?tr>npNdMN`$pQ1z?E7HN z8srg5vyOyjJ1fob3?I!Nr$%zM?Jm$P{5~42YTEnMR*R_d{WSWKG@=HaOntJL9yGUl zD*d(@6;qv;K^^1Ud8^SW`URtu%6+1Gz})Zx_0R?M&|TDLvGDuG{h<}~(Aj0XJ0`Z3 z^j7&YdVh#nLkTT41acwk3CVACF`NQf;f0^ef_?Xg%J%RGK7<9++eEwl_lH`%@DL03 z-5)C0(<69kC26d#)l!38Z+N4{aFb`Px4=tOz_3Ns?M22Qx{gWfyGvAF=+R^X3r^i7 zYV*SO1neGrV%?5TR97O zE2o24S2e9&Zw9H?qCNvlAqHdYH7H7KP85Bgi^A@xX2sCsuVQsP5?lL3`4foF@SM=GwJ-nbG*LPc9rnL8DhHcH{^#D$z|pCN8Lp;4=QR<3(> z)zze!)jbpJJHTpBMQ;c??wL|Y;y;@;D);QaU=@a2`AvK0qz~) zTKX%(;be{1R3;NH_7oG&9+FVQW*^6lOuv#8vl6aQW5)uUKH=_rS`lvP93fnAIFxrT z%k?wAzQUp(?_3J@C19anIy;voq@9iZY;J3{9^+WHKl?Ga3UW_E2C&~-;ExKZ?azKM zaseT&?8kg8nmodSec11XT?1I}Y@U1LSKGZAPi*LwU5oCP*2^vQ;FX9d8tUBsB;iME`m62bE4C_(=I56u{BT9lM{k4o6mq6GOM%T}JA!Sm&m zASrPn%l7qrIV(tzVaN`fJzvg@68M%Z*w^#r%qT%Fzf3oX!Sm(hC@Jt76)+4TPL2}f zZ4hnoSdtp!OctCvgpyj~0KopF{HV;g6Zugk$S)|NOasoCPzg|xSU7~^v$@yT(K;uP zjrsdJcK)tQ?Q9g}g@jDlFDo0R#6eWT#zsMI!Lq@|S7|oOh<-eL4jtY!-CM|gA%z!S zg2$MNDafY?8AwclPh-JmVhZvE2(~WCn3z&v2NvyX+nJbx?7r9`CLd856P-6^_{WDw z-?gOiN#WP1u-~yyrm4VY0QM)-bOJJ91}b(gyF49ImTFRFl0G&QDab_^>4vwGQcBFE z5;jr_@*0*MY$ByZG0QeHQII=8c94k__|H?Jw3&&5oJYtsm?#D6S+tpng8Vx~2boBL zAHsqSCQ@K|fNenT`9uR&q_fb!lu_t?#0X6b{k;iAYq@M*;#GH1Z~(Du{G`-TE?+(wu6@udJ!mW2XJ@)Q%>H zonKia*oT3I$CLIeYozRCE^B^ejUW%!Pidis(66j{ooa^4$1-Are>?cU11O?AvOPEc z6r+6yYMwP=*1$PfQFqymRo1kis*45q?53vqe!LB^7r`49S zFL7COwFMc|Pw`ayeQ@{L>;!k;kI_5645O1z5Kh%KJU~4;`S>Sd)cleWK@N1DOi^GJ z_r<$GB5~v@apq_FIA=@v^?TsqzGYRRL95VoE*cJZIqNXF+K5T0Ajn%7skWz8x|FCO z;j*vpRnd#ljk5fsaM}A-b=tK$!voY2vS4$I z2=eM=6>9xj z@7lVV8hgb^s$IFR-AL$c8slZ7JlGtke$?YxuA3P=sgCkSq_L_RISZeWb~ojpKmWAk zpGT+~L4>W<6{tAdK7Isw3?p6Rr$*SqL%2l~cJ-aXgl*9({Bo9E1wp>YNS6u@Imn90 z5FUD$BJ8xMq~Q>Nv`@$_rPNs~v{L1Gl&ND2j`LvmajBIxdOIgx>qO+iIrqVWtLv41 zzq|B9?m%K%rRtfp74t_p!+iE6QqYn+Ajmo(-QtyT*ZJ4Zwj$?hOR>{X%$hqO*u8-@ z=MK2O{PUifVH=lyu#&=B`oK^r$nziG1?+UGpve#FWqGPO!s( zb>gnU=I(4&jhz3wDveQ*@Vcy{qEjpQ_!;z2%cv0KwLrSX+eU>Hi=dcwR0wt`u;x+0 z=ZJ8Gh)2b(?+1^Hx#1?2HPJ*_6Sq+M1*K|8b_FGrOmaSRvMVU#VwC!flOQ_$_n1Q? zX#=5x{!q9L2f;eM*p~_G z7X*tO;CcA15LrS|N5j4{ySCB>;v zEBU$FU^CQ6)l}W~^l4}avn3Q{6(e1i(ANwtxJ{X%eIId|p+FjSYJIllrt)3G$DV(qN## zKO(q|fr5O5kcnHC1868^E@J6s8Vd4AR-3H1jfMixW5H$`3i8LXsyz(8B-2pPErd2W z0ZcMJaF=i#BjkUPX6Ee{D3H%`zY$lx`uVBG;+b8IwS+3dk337YLwen4{ zzZ{QdFz`)a9|E=k`9|5+I@*apFgD)Xd=D3iPNhurB7)&jo>3) zvXf0e5PHQ=+9~a(u&isRw15_|bh!?H8GR{(i|~z>^afsB6i+*)xW%4!N^wIzNd+=s zE3HtEgGY~}b~3pqyi+RJD}n9NR+^MOn9G{CT?9EuKgF}{@*>p?l@F*{xvts!r9m|l zYy+@8s+p9X#AVGj6XYoU6i>~Xbj@Oef6Y(cM^kkU+DEI^)&AtzplS>DabSB?Z7Dm2 z%bKe#$iwtgJk@?3`)KF<@PXO`#zr__(`vj>m-o6`bUlAKCa9i*odax->M3QbxvaUK zf;>e(#Z%7~U9;HWyzP>^SJgSFdspac|F0yd+Jb!-*dA3|%HG0d&D9pfamwckSb z)_kAnUTlP`w_2E@U);U<2RrlgW`Xq-?2_W1)>Fzp%4N;<6y(+VDV};_7hKgWHhAQ= z$=$2I3F_WbUG1UV*B?Dt3awAxbkSuSg?wjitZQ#{q?UGT@3CA#;0m2zq7+e)h6 z>`qJz3u}NB zlGKY*^6~hnq>yY*q(h(o9FsmbrI1`mn6qz$fg^oF&*$d7%jSD+uAC| z_h1Ni-nZjCoso)x73?6O0hkyyrx`A!El z1acwwAf$ad5UG7xs=@LI?DIp>DhA8bFOrp)&UA3WrNPs|3SHmH{7Cb3AjpFmnTF-L z`7*`APomOUoy-2|oesuCKOQrD&f#<{#MUqQhdKSyG6qd!?iWGcK}h?IAlUO*s-a&5 zb{NCfvtJfm96TeG>iV{i=K30E1VKK^$Ta%p|1MGea!`${UjnTc-)UK?^%{YvnPvpO z(^9YlT$*L2-f5X^y-rN%x9^RjAFNl_guE{Xkg1w?cJ3Z?a_>|(K;&M30uxHjXKs%$ z6CUAy>Pxn0_%eemCA~?zr7yl5;`%ZJ*3~!vYU=IuYVAf{@k0)wiu-4pg#PJ44hGVh z6d+zPJSG1s=>cCJjFyEh)K242zKfGr72ZcY53J5Kc`EBbn0@PAnfXunrkkju12g%W z&1HkqY8cKN>(mYNSLov3Fnq(OAlDw0Uc)3k;B6E$HB8bY?gCafjJgTb-Y^?K?%Oa) zmx$sxp2=FoaS4OIibEnv+tPro5qPnbgx^!8JOOQAwYV!x$wUUh^(SqiF z5#$YowEF{s9nVq?{UWfxF>L+&WzKoQE=IDVo1Lu|U77j&Qf2&o3U;;xITJ{Su&BPx*^*)xp_s|1NP0vV zSnX4!<7~aq;OkTPI$Hq^!@kf8-7vHEF*Xc)LV~;%$TS)z=>cUZW@?zEN9+cyZkTkO zt-BxaZI~oyOYKIu-FA-h7Gm}5Y*lcSViNlcRa1$N zZ^_Ul5_+G*xM>ph%$9f7`0UCoTc6N$|_lH+S-d8{{T1uwuu{jDsIbU_{pq+7hf z$|gOaKZ;q6i9F(~J<$T>Q=t_MuYb^oCyu`-*p4i_GmD2tV(H)AC-;_W{v?o$ow|jN zVx`Pv6l6Y-Y1j)X@#7v;!eTE3`4*6REoa>4OBn%v`+Ucgr)w`l5yxH}j^~?*%=SXC zd915Lt85?b5K6(n-c(HvS!M353= z&SZx;^3|X#{_U<*8Gk#(u9zTS1yV=zNW8%gB|V@D#Y}c6=@BObtL>1#x2NsUyLb57 zA+MvCs~RkzP1tm{>o#fM#n>inn+5qOkZH6@(gQ9;F;kl)J>oE6b({D*db&+suJdgZ zkB>Ju+(?Z=cMvzy!M4h6QxCSCBv5o%0JwDhb*e?p8ZE9X9pGXqe%Yem7Lb$^6Gd|y! z6j@%>Tu3c2TS{Edf_-0IU++bZXW4Nc_$1!&!fJMWMf^@EG<{t@pAyx&;7`1>n&$@r zckfK#_^~Nhvd*$!$vSAG2qh0t;LCaM^Sq+rbBbyAD4t1o2XyU~2!@$G=09|%OYyjL zXTXf393mYGt*tYlV7CNTo94h7@U-!22An-bOtU$fxsYmT8vyF|V6FE}e}yi-13=v# ztdZq}vP4(FY*{d#!4vN$1n;wxuo!Dha%gt;B}JmGn6xaFa$X1 zN07hlNcty+03RToWj{cg9`{o(DptN0r3wl6OHT?81efb76+==-7 z{@&D+729W>FKq8z_HjC_uGM1iYQb*AQVpyY z*jGFBnAKAFUnpE%;SaoQw<8Hv6Z8U7~x z2fMT2y8wLVxDCAkEY&nvJdA2(@-lb6Xw+FZ?=!8;-Pfd68v=OdO^puidcBC_Tob%#JMG_gq_(7rACA)HWZcOlpt!2yVD1 zG^srvWWmOBZAr~>3Cs1h3rWpUM99QpO2Z;1sV(wYbgFSkYKWEFP|f~~!)H6Ba48IJUFs|#{hLI$!>;A^+Gvrv%p2^k9q5K!O` zv0yU+1$hMv4j`bwk7B{T2P>1BBA*4@2`F+`4M9to2`I=mLK-I~=AK~hWvK@43G6b! zHURhNFt3MlwaX^a>d!_zKEhwBiMKe}&Rt znqoK$wi8d}{x%2-n~5jLj|pisdr8gk2un3^PDEY<*q>qcT%7aVU+@n)GZ4tPM$NY$ zx3Kd~kZ%z(kZ%I7Be;!kf;^uE2k=ec2eM!@-vqfi3l89$z`xlX8k_kh$XSF$Qy7xu z*w6_&#&XSk6XY3?Yg+#?-vqlaOEvIKV4DH|0w20{vK8eo4iAw350vUK*!P3K*q}|=qi^Ex`Ksfc>YOOx!~>= z*Htbx*vpQ-F%$Q+L|UhO$8lM6%>=o# zeu}$h;YwAlpnD@4bj`lnB&cSBeF4}W)lAA>&SlLt6J&{gil=7R#}p?GE*N)MyRKGt zV6_ChIJ>9SlClk4)?6(?{zE^-Q>~hq8jiMVWgO?sU3)=@b%Y5vOFuB(5hi8lZHy){ zS4)r&Fp@TFvfS0GzM9O(-0Dl|e@-}g1HVzu$&>UKU#2{RKb|&&E>phB`Rrl!*~4_1 z@^R|3SomRcs2MTrLftZ3u^ztHmvYEVkUwmMS!{-BQCh)UaaOxb!5nF*B0+?~jgH2EMe_4KS9*BY8o|SVzPOdC!6QU`d6X3 z6Q9oDPjAGhiM~-^s4l}B@J{Aw;|-o`VlQE?p?A3Q1Qf612_$|WHXkB!H7w^IzGHSq z$#{BudFGBYKAw7239BD|KQ9=eN7#qURA0)c)u(Q_0_9N zHFi_N#>Uq8_X8&olxyslndo4{*&~6y!myOHN3e!T7cbN#UNnKEV1Db0-1ZXLkEZc> z9e)$&)f3%@FdMJt=I-k%pzmXJH?_eSu;#T|^Bq|w^Ny+@*YrajeRfopj&klNvOhMY z2GUAb+=G55b-06Cv^sToh{-l_2MP9EMxo-u&XdjPaMn9xHNoCd+taVua%4 zr{hMpIlmy!-5IlXN|fpvUf0Lc^h^oCo&>CAIE7$rh!T}P(%9n)f7i<8}N^yjlU= zGtvF@eb7uaTDQpEze98LOeDyujP#j_5-LQZpQ@>_xlqv+Ihl^xF?Udy)`wHII{onw zV+S4K!G5(Csw;)EQg6gbHmo}*)RIwzrIzohAC(NRmHG!BWXrbK$YLO+)&be}y4YY8 zvyP<(ja~K|YVDl*Nf}G$oukImO@}#?Lm~p4&M-1g4m^z7wGL-N2V*ZCNxdZ4k*u%d zYo#*WnH)U+!g;%UY_NTD$T-m%-XE;7=*5$RU>^k5VUJv|=lBaP{*%L)-8_mJY0dsZ zp;m04K*a=`?XQ?=aww&y@=gvghh|!rYo#7oO)X%dwIDA8QfTee+JB0WVh5v`mDYmY z9N1)9>-$*-|8tU}^$Vk!)~z%}j0L0Vm$Es%nHbmuuGMYv&u*w{m>AgI5$rjHwNDI! zJpgh|6NA78G0Z$MWWXm;Z-q=OQIyg3+-ms9aKDM6TrW|o>HDCGp+&dI^k1R5d14Uc z$&5^6Vt8bi)QQ13wD}&F6Vwiyc|Q zZNcsjZ1T_+@2{y`4egEt)19$=qEqMePmNCP?|kNpPUWc2Ts3x#nRW*qf0r#prEb!T z{tq>_SqQ;~32V0yf*k_6CJQ03RX-;hkxsz-U9p*JAyk0CV(v&RtC>H6F7VP- zzJ{Oa8<@-gw+DG5AuWNq8ZY{Ret9tA8YFo*C)RZA#gb@Q=w)lRo+T8h0SZaCR>$6(9ashf3Y*3xWQ1^N3*)F%zg zdNg$`EQQg58OyO#e+$TjvF-Rnekmp8N!S9)X!chD2YckiZY% z8u;evGlc{>kOdoKyG$WLf3pHDW2TTGXAv@xLIS^u1sflGa+YW*p2EiP*x981EiRC{)wr?f@Z$1dJm5@A6yZzsVmDC#lWWm0f1WB!N zE(uM3i9*sRFfFCU6_r6ewxsRS%cXq$SOjryp|wJN||F>x`C1c zD*$YRP?8qJp{(2XGEg#gXYrT+^V?Z`51$%#7Vn|&Vp&JLx^zIiluQ>k6GygQv$gt- z!fDa)&57T;==a+1^IU)FZ~D?Vv8+Ch5TvUpA^44j)T@L6nwg^Edm)6s!C(3t5_0d{ za08t6s%Z_h@4WHWyaI@Y8~j&D-LH|Wm~pb>^!%#}R9MqbXF?B|g`?5UwXb_%R}l;% z6~9teMQlYyXbB7LCZQS$p$IPXP!{B07)cBM>8<&c1WX;5`3W2ZwYM`aUW&yjW@dF* zXOLrdvTiy7t0fippec_ptg+X*P}ljH|61xSup0>$RA)htW1;3c3vy3Jw)VBxxn;AI zI^S`;x6YHPak$O~YtnEz-7Z|NtNqGSRNL2@jHvJ+<3Ku#VSgGmcuSmu63&%G3Ez3h zEcnjN*;jaE??p&f+Tb`RDczTq_H~?-lBiJJe8%P*|=dtdH zGWZilkUuUVC3;1etQ5i=ddzwdW`!oqDNxBm7(wnuNHrT;42!_~La>D@g6#M@4XOzI zae~{ZBFJkY*q!30`^O29F}>Id}L+43jiI3xfS$IG?2P0iv;CqDj(u zQ;0T^BuVQp7bo@QmMIQIKjlEYKbjo~_0k5~GF10G#;2>#-F||t%Nli;O~RA?hX^-- zAV&e|%(wpInp;3hWTS-txaRr`^2^WD=rw^qOK@AS39=eUt+cJM>?gXrR z5T@I46U-gg?H}i2x4Khz+>?vY24+(%$mxVk95nD9Yi!&Eemn&G_Zlw*1X%#V7;6Tq zC273+GidB<9e5!ifu?;g%q{J?eZQ+R^Gax&NCj$TYQz&ib zi69>%q$q6|-Ar#Oa|xtdsUp}TAwP&J0?%W`%~TQOj|)kOUQuOG3RMn0bUmmtRa4~@ zsAQpvAon6<;v9^bCj##a!4{qfvg4C9cp~t}32x(wAg_gB_sJc9dm;snfoLm91iJ%- z2a%*fxAV^nptYGKf}96ruSl|03Q4vdy&fcK*UIn5%A4(pAO{dK7OwaA6L?4pw)5Xq zQ42!^`7$8`Es4NygkXy$5#*^XxZdjj3wlq;wbDbd{UAAr9s*x5A1a&aA;>3y>=iw> zPNByi2L;f>FvGJqoiW~-E4O0heJwXTlY;!=V`>#OB7JQLdy)cgCb)qi>`4l81_THB z{{lY?f-U~PAP*3Y4HHe0&YMECi6lu{f7zbYm+88ZWz`5FQ=G78{(qtFvPpQd{}ACW z6XYmD+T2L4zQFrKu>YXu$_w)AkJ9Kefj>`hTbBv427;^kfS9ezq`=7#ZS69_?h34X z_@&!r6MVajw|)}m;u`o|+;9Kk!`NA8j^eRdjg1uSlO&E(^N(nC4Q2OI{Fr~zk!_sO zP8`{eM5lE{qL^Ru)>=UI6DYRk{*oxDF}L zK^;<`gL>mRx{UWhx{&8V-BgHl8QZBUj$f$_xEJv|bvJ8>-_am>xJ~ba5y>qg8TxB#gziZ0vvl!VbxTq7x1wMF?fR0MAlxQpKc=$y$PwKh zq3gq`Y&(~Izv$Nm`10^r#@uihLc)iS40q+;`UU;^eVyRZ8N92(F?rN5!&G&84FGD$UU0np4z76LfCjndF>D&Qdkg$H4~-FSACvol29x};)Gr?cUU;fUm)U^lKKm){tZjKze4nl9 zcS=&p>~~arP(EqZ7>NyPr=K$;(LpN?A4&a_Fr>@bke);)k~=i6$3lfEvfra`XxQ0n}%#Q{e(qzEEPUursG4gm)HO&BRhGt#q;mkq-jKV>{EBdqcCl3kmWrlDE8wzd|Y z)d`X5%WK$xP9xKHSp&_F^<YG-ua zxs0;$__t5Ge_1y-+=<`khQGy+Sk}B5lzbKWV{UjcW{Hs{i*s+Sqr0eS#&wQ+w>!VI z#lnk8_t@Y%Dsy=JsCChB7a4k*qo1K$rl~|#xU)}Ug07mjoRX+uujzA|pp9v?O{dW$ zlXtqacpd*HXD@D~C%mDvHX^->X*wD~AQ!TbkeFjldrb9ScprjOR{C*YWYXKcbyhn5 z#5I`RUhsle$ToWwW#t}K9wE44`PSk^Ud4h9K1IP99;wGds{h)p){ESUkW4r7*-R;s z-QFUr=0Ih-h(+3h`ik0OO>_B~9yQv4RIh&NgBQRQ#i_}vx;7@$mq?&F_p9zJ}{y{7C;^|{TIbz$H{COQPXwb@9($pmsC&mv?j{H@WHwRz$D z5!_6`f=M3Po3Ly%0c*VQMQ<1hxWbEUBqX_&{>!7Xvph;%52^m9ti_8QPskusR&cgQ z_|B}duPLkbB7c9~pMa69rF(7*8Ri&vV5XQQf;@zf zfh-Yt2$eNXc+3(3fAbn-2e3roFB05frkEvyyom+dSR&ZdAl1SWLGDAyv{)iV`moAo zmI(6GS2r9>Y{sgA%u=ecx|lw#nzp#lHB(@+D1%!CQB#hW=AA=>qJsWYwAvh%NF1ou zSU$TaXTyL1*|<7Jeh5w0aylEMpvI^cxHLb0r2yT7|7Wz$cMWeuX=`h^!;pWm&Y ze>-GapD)<6z0arc!=Mg0q6wqDm?H&KexXPKl*)+ZM0b04%h=#+>3>S}9oe3HOCMZ( zo%Kf)t}M9@i{Z+!E89iRBRimGy(d$fSW>`U&({mPb+ACg+zHk56-;_Gyd`P4>B@+GP4qsdflG&R{8OyvV_XB(@mmlP)iO z=_^zZz3|qWCn$vN@FPnZgA77hq)yYr+%~RHG(c zqyIb~R3pL80#<4?h-(zfTC)>PMs&u+(1cty5ppR%&{j3UMu3&7wfN4CTd$@b%(;S; za0hZ)o&6EAs)pPFR;)v}#OKe^!>0eCG}BQM)#$5~-yq;;2y8P8NwTkH*}wV9UX(2R z_=Idjdv=3nqREaBZSpqCF7RNx8|f$}Vso+0)vchTbMK!!I``#u)$1-D!doTHP2Ljk zPzjt39-aGu0O4XZ=S}g5zK?-F_KC!=B46dyTC6C3i<~>3+m8YxyU!sq)8z1rXZ=7& zeaZ2nSV-oI6t8DA_D5(#nI7i41H7L8r0qHiLta)(;m8^aM^+X``7Z0?XnZPi5n|yQ zdr-2*Hz;{A1tp7%W7SH=8x@?^P(tBIdI(jB#8QaT6q-DU-f^xTjL_tyZOHXPXi}AO zg(f#2<%A~JE+A`4mC5KD^({h^9r!(#wQuFp0aaaeB=g%?)^Pfr8 zHSE+au-w#Ffv)MK|CIc`&w?Qazb^al9_+$r(BJUu9;7>ba3T3N?KxH5eR8Y+LQiIS z*Ii^z-K_V|18a6EJ2>ff(`3K4u}f&VAj}omgX>)AO{gL zXqM)Hs1*77DX8r0^>IK{kgovgGfSr(KkyBR%KS|2Y~u&V59&2PhLbi1esKIikn5hb z^Mj*I0&gd{nI9ZK5b#4RJHU$*_@$8T&ktS|2=XWvY~Tm43IschHTLBPuL=bDe@_JS zgQHARWDXTE@PngFg1i$*AAbB9Q6^zYsu%Z=Z~Wjg#1Fz9eURp0m`r(|ZqbbT^l{SP zG?~`JM-%Lmz$)jYl1x22C*N-#%ev&sr33nfza=BOYj^?K@sTA9oaOuiUd|u91~L9` zmAwxWTG@ED2Sql%IUO6{1-o5(p<^nCvEl|BUqLVzcGXODCv5!moxC=FTY54Y%(3%r zj-7wtiX=OKqruLP({|ptv}YsVsWqQO+872g8+k#FB4nVE7kGbE({AJi{N-b571-U07(=zf>WbLC+*=*zmIUh)$LA-uOUc!H5 z# zp9Wd?Ld~Yt50UOx>n_+2fEDY`fniwpx=RDB`{88WV_APE7{_B>t~=e`pj>z3WP})N znO65GR@Y$DIUXz6VZgFYPmafqpvRIKH$AhG9yhy7KXc)~&_sr3hA#48p8%GhIcHbz zJn|N;SKRYRW3R%$74$f@5ExBQGMIrH1oi~EB_UB;Q)Wb$7yjP|sS5V+ugHFtz=38! z;4#Rym;pha!GcL?W4KPR`?AJnGa$(RgiOl}NRf^PsO&pOuo)2KGeG*9f%OmnHag+D z##A$a@Ndw7Z_vEShL+X=FWAKo5LO0!qlz^pyKZYSxNn=9GPviaH@MrhYE$qy(?H|F zE!Z)@`VQ^`(iq$;^fOoAZ+RvUZoz&4tnc8Sn>4taJcGLj;rP6Zo$*~x53`MLLGDM$ z!0|2cjZq8x_!jV@`_dTS0)LX=w(%{<=`7ebz6CoLQvH2EUdRb@S3;&WzNJX_y-?Xa zz6JRqkQ-=x+qQR9INrWo8?AX$04=TKTd-^IA*_sV4wI)W%?_aPoe0HIFqv0qIS*a1 zbimy30$SM6zA7!x4krH7JYGdvgO+)fV{ntTrq|%^8K|S$l|6`R6PBaeNi)b>n80$5J&!p>*1IR1;x&Jh?%G|T zoQYGs<`?WA^{BDmnm=t$b?OH{2Vr(j3HW*f2Xac_V_CM9Qvxnv*>+9|dd;0^FU8iB zO*Q6}U_T^mI-HUc|BtnIfvc)Y-^W2Ev7m#cg{6f?gXjSc9g5mjX+RZWEaml@+8-=5#@)$^Y}dYwdUK&Dm!i z`u)FtJ|52Hd9UkT?|RqTYp?wfaZemm26!U_|F2^Tdz)ziseE=D9x%8?rKnii*H^|> zDlh8a5>E-TX&RD#qcb?Ky_ejUU`oK1ioxDTSl>$JFs>S`DU+un`6Bl$n_R9|4EA7= z+jT0^?eHEig5OyPBkbXAz)u34G`tP`CgyDoZv!5~yzSv_&<7H0Yj_*%cMG}~-iBf& zC@kS^fD0J-e;MAzNSPehdQ(&zuc97=Z*h6B20<^E2g|o*b4ApYLVZEa9Tyz-v%b#u zYf)Ot=vZ3dhI(8ncHL!no?5f?l(BiTj+t;*c^Lwxp??V}=%xcUl(Q&!FLBE!VfzZh)uA`fDoxCqhee{UUMq%z8WcQ+|ZH~GtjZW@c| zb^u<#G{{$hBxTk5dEBuTyn<=a_Yq&6D+EiagYK#0HB5uO2H0hMF}hE9>i6NuPk~2- zgqn|TOXLexhGaOaO{XLa5^05ze4)x9_a>zK=4d(!XLtCjpVW4J&$*biXWzA7KO9rr z^~2F#8>c)~u{TfoJN=JD3YVf~>+qstt>!Lrt8WandXd)wnIHy&H+guDCZ7H=u-J<{ zfO#g40bZsw%5JSDWqo6SFGLySY9K8!zzdZIeGlH~0Cp{y7@#fA5(8EDP38MLdc9Af5WgO=iI*jDudZj8eI344 z5pni&d*F_db=mF|6+5AL3YC9bD9Q^;w*=*Nz;IE!49#T)Z#_|SYj~>;5(N;uolW}h zpu{HC636sEIVRmq9DPj62f_s_2F%h*HD2@(n@K6Rc{u-cX9APPwsg0ZrV+;$yr{ri zL>zrg>h!{9175p~Sr2ILMUV|59(%UhAlN!CSX8^O9 zly|#_>21U`kxA8FY%yWIfmc=hOZOtKlUPkDb8JaVU`r(sF4!l3wc4`Xi>?DYp)KR* zdN@}Q)@zH(3rjxiZu2w}$Gkfnla3>fJ`q<8gbTKp-K2Ui`s1j@q?~dO=NAa;XVSLU zx@%G;acswninu=zM<0{2Dm<{^fLS7Lh8Ml3&7>AD_LIs4CT)18yC&sFplc0Ylu36I zM<0_Ky|BvxvzV0kXAjeph-t!3d*cr6$9adH2`KYsosmeiq&X*I`&!miX-8HF_IBv&_3cP(2n3Ns!z_vxKCY5>7tAI{uQo9#>H(~uux^rcB z1F!f_=vt2#Wm1qh`t+qXFKmF_q;Qpo>DCI1Np)Uq17ZD4+7Rn*D`n4v^fJ6ClddI> zJ|->p!p;E95_nnjJxsH0Ce898OR>HK~m_hN~Tu9w3fBCd~lC1-lV2i%G3s zbcmQH>Px}9Je&t4GHLp@?grjs;+S=}V^Y%{{w56v!Ud}Z%wkfl7kvXUO=ME~Js!?y z5Y{j7GQQ}pNi&FJD_&IK{V>fl19?*se0GN%{ABm~H?%p-DAfY%O8^OxpIh?wXWxAEZyii!$kK;^@Z# z%w|&X0T1V0a}t=eB)7XJ)e*;>2OX0Z6GtDD!a%rSa{;rMRPRNfPfQc_rM!ncoDWZA zQpT|Enp8s^(;s$BdVjXRN%=syV9x_)F{##zE+eLiOv-x1!+9KG{Q__LN!>N6j5uz` zi|R`~5}1_zs0a4ZZB~;iz3AtFPH0l67dw}*ekReGp{!%lvUOT5bZxg;6 z+h$U=7yHqz2~65HvAZU962~cv9FwBN(Z{4pAY8CZ0kfF2-HSe+m?r8=7^N498liI!5O9|^|QpQEyHHj~86+i8ml$F3FzP4px z9XDG|;%i$5{R+?tP2y`?20I^Exvr7mVQ+kG%aBYY_T~SLzqYkWol0ep#}V?XkJMER z*Md8pJk>*A$*QWRJFU7G;(T3bmN@U-n@ExPD+zp2$iS8WW{C&BC}hxch-spD;EO^A zdmgaKoW~c149UT)v#;~i##OQI$I|XNkFEiA&v~`r+>ri^6M6R%dmrcJ1L1=G5ipDM zYP{$|Vw%W#DbIR1_b04hXBvA;cRSNG;@E;0l^@p5^f#%~3wsDKi%C8;8qlyx%^-{T2DoL8BVHC^I+T=x=7D7xofh%1mgq zx?@yiJr0@0bv(Ypo%g(lWt3Q+62yTht_#-mk*|h(v6FyJ7WAE7k|S8-|L*Bxj?)Xl z92w*99z{|JdDTa2=@x9aBX!1DN8eXfPZ{UBsuj%KdjR{;%D5%j_@@Yq>g z$JEd*ygy?YzKo?^aXL02Wkkq9&IQjRT=4uBpSf+EE0MAvOI`V2vx@fvHp2|nI;Y-1 zuC(plGT429_3YgWJhC?M@<)-6JomU8{O8wm%Omp&jCK{MJKPQI6@qoWp}ne_?r8U< ziUJtu@kD>zNgnGz@icSIw*)R&j9n2P7s!?d#|7J|5y#g#E*L@bwF?Bxx7TBDMHyf& zY=BL|cE761=^IU{vr%1sb(}6-9Et73rT7I$;`$jDiL(H6VIL+e?finoY||~1No*r7 z;TIi=7uqDw0L+Cw(nvh&$nHyQB`(2#I1<17qs7K)fVr^C2&-(|c1rgpwlJ5aj>H?S z5&>%n3ikI#;!$ngZ^u^R5`M{%m}-+a127l%{p*a4JGw8ijkpxQ>`0tXToT3VEWljY zsYc?GwC;Pgow@wekvNDYs$Gf_*UrwPS%5VZ3-!6fuveKNae$Hr1;z`+(Aza1OAVXRHGxQ4Zeud{f!n1PK3Ea8B|`^5}4n^-0q zRe8sl!ET!lta~uPLxPLdt`(Y%%g!f1OL*H;dcEWO$B30r(ZhS54D43G5?aE$o(%SE zVwuPi-uGm%L*gyrPFhV{cO*6Y@83Ba0~$4`r^)rn?9Qeg&4QjMzz-v6$1dJ;^dRa*)Xhc4`J-qcodA9NG`mmLJxUivG{5atn z7(I6v<@7lNmeHS8^#-UyB8?ESasR?W(&L&s3qA})zCn}625meU=d57D zF#kM^^&LB*w0O#q)k&PDyy7VR%2lM0aiKm%wh{mrY9UZ?M#{ZOoUvW_P6dU(GYn=F z%l37K0Ubb$e4JqrozuEHqc>`kl@z9htE#^~A+vBLRX&9)`1O_GhTi+XLg5>V?Oj;j zkvcZ|&FJW7h2=X_Z$GOe6I5|7%U(gzgclV}l_XC`oOah@FX9S9RGkLLuDB=T%9Iow zAowh406&+nDDU<6Bwox~>4+LcjCjO}3n{_uyfSe}3Ftk5{JdyHy+{ZNsnG@N1Cjqw zUHrcuGjqycP3?FSAIN~^w54_#y>J)l_!2voFP>C5v2ar1)J*xUc_V+7 zwjnZGj(qLC0DXV~(_^8d%Y(IRFQD~)Iz!a%5oBgz{FF^mu@Z`^Io z8;QNxDdIkxn#hX=vl18|FB-r@#KfC@Iiw8YRzipuJ$WPD$s3{Q!PqXG?xcyrKdkl3 zB<~`VcoIlnIQo7#`UWSCCumPS_2%XD;DsZ5^bDooOtSfza3)STJ0e^)Ha9yQ8=X4^ zWnOML`i)A}Ep+tDY^+p7=;oo07x8g)?9jjc4hf=sTWTn>B{1(qWPD!gDC8_v*r3J@ zeqBjvuJDzVjmKtUP#80H*VwADx9=Vs-4%*%A07R6>+i`7um5ryXsp1CQefhx=m02I zP)DN8iQTHjUc|{jU>p7|&U(wPRq2f$76S+{cQ>uDU=UCXExT4t^MZc31fZ#_y*Y-{ zgVCjhFkQP9x2C}?0cK3qg1)cO;z?}uYn@}Fz1}VV78OumjH#i5&u3!HT$9@IEk*9Sw}(MI1B6d|7(kQ& z{_SBvml4RfJq#vD7~l3Vn1R5cJ@!e|9-jpw527hluo!TY5#%a0R|KMZ54E*fE zTuhj$@4K46#S1u@0Iu#ae65H6K>9iIlXQsKWtmKxY6{xPk+E6{i?gta2+Lte2{=p7%Z?dTiA=YLTCjZW6XgjY$7? z5}eUBq_{;4W<6m%Ez(HNGJwSdaJ5L@tDc{u^s}c$s=a_|08q@*L^a;yC$h&^2O_lU zTD^4$tUA4FI;G@926QmpP(V&H^xC5vLSiz04T=M;gW2;7AjC{WX-X9(Pdg~b& z(7dkWbl(a4RqJ0n(LcQy#92V#W;TiEO)A|Rz!3zP`(IDG-=xyL0i_YhH@z6lXBPw0 zH5Sz1E&8qm22GXaPA~7Ea|9y$a5H^K9b_RoCit&q=@hf0XU7 z;V#m3I>-GZ=QYPeXOUJq+vmHoy+I5GLU#_=SnQ zZxe&~ClEd<-vI6&AXJv1CcB=N@2k_ zfyhG1`FmaOrk#dy;WC1Poh ztKS#l_oj;6#rQ*c8E@Npk_+A{(<}H-?CBRXxj6CcqFwcZ3$lSOG;DPQ|cY8PJ04x>(dYVcPf_4 zQg#F;r(L?|-uT-$<%+?qE09t7m9}r#a)WxB2YA?O~WfG+LU%F-jTYo%+@@*8Z{<$y_sgOD!b_V z-r-jqlOpS}PbM;cnBqtsnUQSMo&>+~Y#5h6PAU!4Wbr7xgmCz5-R zU@w3Ml3>q-(^k05|mmsyYS^A3P2r&Ucn8V@P^h=I+%Y{L0BrUCtD96)}JX&@~G@o!86dX_+m8`A*q0MHpa{Tp+1!N-Bf zdAc1bGfxbo&*Xje%sIF&Jvz5I937pT6RxVrod`G5hiv*ii_$5*NvD(=Zt9gwSrvsj zrP{K8oRhNbiDl=caJz9YSE+VnI;UEvL%y2p>W~+8>5vI39&}4|PPCoVGo#zN^1)=_ zv#rI5P;OS=KGh;^I{o|v&0rv70Euo-&=3YTgg7N`2m{=C9)NTszhCMwuy+WSFm)K* zGlY{)I(jwDfZstU4Me;h^j*_IFFZt5L{tV1>v#(8b`>ROVa!zdOidL!#aBT{is481 zyje{O;_9APsA=3Clz5sBYItrt*WE$qUkqJ3(pe!aJvTXP6@!dUoD*|o$X)_^-Hk|u z$%zIM1q4s9#0(&Z*{H0DDHml$1G|(s&Ha_@SOrvBafhm94X}WKy8Rqi(UlWU{RXxV z!JPIZoG0}g+&9H)_MwvO=(Skt#0mzrUng3uu%IIlnM_Rw7OLy4zY;gI$I{Nc@DW^q z8b|d_4_-&Y`yjuaNnfi1ii#k20o8=L%ke|QQ-9)VTC`Iw4YAugHbDwKYP69@jW=8q z6g*l~o-2x6FH2iWpI0~G1T=DLdu^jLvwyM_#z((aUoUz0Ck>eQIn{Wy-qjP;9SOR4 z?f;9&8Lv1Zj~0=~xkVO;$OC;u%9{V&ZB&+rqyGy>|1&1~-k9h{)IRsj>W?px@=!J+ zgZ=r2-oC}+`7ctD`0S0kNPHA;RFQZ;-j$znuk*uS@rJ5HWXK>DmMHVe@F$j5iXZTy z0v}Kz(gPNBu~?0_^e>h+84&DQq1Vid@LF|zkJL9KKcQkg;V&nnVqBU_*yx?P3+T^+ z+#32*k^2z-chk8f#VNblRul&mm^fXPb4^K<+)`!b)aO%S) zc&F;ar|?eKhY#W{*lbxfq_DoN}vMIqro^iGj}A0tyN{)Q2<9jRXyhNFEKT8kG=Ua z_KxI$b;e$bPT#Q?k^9FhF!rAF8pdAYy^7p8Hu|#?uo9xZBGwx{0&@hA|arIp>1eS(UkhFq@QA!4%xIhd4>2&Q&uE%gQI(J+lu*eqF zQ=L?{R-TJY5swFvEKcxT!1&U(?M5WKh zD6^VUGC{s|6pmKY#!qUE$)onfTbGT;-T6Z>Dx2Oy^_SVBGwRk)YLT)B4 zWR&L6n{>QEfF7SaCb|=k+eYJ?hiUJJks+y%N}+@9w_)X@SPGs_L)VjN#*e>Ix|U0P z(&8P4>oB_c?-o9#4xeDZXlN;v%7+zscm{ZVxT<6+9<)%(3YP@V3(NyUDU1ab4y>91VFN%|n^ELS%@#HjIDe?_Mz8vJq+SZ`& zBw^OJHP|VH?b^0@I(pTNZ1IqIrU;(NAkS1fodnnFwU=IKf44-s+4X>nHV47{f! zu6R0ymShl?j$Dx$i0nmc=UvW{o4bv*i>e1fMPK5_LPZx&!@^=XS~UfK@Yt#G{HLmz zzKroFozM}%h+<0U5&AKHFmT3)N&qWW=6AlL9zaz-{y1N?;XMx-<+B%in-tyKJs1SV zOBo?*(g-Pvr(uN_mCs(38mP!YA&7T90u>XG>)>=dyGg=s3@^jbRgrwO=?lpb zTG|*K8|)cmp7>igB;6{K&L>I96f^K#w_@d;88i10tIIplwp6DQJMZwsZZpHveIot+ z)0OmY!k;3z_A-x9SurGCYPa&n%)sAQM^~@zI2h+)z1>OU7Pkh5u5j!n6*4%FnEbYo zS_wbT;y>_fbRar-QPvpD{ynbz@XKzva;X@T?$VC(whDuc!2BZ$ISevx&Wwc?VP5oV zc=M!`-EHKjp`K36emX)r@!P`sxzmi{Wwh)ZP5UPDR0eT4BAx@D51`ZOzlFm!%mJ># zaHSlU8uqr@e1Mxc&ms2K#%#8!jaLcr$0J>4)4<3M zKQUhNNh0|pA+B{1m$ecx{!xSVj;Bw@PpY!+6#6MH`rtrK+>-7g=2~^JesLv^WUCEr-U_9wxNM3GLS-$m&owmY~YWV^~O9k4uxQ1NZ22anJh= z%pTCpa4Q}0a>n!MYnb8aOU+}jz(;<`_Nd2=LK`xaU(ONr!pu?CaKS137|w&xc*Mav zOivdMd$>60Vfyqr7^W$+BpP?IfRJ_&fv5;gG>MIhh$l{l4@ZPrJd;?omYKvF?9GIg ziO%Y9&!X&bRj6$FVsHyb-wa2aD8;3;4@Q@drQJXKDLe}`H5#f@`Zk3YQB)U{EDFru z5AS%cy(l$QM@5WMR&MYJTc|br71XrZyg7*b;v(lh@T_kWU>r=j*%4$&cI=|T5zi>=gU> z5vsdA`J`lrP@iO|n^GuZJNoB$L-oKrPR##wEMT9`KmW?Vm+vbNd_k91ZslOAO~~ybJssf~)=>o~7Re({}_WtX3cT203)B z6YDXeYbtY7WhCu1M3S$iCbKToTp{FZ@=bG>EoSm|GCd>Sbh>_`OfMJmav}%ZT2dJJ zIF?2|1%-s_CR0dRYf`HfY5k3|NNO~ZA>tg5svOvZNmb5>D}}iA7_qdetPB8}zL_T< z_+QT1B;@xKxpg=+*lP*v9#mqup5q=^M*I)g;n7aEBK&6&eNa--2D@WeSJ8S^+co&E z{6#s=I$iJguG6g^C6=l~#puFLMYsz8ooc=)?Z@5a+o?c}gedu;LA=8xHwb>w4RWmT z{k`GaltOdf4!ZD}G@8y9279orNn+K&KRBA~;Q3&XqYo3q@D%rqFc7%|YLEujo_~#K zP@!3dd48(q2*tC=2i9y`DGW|$26_@m*|sACkCz|@*2Z~K81x_pfM>;bg1+K&1Aq4@ z)0V~kq*a3jYXWzk)Sc#ABa$l(tELp1yOZCndEir_9ZR&yvW$TbVo~;%>%bx{Il@|M z{305>ex&l7HC7G$L4xZ(fT^__UAVmI$kr1Y>$>%T_nh8zu8ULILvUL(w1V`u*7PR| z`95xPUDFe}bs6-0A%FJ>V@Fv9ORpz#YYv?u+-7zSkh=+C6qDpuayLl`k z71FA%eJUdss<)=YXM{n37+5p0!G19mB|-nA!9UDL4aj4N^a%+(XE*SrfQLtvm^u4_ zNEkGbt8vc&V+5pou2+BF6IbiAz1hA>T}X7L+{`A`KfJw~O9Wmat4(`{m)(`o~;jZG8iO8E{MM8(asno6lelG^>&{9Zc$3A* z3s@Q3VzR*=0c@=Q%qy*3*TDaKh}BL5dQ4-aE5*O}E>L-b6gZ^>|dBx^%gIz}0L{WV_<|{g?%f{<6(ONRph{l%?UsW)v zX&0;YroH!xpYz!>ZqgzZki z#7Z$^ewY@6#zen&Z}&7i{zhRfhl()kO!^&h>l-=JDp;~B@7yC$@g}k;I3(O}#{<=O z!Xe5WxF!#$>&qr`X)O>bQ{3$OWcJ?J{LTzUd&-;LfxoSt5B@s2?I~Ya%h&8NkL$ za4sty?d4l<8|-N2n{aqCzyk^B3{SZF$d!?MzbraPC`WaT<&DtWQ#$<8p*n}R`sRfR zvp=C(tbKkEH@tlcZs4~QT-Hb2tB?JtM_Ol?Uy9HI!$n=LBU{pVk8_jPLPuQdIlm9^zvlc`5R&I zYc^S6EAtHY9bjYFi=Wst2KyBAO_-exa5e$EsPpG9I1E~>IW$+S9ZnX=!?^t~M;P>;Y=f=M4fd1$$P8z+wC2$M zpF(GM4n=aZmXw8}|16T?gz*e4W#iJ@-n0i98+>}bTIcLRwqJvrEbNA|(n-oc1K-u3 zY)n$U8u%LocdAAGrpqmLV4V6M*3S9)Tavh?tR4gnBE3B@&Z~=x8JE& zCJa^)1MB!%Y0gx-MhjQ<-(bA;bVrCceq0*#^EB^R-R04ffN%Ft0n)Z1)(x z*AN=1>>hkrJa`+4uzJv7i-C>xe_))|g9bjBStsD1%!**UD)xhzH`dD z`{D;-P;MB+oZT0(kp04b-%U>D2@$n5Yz%e)u(6U_OW|g)-|kI(6UK}It|OpR;B+l% zV|*D)&#CjFw5R-Eyh$~$HAM~=Q!gS(y1lxcB1?q)KsUK7MOst*4MM&-(@3Y~E~NM; zF;7m`!RHHu2Z@2Tr406uz}j2NU{7bh30ukl4 z!8|URCzpsP&t=VQg{#3H3T&(dDJ|hN&R}=$MHVOYqye@NFmcS&zEz(Ms};v3H*&og zIh~cU8ELRV)`#!r)kS{}>MLGqL0zcpMg#Aw;fVaMaNY;XWu}3Dz9(6$Yk%6A>mKAL z(H)1Xmcyn7UOTO9gG^kSeI1LH&CVwHKx7Z7l59fym6(4m3AOeXgDqqQ`GkS4_MnGq z^Lu{eq|ALZ9K%FcINvSA<{S7IeO%`AjrCZ_h{RooL06m!xw<*DF}~?3VrFX9W9;X$F20!66$nf;#-nZ=-5Ak#%>+DI)O4bmE}bh%3MY zCppk)Xk7%nNXTDyldC1>ZA5OZLvI%Ho89D#NP61RvrvboJ&#xGBF_Th@jK#SjU0pR z4Xk~U$6!C|O?(q(2LpT&z*x~{dlhBi<;>TXXASm3W{nY?j?R^ZapyT2J67?o0Rzut z)}?;C26m{)q{05O2Wh=6Jas1y@Qh=;Mb+8*1@ zg*X}{h(9G3%M8tze1Q-Q}jkzxz9CFLIQ=p}2Yqt-XT7(eWIH35Qj} z;huO7)4%~5rM3Kv_Zx&719H#S-Rcs5yY~ihHj!@-=Y+Ren4cKOyf}w+^IipJa=r@8 zG-Tq0eJ)#P-d_8xY^)TXe`{=^BQWuS%`Mb%`>K(^&V+>pY~f}ev(Y_r?F zJ13Zz**S5d@Vb^X0o>ZO27anr@!~u!6#C~A6Kl(i6PY{zXRLR2<2Qt()zm!T$UQ9G zxyiT)HyH=8OicF{`##QZ7Wg)_3iF4E`G}I{z@z%saoQK$O#6a6y}%m*G&d~kM~*rr z-kMe8KlQK;G22j`Je-tn5w6oWEH`?w0|-k)4UUz8J(P&hQW>q(T-Uxwp~uZdnF-C+ ztEB)ox^Ewj48h7)$6olEoN5iJA#F}jEc!(?q%}(zcqzeE$lwm2;m%tfh~6!396V-= zTTo=?8z!)=lD3=SZ>R<-XEi3K)aiKwr@x$_KlF{v(6)JJJ1pl&>B^HuPV;|7S+J@u zHgYB~D@t+H88UxR{UKHCDzdQpQ`WQ#`i7w9^ds1)#HaEsF)S1cjwwb z~?=-4DYZh4$|LgRp&WC)g(L?gm%^V6yIR&=)XUTX#3uBM2MU-Fu~bG4Ls^5mB4%fpFAT5g!jL)>dT>_e znzvM{l7O@h-DeBK0}MlTjDn2Z*1>hUF!=Cia%d8d82B>;cfvj^JRG_^S%G`s=WkLt zk9UudJXTx#*Iz}Ov$~^=fe-X(L*>^5<<1+ShR*y{)llb8K2R?fHP-#q4W}6R!runJ zLc^_z-*7*P;No)LXRO0!LZkU!h848Pcxsl++c$D%c>_*C(~Kv_OrZ1F9EarNef;o0 z5JjdvAG{`RdiY0ZmN*|6;7kB5`Jf#f8w~mkW*aK=4F6?%_z!>X!5%$@zdncpB49pdkfWSG%__pH1ibnR7DSn8l|!U~Kb@aji+a(chrEnlVoOp&J2a z;Ku{*=mD|K&=PYe-I7`Erl&r@DTT)32}MwLA`fzHAXnD$^aSHqRtPT&O7A9WV<(1M zDF;;&0Pm=-$ST682}NK*L({qVW_*Z7e5jEX!nZW;Vi?sdvob$TlUa#|~jRho@x_S{BUnRi15b#Rj0Mvk|Z!(RyRZ+5tOw>gC{7+CXV>K9JS zJq-p>C2goOk_R29M%?9iUiKAlhEE-nZGo1mZ#K0Ax8NnD|0u#R85klP@gbr0#I3l zUUyM|#e|}2*bJ@{Kg3@`q_rqC!q#KBf0nux0ijMp3Qujt!y;ss>dYxNt>UT5En?;O z+hOI1NoJ}-H=EH^g>E+E*^0rw3T(n0$jQoJ?`OUxNLH>X5R%pERh+2|aE4||_qGQE zkGvKPy!=z-rIMuJd^9PX+G~eI7Cq=3 zDMQbXdQr<#-(_DrlcUzIlcS0UaJJIctm>_q)m!(h-rBo*DeT{;+Ud;l z1jiH1BI@_?qH_H0N>Z!mj4)&~J|nEti#?wu@j+L0dI_dqYpk?UpYB~yx*;(CQYYp& z#7frTw9sg%nXVHgjDM0)@};BA_uq2-LmM5Z6#vjh#lIK(DzMBNhq&Wb1x=uD4Q4A1 z-}{+wiQ!920K|6{n`nSDm}xUR5AolG_}?Ab?TY_d(AA}IkQs+s5dRLA;vbE`4s7+J z_aQXL|E&n~k~#!`#xC7<81b@Hz_+V_58>y&y;{kudMRK7pCnd`Xh-{|hSpJR>7N?; zrw90K>2>2J=I3tfq=1aB%%dqq^ramBvoM?gRAu20);#-6t?r!N@@! z!2N9{zE$TUyT5J2x2pfRzU6b5K}`iuS3caybzgV=hJL0Q_;$52h~WiRjYCVNnI0{VBD?wV2;52Au8O3=14|FdA1Sb zSD2x@qUZ7ooq#v|E5y=5ys(V+AHLyE@3{sdkyP)+HWQXlzzbzj;GoJ;MNlIJ57iru zN_-;O*y%#$Y7r&tacIdB1X|rt6f-jJA6`#7v+=7l(07Ug;se6 zK#dnW+z88d+Jl#VkeI31pFmX;7r9P7tpB2f0Y0<1QO!uH<(iXdNv-jtXt}DLU1DiD zgT0%u>fA(XIY&`vvPH`|Ss^Es_yP%Ou0x7S>b{{G6i<9PqS?JfHgi8vQjwLF_DF+M zU;*3>OZM$>{Qbk%$t*G0X2Pn)RI&syh=G{bQ(1p<>Uc7zFR!)O)ff&98txZ0u4Oei zXGzNs*42tm>-AoW5v)v@CqJr6;rbub7UTs=xzM9ml2*<621=CSMa5qGSL6%Mksc+2 zWULxnYP}RM69w89ZJ^heCUAnoXKqQUQ2xp@(m$(8MMQ3iFh$ zjzd29k{nWsFn3i3m0THM^Mx1tEMbj+1f@6kb|c^>7BC6D-6a51FD^Z}w;Sx4UIBgu z2q!K>kL_(o^0iL0qP*F_4CH7)p&=TZ;8qLLPTtQ9f4(-BiPR~3=iA89;-0Bp-9Nh-nSIopCZ;N+vRc%RpoX6*p7|7;L_l2}#*98FN=SaR#!m2SwO-ZET=L zn8B_ktlPkL63YgbujFnNsMvwa#rEdpk!P7Ga2+e4M;@&$4S!un9z!uwtAPmOX$H5e zTC}xV&g1R}BKXHo$#OmJx&-s&K{=bpT|=>!C{!n zq`btdh|VFL3sdN~7WB@UJw3{xKlvC&VzA;&ZT{R8Y`Ly*RtX;Y*| zx)%;OZyM}}o5=~z{sErysbaU9^O~|xa$92KxFyaJxQrrUfjQah?`7%zydFV;nV|%Q$B>MCCQ5L@IX^-B-I#IDh|()76LaXszoA z4db*(^U`O3Mg+`V*NI9)mP^XFP3!vLKw3cH#Xmfr%6CNqmpJtHFaT4KDzN;9uhc#= z`Kk?H>E%VmC>nat#yX06j3i?jaRnDcMJodHUsj6^*vcO(c~6%?p{2M9e`v^A)4&vW z(o(ELGR>rQf%!chnsqo_hu7m8X>rgu^f{khE75V;CXS9~Pjoa>bnvp40geDL7Fx$? z(s$9ghE_KXelKPmYDWTaFB-S&AvK%U`3(Bw51|Gx7?;#gXzWlapSO4Lf*IAKG`&{q z9<2p1TQxS^KrOwS7t9i#RKQDxF|qnO5|?P{l~)T5_B2+F7erA%(M6zsSe;(sh+o0t z$3lF#eBCrxl#NG}!I7nnSctC2LUi3&+VzJx(g8$;N-Hml$ycrba!}qdgQd}{(Adld zVe=k`K+$`F`75yc97DJz8dcZz8b} z!3}WR2a2g4Pn-~O)%BE|41NXhXt6}$#Hq}n=Mh@2L6p=ZczQV@RY&oKQDZVj3tm0I z@+&;3qv<_r&dBxfYsYicrqo^@M_=glwIsf75?`mX>NZ~+?EC*p=4*p}8d(3t&b~Il zGR@TPYlAOl#&%yD^bkUOeJ$OWe66}~LSI+B05|K4Ipv;J?HXRyo?VGPR2GgdoJFH~ zw2D?3u%1oB-&pk^K(NL$S2-!S;JsSR##fkp&=0-|y$-+Csm|xnQZBCde&y<-4$AaL z{rm(>E@KaqQY6iNZp}6HEZ-Qfj^JSn24NnvGUGJ5mP|WzW6C;pKc`}3U}H3_jZz>j z&!ml7LUVGSpBJ@ZiB4_VR?^@S#df4H2XnR@KuDL&5pTaR`IZ>IwDd!KRgqTS^X5?cBqKi3fM@3n&p$QnJ1qDaJ-lmc<>n;I2WGx?n6lt_h3oK(1kN47^O z3(CVe`T5Hj=n(1NXD`Ft+W3L3Mt`*(KX0eLRX)4byX6?e>FVXYo4oknNchj=MJWB_B-$I0Y$@RY+{J?~GoMy@@CVX88@79D(LE5{TLX_SEkeHGWZ(_=?gmS!4 z<}f8IqIc-G>w1gWd2eZEc6?(ev+MDlV?iIW0B5bdS3di_lsN_RO=f|p;eDa}^(~6a+1tIxjWC={Sk(po7Qth*tF?Fv z|BV)pCq2D;qFGOrJvsuu7iras9HGOH6zYT*h}!C(%u&k7P!Rb4ivpdS;8PT^p6cO~HZW*N#ij z1(T|JzmUH|QKdP20dM!`!WZmgk4K{$Vx_Nz4l-xlhq5x{7~W5Pk3?P zyHQ(ijgdm3{?{9hDFeytZ>ufM_MSUQsB4HiS%?_;RU+&+`Rx{wm#5`f#{&c3`MNf) zc!p{t+DE_Ldw43R#4PIWoDvs`tQABIcz;h%WjO9pjDuGxS;(Nyqjalrx6Ul`jaO+5B;KwUzsGG9FVKgJX366JPCJ#jZ(w)RVSTy*onKiH{ zegl7z;9V1c#Z%g8*1_f!k$G)*WE%LXBJ(yCx>?jfUYGH}W(u+iA}duBqaf1-huztw zLfO=$+Qys5xG7E9VBj?bcbY`M>H7GXtvVrDgX=EQAf#9>ZVGa6C5@}+gmN#fyVpsq zyQz>2eDiBcca%KiNpUPLENR&b^KIT!XRhnOwZl2%N`_J@6{dI z2EKWn)Be>YQM-w54{h~^0CzJE0WQu8MBoF81KgC236rx;6$*QcJGP#SJGQ<==r1CA zz^$Flz>m~$YnnCiU)C!7C|z<*&k0n`J}HUz4HlDdtFG6JuFn%Uzz>KIK%A}4ES~py915Ih0cSD z+CFAIE!2M}>SRr2;AKh}#kCo;rg+D!3Z!PRv=2hBiUvn34eWCp1OIikHdjqnqQ%^c zt*P-dk+qtLVXZaN4E$~-3#AV-sLE|Ld9;)NPo$l%rCGayf#(`&&Qz81w!L^6@Pm`v zzHC&E(c{b30;2VXX_pRvg3vy#X=5c@qBs)?gw|T?Tp^qxLT5ND0AAm+NV9futx%pw zln~_YrVKapMFa2cXo!g-Pf+scDQ20vt5~&-ip(_)j*Fx+w05#5g|d<;p=~$e6SN@o zNmIgh{6QBC)<#(^GW$79RHJy7kf4iwDEe5~Y+S{v+Xov1e}v!^>oJ@tz%j2z5eW1) zRhR6)Qv^=b0=;H-Ns$JAw1y9ePrwIA0ygkpS1SD|134KL6$doMH)YMBh|j1gv`rhW zXARB%KRP|>K@yQHG!1;Z(g@2ZCNyV{BnH;7JXYi#sTp9xLZ!#nlataG3GH_)*d3@U zokwKamzb^+%9n^TnUV(nXDuk^nQ~gIyokseqh#45$iNR!vUrrq)=e8McCOggL2LV5 z_c0ON@(SPBR)k#vS!t*5P}e#X$G$TP1&ka`vN7J_#%|~2kBYBpJWr;R zVKtIi0lt5Hz-LRq8+fLMTNV9K6#ZnmQZyVZql|&eGL^6$cVMU}r5pn%5E9`hb?&i$Gn6TwB`kD^EkDFQPG z$D27s%rx-BwBAs!vWyhhJvtrZ3fC9%WS9TYugLY8mQcV@%ibltSdfnNYP{xQFo9dMf zND4GT>}Xcx_U?DNkUvP|F#5pwmZQ~rH77Ih=^AbgPy;_jDMv;ZI|;n9)wFmJ5vukJ zKk;w1uN=+4MtWOhKU`0s=C=;TbH$i9|Eb%P%j3#cROv)*owPqJ)YU>wPv8ht+^@*( z>1UacpG)NMWOlqK2Z$#Pe29`(jit6m?GQ|-lc%ZoMPS>@Y%Mqhv(fdNNw{^`{$5C* zBvQbwtB3}Ela_>LG~@6rd`jEAjgre`IyR+$Grylmw{3?!cYp*cwvvU(g-e+3w+b3!mG?_uLp*TsRt@$Tx0rn?^+yN%@=;y>X1-=wp5t`wSzfy=M81fTk*WF zi;zXg+1^9Om=8*5-K8%PKKB!!?!&LvaO+x%;XXpcy_auU8NPhWM$HywGBn)Ulm`Cs zKa3Bu93MD`+s@<_F}Nq3rCX971TnsmM;K>7j~qqyq8fj~d9D`EO3V3%2Tt{LFTww! zS81*3`F=5Igwl}O*IA&pCbO4>GL&Mq@C`?S7L1in+JUF1yb?TfmTgz$bMgr?Rh zoPl4hR3kU=MHsPl_SgPUYp+=}9daEVQGD7KU5hY~Wd1 zlxNmy@8qY5taqPdS@vva;EOce+a^3LnM*_lUP^FYisFZ}UE~ZH&Iscz+MK7gv3k_N4>8(Qcaq&&vniz6%b(L^U>wayQBC&6mP_c78(&u+Dtg={dc6Lu z@`_at1AmaOBUa%IIUkc?&qi2{3v@XTh zD2%Gujt-v8)LJB_?s9U{*H5b^?k2pxJMclmJ*wf>mN4*(2(AJsm?!C0my0t8IxUb# zEl|g;qg$Z#h&Zy+gKl*Qg6NH^q%ZArYj60-sXwfjI8}FVOIu_-Gw0 z(Q4qA6I>geBZ?k(D^~;*ie=QI3Q(5fgryUDdzQ~$mol?gIqpN??TyLTPfNtEt$*X4 z?p4KmfW00Z?E}p9Li=~nB6hnAFC#c7eLPquZBCZF&j>`y^(u=s8B~en6O`ob)?{Gd zdnn1YY?8Ql2O`H%zCvHLM(Wd|MBP)S(J0r4>YbTVAo35jtIpo7UlmrD8&QuG5Ww(34f1R|qeUVbgs=tA+Cb;f``ay&gP+=&icGPz! zjhz!j_DnD%qmE*uCWbm`k*8C$4`X`Ohxgua+qivYdXqSPamtNDb z&N8kQZm&L}TxFeQ82G&ehpXJP404XO=#GlC3$-+B!x(s;k=AvtXC0596oFqo&iZ1m zkIaN@bcY^4t@;}BzY%#d^9+2Jl19O!x3y%Y{m`nGYgGjF<+%p2v~DC?Ee0H_HLzwb z1OMqUX&)Y8Fn^;tr+selu}J%;B8R(j=+??)ggb?BjwaO8e+*VVOOr1j^#8@_v8Nh( zv=F64``B}=Q1%ka8MI8+v9DTnvR}a}67pATRSR3Yi-F%ua25a4_^;X+U@vvA5@{D| zY1Z&F@H{Qe9Z&1{T>5czZyn;SFGjs&zKV$W)<@Y``~2F#pC&jB>%4x^t@-t1B5S%L zmcAgF%BgLVU(Yqn4%V9Jxiw7QZq1e}MU5{XQSEE(KL-9X!PQVexjHNDg!et&H<(AV zt;{|Y*;i@V)~;^gCu`Zrtuu6N^!Gyp(*XFi4ta6 z=Zyw_w}xBijRrnd=|zDMrrJL3^LN>$&Dzg3uE}IEPijA7z&N@*verEh=*CRUh~4&( z;|`vQsb`yn%eNKd#wx5RmA2rnVcb1j)QG!=>!C`czLScE>Q3)n!|nJ=--+HDOToVR zd7G&S#4S>|`4LZXpTAK)COYJz8P0AP`m5vdb4WQNbq={0xQu5lILmwALO#bxmYXt(a6bmK%gEO7M~Kf{zlx zTZHl+O&KfM9<5%YX^)DhJz8i}QYP!3cA?Pz5LHjOgTX`NX^#-vfkJyAXk(!t)FlfT zyhw}o&Rf}F-gv+U4;S*y_d5m$9anirA*SSAyG%JwC?6Nf(f4YZ`^2lfuc$mjD5nW! znA)CNci(u*enNStP!1Eyu?}THJmtwkxmYNFs3A9P7dLIug8Rjj_ZRYYLjDrS8`|XK z-3O+khn2KMPb((vy*G!j$N1(D_ShDongJ#p)WLC%_TCG$Ovx8$nKC8S36K+ok{D@}hl-WW#TqxHFU@^*QqoFSB>gmSoJw)cWNQ}S&)OnIkJrU~Uphw_a0mj1n% zy;vyUxK}%@jOKjwk4!x?p7<>Mr0#)TC&crFIL}4w-O#`~^M(f2xlM@Ab%=vg9ISesuz?D4zIiA+8kSuNF8qAMa|X z5%I($g?Nz=KP|-D@GB*oj)7{urrjEyjl$tdheMF~v(?rZ*({Vt3*{8YU%!jj8qXKk z&D<%J|GCF8c>~GBKXUf*@x&+KCl%NIdO9)kvJlgDeEOF(!19Io79pkpqsS*>Yk-Xv z;*mn!2*P9VzvJ(kgSC{HCLDI%tqo716tk5cf^M%uDulE_NGZqgCUHAyt&mm-DRm0x z5qj#F^kzAo#+*vihJit!Vbt{q8m`8%$)*Jm{6>XlA2dFH!m$jSDG&;ZHV5X@UOzg_ z5s$X@Jv*Th+BFR7mq}#|Lx#P>Zvf6_4)P?3|LYnNLXw(4FFR_6W}S z0SylN@t$Q;NgXq~vWCv?j=ogQe->8qpQ9B?98f%$LZo1{F`_HY{VTJZc0@KXt{+nt4T{mO9=ttMrX@)|6ncT^c( zl|+m4{iADE?>acz^1IN6p)Ccg19vtZrdWDc2-xMk*^DceQ-tNC#1anm4nYhzLy&>b zaA^?kCw9?z#EKl-GPEW7`p`AemT2gqq?Sz(HL?xgCiW5-s*Z#BEaM)8HLE0hYy*Y0G)+Pr(Y7>?^!&ZT>S-$!sh{xmDhOBE-sRoCD3m&IY^rp&?W%Oras z>2iIGUKPXng>p%#0&>X$@7@MhiEjboeSmj~O1+FqbThzYdN@yC9FznO-eoH0fJ+V9 zsON;knwS_xH{NLumF2V1mFE9VT~4U?UUA}<&m~?QDC>pSP0UN>ud+JS$R;X}BJzr&-m z+jo!*^YI^1ZbG|;zwhmI%=i9E*7|7J!$-qjM#E{~&=esJ&q=Cbu~);s-O=!$qTz8y z!zT72Jg|og7iT@92K9~f&i)3cvm>JlVHczUjU%J&s|$#FJt3&u@U19{ZZ!WE4|W-EcHrn^Oyb>qh_~xw`j04%?55GK8N6{L34aOc^CP{B!tH!-|g;r zwGW)rHPi#HP>0&8JLkZSP1b}nS7~dnPqOxOoOQ#WNn6e^2||4aA^bzVgnmNqwhl-} z)*dV?VW{6sn)`?PMD>$js23`G?V)aTxC8!Ht&=F!w`-%U&21PSD-6Sa&HXrgPlkhk zl%u)-rLLjd2Z5uciQcLpm3;;QzhSDMiJCAtsHmBdG-}QwD@;^oKuyY*)`7HMG(0+y zhJM4;{zk)A(QsN)4WA|%M#HP`=!S;Dy__(QkwMCbW|(qnuxi@sMDsLMIKx&uw<*m$ zjY8X7b8@HWnv*l=MB#rV^XF5V=~3spEU8ul;XPz=vVm}x@cNWYbJ{!6KuEhvopR(i z41`m4!&+xA3q+N1MwJBF;XFe4XNQwi6WOzak<~tz%ubjcCXnX-+2K_6lV5f?MA>W4 z4n~K|M2AG#;T;%d8H#uyH2nGzKg&R9kl&OMGTA^l8vHEMc_6Hl*1MN^CLRb4a=KS~ zf`RZgMkN^t4b%Mzo_HXvWZ%qg^KP%<9!8fJ)kb8)ZT;=SEnVjUcY$&;ajPoADe;_Z z-9H`7KS>JCpMw;9KCQrX9dUDAed;%ixB=xB`-rI?cQ*@$ao zEg*Q}K9xqA`}e7DNXx1szdrRA{RADX#ih|9OLR!or{-ve))BW|9M~{hjPe_C^EEeX zTp4cH8*YAa^|@*bzqo4RpCqnY|HN*o_g*~X$tTu&^mM0JhBG4Vyp60-qk3>WmTxM< z8EUnOj@w&DXMxV>H#uc@TU?kPWx_NE?7CYRP9sCj06G-vcD#>CdUc$Dd?O$z0`ilN z(7n9^ns0@GnZ5$(iklw1W{7~3k_vc=TxKk|k_7DQD`2=0uvi2nw;<#dum=fv5lbua zE*fbBw2A;)u503WNVFQTg<`~5@Z>EJaIUX_pb?Og=`=DeV%PetsG}3jvDKY$8g#VFA`9JFAKZW=&qDADc z{3jUxDSJCHbZk=oqrCi6z@MsDYsq*l_^a7B`BU;5Ep^Yo3u~Dj&p~XQf|HGc#iC$N zQUxC&5zxqbfnkPdG@lHJRq^!|)%V;hId{>o>T6oB+vg^D^8zg9kpS#;` zqrX9Mi~M%@puzC$C}sJJJ@Oap3v}Ut2fLE6ZuwPwDNVJayY$OdD!^C#K!;dKZTXti zXh|)#(W`Wnxgw#4A25@6CMidsgdQr)PbL*mS@F%M*tkqPTkWghtA+Mg66K<$-8%M( z=Ep+2rVA}?@wBg^{*nKUwtDvPt(^x3;M^){}qpN0kt9JmPBj#*P9;rTh{Q0k;*1gzPp~T zz-cjlD;zf%F~4OYzKJX|Vk)(mIf<;f(TMpiOFdJ(Vg|d#_*pa4i1{t+H9K$cShIWv zIc-sDAo4WYD{dqxHA1dJQ>&Vm%H}3(Zd^b@5X9C6$TEqY2yCT}mtd{^C$GxAmC8K= zkx?ko;#9uLsQl1xQ28^`A(_hm5S8D75*C$D_o_VZj~Kmb`gEg1z9kZ7umo3!qydIH zq;(>*Rp|2#eT*kE6}4CvCljrgHGB7UQp&r`-lVJ*6ZK~K8*#cDyF#0b?~=`u8ubA%in|IFXtVEh*3q+%_4n(mhRol#mbt! zTn7HlwPdcAKGS(u)&kB^ExjhL3s#ygSOdW-YuuVNYfM(E*|Wwz`#AA=4y%?hYcy(Z z*5wexEmgQBS`PUmG4x+j-7=kj!oyoQExN0{_39_T1)GBuL%%hQp8OLtqavu^HQflA zVd9w+NT#z=y#anlT{Vw4!gZaB+-X{>804y@PEFcUOG!Yo5H|WeN$M+oa50e-@^Mrx zfy^*F_-ZA>8mIHb%`=|9qpy;Yt_Ry>zvKEb-elZ5ViRh4x{Hj zCwu1VQg$KyQ?L9}ADF)t>i6t7UPsC3@3?C)+m+B=2H1HSg{1S0 z(zyN0dEnS!(60jxcQt$VFE{H>Qt8D$LRhtbxfWG&FK7R94L+!6UDB?*HnK=0ndyfxHm(Drz+AH1udajKq}TZ7fG zf}(oo%X;ogop`RY>p8ZC_mXF5=nu<(O#!lE7x z=Tou+uqm{GJ7md*!2GG$3WjaLI#^c+US1zr?{Z=-@AQ{euf2qwh=ojzbT4G8o&JKI zN!Y3|Dky%AWiOm>s!rz#L}JL2fxkxZY7xx=S0L;TRig0-Y?o;C0MkzlcIOll&Hbcq z8Kk6yqZzFQFjqIr25N!GHONIB{IvSe2KE~7j{fFg^o6%Ou|bk_v-XA(QLd5%j+g}H z^pn5oVV6*jA9l&T!C)r>%dGu+1N+-x^O>*T!!Fs`2H2aKI(rD?nvwg0L4WuMZbm#S z-Q`Q%QNh0CglDkN5w_|q-=s%3V8{c0>nQkXWJC~-2yF<=%fMh&4jziuSII>CxU`zncv0-8D@S?$9Wy3s;32-S;XN zZ{UY=;y!LXv7!L2%#{HLmnzKliaOPvi(3C5VX92p@J{jGCbPg7_MCj*C3d1t>lbdXlF_nsUK z3GRDxo|d+Ld?FkYi;#wVPtF#6Am!B^S_k3^vV6g(0ra77z}|Z;joDNFRjWF$3H#Gs z>@nLf&8G`%V2@`Oli_$?FZ)Kx97{{B(ND0jpeo738-PeA>kEb&jnz{Fjlw5$-?-h zi;RbY>5;};(%8{GaUTNi@G|NiIcF4c^`05PT#pj27Yf%ufNKmxyNV)xY=FaTL}+v1 z&T`!8lvK@`!fw;u7M3 zqYHF0&cO)49FFkeFoikjrdk95##+uL+^OUw1iM1di~fC$LQTO8u%npeILgATj-G&X z0%nz@pVc962*bwlY$5eJs;5*}=OfRF*U3U62qm<(|$r@VNfv^SRgNO2#Y&k@KdFzf;)!kY8`BqGi+ zBAQapgM^NSa5871y#n)&g%kMw-hugtl0$H}CN&MMh0Y%h2a+*XNi`zrOHxd=Xj96$ zkffY{7`16v=Razk|B^UAJE`+8RmO0G(~1JLbb5uEmb8Fj!?6P#AD_+s@;v~i7$6ri zmyy`TT2+~cr0FyTjU@VhmOI!)HCU<^aW{T1`qea4WE?JM<)M2h2hXI(C^R4Kx&FYB zJq-NSNcDm!eSe8+WNVH#@T&=~J5%)*(pPFl}lMlSNsk0AgUyt&^R?%Uhi)mRS0OjrbM)3@LtQT|k z!1L_&#g)iyST(^%ONE<$0Gj%8yr_;>K)e$zT=MRCgUuwYRJd!xJxg#2wu(eT70v^$ zGkNwnMA>*kyyH$38}AT3UO1l}rviF8%K4O`nC9wS{T@D&zYdM(b(rvaRCrykcya%A zvSGA(0Wqlxm2sf1I)dUFS*(Mw_%>YL*h~E~O#kwh`6XTba-9CSBb_Ki*K{Yrd??H+6f>&Pno_W3OedIE4}eNt6HJ{+Fr8qavOA5?_UxXj zeAtZybLfFiEPZ<}#Yf@z2-CE*3+{O`1AjAby8DFbB&>mT1y=%4R?G9w&I%fYYVXxd!*6HEJ?zKo{ba}R%dPkpLVpMJWFkyk2YoBDLU z`t*!KmMi2s_1h%%+dTE#AJuPV>eKb=(+%p=HR{u4>eJ=w)1MWW-zzS&)TcuA>1y?9 z1U@y?ifIRE)5a+VAq5(yNO~*gVfEWk_1kgyttr$BkK@l$9+*`M=6R@ZHLw`s8qxVamn15Fc$~WmY}Gh-E^)Mua|U}TVb!_=hL2uY?+Mk= z62I?tgG$o;E0NPNmTcTLM3(0UEE@u)SEV21fvo||Ts4SWM4RSCFJPvfU@AyrN;l#* zdy!W&@|#pzE_r_n`YGOoYSe*-de)RnLpZ+f^=jEg{Cko9UDY>%$P*ZSsiX*D!Jj4# z_uz9}uKLvEs=R}pTy;5=vgfL2Ja%i1OvPFaRt^8gi)yro&flrE1DJFfS4`GkV-1B!~YDFq@Q3sjNBKV0CTsvN3bYq9ui4F3Um4Ml5kuu{T-lxC z1db~`JxT~Ox5+t;G?;BqKL;J+m{!ON&u+FZPZ;<ejDia8hAbM?K~aMa4jpORmN5|}26f_! zi7}X{K?1`-z(I{VZV?f6RMbI05jR{S@BdHLt#fbpy|UUn3~7XOEORJDo-N3NIn*MmcIarVjK2l*v2&=dr|f-&zaqn@!n+b~V<9&lW|}x2 zauAMnh@)JkbJ0GJiY#nou#>6S^y~^(e4>b_BUW3A{t+vS)s|v+A{LVq99wE91l1|N zj{%btCcey}CJO)j5VixWA(Iw1i3IF)wi`B1WOI!1Qj-=e;+@P>smu$edp&|6c%?n< zJU*NJ8`t8jR3xh+ZF1tUiA?`#GBiOyH$Z*FED$h3hj}bLZnW+$I+0B=o`L#;uHDH% z4m+Ey?fPz2$}Q)aQ!1$sQ_`1}78F)8l3G zcOmcX5ylqCj0Uxge0j+i@0ISx4nKs%=UV3&s9pd*N5!5@4#)Ifi3by zM%R7mlycZQZg#5HVy7xke3!^5dRL}QjURAwTnFByug1!PyIqy-fF6tI!I zGG!~OV($>Tk$aRCc&R1ItpGKZcRf{8`NWs$FMZo2hg1)farn0#O{X)AUlu<_DX?4Ck5QrZgaW{Jj2Tk$U+K!)R` zt?2uSR%vhRmv+T6h%mAL&qT0GZ2ap<;h(! zq4fI6Ebmmk&OmallJua?F-cJ7JAkwJkB4u08HXV+n&9iaBhh)06dB)&t>)+xwX^X0 zBkW7#dGi>%vCe?+OJTRZOI~mFU1C4AZ$w&>MRzreLA6*68VxTl-Sw5oP1G}K&xu_< z13G$tF(7>yhMTCD+U`0CFdueHU^$%$og+ziRl2M8Bi%aPoXv-9(5LJ}HVRw_pf^Vf z?XI#%SMk3V!pY9O?&{5xWQ(?T%8K&Q}r0okWp?@MR z;{evdQnC!lTBPj?91CE~`vgQ`iatchCZRCj<4c|stSN^fD7F`|`uGxt9eI2SR^4}L z!Mg+G@ukK^DccPSUIGTEXRUud8nPbN?^^-i^f6iLmSA{&@W7t+X!0D+^h^wTj}y?i z!QhVjA?NIL)UPXkKcj6}D$}$UK=#NE^xec3KkixPPQ^S1K|(y~`HplykQOR19qFX7 zlm?O}jo{O#!k#>RDpU96j>e1ocB4tLpiu3wxGz4n`&PlrS6o&%k!k$XyE?Imk8gbXUc# zt_s}5zqM@S5X&a!D7H&fizNl-DD{rU2uI_N-}2v+q|pRouj>cfnpv7XC zAG8D=9sjEm@14guWA7#6Hke>*t-OQA)}uY$T3-$%2M!>6H>|g{4J@o}XII-*uC|4H zKyt;HQ}}NPr*q3TNk#mcX{xpw->R@q?1Zx|yv@~lqDN$oPg_eY-&+^b*v8TLtD~{0 zqp{b~+pc=|psm@9+AWQ33TZGqZY16AXgsyMXEnHqf1RYU6kjNi;5&vz;{$NZ&{*SW zT%Sin+-ZlU5j@&MXkhcLrLpPmp7kD{M??7Nv^1&<+p>eBv8$u;&2FAz#C4WgjqFfZ znwt+yD~@rc=b|*sthR%;cKbQ*joe~U-AM~k8Q*t__rl#|vIpyf`*x*qvTs+q#JP_2 zxrTINH=$Zp$0~XrV5%r`nv7@C<7{JsE7Ve>u25g=YW!r75yc!dvMx->N!+&L`8 z<#`2R_;;@OEL$9tFoZQtDO-B?LP;`*VXORzshHqP3 zw?B#nh&7D0l!BQ>p(Imm(s_=X?;iT}rP}jGkedceBe?rRXvpplp)uUi*v`@L z_4O&BvC^hF*T*X7B1h=eT|Chk$Fq{mcq)puu0}`W7DofJ$G^4nL8BxSYj!khLBn;o zA)|xcjRxmEFW0zw2txd&?v1t53uUV23-R$5R6ON|Qe{KyR#Z-%gf9}$udw;pf`uQ6 z=;t|Z=I;!tNIo`X;YWgc#r}p^^OP;dfbQs_NEz@s+ZEnqWd-*4)K9`hWr#-H=b#a@ zCjQdAnS7G>9(xh5VS#Xgc=9Ba{ElS@{9NEc%tTW&WEYps~tH>MO_92*;JpXcus$*nz^;2oAaAic`d@#QZZfnbRR+!n3#S*Y(8L4iVo> zh%6=SnFy7cfvQB!kGU1yYKX%#QTW>fS@6=vhfOM#n@4k-5mQ& zDS)X*fouu#@9)T7v{NzpEA|j#RsI$5HC2gMM`=sf<3e&hA|K2VZ#QxF_+#W6a~~L0 zdMB6SXU|a=$)@MzQb6_H1D6wt`=bO@3xO~#(>vL@>I~jN@i9r%U}z-zfd*?@>b;n$ zzK5r6)Y&3CWr%CuC|HP^8LES=;g5KI7ltUZO?VR}6Y*oV4OntLbXqC7=74 zDW?dS<2@?>yb$l`a*xA5hGDb4EHLb#yZhhee4tbIRXad|*<=Iz^7uh`IdnCwr$4U@ z&!B}b;#U<`U3^wIb}|zhMJhPiRnVUmln!56?eT+cKJ}G`H9YTHnZA*-1)<;*kkT-& zqL_V0zi{<7lThjKOTBtWU~@cj$EbjIZVwbd>Sv?DYe&PC33mzrb$Bqr)69ur{+`cm^&-pZl(anHDvh0Zu+|4=_qa z{NC9WBh=JQFkay^^LI3wbP|P%`hio_A&$=N9-W%LczPTUqYp-t24N9$b}EPC6|$qJ z)6~KC5VCzjwyvpw^&>aDgprIqY%AH!iS5C+3W#-qJKG6QcXe-L>u#$lr>a)Ig~T{n z4;~*W#qlyATfE*CU%oAKk?{tl=tqE#Hz>tkPs*_drP$MiZlpmea4!O#LA{phHZk9z z=sZ%nas8nM_*zf$wUGP?w4B<(h{~vfDV_?@8P@r12#Q#FhuN4A@8EB7GMv7RGo$sr zD$_QGk9QA`xYB2^G!zz`HHyOYX-|dk;Z@eef!DaopR;_dQ+d`^w`yxsU99s`_*}v{ zc#ZfuZ8%$I-E$LNQc<=$UA6VL+E^|Xp7g3U^#q2CE@8ukNCTc7qu9CMpa)D^#6ZEV z@Jw1QS%b{HvD|K$dHfvtm^M;A@EnaCuaT0ETn#U3BmtL{Y&J217fJYni)s^Gq$fxr z^TB1JG^yCYqX`t63W;J9K?(fR8&rVX{b1dY6)S5TXdU12s;}b86(Ah`Cs;d#Z>TpFf;f*nDvLs`(TPG z={Cp5v@M*N+RBcCMppJ910ZlnUz{1f9~{-CejU}%b@kWT`eWHu_%8nXr7Ec(uQm;O zArm9O3}OeAYaJWUZ?5e^PP^y$BWXjig@5M?-=c-v%6g&wD{FE7HVFjRheZgoJ}gEs z+YuPyBTzE|1f*~<2A9GbgTLwu_wg6*%TSm#2iF;-u&gsk&Ffs@fAx0lZmX&H3I{`I zDXbxMF0wuKc#X z@-2{M_MU-P+`q{-InQZ&93l; zzQPSlSs4GQuuTffcgHPLex578v#)&3wzhD4_dsC@p?k2f%u1KJ!kv|Dc5;wi1{qO< zira(oma-ymDf^Wx`*2>_YL*Qq$fc|yW z9D6or!i!|J|0ur~I*m2Oj%@on4xjJk3?kdm3DNNk7c?-q&p;Ze`wUKW#ivT~iElT*z~ z@Et=4dV^w|@*x8_8DfnvIc2g(F`yGJ;4S{nc zg05oc#hZ|LfL(K}pIzt(e7+f*XXXv!XPM^t6Lu<)M(hChwxcmiX|$EmC9-U+xBSkP zt=F>InsQV=c`%k2i&nnXexCE#UkS989YGO3h;>pDh#jU5as<|GsuHN_kLg|UvHcWR z_;D@VR(2$7-_6z@8!?S@1nQMQwt*2-COMhR2Oq_j!KMm#jla*i;s^SQ^V#akn`gm{ zvdPJCP;JSXC}Bci?BAO~`x;t1qjKtMe350D8C{UMK*tT;A1OK0Ke(En?Ex_j!>W#d z7au#g8ATL$4}i?-nN)1SsoG0GalWGKg>14Zu#2zBy0St02e2t(-7dZ~vlDhBAFLi{ zTqhsy!uHuckTYc6Z3}=Pj@ZO-8r96Es5(FB&PK*LR>jUC);$*Vk5sqOaF0m4F&i5( zwf%Z{X04gpYHId)OuVS@SbMq0ad-@C_4=!|7o%?R!vQ^?3yq7Oq2Z;e^c&8lcq@&| zOagnpqyE7rZ2xFgGB?*!$*IgTqi4m=0hZI^P~(vo3@G+yp<6d4U_4W3Jo0t{1zu>0 z(s*jZ_QK0{a>XAggeAS?+h4l_6d;KwGa||+el635W#rWE%OFb0bzxvGUuSmKN;U$& z-HF8*wQ*c`sB!(?rlf;@Qbs*?HY2g+PP$WmK#9fMqN2|LI^Gr)yEn1U7P)|6hw6Xf z=@Mhw_jbro&DLPS=V9_!XfgfZy^Uvx>M$bzuU(TKPmoEGzY9jfq^~6!S;Cy=$-vA? z8vE6TaY=NHtg+${`Wf(E;;_cgtepCj;)X14Ws3v6jyyp48V$E%H(1h;;a0?u#jPmz z({idM!VBinT?{O|pwi_E59zk#5uG7KjTeL=dxyz;J#S%)2vV8&xe)dY*@K6PQp*fs z8F&2rH2Omt@;6*-ZY`AY80`2Hr>O=F;aYDwQ@rJGVbBFGbd6a#X^x%3?C(T>Pd9Mo zc_>a{Fe;_bMskB*E;cF|;b@HU)0kptOuiU%W6)?1PKBhmb$7k(Vn<_Z(f~YIrNDJ_ ztHM`xCEHvZY2tKIzGg-YDa!PXuEK|ef(hm%lmb(rlQ$&XDYB`?Pdh86(z}{RfKL;#vRR5jYM3jx>zI1jNqZ8@#Et*2YMZUpw=IVr(zR` zLtOFq%e3(g44*Q|)ik1tfb7JGxZZ6%e4Q))0E-uk-W7hO)JB^_%x+@$bJ*74PEyg7 z>?D;O$z`s#AL|xA;o!rr z_*@o`jg%DIM64T~>_oC|Fj`#gpOcS#j%UO?jAP5bz%az71ZR`dltHd3Pdi=)Yg4if z%(`ZhcuC1znmy;HftYAa@u5Uo`hlbV()Vm>Tuh|c2Y`)?iNxb8_G+Okaf*MGGD~F` zP$X(m`0+y8Jl`G~8RfCPjgU{a`@$k_8-wX;mO!bm`8QRx3daUZOyrHsHYSpZy1dK; zLkNFF1w{ARfGE~QcRR@ItXE2{6WfE69qC;%*^wNR!c%}ludx=Y=&eaS)w)3(s0nCZdI&m$nrvu5b@FQ*ZbuoYkxGpW7qN-iYS;LyrA{;R#{P(OJ*&)_4=-rj z@#W7pG_K{)oIaL=68c0i)|5VhJGOft=BRJ0)Z5CK<6QZ7z&M7)rxwm|6qbEUlPKc) z6#hrTMGSa^!G1}dBU|czV`8w%^!4C_BxCh`rQ=|vC7H5&oB6zn84O~F!CM`HEroy; zF^9pGmw!#YPHc|V(W8#QzrGO}G*=b+RG!^ye5%49B;1BR@&eBkeQHe&&KbJt;8OJW zysP;vCDm5OWNlH+t#W0n4cGC5mcl>%y13>}K`xnci;V%hAMADPyGXK_u`2u*!hz8f zl34P^JXf)DAszrCrP!fhXIJsgK1$wDz~Zs9>!V!p57w&!{n?n<)z`CK@dy3I?}O5? z>xs3;u3r7p6(8#_K3R${FE(HNtt-7dOUL><#eV;l(GOw;Uk)@cR#5m0fb)Gg(R<(& zdoM{#p2)WcjxOEAN(#K1L~G}!&VOA}6Bg}FkZfu~;l~SI4#O5%keX2J_Cgv@r+%7B zf9dw@d8F5wtcSwaeo2~YA=X^<{#4;RTuw&(=e@ha0c*gS_^Z#y660^H7r?GUNV*SavV58Jg_h*q4R7g8$18_q2uO4A#Wt) zn}5W+zYARPUHrv05{`|SCb`m`pP_BB9z?Nofz8&fj&TW!Z4$an9f!IE1^$>ow~LY6 zV$q?zK4XKh(K2r^Tdw9bgLi-bNX{=|c%SJS{M9a@Fj$M-KnpChT4~%GBz?> z>?*xpshBk%mW^F4dDoRa)>pQM6TY&QUGQ|Pqy}OwY=a}wO-W>Z7SG^gU%v{_xw*>k8 z$;qdI)P3^lR++v9!$h&E?K6(R5hM^RAI0_o7O!rNk&j|OTtm8%@=;(5fu+mm+P^ck z6hCqQ(6#Pd;UaDkPO%398$S#wwnu#H6xgxa*E)GR!)E}c3_~rm#UA2)YWX3aPaTK) ziuG?rA4TqBm9N+>q$S=6TFj>&_ZZmr`_y8Q<_@k2e_92mJd+-WnKlx6y|`@_DY){* z=Nx9Y&Bo{M4|n9okX*4ufx`E(@ZcyaV;K6C&C)8oOqex6?F1Xc)pSSf=4H2PhOE5o zW23^@OxBr>;Xi$37~Uz6C=d4|d@aLUukxohAi+_Z`3a54AxiWOh&?NGC z&{-)9>pg~fT|CEXVw1>61pST@}fbU~(DvE6`;A2AgB+6QcY3N^!~g>iswB0`e*8VJn@bchF!(>6lGHwM>`4ZC7h1O z6w9~XP4|O`xJ(K|v0Z=_`-<2TBI^_if9ZV+;ZE+SaMX7|m*EAwpe{=lTA~>xBG2uU zP*1V-LY*SEjB`PqjOTNGybzrs7J>B-Z-v~G2;TqF2b+bI@HRp?IR)z<9+p;tO((Mc zA^T1gy=Da!_%YT$u7Nv{83*R{72n$9*Eq}fAHS9!iN+Iv$Lr+;HUMw}8{cDHuzY@? zSrduha(#}|~K0XQ!%@_dSyIDMT!uP2wzV=<$df)ot zKSN!=>zddv64y0*;Yp#{;H0ec*Q74l#i*{S*o%Obttb&)Q#y~r4;IqQi58Hy+qD$C znUId#uBF(w-yzG9+qD$<1b}oUcDt6>(X>;FFH!ujgm5XlT(r!|EaST7Xz7Z!UwV7D zc(UeM_GHBH{afgoA)5o)HH(chdpJct-9f3u%}W$}C$R`%(f@o2c8Le!+igUu@JlQl zK}$YdkC0OM5d!zZdOIWGz@po|9-D(6WFj@Js7S0kr2{o1$~9*wxkb62V5r#SEail- z=fHF6aU$z{%{r#E@q4I=u=={xZ=mT;uEkR zVCs{0At(3*iRA%1O_V>9gdWRJ}l0dn&nbu`D3=CHa}H;gd` zY-J4C9t8dnK=s!JTgzpzQL$K! zZ4j~%C-=&FgHk?~Sfd!&T;FA>Oqk%=QhAF^ahXTXDaCqDbTWTqsmL7GV+SM79s<^* z*gq3%&N!P1)Kc>_!rU&6$=R3>bu}il}?DREj<~3@f$Cd_$3hh263K)i#!lwzf8JH%GoOz zzcMKtU(lBB?tz8AIU5nD?wF!&Bm)r3@{~vHrjU+dY}X&{KJ{l$%DsU~_V=D(MWm%a zs@l?hK?!4%V9f9L_Z9;Wi->EV2A$>v!#=8fcPz)~Z?#O*c|74-Z%o;Mu)3fLTl7dj*O) zn~V|LGz!lr;b}&~3n6VMVcXEWT|x_6q@gF(cq8S`WP6xxs0D{LR7-sJ5*wOg?;i{9 zVHM^i!E6t2@b4{W?bXi0t>jGE-C+mQ`tH!rOt{xsLk-LM;;0e*)0J8F?NFlgW3hls z9QzlMnPC{N{euoHKvGs>1d2VxF^-v^3{8FUQi(g+5%K0CwuKKj$%p8H$Ex5oJ$;A! z_J<)7kdBM~IJu20kn#&jB=aROFj!srt*)RogZjbhvaU7LUle6lpd|1&qK zgA>b#&leMmV*d_ocA5|m-FhGrSNKgrn(wFa?SX>Ct#4Q0`2+@*5~_9g0aHEb?05RQ zwO`!#9xkV{iofdl>vE3xp3Ac7p7H16QqR+S%fYUJ&3yG+&Y!W3=SGh?NeCtO2G9t) zs=L+GD52i3FYX{-;cmAjy7%rE7+gz`__jxS6^(zcn8X!3oLILJgR3eKMb1P7en;n* zNZU_KGJ&Cvp%wou#*kuX16$Mt6rK^%ktU$Pa|rZIfC_hw<_bOSPRg`4 z5T*QW5W1Jp;LBZuKYXTGgBAOCVx3}a$Fz!3FSpnIMltO&4s)h3O}=JEaLStIcciY} zj9`d$cJ@fk5G68R+#O#O=7`jLKRf)=)oLr|qO}W~r%#Ju=QJIz) z%@P`VLRRJ^>n}D`U}QK|o)TuZh37Djq(|zV?66TnXktUW@t+(+-!CCUVQ&kQAWMO- z0_clAu<%&8W}Zj;9-*CFn)l#UV06_A>WQX(=f=rUk2aOBl{TTzR-;|z?L& zvgz4iFP5886bbKw;2IRFXOZaiBvC$=nE;zyvOjC5yObE zixHkanP}-7zc`dj98QX z#lTDs(oL?#@Trx57IyTEbV(&KC4jfOvMa;7Jhwd4vpi%f#6Q#W>|{7)Jh&0~FrisF znTPFUCCgxYTKM$%OxLm>NXv>(kF~@r|6uzHr^i44#yR5jlzdNAz=o)Jf@9-45{;b) zDfSe{0H?X-OQ7N@Mhe-SNwi?x^7TKX;&2Y8IS&54uowr5eUVr@0bbg-8dKJolvqSWN@5P&bT+*LmB%{gzc@*~yug!G)KpQi z4-$*c;1dv!_qO9$3Dv&fsy(Y{wTc}~ERN$vwOuiXlxWK9lenh73^P{Am}=f{udDZ< zxT(^_>t9i8Bl*2fE-aA?qwE_wdncFJ2cVK}##7%bHCx|OlU%Y<1K?`c*k803ld)ot zCbrlBsPMjoTmK}oFzTpcOTz}h)8Yp}KE?VU4}d+6_6ERf=d;0)1E2!y05leE%{6=g z)B*#Aa@+u@*!3-xjT-MC|6~w+)(r`1sLpwVP4b`VtTzS4~U z%^-NR#sakV(sAbK6+4PFao z7vom3(}=YOVUj&#n61DcpH&pCdk0?xheqX?VUpY}*Rn!i52}`6 zS8dJaVy@|8?0yJP>ztv<;69e?U8aOJ3z&T=j)iDUz%O zNfxdKn-CWRw3D7L#;?S25_Ma$^0<0{rTVQv)r5OjuW>#q8on7CiYbQxO3Jit?+=i= zn5n45>je{1nG%O4t0a4taymch3EG>i)w+#1nAXbx#s!46T)9>Fj)418R+V>!hfG@F z)5kq~j>;J*b}_M%t}?kHNV9w?h5``~crr_*TKL=r!Sh!fZ%Sz)Jjl0BR<;q9! z5}p#)Bwlw?IOQ*%I-;bY*jlyMHd$V8uQ`tTVW%MX*6P8rhowXyaGC>bFDplXKP>VWi+?y$GW+{VB#< z|6T4+yBg=*ypHP_j~M3IU28UZBbVHE*z~h_*3`T{5NNYsZJ2C0tIXX75@Chv2h$rM z8DyiKun4Lx6V<`b&YQ30>iudF{~UN7=WmMRpR}vZ>a$0?CM`nTfmB$2!jW+;P|wPzt{}YvAF`RXtCB*Ntga81u@PMud8{pxcYEwU(2OJ51Ml zlY_(nxyg0iQP#S=&ynJ?y~M)ecTCXk4XA@x-qFWx=S)@E?&^D>=XUV}lJ%_tyJo4K zUAG37p>ALtU}ozojmfzJ|CFbs@IP-?sH{Z&N8$g7W4!_BkFA zYk_qSk$zmpRIyJH%Z#a=PMR&D67?6^73$tDJs(RLOIDtO!!w$h|m(!%<7WFQ6V%*F%Gb)Z!?AtThOn*jIjMB1aaw0!_+vG&N^ardtbUTOF zCUhTfn+Nj^MOR>Mbex|=Zenx6irv}Ax$U(QxSF-xBI_S-v2Xd;Kjgy3tbceCs-ZN- zqKBcUxmRjerYkUg^KZ^WcYT`3=}@B|SwGI~=8skFgHAw$A1o#y#g-ErR4sE1*>us= z+#*+B>jTB=Q|zt4vWcw3s~5kSij!4^vo6hxuA$a6_ZDleVy_1l{Q8sC{~L=M2Cj`9 zWw>WFV7p0#QoZoQ#Hce(pkrfIFZ}R6O&9`apM;*=M1f)b#9i5%k@$w-CE1D}Ig&!< zZkf0;y?2849C>V>?2o8(;ynAFV&YWn{=~Yd-%i1}Ni=Gc@U_@9$aWwDExGcrD0&^b zpNpbXp-D<%`7+1y!@noXks+-D8v!g~MOO=)ER^Ho6UFXAY+-s5dzLXWp_fD_Ce8Cn zlv~_d!qeDi8?lyfG+y=#-S^z)HFjL4g~q__BrSvoBma9*Pj#X`vN=}N3hWPH38K~l zAKp#MaiUi2--!(qH5yx7)Q}YOX`_;}#S}}($ULm=cU(qAa*FLITzZED*g3IpEl3Xu zxEy=3$V)SOGeZ|h-9)l3#Q+N;Z8I_!3?u`;Y%v_sK7gkMU-~_<5afV;y zAV4$*v`Nd#J4^a%hG*|lmZaEciS>sJWN-`AXlnO4eeY50^dHu2*syZMC*l{}Ot;U=PWxV3 zq?Wo&eDqS@)YjV;IIGye@qug5E=3!p*l&Jk#$gkDo9$`M5M!>!=)IQqmskO=U>k5} zquOzES_(IHxQXjfJN6LUWH&dR1CPSW}?V#t%6i~YMwveWWXMzW|Al`@h+ zuv^ND`X0Q4_B0y1Z+++SaBp%7uTPVm<%+!qSnHgl$5(})AfzM5R|W1QbcO54lu;hr zYkzCHfiGWFu;!x`EF?NVSwzjv%Ih9Dk;je%OTC6J4Sx&WIA+tTofyv%e&RB3iah|> zqM0{^CxmpQ7!|mDx>HZ27$x(jMIJ&CtRync=96St=1t3tXPJCq8abvXn0{z7ZxAKC zYIL^kFxl2}4!c3zoarzpf5T&T`n3Q z7iZK0|$R(>zcBg^3n6!S(Q__!Bel8uXzzeXXC_Kc(|(*16x~i3C#do$3ZHS zY|V~tZA&gnR1^2-HY;uv5XwQysZ%e)+CC0x}IkghsI5otv z8g-9xX@&3F!uKWe9Y>}{94#XoAf9)Y^2^`QQoR zPZqQBy$fT4l@`L)BnfZ+;OKdf%13mACf!%S}K83&9HSPLL`B1MixA_XNp zKnO=ZgeFo@^e4Aa3VicdT>~4w4hgBEX8m`sBBGG}2eaTr}l8@oo-l*YEB>$0P z?z<*o4wCnU{*b&piZ8-fY&)?g^cV3U5I!pjejp8Rp4Xu((sxOBi{wLuw8?Rv1=Jfp z5HkAsK*%V3h>#SU520Fk>@*o=C^)AO;Zzzq8aIv#sHgXu7hstxjwya$31hx}iwk@Ai+( z(hP<)&u9hZo|`Y`ywj}hj#(s2K$v$)E+TQ7 z{5B6VO)1vaF|Mt5=Zdvev6mAYXe*sf6=#O>GGIH%stVzCy+=RQ^|W?_yS&CRu$^Hb zyjRtf2e9jIA_LqyCMSzQ!OZ2FAkMQ5yoKZDvhEOiEi8l&LgPj1O_fux^TxuaF3DT7 z$y;MhVY=h4fi(`}!F0Ypk4L+-&nxy+;f_m@;ij-N_OCGZsB4z-_ddRjICSI@2|ez3 z_%2Hx!p2__j{;u?Fq^#3Hmx8)6DwEr{X#b4%ssJk#a>0M%OHBqt5^!{i_+oxF@v<3 zb!KiMa_icf#I-eY>>Uk_$lI)kj()gu>X|sU7}1IArF$eF&Ln3P>ns1VJwyA-GrKL! zv={c34;E-&*-yTYbbV!a`R)3OITSa_R9|w6xco*jh8R;-><@`GeZ{Od$hHjWE3&{V zd!x|ylo+saz4mn8C`LYUjC_U9%&a_xP1k!=N@F?+;b21$;_&r zZ_T3#(yC;0zX{ft#QVbYUE|mODz@M&d&3b9 z$<^RtH5!khD&9!vxTYUJnSzh(p$gm)KoT`!X~BRkae$&fZ}jwOk^afD=u@#vh}8kx z_E0|mF$!m1$BoomsL+ndR<|W*FoZg0=!G*Xrw;O*R*6pEOI5U68!|gZirUa?PLN$q zi(_m^vH!Y0+=k4%JaWm&{zI7dUHd)yS??q`!!i3y!&BYO3_d@1%#3FR!$x4{k}C`$ye!+{mjVTm|VtI{1HbR(@rfuBzzQEw7caBPBjb45P|v>C~K&xQnixnl1i zmaj&YC#=1r`uP@(vtTU+L);j~dYtn?gyl=bHf;BsA>D5oycoKlZ&v6(0Ce%0ru*Ib zw}S4M9tWbUDy-eeFVw_~aDI%G;2TZEG-(m%qh}s@*3!C|4r}prs7p>tTz1ULk6TBd2w+1SwPHlqO`6?&Laph;}<$X$oQ=0QQ93c|pHhI}-((Q#tIM@g2(F4QGXdzgg>!g1!;dv`X5H z?H09X>slKZcFELXq^g|!HP;J7?0g^M%{#(n_{BCDV#6g7+uF*h-8_%d8a1j&XIbL} zxAt0TVa%AO*hR#O@iG@6C(e+030N03LYLXvMgF7E&+v4JqtN4A!lt#J8qX7M;#`7a z4+1vwO*>*9irrM`Mw*8L-4 z4?WRS#cRJLuXrJi7wl}yQ}@eKnT0JQ0rDaLB*@M#+46MF=mxxh=DTe2JL5*QR=j}u z%8CrUMfu`Z6yMO1$|hb}xHsq}*RRZhFq+@ z`j9QUs*d>INjbCq1cVvdCD*SygtwXu$(|z|iIWfunheh|uA1I}BPL9oXUT#FA|gq? zCOe+~M^lr?ky*R$m6XA}?tEEY=J7K5n6`c`o(s->j=!n4bbnmy{-ZJ2QeTa4@=CV0 z^hkW&TrQr2Zxv`Sj&{bkvMRd2GznzOun`=WQaQPUfUI+WO4j_GBkynC4kUPE&NaA^ z%}Mq4{(hny?&;~A!MpY{5;tWnJKJK7rkF7AyKh`m=XkA+RDN|8x_GOBB)S8NW|J#oMWYqHd_}xy6!{R5rHSTyKuZwK!A>-_q#Y|7#qJ;+hj%6sPmK|e z`6v)iS80Y`ZaEcP&`wv5cT9(7n8mj#8+QPnn)HovZtndr{AoO!BF8CVW3C0$kUY{y zkhGPC!v@@@67NGSLdjH@moRtjmYTFsd}rTj;yXk9Y39Y<7G#!W(uW!;nGY3*?Uu{y zLDuwU%4YQCA8%N>Pngf(`@5{q7zsfdpRqG8mNNJqMCQYM#yqJ$%4Zy*%msbMuVRaJ z$K7H+W1=(3IcRd6&roC&k)?Hv_W>=TW8C4mIDoWcJBDJr3&#;YV~A0=`6y8Ln#(Af zc%Pva-3@e{XcRe~$kIgf3|T1dPXFdavlVH_ibk<(E+xk?KH~r*9`jKkp66NI+9^G) z^BIrhhGjb1XDAyNkxq=yP~@RXIL>Eifz3!d)@La8?QxXGf8a9)8!4HO0x8WTS@jva z{lb%toAgd&5Wsn_eBsphVLbYrO?>~={StvL?aK&sEq;YXpmT7yXau?x_A!6xDc+d- zIhgRo;-Uo4m5v0=hh0J}Jtp2PL}Mu>0M(jql$zoKG{uhbYTBp(RGRjrYuZ*to2J+` zm!N43KD{oG@HLQ4j)l#FBKdjVlVGP6n8E1X+s4nGs}Ai6hA8 zti?Zql$~lu^J{!J0$sMN?*<0zvX+D`FWPlg6sx+ZjC>F_~DRIA9>e(DHE3^IA7A3y=mZVCd^JmOaqD?zD= zO&4~_dO<0ikhs`RzZV+1u=3{9kO3({U1`_Wem;i6-MeB}LX2htZsy^oIe0Dq5X;9p zKgCWYw$S-?me9C+T;qmFg=T^g-Z;fpNQI$-rO%IZ?@FX?Y_S$9_IJbg(bQ7S!AKO6FbClvEd?c5$oO+`!caK5nqp#@3|>5gY?PRP7N2J1Q%W+ zY)T}e^e-3s(QaLl!-*`-t=~l!ic92lCy_N57L$l#7Z59nx;#H2L*78+(#=PKOTV9G zeIjeA2MhG!H~DUeSx&GwUdD}L(!9#GV`q|yu`fk_djUBq$-YLAh2qjw+IN$7tbHl= za$+N;S#6|gJ_@9Hy0pPu7NOl{g?1Ni6pOiTcS2ixe!S2W`4o|*32hs)P+VwA`=_KG zD>TI(E*uxkM8p8MH6k+~1tJ?LEs)DJyZg^Y-ez=Zqv~T$VDrx_#;Rg}Lu{CSqx^$R zDYX14QdiuRLb1CNTR7&FGOE7l8uuToK5GdZRgd-E2uyOGJ4Z_dM%BJjB5G8<%~vcyZz66K z^Li(q=8dZRkW7r%Q)EIaEXnJgK^BU4;Ga1z9y%Lb#CBlCP9!$0S4bD`Z@RGgDColH zqHM8$9LbV9qCWMYg^krzmXC3Vmz0WCpjO1nF0#|llc%g-do z;UjE?5t;cY5ZOYOG$P{&d-NF|moZt+)GB5<4}EudAFi_>f(<;`0ej z*#Z0DZzj3in$T>lyfZ~!w>+EZ%{#K#2WOi}p8zJXC6C{eOJk(5ge}~4lqZe9j-)gq zqL&n4KI{#|QfbMhnaR^eNQIHcB2m(VuAbxL>XH2$irt=Ak$Q0dMnTVEKx9+POHh;P zIlJLvDLv=6(wf?(UQh6KkQUKV{O*HKUDL(^9p@btc`T8o4Jp49XpUM9VQ>KM<}aae zqu11)@He`K5}Hn|Is-~op8j$gx&H>6#M~mc_=IsmSQap~DwiCjdt-NR528nt=wU~} zA-Qdr+dj#k#11|)z`(x5V#jcor&GCdyhySfi!+_&$We5|-i)&6_U-AlpZlP!2g{-T zX6#_A&5p~$#iuX0?^1i-gi}A>&^WPU)5^(p^w3^kDX^+@)vB7n>c&~s(QHjF)T+kT zQH1eU^&~1Z-gRrB+M-0OQl8EtPf=E-umb_h_N?4_ zMFnh&+K*nBVExPH^h^rbMT0%`nMrSXlE2De_8B8}&a?4tq~ z8S}cI<#nz3kV#}KWXx0SZ-}MK&ZRlvr53!~HI=KV@p>XKCN_i7ld(D1HAJr^L*OG18O1uh`MVhV}i)SB9D5#s7^dzKFWAiA`b}A}Tn~ zHKY|%i8lkq-b!pqW^f~AooLu2TAq1q4BZGedJ>yw3E!<_bdxh51(xvb31r+^!Y_{p zcLVNtIe$*t5HTkm_upvb2#O+lOp$41gHy-8?_mAY~uNCy~$XV zEsN}x&OC@C-UJkT3$b~!UvFe@J_=-i9fcj;ewmLd{e6X(h-vg#&ejy0u)L%aG9PvA z-)3Xmf80j2pV++ie{R}uJ__5<5^8&8!$lmSUg&y0>5~7z#bs)Icfm|d0M3!Nlu%%y zR&Ej^_kaXP!r^*@KYyA6lam(lVG!R*SxeC$@NCEsvXyy!Fg`NV_T&#d{?$@VzA|kl zKL6;4D353vH_f9WBk=8J!}%0^H6dLSzL}7&2H*Io2%g6{@UWiPAGMOJZM7|)Q_U*OlLQ&LIdN>)=fhEZ1AGpyR%OR08~uxdY6>T~$u zS<7Hs?RdSxYHvF_q_xvaskUiwNNcZD>T@^~YdO?b`#qbdt=%5gW)qWkWWMq`{FO8H z=EC1k{mM)*3;g6Ai{v-kwT^Sa%2Av|>45!~#v^ zQpml@F(s*7-K^o6r;nVCu@J>yoFj_KJT;#d-+7r-Y!0KyC7Y!iSXx^eTFqGfOPE2d z+A{E54Yp320O|SJpA+vgXY& zw=7Wi-5_Sw9qo0y?-`=*PlQ`DIEWuwVp+OEZ;->=52qjcdH{1>U*WIhx^TRwxS}C2 z3D`jaTfg_Q<*X2=k_D{r(mg`h8j0Gob#t?c8&H=Hp6Rnh?m6>OFr=MFxv|tq!BXZR z7rczrg@yiscTca>Hoj6=OqbW@{eEu%Od1?Un%n3VfPSuc4r&=9lkB&kpu7-!9{W|kA;(SHQ>KSgv+LiYL9m1 zGTnT~b?}8~Yy5Omv9}THrki%#Bv&r|LbcBCMkB*0M=z5;rF>`{7c%KnY?b4JlfHN^ z%8B*&UQgqz4s|!E@t+Ti*7zXiwey|z13tA%dNyokbKIa1weIU>x|8 z9|D#V!?tD=F%*9_ir6tCikL~B{x3uk*9l{xj`Kdq&B~Wz+?hN_o1R1w{l<7v#1C0G zHi}T}HpFgJ6rp9`23K)QM~Zx$NT#<6qlg-GwqO+TDW0A~FXWB?sxJ3@{i)yePMlAyLE)L%?mmVg6AKa|k4DrhL2OqCbjwbmtJmoQ{gb6Sr*{@ZWn1 z_c`-X;8X7zN|A*Qogd3@wTKWE*(gDt-x;>J1>)gv4$W1 zoUAWB(3otio#4BH!z;>Kvi8Q= z`8TH78^`9~xZB>?EC0qr_D0|Q8-KMozGWj#o98fEXqjhkysI}Tn{Ed|YXkP`zM`Tn z*=dH)PR@+JgUBT;jofH2&SMFvnkmSpq#Nrz6#Et`EpQ$gyuT~o82+JJ`2aPwrKuIO z=%&VS!HywXITP#@+r{{~W4=}xik{afawj5#9?3hPK@88#MdW~n2?DL{^wdBjwuAA% z4SK&K9?k=+XJK^*?%ZiNX!Y$LJam#eo0!0u9bev2(VE_`A6hhQ*< zi>0JI^c+vYy9pN&J8?z==EMH`0K)~2$EjFchT3^f&dhTj$6K1r39cP*QTQ)?caD#x zQ{!1`4OVj-tBJC?>T?4u{nWCQO0Wq5#wvY`VY`iNju2(~82dXl)-RFZPJmAC0f|^c z?H?4{=ld5^oeFpfu_dZ*PqGtjKn=mRUm{#YsIDW3J<4%G3k%5U!!fEr986M+{{;&C z4a6M7Sj~A*N;Cc#r}653F$!!AVjm(_#B)xMJjPD+F@_gU*5ZEwW8bh{<}&@>X8Q`C zI$Cwg^stlD!yoWW_eqO5Jo!c|o}`G>`%)Qg9&hJIzPozOxT`8Sspjo|-K#BKfp2B- zsz7o;t3IDTY#_ctwD=}I3|Wh8;=TbPKJ15s!DAvldw3r?GiRM46dW#>sfXM}sMAAk zsnlh-JT{E_P|7o#llE9~`8?E_kPq%kGYf_JCzkaUYMFfJ@A^=z11Z)4Fa3?PF-dX^ z9lg48>OeCWi}A-Y2|5f7Fm^EyEsviBDfSj(#V)w!7My-aFZQ)6O^HUoCsHOsO8MY8 zE@Tp<*v%Xlg_EFsE;j7*G0s0-ZT8`K*^sxOH=lj}Y;Wv~m;_H%U}Vcn(fFy`MA%cb z#(x5?qBZ_^wl7TM`=OX7v~aZ3&ZQ~)OsDL2G&Nq?ioK865|uq2*ka07%E!fVA<9UHl=7pLP1O3kC`PeqVug$1in$8dV!iPF-9ay3 zFCD6v2b^9QDp-dudU<)v5WPIbh7V}{?mYzCs~IVZUN}Rv8Q_SZLL#w8oL=tUgA$1t z&Lt94?B&ElB7uQ?yVG?bkBY>e2@}uhGEN=_JP2O11AhOl zh=*QV#PeuT@kmtoPbZ!RDlJMp5)~@;RAR+o3ZlZV>r_ZlQQ`gIxWI-^3JU4>gF=M# zUMWJF+Al;%*MsA1;+NmNSAkqC%m`6QsdAF2@FORuk1C7f)3= zMMZ@Z!E`Y>UA1e7oK}<~ryutXk<%IAIGZ^2>;FJb5~Oy&zzaqOQ-M)(k|0&F<;04d z3WC&!PEk2U1*vslx|p0!+9gCzA3#pl{ootg-6upOw^PEC7BP_Z#kG=!$;@L|30Jos zA0`=7h9PPb6LMDL>%9j*iGX%ne6s;9-&<<}+5_;-1hh=xlu1ClhcNItiRRM0On-63 z&cb@I4j#jdib-x4$Q)@gd}AWEP0E>s&+^ULo;-3_vOU?HaBeE`PbGU$6=pKW`u(>) zWz|=gmRA>LZ|TYBgGy?tXObiI4yGYh0_O- zz35q}gwsm7lR}H)LI!fh&Lvj3C>+T1xtIkkR~2G;|3;PAuU>g*uWaIV{LNK#&GsvM;ecM5_Aaem+VP}7Cix1C5C6r8 z{YzzCSa!gJDAkr+0S@53S5!{ro(~BEA`ihE2NvhQ=yZAqThzFIKi`?6=6v3TEo+0w zae|a*4f)7Q&4D-c^4RhvIF^YNS9nrfAyWJ~hO+E{uX+V}S!cdYPx<#PuFWP2B+9MA8di@@)V_+zG@hZ}y& zef%1$U)VA;i0rHUnm1wKk)W5;Ve-8iT8KG~s_Mr94(9G45)0#CLJ;|DL5jo@Ub-)w zgZ1DwhJ%hE-9w@|NR18fTBRKHi09x6a6oIfJ=NIQ1#tJ)9_d;y+dibH_ST;IM^tXc z2orK^Pn}&6(o;_XbJ+p4pE9OY54w}F2%WcWtH|g}nN%d#6eukG_KI{nP%vTIx zzV}Jobzy?Z;AE$VpQ(8BHHg2&;1jC`9n#BR&3#(Td<_;m*cPjJeg8nQW-VsE28)&1 zVy|{Ttf#-W8CuMI4HkR)0*J#3&x^T?pqg+eJ7Cj$8F=X+y^Ck(k%P1ZUTdADf3XeC zF=Fq$1YU}Ln%MBb%c$3{j8cp>HK>^0Y~FxI6mCm)(5Q!w?x>vlFusVJiF`&? zVx&D?yRX=qB8`rb6nTOmB~4Pkm=TBHif&bA&DS8ajptDa9p0$cA(7K*5{b-91Y0nx zXbU8AI?lD=v8|*9k&%-kZxp17oX}AVThXMgFkgeMn0IbSD^8YH?7E2YlbayhwqO&#*T?$3cfYJ_Nde?TJ_;mN8X8&53S!fwI_VqpV81&rfInOM z|13cDOrg3W@~uH~3W2h_r?C5NA-l_3b+h>{i#2O|+~7 zGv1LRF$SR|>RDNgK`8P#R)!HZ>NQeg5DMQ$S`lp!iu|+>Tk-4)hOwSw3J+to%siBd zHw(qyLhS$8EcOgDi*7WFeqGU!Y+}f#k8jZh57+F8Pp1(a?2^ijM<~jj0d@F(CykDg z>F~_jROT%gh8{Fd<1YVe<~n$N(jtboz650n3-8llg$S-?;e9aklqD~`pa1=#g*(Zf zuYySPmwkR~>fk#5bQrVQi^NB<{L4Ol!^pj`Iml(xTxrL}rTuMUo1B=PDS*GKU%ZZdZ|^ztGTE>|Vq&Hf?O} ziYb2gRHAvnuY0wWQ6%2nV%)duHemOJyvr+q$z-`Pmq1edk!R7 zhVsK-F)5Rlh7S?PhKGuUy0V zW);6_5B)Zz;h#hH(GC9<#iEM3y(fO=cdL7~?t5L`J@e|G_f1IM6R7tA&!4zA-d3oo z9UGo#&c)d_DuNB*#b2mq{+5?`9qlB@1e1gl(_U zwt+PVORizd!|Uk&i$ER!AkywHwCs zly6n{sZ|Xd=XK>i;Ms4{KVBbVRXd6TT#tEg(-7+SEA~aeEN!dmbASpzfi;wiF#GcfdTEb5-n(NV z5xf@&f2NA(8YiB7;eYgHi16VVi-Mq20)A_VuO$<{7<2&;(xHB+O2}Vdu^7fqzgV zxELIo!8G3p-v2zr2%6af9W~BHodwkYsMMo-;0?*&Pp}MSI|BKgi>~S40ndM8y-`1IK;8$x|fBF~mf90`AoMwos$9YTmRK1E(aWNH2IAEA=X8wsE9n#X%o zKYUEZ6WtFxJ_!-e@4!c~emKjCB1hfC^g~6SPh@GLm<{O0_QQ5|g@ea?D*6I~is*+U z0rDZA1v0W9wg$0x3)RAYIOvK1$q9r-^ux2bvpTjPK7-a5?T3rYO74eGs3}YZpQayj z0QdL9SJ#A?LKEAe{qQ8z85qE>1?8|XY_`^Tud6XXNWI^ z`u&=aA$l@BbG}>T=@PieOu}8L-zYmjSJb&GMA`R>h}`t!0@PWOviaz{tub2JSA^BL zFKUdDw8Y%A&QT7ueBB$&tQD;fYZq*v2m7NKz@fg$?BWY3gqiBRt z_Cf!SSGFQ6h%9YbITz3v7TT#oCHz;&Bud#=1&|p*QrY78VwJr{*mZ*c{+p7Oy--Cz z1NDcy@m`%F%6^*sse#>yI!jXaBCRo6*)u*2squ8w7$a%Zv(X5n?BUHu;|&@BMYj%5GE9n*-$da2(^||T0kFiqrK{YukbT}G=3Ipv>Jo%vWZ`;2+`Qp zs5gIeeBgHI8k6vmALflR3=6&n^7zA!e^V~^CaOjbR}N+ROGHe z#x0TN5nlf#1rWMKnn(C~Acb%{7LMHBfvsdaTZ=5bDiikz)rftU3cE%~#;><3x|T#^ zm*Ew=gD~(hP9r;>o9Iq>uC;Izp<9AqS2=P4)@P3SqClmUlTU{;DOh{o8y5o#b?HYe zO^1m`g#NzMX5$wWdZBnk;tD$pFx@{^upFI7bZ;R_Q+CLJDJ$J_L4JgVLfK1o!s6C! z&x)5}xQVX#n|XG(e`NRykad;2W8>kV7uQveX~*Y6im1@)DhID~5d|hB^D*c8tC~q^$GGkNSe(8196F-l%RN393$bmp+-7>zLY@kNz z*zISaV=Ma0&(y3OHt?DW#XbXUwhl^uN&0{lwCw|;55?X^x}szUqdtsZQP`D)`8T)* zw}+&1M~MM1Ze3S-7OZp>KC5awt;r@k^PN!TBR;hUT$3<{MkoP$TSkjk^ZyXA`4PUDT3j4S1gad;Bpm&9b#< zZ`9keT7%d}h-JuurO?FgUH^h<${}VQM6Rx7H;?Mk+^Dtp6O|*Q6|DxrS zsHw!+Q;M{FhlyUxJ3cAaa>YJIEHr6eu-2`V*8iSGwDp^tpJFzLgNLBml!JMi&8zSq z*~FJuShHD!FKvk*z7b(I@}QA3n=J#vlYyaRvpEL3!QKs27iBgn@I3z^C%k(@AsQw}i zSM0UK@|Ap^;mC{5Qb4$9!6}; z50M6@r5=^H3#d#ND)oas#JdHED-5Dn%H#t{t8$^ z)KMB^0rO#>B$m-zg6Gt?m8Wa|xyhEyr8cePgJ31p`?Ra~;-d8`_DEn)!1?jmzHS{5 z9i<#KJEs#A{o9DYzGvNr;kjD}l0$?Q?3$-B@#bxTmE;9ULo&%Jl6hCqzE=*TK3vce0RrLh^@{tI3TUleY`F@&3FQ zHnv$e{u!z=ZhR9UL*4j~A<2NVb-ApF9dBiguz=C{V>2c#7*=lyNaYjB)GjuE=iL5(7}CNk(4awEQjH~IgkZ!axc);RO6}{T)zzo8Lw;(9%Ak09GkW)C_zTm3Z9Iyr zvEk!(PW=xoLrY@AN5x)EtP3BJ7-4Y6*ZWSM0S}x_9D-^&@+S5b-u5WQ#i5Ri>Y`jI z_Vd^MT-YF1cHjEn0kkPN@|<79JX8kHGaL^ygl=d@kik=tmjQ`$T>gO8c6JRP?mHMB zX^9%r4u57=f+Iz4LE16BA&=<~mr@wfBfb)z2V^#J`$J^9Ta@e0V>?6Yv4g(SA5Cnq zOEi8Y4^GRBv#@VqbqWWWWB4~qWxbP2;sP^i_XMY`PhMlYqm`w|#Xx2gch5wR2+g`m zlNEj&3CCzkkv}KlVwzI;VgHA?Z-I}hy53D7qo~0Q3K|tOw&TA+(PBj#L~BN8bRrW4 z1q}*H8?E@L#TJBGAHihQaTuTq72mXCOD$Hcpn#AE0TK{2DA)+75nl-pdH9MD;C|oQ z=bU}c%sCT!@BQ7&??>jGv%kIe+H0-7_Iq#P*jrN?d8}}}(o@{P4Ae#3^!tiF=s8+)v1>LY-y>4DP_=-MxFvB?s59tr@0to_tuk+@YROQ zdeX?{Kz6Dp4WB{68A_B!-cG{4FnG>boOFcqNjhV3(%7SkJp?7`Hnh@CdK=ZxyuBF|F-!+9~?Uy%&RKY4v zBS!(5@csM+X#!-_u8z}})H7;VWB0E!wClE*BZcdAz`8BwNMZRU!t6Rg2Ln358jjRb z)oFRyWl3zfr0rcEH1Z7Q$mjcOCq7R{*xLktGt^BQ*#u;#K2O8{Lc$q*o<>d}Vc*cd zbmH@LgiA>}qtDaW6N&x5;`2_xXhOnw+x7*=aT7)CdrV#6ypRnWS);~%%ka?PTY|sz zg;)dirs_&PpPGF9QGMv; zD?l$sEJk|!$~TMv%9U60l9jdVB77(Uzzi(Lv!jq+PG&o6SM;`${QT|Ay3@!vffT}S zg8@>4G`O&<)95~N{c43Zwx&c&3DMxQT4UDv$Law7diVlg15X1lPRsG5*a9$u!e zpgi&5+dC>Z3u~;f)J;|ddiciJ572VkOR2u_Q=2dJ6vzQf%=fRQm^}odVb=mi8G8ss zq^!|>g{Z4QM9>;}a1E1R)(zQKGdNg?z*Do_TF{=k>HV;2r}KoIM{YDM4p?Wo(dg@i zsCRBO@@zqJNnp0SS7 z*b$`97KmpZrLkuU*HnSkPGHSiEsEE$!w5U1Ix4;8cZzty(LK)MAuv@V&?8P8=X!^2 zBQ=R!27#tcBFoadYhHI5=PD&*#yD4hGCmsdInK3ywH3hEZyWKNI!m%nCp*nbHXk32 zNe@Z(;{`6szOSjPtKsGt$&RuGAg5W?uNVQL)PVU$z!|9li;RF{QUj`ufFD*_SyOj` z*Bb#XsR4~fK!XmTFj^a6AbpN`3dg_6q$iuEYDkS2#JvFnK=Uk3S{t;q)`G555~jx- z(t35ii?rq-dB-rn)znpu;$_t`oA?E&N`2J&1htiMI7nk}CpL9B$gAbo?GaQ3x8aXU z=cf|ab%Wz0PNa`W_nk2^4y>A^vdrQ-fqIRwZieg~740ESo$2h}i};>3fQAjHibc6z zl|Ty{&N@PXbThV)G`4*uuy${72vcXnAeD6Az-8bLX&eTNF#86cHON*=fp?MY7A-fGY29izgAgux zlLtE1!MKSt;Y(Hyz+qYAdL(>_R|g$kxl862HlQt+R-8BK;@mB67H68V-T7^q=Te+M zU_R|W*N^z*bF>cN>!f&+{(aSlA z6B>aum2)@-5i&a=jr{^y)lSG*kI8XqQ%=Y%L?r%UBgJAbn(p3E6OWU4MsKLGqa2JF zgX3Nq7H_EIo+@#@y`e^azl;{(xV_iBD>Ky_MnTk3!Vk&c>7*tzDX$W)VK)Qj5UTr7 zrH(LwbTbxgjqOJ4f9daTLcY@r_Wz#0n_$`G{dF0np<&komgs)=W0;!}L+i}n>6m?( zKt{1>?C#qCUM!^$Wx7~W{9UBRRAvT$w+s`Y)iWWPnrAHWJl`WLtA^iH2|f~g0TSI$ z{}Ye7l|3K>OEKWc4fRsRU?|P4ikU^4Iggq|j$$q+?Nyg@Su6PXT3rZ`4fzS#w#F3e z^IqQ++k^wv%r?$6C;By@%~EY)NfvVy)$LB~!^Bpq%~vug#A{zCEgStKqR|t>D-(9j z&gcY~T!ueNCKr&Ddv;o!$YU~RCoj@;U6%T&c_I#bk_V=VDf z)OrbD+0;*SL&*sIifApy z?R1PZ5-G#68u|57rERR&j5ix=K+V(hHmYTy8oUxcwefv0|B<2V6}>nuHqOLzs3wb6Li`HS)_P zlm$56<$gqT3daip&oo%C2~QEi<}kgxPuJ+tq?^%sY3u-E;~((Mfmn`OwVnUrc6Q$CaggXyki9DsPCIQ_WVG#bcQ& z9vb_1QZ??uwvht{p9NOrH&zds z>X2M-f}LiNyc}O_YbvOHV@kxb0Um8DV@L%*IT3eakEn|zC*|U_W6L1YE4`ahfr;yK zc(_6Mn(*EIsO8}}IIKL}$1Waj!6ACM`N*ZJ00u3}?BO)B2apNhwz!%p%i!m9l#dqL zL~VIcQ`DkK4Sp5iOyxwphK4^#+8O+uMwXGV?`J0CZk4>aIvwMGgl}(Gr;#0R7))n! zbsD}FaF6m*!49}az957%yE=^?Pr4ahoyL|B`~T6^y=&QC&Fh{j6j!H_Gl0Z-4i6i) zm%h(J9G=GCOv)J?o<fLW3bzDA|(evp@S2BUjo=<~+MDYI;&sXZ|`F<>(@7@@OXD+~29@Svy3IT2lM}ytb zvU|mB#Cz9VyXe@5Of&u7CG(Lz_nK=gKsMwFKzcT(o1EAkuTjFTj-&sd98~Lp6uWD7 zKe<4>oo07B31^rL)yUg~aAwD$(HD?zM#rJCeq!ww;c+j8eV%&st~1j1_2gAujdpw5 z!hqA+nD2i5`Ph+cTq$3QE9EV1ivd*Lw>~Fts-c)wxLVoquM2*wB4h`scr7k zld^4UyG!0wYlDQhwkJ}DAK;JD_AT>7+g)rLVY7+aZg(Ot1TvGh79b~&{nZF)d)Z=8 z9Z5FV2xv+Tc-;tiBQ>Db2zV|vV6_ntOAT0W1l*At@R1R4wGN=z#{F~0!M0^Al&LB^ zQ;Vt6Ao&!oo2h)td4jB~N_60UU* z&o>_y;)0&}*h#d+e*Mn-_wQlvwEMM#a&a}}S&ey5s9JISSwJI(6FmoLpnPWoZ6fok z9&^*(0Ij7VlIwbfQ9g&DbA@W_5R~lSoy_Z@^dvH4ko+gO?jzP zCS@c$YB70YCMIPhTVucayTN3a`0!^;ZfBX?&Q{!(&PFK%x8rAl<7X^(@A$1@JQ(v= z!$it>tT_+E`j&8Z_eFG$BKBHmwJc7#Vxlb1kH?~@jvB&CP$g0$gXBGL>i4*op&`tp z>9MDM4Gu+^k2NXcqt--R?Nd4-w^^ddL-sr;-!!cCZ!CywPzvL*VpgY>OZk&!ja?V0 zNsz=0epchxI`&J5ZN$)H*afmJJ7UC;p%NWqqNKMZTHaV1TV*ApW?G{0)oNF;hL-?d zGU*4$-GRLq@s(g1%GTiCDlgIU_R_S$_ozAeuHidg|0NWE#a~UC zM9Z5>ZAX2Yh4NjcOU=!E#3)G_uv0T)(fGScmm2&qz?kn`f*F=5mOW?JMzb3uT@7F3 zxEHe!JA}#_qw!h+)s`f44maj%%uU|yJX|Te9U{Gx2iKy;HQm_^BP&b za|L(KbkeB&n`Or%{{m5YRk6~j)Y$&Sx-}|$eRmg@4uqHB?$LO7CTcJIceW9$#<4|- z>*>guYgHnR-Q^Zv%V$xAuG8qkl}0`Vq|R?zJ12$i9i(gI*TR}jiWJJl#Il_;X&KM; zNc5C*Ju3HN>nL}rr-_2A_NzWe$glIR#i^!eg>jzV`*_WJvNqxTdce{ zKCgMSunrzI_8DSRb>h{&&H6KZfsQ*`;<_&28SO-#XQqNJJ;gaE;-Eiha`=*jZ~t$( zI z(YD53WhN-c%;;~tQl9-BF*(o)}NCG5+TQ)#Pf><7=PoJ#pVQ`*kqT4~|Y18f^7 z$xpvCqo5`E6P_>y{SZld30jiZ*z1VZhfb>|d*ywNu<|erG=td|A-$TJR-!#5Q4e7+ zbYee$28FhJ&2Qi~hA+V-#_{3PBgr!GARA#57zd%HG6e40aow>I+3zl&fa? z=p*c``U2OPV^lwS#2TaWqj`;1x*ntA&{NugM>(`$j8SDhX?B`v zmvEz(lw>{M(IBa$H1uiOp{qNP)y*3D6C&N$^RXLm95y1W7w;9QYxg`GK^d$|e1}f+ zwWp}VOuj>7A0}3uqPPxq0|YyH<{*LXjGk?oxsV=dKTAeu3Kt$WE%{I6|xz?TI zxhv)$$N7F%h7~Y({Ffm``^kl6nOTzlr%vXnCn*>YmZblwv3C-y1S7pj8)L_6#$pq( z%o>M&sGl_U79n35tV${wo#eimOw!e=q>|Cdb%KP)SNf8ACS5p#n0l|+t!9J6%ecjS zH1TmHp3!_XHexd3>)d?iLZTUrSX|`gmic`3Ln{{{3%%1p3o#d67*b_ggu|KxhQ=ZPoUgI*&-WX*{>L<;V?xduZjyXd2zzh+l zF&rVSTGRJ(R3mmRjJECioiencon{bU6McSv3zu!0BN`lF?Thb+Fu*#-($0`SXV8wc z7Bn_MtY}A?hU!!i4zT*pA7*;`q6aNcKgn(K;r2su>{BPXIQEB-dWIq>j{PAkUlSo# zk0L0JT_dj`^3WXn3j%d@?1f5=kWM@{QuIGV{W$vsW5-CJAU0Z=i26x0k-?Hx&jz3P z=h;?PlhZS(Q2evT{*qWQ>G03Fwc2)vD8|%J8e{)fx%Bcs;-z(B-#ngyG4awG+eoa~ z7QMQIz2DSm_9BWs^^?Zlv7#UO%%T-|Op2E4VBrVY3W7N|POV|iEjTK8L-0mp=1orC zpGV_Wb8ZRWQ8#g^*$|8#@+vJf4`+u4Hswt{Qx&#`FmEZs=;3mV9(Ev}6u{-4LvOOS zahavQx4~sewu-Xt-;&=L`5w393PYM8Vz3pc&1fs8u^$Io}GEM zu7;PBu=`3B8FkahfhNZuqgN>$A1NHC4HZVrp+Zf#Wg0~>+bBU+Z=41s3pqJ*rP2Qe zI`c{tjeUq%+Y+`TxLUUaOTbx}*0NjWSf_FbOLz4cjasc)nX+0F_HK;AESFK3!_W>k z%7#&o&6YmIY>v)vkiA!RqpgyJf?}1VCFZ=KDHyBAVhTov3tFr~^W;et?10Z5q}HSfUgKDVrnHJPo~)3J?a z6Txz9xT0wbhT}z8-gQ(lL{;;GC9j%C!KeEmaHA7B14wYC=k_fTm`+m~ikkFIB<(d0 zEDc4CEh08~oj6WZAeb4zP(tgk;`~}UtI$5qqge1-blCrCWbbkOQ3Eb5q%dip^4wOt zfv;SNw$KTF4PYaOuH)w-I!(JuXuFP|i|91+I!$o{3-Kyp|krx4(*{Vb|n)J~mol!IzyX9eEtyL7JWUN&bZynE( z;IcY%=4X?^A0^gawDjQPgkDZT zJ}mEwwI;HLKXRg_S%;_bB~8yFi?5S2@g+@9jonja^5qyYSyFl55==E*byQ08b0|b% zRIMKBD+3!fZ(6)OCiHobkHs}Ssp?5MkzJW9q!M`^sr(avREg>5ol27v z*~OVk)dmi5WJsuJ77}_Ie^f&Cl@yn2c50o-CyCVAsoKE9xugf~=#jjN^|g-1%LQgf z!@DyoBL4ATOrCx!Jh?VuTPR|U^Z}WvjVTQy4S)YZX4bWhDQzQ-oC_q14x3x9dm1(J zLDEcFF<_i(D9s^_EEAfLLbLl;ixg)23bU?fqNXr=aFTMG@DtumIL${B;F+6n8atcV z%AMJce(Z5ZHLLoj=9yb@+g?O2Ie^nL0D3v+LkbnW^(SrRF$@c{OpgN3G-i zf-Q%T;4~81Z6&z+4;gakpY6atN36_%YOw5o^5v<0(POQo&1$(F|iez85QXHEpMUkw=hIERQY-)<3fyU+6 z7^l_a`UN{=ctY>>x&jqjqEB;#Q`6>H7^kMsGb_Bz9j&)4))!33AS~%6QWsU;j8 zty+vHo@-7`KU;21O;6$MUPH>hxRo#-W6e<;m{6OnQr8@P^DCFB>1{}zYxO+3Q!+LE zy_K&I?lAzLAq0nhw#56NH8;w0y za>B+n%Y?Cp#beFU1d)-a?!^OX%8SNl;6e(D#wLjs-HQXtxbkUtW?4w#>Gh)NAkN9e zg%lo*J>23#yi!IkZl~Pz5|Dd;FACE0)VAWaM$J=mI@;PBdUd37dr>5QA3XbbbP0zy z;y!rTE@q%V!whsDpcq6jN-uVnCc;gLeB2dJ$iPt;l-`a98D{v5T}sX zZw34C_vvN#ZF-y-N63|^8$ zvJ<|Sf^5Bd+)yNf{->4U=f-+wSj4Q6ICu<~AEhZb86iJRQ&LBxK zomG}3hmmpy)6vM&flTNwxs*_k9Yb~UkwD#=!4phm}?B5_?MIpl8+c3i+8aQGSwR@e_^5#_%l>BSg7OtjUnTPjtU}|Jg@3 zgqx(YD#aqJFU-oS$-S~_VICuYH>>Q5--u3!ewd^8zn!p%uhnA!vLUYl5{}i&UYnfg zLejlZk+m$Aue8{rIS$GlcTk&#oPB>?d<42-IV)No+JO5rb2b!T_g(HlIVj2M^N0+@XDG9yOP79cTdd!LD% zHnjG%1>kmhByPmG-WH&^GGc7GU9*eHk*wciYi z`>XZbbvx9EVC#fw0?FdAp&2^itFKWqX2`chOcfqKl6QcP`{g(AJ+)&u=DHVvcCb`a z_7AfFJU|J!uZfumkPSH;$b_#Pgz0%y-zBZt*6fUPDya_&H(GZgoUDrSIu?WCmmKuJ z6`@3sU2aE=U^^lk#qs(`*JtS&q=Z;LK-$am?(PKSAT`PX!;n=$g8@ZB}}_53)7 zpc1|t@r2QE41#aIi3;#tg%6F)*}^~e{MTil+*5T?B<>rCkBL#Ax!t+Y6(ttEmNe-w zXPvmm!OX9rOge+=W<#0pQry-}uOcY=BctOyOhPRuyWwU5w~JNIFlsdxT4#~3Jbr(= z3bAUVGbaD0Q&U$~>@b)-lL3jOxCbK>-87S@=)6(*{mEoP>fA(DW&2TiRSyB2@KxNA z(SNifE#;jQ@n*UfC>AJwfe=MZ`h$dqh z{YPoMPWz8Nk@x|KO=Z263reh_jmElKb)t&%45L&}lv3?aGefMvFkMalKTTId|6{LY zULWG(YF?Ins!}DD-g$^mT@H|dq;c|hs&&486GUm)0}i^#sty{__A?-n6h_nSWv4AQ zno;#oBic$8&BM$V+M;1iTUPZ5Bid9IO^Sn&nym=+&`dH%kNt`!GjD6;Sad8C-EaB& z?4#r1CbovAT6bW3wDY7rHWrC0UCk^PGj(g{No!0a?*!6p=iwZntA=1&1CV!MtApsR zVW9N;D-L3tdz#@DSvFQ7PcF^F!DP}<&hb>W-@z0 zjeUh!4AuOTk2X8Ixp4Eer>Re3w%j3sazntJJp{U z26{FFJbl@ZsU^d%4`;M*jc9vslxQBlY`ZNrhcByF(L8)vn4u~)TGYx>EG+9`|2Lyl z_%?lRIJL*V(oXG5FoiY?-H+>7mbjj*xu#RAzX2&s+Ux1mH1bIxO{bRkoYf&U2V105 ztkJiVu2<((y2ToM5wWI2;{XA=s~Dh@UMzaAbZlwP>m+t+lCkve&)ijJ_h(`yv$mYW z1sFY;HVw}48aAFU_T$f;{PQcm9*O@aV}NrHoaQe4?#FKj)G&=a^?<;)r-O&^uCIhdy`!M{op2p;_WjNg2)n^ju=_La$zB^^OtxtkSSDu0(SWZUJz$`#qA{E@xTwOef+kAaG2RMPhYzyA$vd0MUWDf%{I1 zBys~hlBw)vM;dVe1}A&xN8=ZKoVX*98%ZD&iD)2yTM0Ofl&`=lXM;R@Y6}^=M~%th zV8rISJA*?a^8e*P^d$qyp2b%thxt2rj0+AC^XI$|VdwCymYk1Svwd9ImOXx2uyT8L zUhGq}l0$>W1$vJ=B3QXHyX_6Onk$zVUO&p*ZRgZaex#uuBh?2jU38;>O`QZq+)DJ( znqG*PQ0pKp^q=Kz9odRTBVty%acyUW1t zGAFwW$;{0by9Md&=D+Gd92h}%i+RkPKeqvF9*w86T$qVJ)PRyX;!+g!vPO9=S z9WXs|>Vn8dUThrQ35FT#gTsbj9h8$5gu^$9ct}xQvlCGU zL@04eY57~uf>-o!2cfe8;|?#Y?5?h!=|toKF*tcgNk=4mMKlrE8pdLg((+X#s-zJ_sdC(?uKc?QgAW?f zxGlh0IH2%#1pfQGZ_g1FVh9S&h!J(cH(CEkUB9iwT_{glLOBY|!s)unMT5Q=M!BR^ z#3C1sSw@VDTr^@95Ug?rCr5UnTzY;SEkD9pU`1mKEavV+jFh4!8qLE2t z?=P=R<`iF%3}wk{zm<4}8!}!4DcvGX4@02X&Gp{Qzjjsc^5zhqU ze7|jB8P&JBoBj*oyH2yRbM;ke=C;s@IGc#_>B0h5ikM!eXaU5p=^Q0=w3nIb4BkEz zM3Td<>fl;l*lbQcvbVf{q+D^E;wcz6Xt6ktaZ^gf>kh;eBGOr0=tPYXI-14&HylJt zgvFj$!O8eLBJpu6vOv15oUgGCD+bQ*}V)gv>V!E+D{ zQ>uk2HAa#aqf3_an7v@~lI*w=W{@j!V>xW|rOQNGNq?|dAC{7&G?8@hxeQL`%s|&2 z)40Q^urxzxr?zHT6uYEQETa;1@TXVWDMnXhC6sYv=02`SL(_S&^H4tEi&vq@pa+9K zKsU~d2IYWUm`|UP2RTFZFx#MgEurmur{U%?!Q4wEXZ9u*ZjuQ%2ugQmL3dDYqZEZc>R zZ9LY*%oMYNrCC#-L$=x~@W(4d6KQR0tqeWD6rC9od(?@orA&gv9?(lHB|RzNNR0XYCR-1oqb742k$A}fn(CIeK(ryeN{;^bS#ssl9ZTV zh+_>>V||PN{xfgF92D4TGs3!8sX3|jzs|b`b#obN?;a{LWkjc_6#oU>U1|C5()jl! zmD_Sk;~$zLjK;rC(!S1aV$EX2&qEyB~v-`kv55zz;q6r9Gt=Bc0&8EoYH7w4M2lY}`7feR7EgNUa zxD*D0&=qD5w*?~Q-{C$%i-SGYV&A7)`4}r49MBx>sTTXzh%1PIE2co5MMW~Bfu{*6 zN8?m7qY+(+NQR2h7s4s9 z3X*qnB_X^j0}?E~d*skHI7vrST(xuE*c&+r2^&!%)L89970v5B~elT#D}?@)hDS z?A#9pH*7V`^lfU5W*X=QE^SqJ4Lz7qJIf*uL*VErcU1EOtrF(3?c#`(d$XbytGv+C z=3g(TJ*5;k9bAcEtocp%cN#KUC^(cT_Mj2JAR?K2RWutp@lRgg4(^ck+_#vwy6m>we!wyw-C%?KrU!~?uQJRM ztidD39I0h+2Rz9Igsh?gelK^kImdvK6sMz&IYB5gm!h?M52kmEJg(*3q9V`JD%b zQ*2ZkNE*?Ti1ap55eG~(>Z?l)Js1N7Go8WjFgO`S<2ak0Qh1*clb5$hE=EjVuO9rN zHT}^?p@8s|q22tl#r;G{)ltHvF{TmM5RuNkw3{`me+u{cY;EY^9>P6K7{;lg2^%8we||W50z8O~>ynY+-~%lH&sXBgrL!3J3=0%`e1H zR_mK>PF6+{5%KG-B!xy zd3dDF;_GNUa+V5@H{nq&r+G#`N8%s1<{-0+F+qllSP+$89t%T;_+5Mnelg}-*dTqc zw#nEw0^=K8g!-ZT%iplR@LyekmtM2f%O%Bl@jWgtY}kzO{T9#4L7=*7oN!d6;gTXG zl@)x0U8cdT<9y}7ARfm~kHs(Cng4oHWn)2zoK7M#Xdy&;vsQu8T#_GL&;?&EDH?yo zJ% zg+y}q-P2{!Q}9i0ar1@yR2AQlC_i;i4OjxnE7J&T}DFs)rSY}&zl;; zx@z$F4S2@?GVpx^#5oe>eB^F?e;faoBW%T<5ZVjB*o91l^9t+uXI&@`^9jdy0)kfU zuwKOs#jqB$IY6Gz+Bl(*?OuEZ!4Zvnf-?Iubk(neXuu*wl0eAHZRYqXDjMvr1`ZEH zYl(w+)rJ#!pZTNJ^Bt>yE~d3+955TZN<*fX|aQ(C9L-@ zq8(^qiGAtd`3z3)UTMJN691s?+!);}jkpVl%T*ERi76v{M@ba-4~v(}gqEYDB>qDq zP6HyEjd5gHA%ximQJ{lS*n(eLqKxp>yR=y)(<`imKKg}Argd-)gOi~nQK&co$`R_3 zFo+(#-AK1{_~jFebY%)@squC2O%l8{2LfT8GHeC>ThAVN8o7ZsQEmthIF9Si=K_4;T#od{QlwYPFQX_?kyKk_YTJ=My3(9Ktz)x zi#sk)_B=)CUy9$fu9?g!9Ta z}MNb_TzTVCc0An~s=C7{OokwMTplMSPDO_873-B@2?qZ>%(uZfWS?I~i;gsBNGP z6BxOjwEHc0Z;BS7UJea}TfM(L(iM~W&_eE8m{jjlTD}8!Fd5YvuTv83#w|v2kWxwI ze$yQhA>!1CE$4HFBlH^-ywKsSHgoEO$x9ESN#M_``o*^g4~FC4ww;AlelRq1)Zf*S z7QG2Ezi6fWDCpY7JkuF`JA;jpTx>j z7a)&DW3eu;atl(xn{R6?eMAox43_A`SRF>K`$CP9GqpLL-(Ck)Dfo zDpjNYEOd0yDqG?Rp1@#h(gn^UXE{0VPtIZdIUdG;5eGetvG~2&c~!htI+D63MCAE{ zm80DB9EkyH#MYlo!2V1D7hlH{;Je~gd@uwd&;#nQHB8Q(;W zhr6WE*By`D5<68;lLU=m5Q;?s3@>ZjndT5Ml=^O4%a<}^@^0JXt(Na#X@CoA!?Ihh zyyNtuZ3&QVaonWs>?B9x`#I^@O(q?q@wXC3L?E772x$r*3bQKw&$(&EAb+U?v499%$Pta-)G>sA`bU$|VvOSN3dh@P z=v_QkSWP6I{#HuANl&TSi5Lt7u1kx?d)MPQsqrtV#UJOPC}0Urq#UEcr^7>2_*mIh z5XN13Q*w~mpiI36Wm%FTgQa9kb>pM)@5AxdaQp{yS%;?a56-r%$YZd@Wy1i5+ZugKP>cP$4iI(N)p+b&Ap~D(OfJbX#kI*R3Qg&um5rDVUh za=hW3bPM1jEsc0h5W*_vi&1Y!mk*)y%B`cCo{ltxA#IqhQcPhO7bH&EO9e=+5R{vT zgVi>bB!&eFBk_^!F?|q@?+V5DU7XB0DpjCGSh`s=$>Q@&a$yQ|rZcPu!^-!iXqHRn zbo?DZV?LdlmBnaQ3U2`cbwro7Gmk6Vk;jqIy?Buxbt$WwQq;(;+2guV?wlrs0=k9= zZs)l3^6WNFn?w@G7pM?U{rP(h*g}Um4E<(AhmY!h5Sl)y0W5CBDyhIk7+5v3w zozfLh3>dWpDf0C|(0U zx$k&7W3fmb)`YN<@&@N@ffNmmnF~xxjVVP#BOWCctUHb3J}tJDdwzkxBZqAs!k64F ze2H#`0gXVb-kjtS8#iPdO^z$>5K|7pe*GcXNpBjl%X&z0E^k>d#_( z!Re9m)XpqTN#j-m7a8~i%PqRG&ajsdc11F$zcX*J7#aymMIo{UH6u%0YFUrJm|^4J z$;r%|*@$2Jnq7MhLCbsz;iw>_ql5cYG0!0*c2S%O z5lu$AVD7`W?GjFQl)rBqpb^o(Yl(iwPiYpq0VHzQ!FMy*F%>3@c{E_S#CLSYWYLgD zoC5^rEKUT=RqI(7L!=`@>&K$bg_A_nL#8$HExKkb^uQq&83143YG9+w#9+E|Im`el)<1sWWU$ z1;|vR!PLLcu!PKH-|I^mDRan5JWCPBW4&nz@|UNa{TtS|Et|WPou*)%K|toVTg-8{ zr;?ar?up}EBsN8ONHQ50ea?d<8p6#;6~{j{V;R(bJb?k8`zLcX*0s*Y^5u4X8HiIaHR3yX3F&fQsjD}AOiTe6+p~?l$fE) zcCWo#atAJZpwKG!mF?O0pJ=@$dVTZ5mR$5|aTV0$IHaDY^Apv_%m(>FPZym(MTr=t zK+f}+0f{k3r|JN{Ui=f_OlL(KX!{UbjuYaX;<0>6!^|1{j{OxoQ{pi{zF@m_0Rxgt zi{-~S*mefPBFSeZQqmx`K%8TIKtT3Tw@2do3ea&#*We_P1+m74sShmA@ZedkKLM-o z`mtu^U*!jTe-X!me1^=WLs}!!3+4(}oTk9tEb@-$X4^COo|)epMWdh{kG0?t$@7FT zzwfipS%FA(6JyP5;+qs6`&?tKIw}w0XpnME!7Ag&uub07r_n@a36n7QLN<>E?q6jV zvTgqZHMtbB{y^AZ%K^(=$fh|XJT7#>)AQE39rSnX`0a8cZzVE$If~ipNcOv!OOw-v z5>+ckQ_XQ62d}c@afG2{Xvk_aLnm4ZTStAF2}_y8?iC7)J z>=ummBz%F@Mx*2^w?;`3neD8a?Cy8*N;#C1;gwLjv#O6?qr6f=*tc3VbAN<7$*trp zQza+OQJ$|^>Iagl=GKiTRXN_1=fcumlCoFj`1p@gD#s2a)Jf4T1_L#-@JGBuYk!b% zE4sB#*b#uS%Jn!!M+{CA-2?%77>3xKM!rg<&Cqd191lO_x#M+Px?--?Zz{xAH|9bp z>2>2_^k?>#f_dUX20vD?)**}^3*YIDg$gIE#Vp;K3Rp1*QNlvcV5ls#IoKLD25S50FDG0Tb&5xTCvYL^pvvLKDq&0J~_SC1>X zsI^kt2w{8XLc?CObmCR8#t~9`w#dinR20F zJ5KIQ7gBHN2ul#bqeMu(p^=XXa+xo!sz^8YCs;K|QO{)GqwMybRA%nH<6z%TFqeq2 zw=504sxWLg&7+rSkM7+Un{$MVM}MAKGAbE4a_u1G%>w#AI)Ja2_4X)d3s4)w$EUG0 zShM8a1MB6+Qm&-zRmq&yC#8}(1i$Kk2WC4Fe^jA<3wd!X4l|vw*??uJWU`tZMDG@& zu4Q426B#Aa&9937z5VKJLq)41SUL3a} zC*a}eG#r!0R%PXVo6+NV#;7L3&C&8&Y_hca^!m&Rv8Y{EKL3COxEvqj z&}b38X!xBZ?0%Gwj6-N-$mH1LlF$^6dkM#`7dWMGye%Ir9FjR{X5L_&jOL`V31V$m zg?5Wnw&kG5J9({?;P^VZOQmNIEcg1aul;53xdmgkSPIx9+#>d947qHUA(vmXUa?xf zR(H_s68YD`+Q#CKDu16G4^G7{Eu`6ToaRKnL8P1!jWfmLRU3xDL%28_jHfyLK}30f z@dwTBS6eAHS}a{5EV*8*qts|213)?&RaPF%+$z(vB7A+E)}e*H7^Ai9EGpx(unoW_ zhGWp~UCel-_L4=4yDaR}q$`a;XD?YqsA1y>v-@>)7$WFAsGc$f8j0turN>DZ>|%tf zywdf0^*6`zKr(w{AnMi_7^Q1o@5aE>*)ENN$Cv}7G4NwhsrJ9C8UrWj05%5B?+Kh) z!2Y{|*&8);-pzsoSuV_d+l$P3H4BbMsKYW3X<)Vp`BNq34Q9!up)WS6VbcI}*kszE zgxI8xa3kqv^eY;B4zYFvVsp8Zf!V3Zce**H49q@yjLG$(4$NZgHE+rTv@TQ47{N4Z zW~*qK#jp%gtf{p`dB?HLaseET?Vd!wvKY2FvBkvVNM87r#4#A$jn9Zk)5QG3k>e=8 zV5S)zL3y<1Xas8O3l3Vpn**9TAIhB=n(;1Pj7Q!B<8$yw*}!WMlPBYiPVB?PIvBqo zjqzgVmoD)cA$*Bq$QO3ZN90Iho;7BjgobUHchd*Eu_dc2K#c#h%{E8WBY?h5*=%u&jmr5znuVg=fS3@Iwg!QRe%V&v$ z-)C(5B*UoxxM{?9N6VI6v=mgfpp+?Z!vxJtW-e=wSed*mFN0DflNx&xur{Um!KO_q zJ#DWLK|WrMO!5(#Bmib-&d~DBW_AeV8^)>)ifc*J5*!B{hPYhmZE@ z#iG4~(x;z)%6uDD?2izkdLiPoPJR7o7Ba8t=OTt0(dc%oHyY6%kO0#TIms>ayvD3` zwk_I`jJC;$7SRDr{MKA1ZuAGS!%9Dw(W)?Xrz$;8xo%NSzBHMP2Yr0x^xNl_Oj4&@ z13$RPHa^q6}>_VE#jc;dWGS)vDTT3hk{?Y8#SBKnQH2*lP zonR9@)=i8jseWY0-F}>S4{+{i4y4tMQnqz^Lo)FuX0NdUgSUuJxqlmntA4II%u*bx zpEM4C3+Wj`rJ^g2G#Sp&5)ii`lbUd{s-z{a!y1f3vxA9;Vh6pycd>(GnPJZs-nmDa ztY@?X{|3_zK0{tJ+kwU|BsSF!%FokMZkLg|r20vd@)6+t5bQvw7sL-oETOd7~9Zc+IGTezBU@0?K@yKOZ#@e2%Ba^nzVbd_abRyG?FBmI7 zGsehIax{LhvWHjHMdQgK{Fn&))#@H8p*WX*q4`kT5S~wmR(aoijJ%9RQZl?WKT_^7 zDo_ml);wz|`5-dlagA@S6S;v%95)Vra4(AMfOEn74%#m;hWk)Pg%kM)iZcwRJ^H{Y zOkXZcyY_)om@ZIEQ^0bW3kRdpx*RENZ$BJtdv!Td7_TMPK48K7ojI0)s}{KuB%X4y zGlSqL5yS;}9HVHJd}mVDhme)>%vUir_dB>H-x^s+B+k|EOj$a{cZVTH24!jF8X!AW zmWDq|!tO(GDNO&K$VBWAl%=U(#5ft1rLo5n`+uY?u2T+UamEP#@s}<%2b%EU=LK(a zBeTD>*8E;eIjKq3w3;pJKPW7t@JH$PZXdpjzH zVeAW=&2*0TPG>YgHsohHRyvKc=0bdoF`71CM$;aXrzBt-spaZ$V}uk)%Z#aqOW#mJG@zlq6>l>Lx)}D)BC)LnlmM z+tv?mmRbDO&HjExK=W2a&x5^w76j8~>#gA6~ zVP5>$=)~p{%K?aRyR_I(uZ8luKevqbASJE)Q}SHKYe3Y!kjBWFN^4|*rWfna?xuMc z&BzCFH5fXt5PsoC>CodzEs3H6E2OXt!xJ)&T^gcQy6@58CE>g7ozC-G1hOjq=z-4i zs<8#ca$%ZJuIzMNb4$kTozW_hi^^B>kw-M6cF>Iq#JJ>tY{zyCA473Qwb(Az;)Uo^ zuBs0X=YilnygZcniR{AL1Dc`4(Q3Pw+8H6I--LO@Q(Aaqrrau z!x-eh=93mv2$${Oj`Oy5MkXDoI4vs+=Y8`h65mtZ`)oct`7}-2fMwnP3Jb41^3-yhYt3BaYuw? zHxzH_3!e;I3+A1UQ&4WGlbadkc>Vg|HA+^Y#7|{Y+-{N6ak8(=wp}rdnWia?)Jyq3 z?8Uk0Ks0&NMJc!u1fRA58(7yF%^3>-3L=r3qy}1Pr^o}O^I=V5cVs{uBGoF$m?;0+sLc%XV~2xa`2m2rff1>#Qw^*p)ezy z8qIw+1xUY0jy2+xgj{BD(kG^6zPy)34ts4B%522SjRqR^Fj28h4pJMdW!sJh{feMT z`#1$@P*by!8>?majs^}CYVSVK$6_p%)bT=UuaHtGKBm&y{R6rB&cW55R8ngQnsgwI zt39dIUH}l=;0mrt=H~MV3~cVp!RFku95iP}_|E+Y9Ijj=fMSDV81iCI!)-7FAzXW2^r%raM2W;SDMMl!^b|ps z#0XS+G$f{hcL_D>(WIt?uMt-YDN~OoDJ6N0>ML{(LXRdLF5wXC(x4n6b&2APwa$i^uPCXjo`_11CJ-&r)(?Ry&Voy?$AeabeC5L5Y zqd-P+B6$~RF~Xg5_;YU{sw%Ui-{MS%zk35()o6+~-aQJt8go%Vhv8NfeRP?)wQDWi zlz=02*2SltAg*6IG>!NP5ZEml!W}rx8~bz*#rtj8jNf1~6p-^f#&rwFKX2pN0Yvdo zuwj7^wpRAci*4XqIpb26g32OXwa^2~=RGrJfBh77dnhovicS~@1kA+mtDoT;w%+5B zHiF>Z2PFBEg6fjb>EDUIk2L^v^jx{!jVijaR%Bn~~nV*)a# zE+^u-Ug@#|k8+JKPKdxG)ft2>c%{PTfTrqa!k$C?^8Po-l;eQ1Zkthxc36zg zAfR$@FK{WBT8?!F-_79U{HvA@tH_e=q9pnWK3d5X^UH7XrNpt#HYJ&}GAEPgmdri& zWG-Mb-3Y_)v^}aA83iObupuvYD+)~r$4_u~egnlrn@=&_PbeqHO&4OiM{O}{$%ns2 z0!9h8o32LO48)r)EVB#O^RLv$YF8~be81Nof~C1zZeJ^vQ*Ei({e(swLZvJt3Q;v|AKJ86-Np_%Y2C*e4nEZWqFnP~`Q1Z&`P`nkJc()B< zbs_`851br=-z!#DFFC%<;$;R>GIMOe=)aWX(y%)L8x(!7ef&|uf#bkZ)jTYt9N0Sk zX`V_U)GNQrj_(fjUByFJr`!y-c%VXI!q2TGey7lzX=68AEF7a)I1+JSh5V^5{?LKg zwVPIm6-RX~InZx0_c-Urd!p}$BqHU0o0AaQl@{&S8FSL!G};<5U1*1n!R#O!ZSir- zwyPb~7p?+JmY_{KBA$K0c_1;yssnQLVA49_c|FiZMpeL%#|k_qd^c}Kl~5Jvi4XL| z3}7j{4*cM{NvPvLM#UiMBgDTGd~lz++=tfFS_l=m;dV|PWI!cg9y#Mk2u zr~I`36MVxQiXns|dc?`~B!W7$$Y#h=O`U-Df@YnYZKuV5K)(`)-n6}s6UL?dHo)2Hin6Va+iE8*XJ^*z<_2$cc68}W*wHP-6*DEq z6*CR6d-{=Iu12@0wrir-NIZbE-jH#KUEQehqUe!O5-H3IFUj#?=&0&Q#)gpWm30Lm#i4h>S*og3dt& zIsqM9Nn&qLREct#X^S)XLk1^v9*ZQaVmCs(=&krpT_l5rIV);!JN$Tzw&J9zwwDyE zf9P%8_OrD*@-Y~hYq2_A(%Ih&tjh5MV0E4o@jD`f)jymdRI2kal4Bz=RIzHcAuF80 zKeJfPxftw*r@`phx%%BgVK>)g7w3ktItzA1n>K{|DVvR_wBFg+rP4bCo_({thvp!U z!9>phni|1)Puym~)z9Yf($d~pWo7rpHe|+V;n~|>8c_)Z`CtKomsrX%xLY)Hi6xN+lj(Rf-zc>gD~I8ig;+ z*vorteM&Dc2__cjB5L&xqcT5C`XX0xkj4Tgz( zxzM6Ei`0y+o^;MQU?yEXjkyqpNqFMeJ(DT3XGZ5oZgjB{Mv_dZ!x-?X0WE z%HHhhRfD9}1(TlM_Aj>Mg2a?Fw4|DzV6i#}n3Bp4v#j$ZE$Q!R#6v&~PR_r{(cjyR zk5*#Ew3!DaF>v^&LU%58VkfA?9&jX9Wlv0ceaGMn6MgEl z)S3-lBhCXw6R;$fu!2YfWJza`8%QMK#Vqc zMhLdHtgii(vzPV7K~AURe_2;}-L7;V=Fm;u_(y|@oIu_yzTDThn=PWIxp+ysN=?IKHz=Z}4RsPpXi< zHQu~J`M%b!@E$QPBK3dHDIqS4%Vr_RJP{sy$;Z)n;z>AI_=zVOI0|>*@DIFTnuy;x z%`%VzQLl>!09{>($PB23rfj%Rwrt15i?PlMGcPcq`u)87r&CRDEp>QkO zFWBp_{ouh<&~*$q%f8S@{(#)5w+r{f5#~*mQzj*tecO0bq^|X%SnW8YVj2wwYL?-T z%J#xfMW+(;dFxmPzE-z5kyD6NWM^`-LS5TMu~p;DN^@Ct3gH_GR~+e6Gf0a&QwK4~W{d)2p2SQ;9S-a+9S)^|3MX`5Nxw!ZXGkYIE zt|k}O^rC|cjeVKeWKRkcN3P^3R`^`kdeoi>XMj*6Pkc>kWLW{#vh8Tgx@y2!3u3n5 zw-LZ_qnb12G+G48#6pzuwUPMng$>~rrPR-;RK#dhYUF`{>djE&9;MfXiEhRo#et=p z^QP`pUlGYuxg)LIZ~6o|nzYwbxf=N@k-`gx0OR$Mx@{N5Hl>PH!yhEvP_A_;x~*wgF5H!?u}#D(<+hs2<#tSuHBQrzNX=JPN{=x%x5nr- zPV^x(qV&wsCk6J_Sb2ddT$G$b|9- zb3X1&rW$)Mu_&U6o~n3?_4^ay4r95%p))%EP#K+MF?#T$&KT9$_lQ->hsdBdRk(#y z_*};sz1`3Pl-?WKk8QPaH*;3;j8Oa; zNfy`9F}Be>+gL5lvpL&LHDxnLllDoADlHDbM&cV)b4|xt`yrca1D=hZ)9v^Y^!bwa z@}|&n*LQ^CZEA|`CgZlgG>WFN&!Mr`TU`7R6lJC@Edn?I3(Kngt&RwnGXnYvg>Kob zp6CSkAy_T!ygw}hC%>v&oDsHf7NN+zSQBh7qO(D#4MhL4U5050P`me-cevw3IMHUin=fz{ZkLzwU9&lSt z0mdh{Lh`}N14rgnwa60zbH{hh%rJY zTNOQO{BYup$?!B8nQ9SL>NuxL8eWDX^Pw92%|<4mrdHhzMU<{1)FVPPIl!j$J%^<9 zp4-jxH;GAhu5=w?h)Oa|>AOs&Ukas%2Hh0sZ5%cHB?g*ON=YO+S$qR$VT)irf%#I( zaxh674UEQ2ZJ>BDok}&mjB@Aqy#P~MB0yNvw;W`JU*l4g>BtKnd9vys@gI-1_^D8j z%kjezD~@&7@)v!JJCTFMeehEK$PP6L+VKH|fRI2lenEgs#SF4sCw4Ee_&N)Z6?lA; z1J#MH=%LNVmq>E1gm751XA0$=t$!8DJ6l)(M^SzRuGXMD4UhLJ$`yDdWh7FCN5$~m z06&xLQV?0p!!oO16oz-U4mQaC-XJ>$Q4F$U@p!+YH5!j3E47fOL2nb$EXL_co* zj=4w3$p+B~MxhO&L-0sS6wV`fegk~qaT*@k*~4Qo9@%%mV+fB(L`K2+xphAX<0N2K zLacKDp-$YCLd|pU~h^x*Gf8zeZnlzC_`e=Pqy;itoUo?l_ElTM25MNa}^l z;@p2~nfPPDjkuQx+@Ci20FTlG^)0QFd8zAB+I z3B|{ad9kAki}@!>(pd<^jx=Hd5$Vi}9ck21qMXc&9cjc*Q<-sKFqkel$8;ct9h-#QGZ z7FJ%^2Pib4xw#1+`X##iW}^We#T6f``!2&s-=%+2+E`^A&xXWxqJL9M}^d8lQ)^Xy9lgL@X zCNx|7XtiUurZ-2Tlf!z+C@>pj#wxaccT3V~ZEI&rbm(-hQfwAj+?_)1j24D7g4wK; zW|@xg)jC8_%`zumC9qL7uQk4!c-<^>#&I;ubeu;e4QZA+@k~Q4Q`6v4l7Q7Lb4FkS zsx7V~^g{%;#iP;|Uxp^}KHV&HMmL2f#q}LaXj_{)6PlD>9bpk87z_N;>_!P;PjxFj zzK#<^9I$yfuFUmypwmzbMt?AyamT5)dq1?cMxdF)W;`12SKu*-3nWU)zk#g`=!%Iy z)p+NM$2+d-z>sP0t|J4ua&{BirPsfj{omM3o0a`-?{t=Zu^AmHFQ=8Ed+TS*9rWL9O$ zx%e2>Q5egP!jQ#uoz?WEN(#fCkd6X@wvIwG-i%gA3mz}QfB1R!87kD{diofA1 z4dF0&Uwi@rs@E7Dh4og3OwqL!hC#TM}Z-1(QgAC zJLR3Ne-O$$TmNEEo|$7&o`uJ-qRc)6DI@9WcvK9}4e&F`PA6GMN8v{X*~<;G6A{H= zxB`!tDq8F_kgRkR#^Hq>1%6~+FG?Z$aqHSON($euR#GTK%iSP48jlfBqHt#7*=XX; z!lTi|V^_lHC=A0R5|IWTKew(2VN{aTIO4zW=DZgV=Z1a!-8^~kkwIO z6gIaTkY&{VQswW@&{61P6`=7HlCzB@Zbl=nCIW3FrjgoF(5N$sa<-Agm1x9KMA#d6 zv|p>OeILYNwY4*M6n<_ozmUpsGB3qlBmPW8I`h)N)2OjTIhmIRo<>|jgq?YoK#TcW zWX)n84LpiUbrksI>?nxRUugt6sJaO4cEgH_@pw5!jL#GC{04G~#|k_eR>ZD^>L^TK zARUDP_`r?=16n78LnJMBq&l2TTkOcz;UqLeM}bjjM-Qwzw2s11OBH7#Mv5xLjx^#Z zBGOeMm6S$(PRTe`A$Ft@^+ecJ;jp7~AqK0h-IlSVaJ$9)Sdw(HBaOI%h;-(~jx?$; zoq4e%jW{fod50ZMgorHWJFz2C`YkKXjzTG-vZHYG1f!!6(H#Zs2|!07n-j6Q89EAB zU95bEbQE|4SaSpo3>D0=uHv{L_w!+kCk65?+P(ZApB6N&M|-yk1pA&wb7Q z#_q+1TXT-DF2J9fR!hh;HXb~Xh5Z=lds2qf9 zt_y6N3rD(10mAYVE+gWH$aWSTRFfR@2$)6n|LO`dGttPZlE2% z(ZuXP7St2PdpG%=AMmpVhVt=KFLUoa3#1)A5u63W3s`U#h`MKIhFolukXu@~FhP6rV8cs+Jy#B$LTM|OBZ6&vL^Gi~a3Shk1Rw1yZD%~4@|lCb$IOrgEz z1)GpyP5+%{+wmTXkFoWNg|_hRF;VN^ z;~?F(%u^v_oyZy>GbyCW37;l}(-qSHYe)LG5|+$85C4zC;jmq`aw5Ls|k!XKregLR$?@_*w%ZUC|q1&wvactL2pDyYec{DaW$R6&~U zh>&(IF`^)iJej03lo(NvhVQJUJUkSnVU2`kR*<>c((k*y#$4I@eSGZz26Z z;g8bOwZfNcL7L}8o(W_pddmOKL3>{f^X#gp3MXWJNgd&u?Vl=!QtI~B#O$|XgqdQm5BTS_Yf0S|-S5ttl z%IWrl133-IPLwm&8RG__?W&w+Cvt$$&Qyqs_d1yADx_VNGslViU}2UKfEK5tZO}Z& zrETzz@RSrO8chTwfU5Xywg`4FA;-xqGLato9zrvh4yhf#07uGh9wa&UdS7ZsjVvSS z45dS=Mh)*Pgwsoh)QcL{g)rVP)r9jf_9I8d%$UAGfDI<2H7MEt70p1^{~pDE8fzDw zz+c|<%%IdR8aV;TOc|7_MZ+UPI6Z?>uV~n*gxND#kU4{sDCUeA6t}Dss9o%tL2=6( z`DY+AWl(&whTkHD(=#XzS;LA6vuAL0<_ylFm@{TjT$@hd<3*ks6xXJa3xLd&LGf!E z{*Vw(&!9Lp4ZD#rdj=~qXRw9>(M|oPH<;fn#9=Wr&PA739%#!4OoCTk@r~JRvZ3e# zLuDHIovbBpX+1f)$w#$Aqv$uDetj1nMEJnC=W}P>4G^>=Y9lF-d z7Dk6j}Da3Cj)_(sV8~o}- zMZ0394P!e@QLb1#lnh^BW%%HN%o*0m4L}}3hING5B>lgLdlT>|s_cC@U`o*;CQ+iG zsGz90qoWRp3n)Fd3yKR0jtlOfj)M+STyVgoN7}X}E+{H0jvMZ{2S$^CXyP6n9iyTX z9n>J`0B#WwzV|)1>Yl3Zs_Mj_|L5a*NZ0b#+3q>#u5~L`VZ}})R<|as`WlP&J&glS zm8Wu>jji}H9&J_bUO;6g-J?neYw>s+7CQ@kCD<6^eO{5o6N zCFP^I#hdI$SeB)EfO6J7p@uRkzC0;=NqBN04;Eh(3nWPln z%9Tc-A}`T6+pRmassXj4_(bDX57w>)x=Mbc@zRnsti&^oe*)q*07=DE*^1nhs+b{0lQY7rY<=MK$3Z%_22<>F#QusZ zyS0M~ufNXAWJi)yjKYfC7RU}LtPiYstdPQreVN!_Rb?;lpvv1>l}D3TjLM4K1IP}j ztPiYxw2;b*eV5q(LzP`56$qU>Ds@VmafsFXbf0jxQVlfw6}yiJ=WF)+S`1~=>1J#P z{PeK21NaIh7Vn*G_O+c8u$X1!)!ri1DORXA9#M-~Y(OZ*<Fd0arGiodArl}%?!QLrX%Qdc_KnyZb1wc<`A_ zJ=yy@ch6k|Ds!d^9eE8kF@yU=<4-xa_ATSlXdacPCK{&h?`Ze8oSOxgIlJ%p3O_0# z$iysMk;c9&MAj`{`5-z_DPvfXM!ucSYeuJ0^F5gs?;sTU4x)ULm%?~jd96xO%%!Tj zxg_a9qbW;ys>u|jKJN--p7%*3TjyF)?DNg$`K(LF88h2!u8vNbd!t+#%Tq&KGtH7` zM$2Bx{8OIl@7`g>PSSFb`?S(rbNm;%c$XJh-Ox71^yR4$?sY?Hlx&7d$^+f&hWBVs zhLQ$uerI-C78}5}@ZHhVT|Hdctqu#!{W52=Jm>kx8ldTH!RqTof$k)6voK61X4rg_OwWwiP|AXWrRqjHSE%p*(WmkJC zu_RtDEV^!6d?ukx#+Tq^a-B}nQ=GO;-VWz=5kmUQW$WyULg!3E=o_R6yXLyP`6Lt`onVGOHtiPF6GH+!=9QS2%$wtkDeRU7p z*;F%1!7*T0zrZSZ3m(8IK!q>)b2TB8e`^qV0g?V;&SZ9K19rvqqLgcV+$=F)px)QD zWV*^XTX%@aC}xQ$_#7Em&g!U)O+%@j6 zxKSNrdX;5*bwQ?zJwcd;Cb*L=Uo%GQWq%Y$5i$^q<0Q#}JVWcoKav~yoh-@4Gp(o} z+zFk{X8U$xL^s`+=9_{a2H5Sqo!NqS9PFfv`2f1SKTq@P6BC8}YSTKjOT|p<5W5!8 z{t7 z;?s%E9)1%(yKAdwDke}6e$}Vp3D+DEHIX>h zkJi{@DM@6OXTd0Te`50reXtaIX^QJD-GneKgZh60)Zq}yut9QII_(vQvhi~A%=Tnz zosA|hSXb4Fa6KF^H@|)x7@99i*nskK!73B13cd?q&l4inb>@)2K*-B?J@Uxo?Mo`J z{d|L zH@)$#86-WzihTk}NBS{|D|TlRmzLY0EM+gPz7ZvkkHdnUcV#l`ZR}w!N#`<~boQT% z9Az$EWG{Aqa?snA$1*aK+1{GGke?*6`0~@=cI8gNj34Q}(k*dfs$~;ICb^fUPQ=cr zo)4P+i;QbxrA6xZXyPs5ho3qSGZ$J5?R~3S$PPvXlCfgD5$kpI{oRGZDU(U%T`|*( z3J;rUh%4zu6^@+itcW+c;$>t9tMrbCOuru^L#Fz#5-n_R>lj_8TY5Un*A4k;PxxJy6^86tZ}Yvs_4K8+ z-lsRQ(8CLc8A_4Q0O^*vspRaIZZ@T{(Wehok+fSd78?jy#hyqkHzCjV-XyoO>rvx& z_tQOQ7y;syD_-BL$+{F!PLUtn*dgWgfd}wFymE@YoLH}2chWB*iK#OxvQ)OUDsD~M z5#`5PLy_O#P*BAn>6h?8w2DFEw-U?pW6{`tni=DC{WGT)s6NiRG@dbCNUvvufoN}x zD_vHzmx zGQ7=KYprqyNypY)irtsQ18u&Wa%!&9>M7xEzV34eOGmTvtla+T-cRN?`qJO+^m_V3 zf2XwLHP{*di>$#?2eQ$3ZpRvZ|F$MND61y20T!r36uT+0agDxz7K)qO{WWNOcj_#lAhC@;1^)%$j)cx88+dit0YW}DbQD}BYk^=Dd(TlY~zGkk0=l zdir6@=7=s+wf2^NllI?H){vj3S^nW=#7b3>&j9Hbeq8%cAE+Yf*!G`dPbBs?YX4ne zmFrSKIYoXjwL{A30}tSVc;ys(IkCTK`|nz-;?|@cQGSduMSg!xK^0lx`oK$gAX>#B z@mq=QeETo7!Dl+f(kNsmThe_AMp69lgq5LrlQ$E_&#?Mui$AkXdnK@PvoZ0%dN%y<4fh{m=g6}y%Kuu(1> z8+$Fu4$syuvrL~Qp;)GhO$*b|$&3!p)^60z)_=k`VllbQ)+)B#Q`Y%4v$g##&sVFd zYaCC--bpMC9OM}~Tl*4g8S~y^^lWXP@ga`8IW>!%tsMjzIxt)NN2_346@`wTtyN?l zksX@}-ISKdM$Ohv2ce97D?Mt;PG)PB^RN!fxJAch{EI-d5wo=`uBME>W{7Qa+CCjq zq{=u84@3tAQ>0=i5KBjiovr;;1hliY|C{VdRA9Ci+iq~94R`nVp~rLQjS)lPZs`|i z*P;9D^u2JujjNX9``r^ZGHX62Jjm@Y=Ddl|QZVB=lQ+C?OLMfv7Un2ZG3(gRyEi79 zs6Dp*=NG6>#xci56HQSA+hgHn^-b2*CQYG+YaawE@%&3~g}W`4tB1Klmf-bXC`xl03H+o7Q8#6m4D`?w7qvO34II=dh% z#SRiyrar;jDjvJk3s5;TUH&E(%3h&ORqamc_3L4;WEhe@l*~@2*^}8j2}@=Z3zO+j ztvS#6gKU#r{Vuk_$$&(nAtOZ7samn-BIEgsBydVor{feb&ap#PLCSo)NN}eqfcN;w zpi|x}owg&6#QN{WjKo%3gB*Vq>>1ILW7bjR&Ol}o??nZm%(p3e%_J>T8-Rf_Rcte{ zY{qqYH`9GO%QQ1`;h=Xa5BK`jw0;jMY@`051(mz!TKZYFwClC&_7O9uo`QqZG-fC; z@gA~KY4yjrh;NrL^(?so$F5yDCg_$doQ(D!3GZs~O1Vqv^;_XP{S400tmI`j`p%;j&FMV6MORe!D4yqy6<6{`R`|R3ee0S2DtCuBc#ryS zjWV|uhTJ;9(4^8KcegQjO^JwrW-C9l-$56-YvsQBa`=^V<$CvRNi#Wr#X-jTGyX=^ z*bUIDzVGk6>~Z52^fZ1V*0&0br(6X#1TY`=9AcS0vfWcGdK4skw%MUw(mhgR?)nLw zzw26n^F5Yx&w`v4y9`>&CxhJfX>v6}I+ypQg?QIn-ZM!rR%^wM_jrrqn3rd<$L zrGVa_Sl)dK@>cBkm!WheS)wbNDS7$u&$|zLXVUtaihtrr;(LB8U+i{x>=gnM;&7zJ z=0U?z_iyJ8k0qMJ6WUnTe5>8#Y)k!l(!Pf$; z>f}{~qK=|ZCh4foh8Rk*14Ny+F0Lx!#V`<3*XuKDE~N=p6v{68|lORvsknRGhkI_=in zNeUC=I*QyHNVTZLtB@QS3ruf3@puR^qwNm828zIto4-U{xpF zbrjv#r;f!2)KTmYl~kuAuA|S)muDheM`5=U_M5m)pjJKrFC}E8g)6l-o4Rf#-o9b! zv_FK^**(og*4cZb08E{|IebCuY_y(nmzxE-)g>$DT590d$g;)n5qO$64w4&L^7iig zs^$3;^f7)Tx$YGAv2J+GG)=I5h&-D$KY3N~RX!3(uSIp|xFlZ24YoDe4(ee@n4dfn zgMB3E_jO}k5?AXD>T>VJ%hoN~E6i-Y)okPNY=_M@MH!f#kv+3@lN(WHyY{aUW_t%W zJhPPAgmaYfet{sK+5zJY!(%?<6&bb7 zPoCP(`=|trw-z^iJ(@KQOe9(JlSkzuAC-XdYV`(<_u++*Ik&&EliF>v4Pw3rP$2ic zptNN(u)3*k`Z$E5OaCgJYBzG5pFFwe{)I>rbl)4K`N>00BT`zu;!Dd7*!<*y&k(S6 zyk!P#e)7Qk0PL0{P4wKKDN+-MLCiqQ$R;#<(<5b|D8kouq%-q5vi5)pn?0LjO|4xmZJZ@R-QjnEm*TD?_zPme6 zV|&&OU8DMT&EQwR$Htz1v}a^1bp&8O?6txa`Z;WiaJA^mMQ?3}52=?qd))RkUvuV5 z$%$669KM9wW&(t}t~k3Nh;GS((rMK!7Acj?1zd)_X0tJA*@(-IlV4VCzcs0@-V-M}5g!RJ!l(XvO72&s7JXqk+1Hev_}=4C`rs{nIhMm$4p>G%Z8NR^~#Xa=YCB6h_BWLB3bco0B<6BNa@{*4vd&7 z`Yxi~5wpGRh}pj@d;6mw{|S*cC<3gB;Ba~tH_|(@zF9=_+(%lm{2-&tQ$(VngN8$;S3)M zzP)kFTccOGFQ1U`smOhTacKgstM7?YS%2t_J02?CR;g*=ttLCVvWQ!a>IQ41c2{FA1a7KV&U;##mY|V!W&=h~1Z1))|<`Wo%DburoR$ z>Eh{TtnBbLPO_Ct?#&Ya{vlncV zd=9n3oF>8;2ew$xfhGAU_V*%;%norJhyTFP3nY#|Oh^Sfkmn&T@|sB;!^cH-5zmGg z;lsK!j|~~ty@~wYP9hwksO~R#o^6dS+d)2E zZ?GJ%J`;VCeZnj^PAxaHGnVtqAF$k4C@%B^B3x#i+%AZuF zM8I-?CJD9Ndxnbn$)nN=i+C;_u-uMHo|a3VLAjdj9JRl({rvYFx1pw(?YaIO2ong> zk-U)Gm$^vo{j|0B1}M4$eVOGxdHEK6-8|P*FV!2AcgE?E_fE=$8B?0a2tVyO@MZqe zK$?!5dE!k_@!qrIv7icwcZ^D5x>}#O;zTBs)2&zF1-gHTb$|HN8k#oY3}OlAGn%1WgR7_iJID@bT0-x?IC z_B4D}D0E7Eq`NzBfsWluNM$;9FG9EYj-6s}Ahxp|yRveR)v*OxDRu{86+R`7#8PU&4zrTp%L+p5>beAv`CD=D^_ybg3Hr@nW$ijPKd#Wm&?xd)J5W8QuoC8sH` z=q{w|cFJw*BG8am?E9y(?0)m9?_$)ieCM%aQ{QF`C4X%}?$r0iT(97~`^CLxruJ1F zWSp1qH>%|+Gqp!rgSVYR!$h<^Wu{iKFA!^5p59C?7qi-?=aGfjo@d!!RFJJ=6To81 zU*;-%?e5K0mg2}ad7Cp^=`<`ZV1lVumM=~&WCF#`1vWEX))jE-^xEA#aVFzP#F4=q z|HP@YPa#nru%et)P!z@PPApsGoTtl7=9EQjuiq#HY@tCV*kHg00hOe5!w*a^$e zttyL8QkE7Q=z1u&mRQ7qH-*fxoP(hX6^xxhF51LP?v*4FKMknhB*1DsD_y{Nir%82 zCW`%bbU>42XrT|})UZA?Po9aG22|Khg#DkV0WZ?7cwk{qOD55h$ub7LIptLyaow$L z0enA-1rWU)L6NTksn!p7T}96%-B{OE?0911TsO2h#BukxitJKA5k+?CfFg?i*NKG` zQS5`nLXphPvXX*dRGKyt?&%8>w)Q&|BPUtSjv>|f7*TLPfVGsuV?@zEo}kKEY#`bd z`x>zt?J+T;&)g!?e2IuS+0-p2sB5w(ErsgW!ZGt$v)`jzG3FI_#J;8Ho!cI-(j#bXViQNAkPsO9=A}^A= zMvp+hzZN&dvKZ@VGCG@|Je_yt6q zXY|V-SWmEvaE>zGVj~h#GY#1Mvjj?b!O8b^>T2A&`R1U&&mIYZ@1#t@AMx5{Pu0*vn~ zi%$*J@W(TuZb-;h@Azm(8CzQ<9w@usF#dy?LuT33KWW~<6~@7>Z{pTM_y zt^*6iO`g7==deCKjP)qxFi)lqd%CRu0~6{?TUG7FrPH`3$pmQBU|t_QTt5YW%n0?C zECxQRpO-c*<^^@Lg2)xrY$RSsdRMm9bcaOUjiF?SP^rF5J5O0<#t1Evr{+}-MczT= z{Lf|CxH}+cMyIB7ZraOLzDE4D6eEHBQyj83YduWs`ilG8Lzm^hGIR-IF0<98p9M=O z8&N`E=9EzV-XYHM9F=gpJXm*JRM(}4agGCU!(T$^=oT49P$8;>y5Zsaark3O=(41g zP*-0Gu~O$a*wi5MXdoj?NR|&M)$K?%yo6-&fFgf}5q+QIakz9KzKFiU6H-LpR~7v; zdR>D>bi^M+il~xlamqrzg8HYSC%^jA$YlD9~zGA|G*_%MJoiMGh0Wf`AU_!gvHwHg(G z`<7L2dBaEcVdhA#B9|Wmq%qo=5j%I~$X&-LrmPK>du6WNHvpVTEDMj~9HGx9;i%V= zRN?~(OSee2>e7Pk0c8#R(gw-w48E2Gtr{}DXT*)It?$0UB+%lTK`%|%OA6Fo ziMLE%VA>vei!SC~Sz6a?#3qNf3xcf z3{)?xnzYOQcjLB$ zAj{Id4?7cYS@T(Qac5k_C4<~)0q;6Q*YyDf%KG6!rjTKfZgNgx8|8}UDNMEbMWE^FjA9o4i`3-I7^27_$MRiX@W-^)JyTR82>O5i2 z4+?YTfe@zRfimv=ATB>>AK^^2GGfaaP`L%UD~U*HOvVw)Z08XBJw|z4`|S=`T`lCL{r2|VkPgb1?u{+= z266izuxuT>3H&07dhZC3!bLh!>sEAWI+5v8i%*IZZ6793ZzVrZ)-)}_^JH`{3d)m| zaZtyw;Eikb22UT^pX0Q6p&?Vzys^JjOQ*3pA78RXJyBX?@yD3>2`Cp?vQvZDDq^{C zpi2Xm;Fc7R<09iSXV)Z`^q$C90E%B8GkC*ZF@7c7ms{?87UZs2N4R_Mcw?_?r)uoe zo8h}lZ<1$@o0V3#qd3sQKq(bvN**dvGYwx{I_Uq&G)Pz_DYu>eW3rj#s>!e(fj@ze4qg9qAlrx_+wb_ z7RP#O5ZlGa`mu8i>z5OTwYLo33a~h`xn;c2O7O^jP(E^&Qi5Q)1z!cQH08qfOBAar z_E;etIzufsRb+pcDxD+rodz+Q;@by#Vm3v;Ms(Fu1n$S2<3+(0zt2LF zVAl6#cnRN_ltBK(3*@NP5Msl!-d-u5OBV4qRPcTP$J8k?nU&kO*T{5MvN6$$ziziM z)qq}*OGL&?>iWByTtlN7>Reqr?`S+@hbQ*igEuP|VFt4`nH`Bys5>bJdZCjWi~EuD zP*Lcx^m6~^a{ubP0xrCW-t5k@2Fqju9z;RWA39$q>l=Y-Gc!jwz||xxmew*cM&=HJ zZ5R6r0jD?Z4z-NOauTs}0}*z@2C|ddQb&x;Z;*jSx-0tC=C$7HC(%=~?*&xfEB&Tr zbPLyhUa^|&@I^045htU3Q?^NY33-l zo0QmybuOc+S95G($=|>lLK;7t&LWg|fIWfR2Jb{aOtnHKY#W$=e@k) z%ldbfwcW}(rh~HH5iL!_uGZR;iRwmeW9pIz^#&uaKgGNrqX-!89h|_3!cG`JgbT37 zO}yvMY*b;ALE~f$cR#|2FGc}IE<-O2o3Gx*v9x6B)d@Qwr`VHTsHZU&HU^yQXXB5F zmh~Zk|B>CQR*fjV+as_|^2`O2vSiRX_~aXqH9g0?l>DMJ%(r@kb zN_u&hW*Iz&9LWW9x9wwN;ZrC(81`Zo<_l>B-Ml}ZH4+~Wz6IP|!8-!nY3u0YZ98|) zI{M_}cv9@J)BJ3Y^0{!KqSU)X({BtbJ?!&DYVlQjxKYPO&J5TMFKHmy9LAd8!#Afx z{0}j4*qQKhi39UQ7g*kVz;*ehV9DWgiNc*0@RJ=JLeCasgALNP_0*01LG*SI?X+b^ z*iv|Fr_NbMpS&4Q=2@onu-AeHDelUd0h6F?;$5&EYsyag`a~=97M+w?pKOK2IxaIS z1}pQ%klEEEq%!ye$}GMzs1QVHihCdxjFMSa*WDp;fhbXM7l1o$SABfJj-B%beR3+E z?6_T7p<25>hgMUtf|53lIDh&TR!|>~~JIG@0$C(zHU>d6nkHdV`hbciTbC z(P*>TigL+5c$Yk{Z}Sh8v-AC`ql}!BU0eD)9)|?QIUZKa<9)C4?tB~mU}X^OSG`JB zS#87n`c;q1EnAdtZ)>in%4N>Tl)o9P7)^DAa(hhQN#mdT84&Nz>K{~0%6 zs%|x!1-mSBRNW=;b$o6fF2OC1JK_?@sp#|e!!vd5Ai;wE2n7vwvFWJj<=>(BG`VFi z@6Kli_BGEu;JZOjudDfLAMBLg*tVPR27CuEK)6p#&>O@}?+d0=7P2od-TNA|LTSpf zo=7I>-FIUTFa2zh{7mQcP%@oej-Mm3jfebXrK6B>o?i7qcYKq)KasGXIg^%c#`(aU z4K(*YG-pH1*}u$L(wx0-&PJHCx6K(pKLnbunzJ$H>?LzH)|{Pf&c>UwL**nnJhE_7|biuiI{L9aJyp!+xZocD=T;JY@@9R5$*+>5&-|_vvybeTtj2j+o63$EHGKq}+B zxgzf&7NE=Om#&D~YVUD<|# z{K1K3lg{v@>xK)Nk!{)HuJXz5pC&C!HzS{?F{Pb((YVyJln4>A2;FbGTrzW~I3T9I z4@>`?GtBTJEL4S=`lYvkgE(Q@>C-L-6(>wPTbMI(!n8BYoDHE8Y3GmTOq?+73^8Zo zglXqsb0$uhcJ?=CW6X2=nlo|2v@^h*i4&%scYh*xIAPj(-W*QF;WOs228SCGK4l>z z&0R>t&sETd2s}gbS;J?#VUALkz@wN)rn8hiTVY+<_(CnL%RCW+j`!%9T-Iph)e{`hy95H&{%=5 z)L^@TNeeeBt$q#Dz&NTXt!}_kqcgJh$`UrIG8K1!`x%yj@D-Y(K_eHIPU99rG84Yg z9UZpII|yNKvXzX7ZRZJj6&bsuHWuqmPK^c3hdtH`c~5_cQJfmGWiu-mGyo#mHIu}? z{XBN1aqLC`=EMG%r64w$vVBL{HIT&i+k5P0kwlbsNx*#A36|abT>|WASah=Z0mUbzcu#4Vo{eyY5<+aW2Dk=Cjm9_%Hf<=EtDY|3+ko_%I0wKOZEAw(t4TIWo8>|53B+1$#$c$-r%f%jq3Z|2WHpw38pnD_7Ca?T z%ebEQ;vRTDn?POTUO0HfPjJ1ml6A{J=#JmSRBS@pgoBFRSC|VmxUhh;@W{ffUf`!} zcknQYjEvSXtlEs<*?1X%k%^nEqB2E;6HTSppNsK4(rw0qh{SB%W4(n7-LTPTlW}Wz zSIh%|#QhFr`Vl*M^iCY zsfLyy@^KOZc!@}(NkWM zV4DA5eDdIFa~0bH&Ho&yx0_S19+g$e&X6}Y*c_d&>cj9}e|n{V258%Tm#?cUx_tYR z`tH~E+T8f+lUE{yoUitKwr4uAsL}Zd2k;1ZYxYX|8ai>V+sT90fzObLNG0iVWtkMQ zT^0bz3+Xb<$E2N`Kq{Bp+$k(B*Q3k2(M4y=*0k<^nx)mpAicQ=%?TL!@~{24hl3cj@D-<;|3GUv$I zNqDC-YH^-J?FwvsBSw7cNo$h5eX@u9lw!LP8|qWD)Ti*qj#lz?WRteuwj3910xDis zw9Nq)zR6jNoB^bX4m$2#)=}7WV53-kRpH}EcyI!(2N$_@GBXmhIPVZE4G6^^Ky3CT z{2#GaD)vk<21;&{6qPQ1p9#tJs(gK<^uMwCtSpbzN6KE2O+d!!Bi^d;+emnD0^aJ= z2ki&ZN170d{WGzuPi@lZBmU*;lj~R2bg8ODQ3KWv zwV|_$rmZ=9%bZO%XYJ-}sySO_&T7or_vUPdIs4I^%`#`p&Dm^o_O&^iW6lRvW^;CiIcve0)Gf)hvm-8f$J_aikMp4cUlWcaVWp_>-JEVdg$y)F zIHa8?&6$Kl+WFX=NjRjPPt4g2^V|pKOu`}Ud}z)j9MaCe%$bBk+IiEQ)tcuT&6$Kl z+8JlgBplMt5$3GLAQLzpj^Xam$!<}FpEgE1x@w8`aX zTjgiEp_>(En7>5Q8CpAQ-cMW39g9MpcLDw+F&H@Bmhk<5S%Z&E5Qi}ptg!ojz_UF$ z$kgb3iKFkqBIC5;C@rnCjMHN8zlNhW)G`@oq1+z^8hCXCe_5Ykp#_du42`Md?GgJM z=Gw{bQL^^-+xTzpK`5uY=U`(*F$X|HaN!oY;6r3_0nJ0_W%FC|=R>$^_EfI!cAj+a z^@TWib>mgGAS*Y$ygXUE+abM|e!lM(WKoQp$&B;M9{5Q+=eUpjh||bNJ|q_VSei!$ zS2jfDfqUNfXH}fZwsn9?g4w0cY5oJ*SzAcE=8!@sQu!oDU^TAWrw(UXe+r*;j z>zAYW&7#`vO7ddua@aZJteo`nW?03MsaS!7bYhL$_WXg%iWqPYuRfeI*W%HT_CJBt(*n?44=%gCe-8>`dB0GSly zou@r$k4%bj@1+R7$UX`$vNz#H_6EGjUW*sm=NyT#fg{tyXC=}6$duKNx!LK(DG8jQ zr80ljHuJyUrb}~GYnPpx@xjO1v)tPw8vp?v9VKhK(S6;r?WKvU-2sj!S%Q>ku?AeU zHOrE3!MTNZ`ax!ZW9wEkp3&kBa13`ZV5rR-;7H8j=*BR_>K9KVwB)sFya|i9l>khC1Hsjd*8xA{@E?L+j1gZh#=FTDzX@y!4)nY=#n8S=Sy!jscP* zfuY+Tj@d0hb#GD)Z;dwvksARi2FAe6neE}PXAjstK>UOCDe}6mK9Nn^eO29zAo4LF zF%cBGc&})W0O6~I@alY9d{j3!h&)~hdv9RbF$^}K=)289^bVvO)jAqDARxmk2iS4z zOcVQ^WEZUG>QRpW7`5oJSu%U=OsusA3+@nsQC#`fV^v#m(&;{9KMrc)>peGYEnn}M z{|TLIG!`CAx$a`#!^=~=CywGaM9gc{Z=%I6F6CY|?@`~&u3^=}QNBr^8KT~#8Hgl| z){Vpv-tftoVdV%iCVIw8>?Nkgh7fL>a0BX{o#v;TkdLw9?Zue+Ir7L%%FuX`6fvcS zu{kiwoKl0Z!=zDVSu(wMzv1cmho#&7BR892Y!L`BX68H#8!au}7EhX|e8D<+nis6w z@9Z6&IcNojPFkQCYVcFv=M+*`E`fE9#c?*d7K@qbTk_SLg_wSp$_z^ZBR~2gQ!2Ni zOiiBNrC5tTuOloCW5uppkABVZDmIM$gDcyCv(UqsW`kK_k*S#T-e=v1Vc!SLMh%mV z7P1)jfqa}_3?zlq%yrI)HmrB#nGM5XCa*JXw&Ok$K3hva?762W_{9+Bu&Va;eL;p% z>mnit0^~y`f#eHNQ7dF;1mV4?<&@ROd{SKGqs`M~`lGt`Ab43yAVzB!h=JV|@Oc;P zsL-Y1FqAjkWdj@a$#MTNX`@*%7+1EPO*oI#G!8%NoA+Vt$-}#A5z)rBVVsu3XwzoLn=E(HV_%&A4%uSJ+{)i zp^%rD%AzdFOY*Cad$FuY5>TM}sKnJOyw-v3blfonoBq67axBa8W&AOzvWW7#O@E8^ zn*MD;?0aj0#Y`*G{$!;32Fy9NEX695nQwE_b1{KNGqkPNTjuUC#LC026!!d|A`j!9;$!YQpD$bmukbC#ud*-Fi;0A-l@W6N{h zPcb}Ym|3y^B35|jPQ-HQk-r|96X*-(?HQcMC%y*G1c-oaEeF+lY_{EfTXrj z9f8&$yo@SDzA86lP(X_R{@8&uph7?5?0Lk72KV3& z1otA#bK5wc65NXY@jH)aZg4l|1b1y9xDT?y9oNw>Vi>Nnf~CPMF1{6c8juC!Tj2w! zMSOfKcs-$Apqf|sdn;+7&^Dk{^NM^JND(?Rz7=~Fsm8>&B98~ML-DPT^c9h#<6DtG zt$-N+kK()5i|^X}_G#mFG2OERjfYp^JJvDvs*J(yYbAYfIVFt=ndHxaW?+J&s!2>(EAxtxJ#g zc*}Tq5}UHt%x2l`K24Vv`*E^MtKcpGGrLCBo;kGN{U2$s4yFB3F75jP#BVCQjuB(sTGyogO_8i$lVw=T7Ag1cAsz`+6Py+|G3AR1D7w*pbD zMRhZRz~$c(_-&VD_6qd#Pnpav(CXy4cg22Pnv=WD=d_^n#t(r}EiMX809#(XJg){> zNCz*OST8<>?A;lkl0JVsBs8_#aTG4fr=-uX*d0X?j_Yy-g1^t-VV~M;e~fWo>{h}~*^7BCm8ZN4+I-wm{01 zuE?>L?}RwM(pph$((=u1t#sJE$6Lm$zlIX=?ycar050g>3cp)u$GW$IFBaPI?ycxy zBpvJCitPz(M^dIf@#PYhY-Gw*;EMwME!~^@got0egS)M=bZKi`#k#y1aC&cZX0XEqy_*{v^r($4u^KEcjb zXXEd%L740Q03B=g-<{)dC8`>e*ty=i(wsJyNkp|Tv7^{(Vsm3BodCnni$39ATd_PJ zwLJGP$WyUp;XH57RQ$X4v+fyZEI4zDm)nqqWQt}mt>5hcuU=U26}3GWb9BBw4No~A zJpwQv_7-CKGA0(mb2h0N>pEX9dUH>#`M?Na#fidx$+|@a**|94A5xILVmBvNr?4^O z>f_&>srcY|sz0s+{9m{HU;46;`ii}kSgh+%^*MvCCTPi294{h#xz;lQq@DluL8*7~ zCIp?8HeF7e9(SN;|AR#UuJrZU-z@yaGGV}c*v*Jl132A}07=W=sb90GXQtwv=V*m9 z3yScu6`}qM+8|B@#ok1$ia-y~6=R5qakz-F=$As4_}Pjvu%H-Q5{1-Ar_O>Si=L1g%7z-xC2fbQ@*l{GxZ-#mwEO1}4OCB5`c`#wI zAIsdWFPhv*XBbwcX1a^k8K)X08>!)(Acxk^<1{QgBp}Yy07q&#K8U@BghMoJ4#LI| z))5V>u~Xr&%-*76y5#;<^rpMeY(gb(VNwq1EJ?eLYq6{`nc#AWpH3`Z=TA;qAnm*!)8*ZtRb3`*VQS9Y z*57=5jkGFpU6+jWkDskXd1K&GX&+^kUEQh@S*$0KRN9Jto7ntHdj=N^#ifc1EVrys zh)xxXJk#S9OcnRFo6LkD#emKHwuA8If>%X+R96E=^(!p5ZG^^ZBqlo+U=q_ub!CYF z@`wM>duPx+=x{=|m()CU6NAXtfrRfW&0cd!v#vD=yN@vST~q1fFIR{-HAp>7e+}p1 z-MBQ?KqA#fWu4?7A?SnnV=~{7!hUrRpGw?rpDTHqg2-)ErTlka`X3sg`s1gflv3?A zS2ppV8ic(~m?|Y7S%*GVZ52u9X108+PR`69SWYl#i@XDA?a!o3VMVR4K;EcTDFQ^R zrN|?I6nljYFN#`<-6l>gg|&auVYS};eo;>hiCz&S?LE z`E|^%(f(h!7N`AT(EeQfG1{kuMY#4sxYrzWje8L=<|=;q*2Llu@@tmx zd-3>TseMN$F?(g{G5k}W8f%70)s&%`H*h|>9&&cn-sHx*n5TQs=Ove(UAd?R{};ACex;q z!y6a4-~DBF+T84FwugvmGmRmSI{Yz3+cR3h)*$lR_hS?sGCV+a0kCKY<|#NU2)l!@ zh&B`3flvfDKOad9#wiHiPcrPIw z>fhpwiu~Wd+_q)J;%4zHg*O0>Iq`gnSJsJNDe@K}EZvLzz!ASv?0G^p!mku|2x063 zwc^vV<|kXc0o&r%qw?HtebZET-Oh_CzQtHp^3q1kG{?(vBaYh+1_KmkFky1hdURh! z?9=-wuS93POZ$WxUhJdDOMr|_EMgzU9xhZP?4z*l2J`T_G z#%{Sc`tPx)eADll=A0*Xb#L6^yMY=I9qHZ86DySzDX;goR20tOwncblmMv~+88}Da z9LEhXKt=smaxB~X;M!|$Ry6g6)AwQddkpKUwujT_QX4zeelj^JLdA+@urwF@#!7l zk}uSKzNdC`PQZQMyU@M&mhaxd?!EJlcv+r1Lw-lQ-6b~P%7?en!sP(AJ@cj%Z^_M| zfZ}}z9hhePqM@{m+-m@~o$ZoKgpdQO+<6A5WbMq#qN)2Y z{=-!mgMLIVtQh;>sn51AJ`flF;WE74{5oB&Ze=BZmusf#yc)-i&dpEm&=-cn_JH5o zqc@%~*SzmLPgyq5Ox3k8n$piQ+>+_(j6dw2+JK*ovj$INmy`+TAu!u;WtIfyavU8) z>Zw*7O_rm@IKr37MR0_d;ayM>+12w-@3Lt`2^nP z#S*rm?D}GmP?%ly=HILjWJK$dbHd*J&INDt7zjocae~aJ)aP&J| zG=ejuG^RoXKSAZ2w-*I(a|Qq84a%1lgBd*o``_}edzo7=)NOIJyZ^0uObnd=Sbu$t zR(t5RrR$bVWy!dP;3lh;C)bTweR<#dB>tG-JYgT%pU>I3Y61PZ)VP*+5Z@an_MR_(f2rV69O;Nwb#BX0m9RHc-yI{t~(g+HvNgr&O%ST8eFNVJsq6)ZnUdK-24$x!|`w#XlI;{AL?{Gw9&{Tj`vFVn3ttEuvvml@kohMa1#OY zA$JsHLytf_yt`cE0hx~3E-xOgf~bY!;qsS4Z2!F4=0nJ0Y}0{wI0cesoch_nK|HjH z4Muv_+KxpS5)TuBs2^crYoJYJJhTR}&D6ZA9R*bth?y4)rv>YV3mZc0{!h{{dEybsVeDeM)#MRbZyp0FGtM3N=EcH}A$7xAwN*&n z&_MnBkMbE8KURML~&Rh@o}(@c(*R3Y+m@4W%Ddex8;cW$4wQPWl5v41aV>hBU>_*gjWEyYKV9W^V6zS@;h`L|Tuq?(}~T8W?1OUTbKVsfD?A z;7MLG*_~x3EtI?_;uwH@$YLUqjK%mgI;_yWIgV8W3H14s$Lghdk*tyc`H;5}30BxZ zi<@%8GQ~Bn){%M%v)Pu}2?dxba#v->UI-_h4M*2Y;yE^3j*I8UtEb3$L>l!BM=Y)u z9hIY5&d#bYXGyaZU%O@cmuRL^e2PrCOpW76TwUBd5Leg-S^OrFw<*t)z4H97HeTUA z7WpcXIpx^_U4>uLQmHDyPm!aQUsRFCD>>dW-M9c#MJ|5cDj6(Q_1x?TmUNpW56OGX zZu|e&B%4l#@C+;B`D7du!ipT~is)uta=&nkP#*}-9a10-mhsBxA{k3SE3%2m90yRd z#4u~K%u)rIDe_OsEUNTlIF_B_`S+#;I4W|(=>s`k*V>v3?juVmxn8;_Ch5{Q1pqIeZ*zUgX0c|L2Z>@L~ zsi^JhC)w#O$4duXmM;?xGQ|mDMm1nL)bM6+bd(Hc;TfvNUm!SaD&HP5dsDRHtmLv= zWd@F|wv%!DRDRB-Jkh{g=Y-yB!Yy;Zu7Y_%~c8G>ac^#U_%5Ox7cJmP*H z2CKZe|8j|A7&$3Ho+$QdSb83A4W}SZHjI(EwVyDeK4m2*_!up?C5I6{ujf>Lf~Fz1 zeq34#c%q^0i>Hh+$z(Y7a<&WQB)6J-P$k1@8IHYW6{Es9{T>&=scGq0a^mwPHS$cZ zwd7W%kf!;|N^bCx{$+ZO62Ft)r4SYsn%cH?RUjQvA<^J35_}LKlW5=r$qbzxUtGwZ z!uhO*BQuFI|L&hFzT?D6Vn(J&_WW7Ia2hmxQR%d+(O8x7n?=cxF$qbHEjEs;<}i7z z(yd>6$u_ukW7y18*vWvI7wOY4CFd_aDD|;j?!TZehvWbu$?-Y+i3x9fE{E#+M1mj| zv%ng~EnKbFX3olsn_Yep&9$Oay(*>QiOBpX{1kZ$k;s3BUk?OMS;;0Jn;Ecqo0vKq zg|Wa}B3N4e4vb1Uq72#JO0l0v5n)J$Z3L|Q|3hatRRpR4EVw6zWGl0b_q1dTHGFk~m zo=Rl)@b#HHSoE3*uGcpLsmUVB#(Uf945~yN{T+J&BaY}D;cuJH$*Rlvclu zDdJ?+0-4Q!-<{1b7W4YsE2Y&B0!Lv(5|NbY7%S@LBI+tkR(LD$=dw)AG?BuVKN#cs zVo=2{1Qy!EpmzSl6q36*L6Nr$vH@#;j1ozF$B9}+iOzYJsaEup<>`FUWs*f<`^1SZ z)B1|tFj{n()>q_z9$>+}BRSN}-ME|J%j7=x)uA5A>@j<$i>DV-X^vGXO{!H9Dkv<5}$EiFl8x0~mB8EYGj*jn`9=FA^Eh6HD*|t&AlXqYo07q$gUo z@73OfU^_!v>_zCtoOMikkd$loZXHCdIOyNAY$P)T9a0E<^iv1ba& zXq6N>h{!03p#M zaw_Z?z#=_N464}eg=FYbEwQO0SKmqI4Lv&3Jgc#yzbH>f1ir#<0W4ButMj;ukmm~p}PVHCMCP?6UW8PIKmfNp4`Me7#bM(cK_ zm!5VpqC~dQ6xl^Y(Se0vyTxr`M6}NaSbqP!T~v?cr^p+K478Q7b%<>%6>gu6vOEth zz*CW1?`&bAsHPXioAfxKz#fk zb6T_2t2|CGg?)W%A-xn^2W(v2EAnO{1A6@iIj!C5wvPxBQ4c9>4}0x5FIP4T9fFwsqW5j@*0&$~$}#t4e6%;TA3ge4b0d8%L3M5*aW~a0WIm!OXJ!PAtGrk-O#b^Y<}WzsLJ{ljZr}Y`l(& zoJ(Xt$J{ z6nPJk0lUc9crfd+VxN6spkhCzBNh7)E6{!g1X5&KoW zWSJLv_ZO_(yN&f+y)_-Lx+0$=GN8IlcLiPDSHXW%u!0|8r8ud86p9>>Cq?J{dz9t* z<V5!Jc z^H}EicYZ~GBP;q@QqhkXmsinG=txE1ZYBNn@A1Y_xAi`V`d3d`#^sAa$`bfIIf2t<6V~yWrOg9EDybgUbi8Z0i!#FfF!#Z&L z6e(zRo?d2om=uY^-T{mR(L;(TXvN+yB%=#jkyjAu8$7LAfVX-V?3w8yE2>iOR$_6X ze^}1p{Ds@Fs9KKokP|knO04O!WWF&73y~Ja3!@>kd=1dKzW;e%s$DlZT=5Y=_+Tx7 zMJvuxSta?XkPKB^Mr9RwHIW%Jg~3wi`=|Jx{24p+9h6v8n)-uuk=m)xl3gT&PH}H# ze`jvtj$8H{7bxmlt8qz$#!}P@`|@vb8p~9vB{>gRGy0Xc#3qMo4UvJOMq%2bu3Fgz zrM-2qw7dCA8%2#l*fm|2ZU8^0T{6yvr@Pkr-e;{gvDR_!t?Y6jqdlUPMV&m~^N4S* z3ipWdK=@#<02c2NO7eCg8SN2@98aWr1OnfCBiX#hi&rhFf5y3VjHq3SFI5@``59lv zc7uP(H|E$E2ng8xp;}SA#VY>&B(D_nmbQuRihPYo5X5&4;gGPb$hqVauyxHG&9CSJ z&(PHc_$l%vk6(gsSD05E)}nA_F>LAs=vjL0JNWA+#kiE$-?DdveZ+i`!alt+uACVM zihT;$xHwScR3ane0N;GdNqPPtkd#+xQeHVXV~NalQotju#+!z}SQ+oX% z#247}n;43DEQjzyAeoNBmm>W5v9}bVJb|WP<4M@mRQ5cKQjV#l=bydgc&><% zubeoS!iE4wf+&&@&4MI*L~E8q_3KM>T^1f`T{b^E`3E(B`p6KgET^@U@EgDLDkm4zHku}=dVmlGA4 zCNhu{JMUl}%ZasC;R-8Vct%p>(g|TX@m4bkP+C2gt2&(@Pf)LB+aoHh>3^+Oh#HWN z^lF8T15CXqB(j54_Y);Q3UakBiytmthUb33^SFhm1O{>TnAZ;rk zzq*-j0y-MCWY9juDcf zC7VNaUm^n`)%j+Im$OZcU&yN`TgB&H6t1{bmR5T2tvtsUkVla_6B+K+ z5Yu_-cHS(e0G6~9`O~&9MPY^6A`b*YxkBD`Ddn4|Q{x{W3?qB{1yGJ>?q{K3CeUkSoohm}M7x&VI%D zv{|St4Ft%CJO;>)xRTP|MrcR7k|KXNFQ+`BT}dBm;6pL4q{v%<^hA$yC4J&7(hh&i zN?b{i`vpXo>Ll8g^s)8$Sgb24_JeW2hPhI4v@3O&@4K6wjmoQk8G%9BeD8T5-UGMG z@-BW;=2t#!GkuhM&HS2urGS|}#=UNS&5j`0&Z)uO_Q2ho7GvQ)fPBbL&sDz-%dL|Cl<*UP!-kr=-93%OV-+@)FfaMR zlF{y}&m7NZV%=4-{V6r}cR%w(nHvmj@{cd?vVv0Z>T@VFn=&?`nl{2mrYck9J4DKq zq;9C<%bI95p$LzBd5-7vKh%W!{PD(rv%E{6H^0W`XSmnQuloEf_qzEF_&RzCD}9$PWT>3xzcT78wLNB<~QCp+S&C^&%i82wcl#sSEa5 zW8G8zez-CFAr|mV)=L(yfw(`|bk~?!H&NhgSbUGWJT=}J(-wexU!ED^-gD{LXC}JW z-TNuGfaDoUrZVuWTZYeMT(@q{1q#={2G0r2 zy&5Mna+UB8XG+0`N3O!&BFu~2stCA?3wj-17dt{?HD_}&2HD~7~)Ig!XWB#f8Yus0;= zM&8a>bl-t~62>2WBt|Kk8{HWaWAvg(F$Cwyti)F`JKdb!NxI2wiV`Lpi~G%3b$Q>R zb0=dR#n9TDaqQm#ulccc>y~cR=-iz!8)#XV$W&an7d}h$b=N`7rP*KU?X}k1$DB^5 zjP`a#?h9l=Z&&yRLO7zHQdsL4zqdEUd%HgN5Fd;6cEwHtwt%-QcmxT@R8@-Hfyn>= zy}hUSUD^M|+xz%Q{5o&v*VxqC&Dou#3vZ`{^!7F4?G57X?K$55`NPKBD-JQw#p$DSRKa9I~ei+2}QWO7;&P+5BFP&>Uq&EfJa#6F&<35;lu$;N_5< z2W;HLk5a8666^M4wcZL0wPB?fhTHa*)q1{Vd{y(|KXx2$&|8)J2gkO}0wAq&EHo`u%0+r9ADe{NWP|Eq&0W?(T0I+O0 zrudhEM^&Wz>GhWV^teZ#Y&fRKJ4iWvyG&Vcs>q9kW`5^G)|)Eqa3L7c0~g;^?AAgu z)cz8giu`^Qee*+EEQ*Dsy*GBpJHkHMBcB~{mmnsyH}OMn?i-`|i$#w{-pM$Z?~lP@ zd_vK=2nWnDfibijO%IM^v}m&7^C9j+40qD8ayPWEyqf?xGydt#X_S?$0YOYW>o(Eb z08#QOt`d2wAi1j4CBTKH9xYC`m0VX9+$@&sQv-nW0Wdw9vXX6ljH_}Pmt(~HK!Dxn zv62Hv9B>93VbwQ`l^;;#WA)5D)v;$7tJQ-!xGoF=tisLbvgt;njP{X!5mW-^D|Kn= zmrOhzy19x`oxoH-w$2fY$wypNpgYOay*0F!4UD;LU(CyGUi{;>b#C>3*hBP{wfjXh zDGn@LCvJQqyx470$PSOMA{Vd?G5rL4gQw3O?=>lIzs}dB*k)i%lcFnb{;DQLi_J&V zMlx&sf84!!U{yu($D06GQHcpE3X00O;*LAGMu#vrm?$nNxZ>afS z>aOlSZCzC3?kMEBM7DWIkw0cGyi3=}T(OIYAGBXy~}KA8jU2P3VDv7{0wfI;KADud*L9;;_-4Kmg`4;ALR!V;kt-NWddlSJ$zxGJs&ms_#Z5qQ zA8%9s_%b!rj6D1D_-9w)5kVi08kn0=voY{>$2kqmElJ1|K~jYWy|y6H?jPa}bGTck z)Q^Zaoa9r8Yg`_)33@nYQS63Bt^x^f6L6-hJ6@%uNk3T3xzHro_JrwlwKrES zWxm17__KGyP6Nx?yVZO^Ews?IMlCc6d@Bj&h3<@nreM#6ki)dt?|HTy5{mco||>)baX?ke#(y>#|rc7&lGq=f{w?*35cMn0$%IaIP^mU@cBqq6J_z3}+(o zA7f_Kpgm*oLZNpMwt3T9+3mAN@GlFvNu=et)v|Il0lx^ns+vE92-e?yzR$F5X9dGJ%pC%5$I&+R&|D}F&| z^LX(nfUgbU12p^&tV>cpc#=x16`+ywl%mnW<1jYm|H{c}o`yg{YYBP#1j7EyWd~1h zd8C+xbuOBy3)SlXn56$>vi^@LM&6N-SFeBFNP^$HLcP-cT5xF~cs~7gO7Pdw`mg!H zJ8Z?jP{D`}ThOSHl8CK|{PBxaUc}q?@iFck=P#zD2+S= zq+|P7Q<&VJWv)q>ZA0Crvis|Obx2?*76?79S&j@nKS}CNV74Nml_8EI%MpJ1^B_r2 z>$ZRlO{-WcY$-jKG^Jf>8OokTWZJ%qPPmgPeb){uaqKK=;`on5yIC{!>|o3r^vmeA zr>CO31@*`Yi0Xl#mp9~A4g8$uM!WGJmsQdE(}KKvFQZ}0DfN23Q5dxQG59?_?WD)` zz@>9V5`Rmk1~wz$ssF4XI(;&65oTeycm?rn5W29+k`KXu&E|ME0RJ@_7MN3U68oD%Yr+WhhV*f_9MZ4h{k1<0FFV;^u0dgc`TpR(1ZR7;2VfP}O?xAae9o5O5BVljw^ zCLLzwyt(C8Etlh^$Uirs6(i*H59R*Ba2P;K4t^Hojr)~6de67vsBx8CPb|->{X>m& ziXI~M%CCEMvtI==Y_})WQYxJqG7Cp4q?HpTyGPGWPG->^_Rcq`U3Tr(Bur|r+WW~A+bJG_k zCR2%mQMz^9!s6Fi#jmOB4K58nhu~BaU$UQ{%&kx6^8fQaP6JlaXC~-(%Zuq3G?z_u zI)*!~+H^-7xasdr_&aWrN&7oG3-9mLJpmV#rT(%mnds477e`-G9;YDhlAq162LrO= z-SVo7oE>2zX`eG%zv?4LIXgm6KxyQ)Ah{zfPF8%7e}9IPSX@^V#!E?X+0RxlvAC`^ z4EJE!4<(1LNLH+h$VNM)J}F9nUr0*RZc(EJbq8v(+0W6MlEr+Uvyx{^fAe8gate-sy%*)HN;7>~+= zmD7i4Kg*aQ_+B;-H-q2S@^5eY5x=e8Ik!{Bko18q^^lZeqIHLV6JB~+;SJgqetbyU zo14QTJoFj{D2;p$WOY$333hB~&JbNrqJ!bC8Geyu8t!HYKZV%xuXB@S4Kur8D!#>p z!g(8RRla89{4vjWK?x4={Fe?D{Fl})`D5ACsJYU4{ zwvh+rCX+xzeHv;nC*uwpqbuS9XraQHA@!hqG@vx{D3BgQwS?)-K_?GagHKbzYDA8y zSVc(kR|k_vgUSs&A~(2FIT(?P%2R-=SY}A2axfwnavTe;#aGO$yZp7|r=93v($K}o zrzEF;eI!0D(0wY5f*!EAj)R&M9EgHuXl|aO)DMQ{0{2wvCx@=#ak;@seHxeZgklO_ zSa>HmvFpL4Ou@E7oB)T7do&sye-L zf4|ccvIJzD(-V3-(Ar4G4TOv&?27#$InL<`J_ESV=?Qrk3szpk?dyae4nD@$34EB+ z+VAUHjiK{Mw#U~A`Psf?4&|y}Y!|p{htrc%Pok91=?Pg*@=I$|m#nMKJB8%q+l`o& zxxHVHO5IwL{1C7A6L=fI@P1wX7v7IHY^t-goN%OJ0wo?P)0l-X?ELk5`og5K#1Xxy zI^Did$SpwneW9=|`=nK(#TN=$2Qt>tFZOPTYjh(8yKDcR}hgnmY?4 z{6pZ46F0(D=m`d_0$-(s`~6?N(K^Ypz5Y+&Z2-gn<*%pzlZ-Jt*dOTsfu@1A*0%AN~&1cHvHDUAz`hV>43aWHGAGw>~;|X~z$T*KDbPv$lNOq4W z><>L5Id1eP_$=VQ(Vvj_uwab-ka2R4{)E2(ym1^ES_h6p;3JgQem~vY7&@P1dq#gk zez7Z=L;2~ct$sf(rT&9bK0hsF1<4Oae}hSW+~{wJd5Qk~5U=+Wcw4~meqGno`)v^n z?_6_V?e(x8-mC_5H1<=eqCuE9Ip@J$0=&)h;7VhMqj#aobbCS}yMpw4LSdKfoK}Sv zPbg#q$XHJ(_-!QE;|YZv&Vv1(Q0PNRuGbR^o6kDTMr(-igg1UHo)GkJ^Mui~^)lRR z7)@IbT}o-|1N;BjOgkxPJ4@d_S70Z_fPHk@+Lg=YofMNz%jmfinZ&wA8tkMHcI}Q- zE$KTcHb!fS?4&4aL<6F%k4kPEzohb0!CsCgqroF2)vJN9*F-d^L^VY<@Fj(FZbmX^ z2>wr{6L$4IzB0`Iyn`|T7Qr#}!xS#aWVg2}QSXU9TnjBmiGPw{uMLE~GEhPu|InW- zHsJj$SyV(pwYJ2zu}TR0Jsufu3fo(ipsB6L3|tqzjRyCSRF@gJE`+@}&>*ue?(AVR zMxoM!GWip!kA_poXz8>YWQb8@GggE~;AsonIv2#7JB0lb59CBIg0^FJBJfnezNjbU zcp{bWq0Dz#18v(-$EI)2_$C+c=txd)#wvyS3vFyut^38}*HHH;nu69+gpHhoiyEZz;^>573^{pw43k;`T_U zjqEg$cQuw^y-VO4N(9KZF zxOJB&%NeVkda^rN-RH@K?G83($x7h=ZbNO`y<{ciEF$fm>~5zgOI7lpQWZVmXvkw% zeVnX%OF6Z#_y3W4xZj!gG$tsb=X*^cY&Wnm&RpPSTl-BQWCM}wZGxPr3BKAd3lj`5 zCODd&?=^w2TY`;g1p+Va<~M;evfL8MZf(=kmc89Ki-?c1O_EG?)T34=~+HdbBMl_rd7 zp9bna70wDVX+d4bRETThs=UAv>^O?5b<;+Z!zW zyIYbiyu0cIeiSe#i+Q7=bxEt|_>e`eVDYY`Mokz_0xm9Zz#dQ>sKw1^Y8f9(v&of+ zF-7$>hNJF)#7AhKXs}&)<77hZ45i(o*cl2O1IB{9GJNWljyV;l3NZ}C$r5tY7+GSM z{NM>RP&E6B@x<^R0G$UJT#APenoET!`2i`o@@3bp=@I&~cnHg%sIA1V_5N0R+d(zD zv7Fk4>eQO&7!4?m>;;lXiMA0@bC~TwQuUs;@QA4JmXOrNTaZ+&g*e7=>_9}_$ALTY z9PB`ZEMvjiftUuXhg$4Fgg%kv2JM@~=c{7r(}2X$iF( zy_}C7!!++j#ZcQ(hj_|5jSX)fE*aWfTJd?t=)0t9iE^Q}u$t=Kk8MuPb1nRl8Nw=m zv_!d3AxD9XbD=^X4_aHmc)E}Ugx#4WlM7v38rfD}g0VEa&_<*3s?A8{pQA2xv2vk8 z&I73j6TU6$QsQoufag$NG(+!T%euf9vUupdfTNYcmFr)SsrM1AH$^K$DpN1W)O!o1 zxBb8g`+ZTOr88-4-S5eMU&uO;zOCBJsVfb*Rkw`sz+GFl0i}`WYQesBJP~{l3wECg z5wa5tj@tt+{D%c(3zuW&w1L3?0jw7Z9UH3I?@Nj6SiEaPHT!*m2LLWEpNWmL>3$!V z@FrIlm(RejWz#KOJ@2PAt{%M`u3qH{2B$bWq)rV*hhS%qLhpyQUK)(_RP1DIs;V$*BA~aMi{_E|*RT@n~BFKgfa| zXZ+N;CL{FNy?L6Ede&8o}LxJ6!JMFu7urK(No{ir^W*9b;Tx4i` z965Iva(bupI7=aS3)FR_FnL@p^v@k3 z*Xt~WeT&%g*=P_pXNh08-C0H!2P^t-j!$%T{4af$EiDmpAbzV4610?7d>a{te_hub zRXeTL)b9>Zwz&M4=rH{2y2dcsx}mCHM<%1!gpl01ptZq_3)I8#iDCABl1#(!B|LL5 zxEjtbQ714(<%@xy~`NHB$kw|U}VW{7&? zz=;yU6Ra^!91N)^4(z>!{2)PsmG`!O=7yKqgnt~o`-mV9m<7I`g+puKf%Tro9LE87 z1T)rJ$ep#;Nbk6hdJ6wz2h!R*%on&3uuAVZ_ZjlhZz*vHN?@@|rR7TUN#pg8y z9!_xiEDX-mhWULHSl*y<{!IKnG|tE6iG1`I!*v|}4MzB-ql;6gS%>#(rmqeO)0!TR zYgD!Jaq(7!EqvNE?P!PI{vu;}+i`QmtkNlX?qVF{T7;jkQxKzgNQoqKwp=fSoS7D| z>UZZe<=#Zl08{(Nw?`d$M)yMQ3erEi7xw3NX(0h&griZ|%v3Pezi1n^DrrwkFwq}G>2sLCorMGk5qvEH* z-&yxHc}Qy-YlTu;w0HzC8{4shk)iZez?EM&)=<%CuKMDD2rgy8S;T##_cpAzEB&V8 zzJb5{HPG8}@R9XyH25^&j$NQ^Y#}GGV8>jLEiLSDu)d%#~QZoA!OWt zTA;WFfg*766bMvupS~ucLJB%Re_gY&ICV)V$pmtPQ1Bs=LJG)1@xf;z1s^QkVMQRD zK(O4HJ^2d^7qrVittlL&bZ$hbft^g*DtDU1sV1p;9= zCdqMg62U)MLn>43GJ->M5+R=ki9kTxyxkKL!EXQ_Ga(UpAj?J+@J&bxjh%O4rQOj> z$hE5jrETlM?3jd|57y_HgnWdg#?3|qzY4fY1DdgMXf`5bDGOH1w(i-8@Y}M=u6z&A zMg(5o8ffjCjSMqJo(|kM8xitO7Odt_?%9a&=Yx-#jR<^*67HXh2)+qv?VpMW{P9op zinGEQFqw*kq5&d|eJWCksfab16^bw%4gT0uks4F=vsa;lJP}C9dqMgmkgy|2s!jr1 zB9M^%SgJdB#^Z0nw_T!fqe63xJKWnPBpb4au+xMv9OO|0efF!%_}8T;$~ zh@sEJ;KMP0#$P-EmN&CEn>Ss!Qq(bVP}eknoKe`vhz%VncoB6^d$d9yXN)^iz#pjT zI8hHBPV{NOAE*&_SJuG!p_iUkb$sUwdO?k86OAr&R(PyYA7<|%HZ=g7XLL3{QNLOG zL4&(ddr{-W9yI8Am$pnFpEg`K!sZbhs+&W&SL5yy%kp{xmBWxwH z^r>(AcX%FKZqsNG5=x%-$~837sI#+A9j+l^SL1o!8tQ6Qs1OQ;QuH4q*Q!$V&QF=t zLcwVr@76Upik@r_BfS8nkpn^E?Qv0Zbz-;aI4_4x9Xu4;)by4kal=~h37U!FQrnY! zy`R?v6iPeR<=hjJ|Kop2zB{0B`dAd74csxM;Y6&E_p;#HR1%7hs3*ng3=w5EL!UJd zPHRZHbLa`KV_iIM6Sg<8vJI7PI>#4=Xf-BxLE0v#%+F*}*hx)N8yS$?dg~18mGrel zd?GU%QFg*YpRR#Nr>PpS9y{SW7zXpI-B9;@Cof_;22}y{Q?(%M#b9+R$#LvN;G;>f zuG}E{rhi{Z$gV_+eZ0p`$O`sjCxzQPkDVO(CFz zN2hOj_^Z-bW;8_qq)a?}27y0W#=XvY>?A|>WZ)*R7m`gIP%~tgYuS!tCmFI&V%e@^ zCmFJL)v_I17FqTbW0TfzNN?A%6P7LT>ww7*TgOKkf*)YP)?+6bQm+W4y2hOpQ=5&# ze<8W9!4XRqb{nw8h2IYxQ2v-M^w~h`s6?;R6sQ9$e^#;Ik~b|j@Y7lN}MeQ8C#6{D$W+d zo(MLkT?o7j3HI1R$hBYo7F$@3u>5J=58+|qdpo!F2+Q&ZIGkpEgk>}gI<3W6bvL|{ zV^dwheIat)7am_eGR(dM)|fOrmTV4_kFiwbDjgrZ>_}hf6LqfvuF_~GWUAyJ4!VTN z0ZLt3p?1Ux{-~db-kx-J4I=roe!{N&9JcU$IjE2hrX=szE^Q`o$M(0zFnPBU?A)Qo za{>{30dU6=7M>Fbc@zt-O%49FIMj-^`-F2_tyqx_x7j}b@uf3&$uf4^bdcox4*q3tE~Go?1m&&yT@=}ZVi(keM(Z@^Fnq#qV7|`9rHqVJwlFQ z!Oo>Fc0NL%OmbZvnca`DyR#0n?F|V>VQ6wlUpR{UzNM-%tbqpKx75dCHXYh7RF%$S zF>IS5wYn;AZKeip-2}n55pozvu1d%7osA=SAK<1t8y~8snD~$`IcI@8v1~Z!CO#Nr zaJ5ftaUDD7N~82gpODg#%rPIKA?GaQvml|gIA=4^BhftB#aAfP3fzDKx=szNC&uiY zMfk}ioYw4o$6^HEm9@5Rg5W*cLjLkG33jZ@^B!$s-v*0bqo$5#!cG5F7f%TJC`t9~ z(dJ_@lZ}x_0(UJW(XkjIkJW;Gd$a}Lo&~#)#R&Q1N2D=UsQAPp-O(OO&#X`%(-3|Z zc(-fkA-%v8wQzh*!*?u3N}R{yUCVYHIRx$l82jSzjYd6-N_AIXx6NHtoa)pmnHt=L z^D)jZ;052a4Pu4r@4@bf;Gj(Z%*_lGUa~qUv)3Iu*8I;7$|O_eZHq2Vrs1vo0JZ3e zE5neLK1NbK(M8BnEHx&&2tI(-jW{D(#$pdDbU6Te`3C(thTIq=Ri(0x@x0ngtH^8U4;P7T46D7g zioAxM3>IG6xuZ;-q%n9IiRRX41k=D@PSP0i6c+6B((-zHkW`PC7BZKldc1T`Iv>;1 zD6yc)>81H3jgXT-`lb?`Oc(rW7VP%YLLSG0)jk6EM@WU=9=sVDm<3urGGH$)@QU|I zYZ%$*q6MD;+`Z46U9`Y=0LI{cJ4*ahA}#Y1^mL{Mw^jY2&eXJd)IPv8l}F#xE!&K* zOv|S6wUF0=^!rs|k0+@fzbfReEH%cj3f{Vq)OGt+A>RZkzQeSrkna$>mgIWaR3sUOHckTV?}R!{8p{#l?-)ka2ttApVn+@{>gW# zp<6e&b^K?DECRZErD>3F&HuO1og;!j0Nl}H`Nk0;uVBIMX$fmw_%9vp`mx557E99-)>z2yqOoHz zz&cBTZx(nOEo&|8G_dUGeTQxYuL17e=4RgSJQl1Ry=R-7;SVCEo%eFFqZc(c(po#V zxp6{jn328sZPMC$OC%?xgq#dgr;5h>)1-q>^ zscrF?qf(tZ#_WDGPs*d0iP`;T;O6=C=ChC}uuUvV^00zJGmDwXLRp*^a#mYlS58kX z&IDIwbXZ8KgtU#<$Jp~%ShEiDSGu6iL9F+IotXSpTaWKx&M~fYTQXWT#;DbAp{_l1 z4k6D5>5q-V9!OF>u~EoPSgJcVvO^dA!#7FYh^L`B2Rn2jp8@HKjqJ~b9z$|nv60=m zu!C5Kn2Z*!^}ThIY@&-xZ>joAOO0Z@lXYr~jWos7>5FerN3jMFHj|Q%xbbTlQEyEhn@Qk(S$0ee5xgBM9jRFpLxgOa$4YxrTO32Az@sRjE7#0z^rVSn zh_L@4;hxkMA313@rY-@lVu%r}R!bJBqcTG7z=AQJcYN1?H+KuZ@^vz`_k54QvjMZx zj`KZgfMJxr6SxW?8A{Jp;aUV=$b!A+d)U@e;!xH*_%N3duLnkIm|+yzRO{_K-_!9S zJ?#Ex zOM*S|M99CgV4bIl%(d843Vi^{^~MunJF*TjIV_4Nqskj{Zt-W6_5O`xH+&^Oy{DT?L+CO6w_$h+RXSwox>f{hj znf}jD4$;DY?X|oZGuK4DV55L+3|1dJKv)_$1s^lOmkMG(WPnj~+alL|(;mti(AGSW zOUP3|`XiUHdyrJmOi0LFmKrk?5`4jQQa3VQHZvh1CxH~FY>!+*Uqf=ekxSTPS%;V` zAw{lP*GS}wOBu#Su1LCY%+hb%$BSNmosSol-;!~S$DaGi@ghh1jL!hIng%kYo~u&1 z{$TbKeEo$_010^zNLXBEC=sJ)h)$4b*AChY;ftnG#Ybo zH+3FW_%w~M(}>0Et06PK^WO3X>>AY|_Gr-v^Z38}9?x5wg)JmjPC3xwvW&AuM_ASI zoh{;unP}Ac=v9w3xMGBTnpkQK(TaKR6^mK2IAp}9(CUpg$N9A3x)FBAKpUx>#d$?FWbyQ2aC8f`|dRQ!#x<4{CYDBJ8GM zF^o&~$Nk$&#$sGV_isB+nn2|w$FjXAO$0t$%eH;aJ45h+EZBY0M5JyKNOi0R zvD`|d@JCZ%3|E}w{x0m(VC9qvZKaBy=1?b1wz@R^Oh(Y74v;L5y=>-5ldpKQgg#{w zDq}xsVwN#NtT_df82#DPTZV5{8N-j@#SL;5bn(6As7Vs#XwX%7N&0IkUz9&)Ef!H7 zZZPAlNscO?an|G&b=CxjRjLzvSHfrE=%f4K-DFdBJG@9$=kSZXrX=jD7uYY-`?=hq zz^?)JxkDizAkyv*BZp3+2Q7La4v^u}Zb_N><`40qp~;wFdwRah1RNTKUHLqlfJ4Ka z8~vd{O1+9wK3fQR8Wp5F;4OJV-UiY$ zA>eIiLZ1uTSzEmAOxQjoId0pT;2V=@&$crmo1Y1q0p9{gx5t>l56xrhcz>|qPXk9o zP(j&{^iQ=vSjd}5uxIBPpEVg^j64XqBXPuMO@!QA3-;{~7JS{)B-p+4Ovr^Gd4I6? zx)k9b25+{j1h(itRgFr6TWkd$sfEM)`Zk|QiGD2Jm8PqqlO+Oo1B}gQ&i%jP&1d9w zk@xvMKj=(f+s6l;GdB_YKIq)$&1WM`YuSCWZr`CU$=fPv^O=x2AbId+8({H~5nxWVPE9U?{#nNhB0~Nfq;EllH?9i)4-#xoE3pR=@^}{PTo7RoBJ_?V*OgXc4+7HsBL3LS9Z%Jt2XQj4U^ASH$XCH)V~aBO^j? zqXqjyg5b*@Cp)-9f{+a$IV5nbEHkQewcdB6DjsDP23iBJ9K;_QIO1bTn3B{Sn-70H_{vR9}Y2$&! zu9NgIdfsEGMbDyzkpBefk1oPqNm4zF7DAS=RQI9&Odm zbP;+MXzf8WhBx?>4IQ}?_AX+}V{RQsba8G544O{%(ZzRUq|j7lF7)z^-GzMrQBPH} zWrTektfMN~E<%>ER8*xSFU1~O@DoU|z2&io7P4ocuA?g1M+?2?5l>aJmlpO-us$!% zM@EJj3qJtdF>vQ2BSK!G1^c|T;Qd&z+e-`Codx5N_`2%65xa*KOf5%@6e)cfc zqxZr?fnNYjJAbdnQ*P#`MI8qxWqd)Yq7nH1Hv36sx zvt0Qn*%XBYk=|s>AMCe(PWcahvrC&dMD;S2HsU@sHTwvUgPf54K>CB6uv?Qd5yZ$fjfd6pL!6%cd}q-kW*WEjojfR*A?X0GmGTIS%;X+6$T=S=LX7# zsJJv%?1m^`3X6?2$h^$G_fX?^9}N-mQjl@sOX$9!wUO-MOW3X?*^|QJFd+ESyGdnt z7!YzANF4@zSHDP!6iS$dd!si623ojRyLpZ6hOl^~-W(|`K4mf5;QO-P))W>WiWG7~ zrML5FNXr;ZU=Py272OCiF( z2G&%PW!5C*11vQrpa?#K1lwm#98iSp7pUvVaBx5ox+}@`1{7hJO&}d&l2sH?h8`yY zB`$N7T|n`j4QVwsbqo~r&4+~i6G*PpCcclwtcIyQsEg~^N`kMrovJlRco@5Vp1Gpm!vbPZO?=0BS5ZPM@TgVz)r|;}7gxpfA>-g#ytJ~w>dR+e1 zZKQ4_Yif>03i&EX3ECzTs<-*F!a|P+?QDpwvamx*a@(7_e@C0jajgoO1LLL z!Y{s++J$!lB=D1fV<$jKW9aLF`zAm_p2ULH{H^EEVE*R5OGXNA!|HoyLIQtx3#ng= zv-OxJPXB8*XX~Z#(DH3=L!R51%eDR;HN1|;#&er3~nPZ$OI&Zi!;Sy&<{;w{> zZ1ZXQ5vBnhUPJEM{e4IwHwWpDaKe5yo|?SXvG8KQkgtM_oiYhNjs!;?i#bCg5iaKdiPI>h7!DZ-T{CBnre31cH%^bE;Qo--uxy}@Tljyx;likfc@44oly zES&IJkBO#@bQ?#t>DtiChdl&dmf~hY+xUk%;%0{Umr%lFGD7Gs|yNHOoZ8DTdg)_y!=E3}a4 zsg;;>GptI%<9f{SY;~L}!rnxz`vRCsR83T!*h4hEjV`E@WSp@19z6rd{wZtaxNZPl1;+?LvNYlTw^6?3p%*6!%@& zBjuh&xuh!utG(vNj5iT08=>2BVb6z!YIh9M%(P=3oidvXdsdyIYQTMNAm<6~$iBW& zUc}_2)HE=-ut(TBu=+gJt@I4fc`7MYNl(zV9M1Bvp@ck>NHNrU-rRHJi_Y^@6-V+I z=Z|}ys;8-!6DoLmp%&3X;|z12O5mLV^Jur#{+%H{<|Fv3F;pYo^Hc)A2AE|#hF=-7 z?`GMq;TO9%k$s_-?HGHp>;c9mN3d+~c`AWBYuUDeSBBs(%DIV#j2#?3W{pMa%OJ@b zj!_rO9cdI!kzCiPizN$tHrQf}64K99b#R`knsHeA;iI5e^-h-0L1foo&naJOE1!9; z>POnMS3Vo%TV?>~WGp_94>lBqOJ+q9=xGri%%AD?0)5V zk1hB!hK|ZfmVEB2(7a^xwWPIm zmnEOO67mI*5bWIZ$fI$=ZvyVP<}n>Yh0A2719iyqeUtP8@jyy^zm<^b7>q z)d)R?!F?Hu<>j-=Z%Z5{PtnKkO`evi_CaiR%Ld}gnLVkJ`E6u53Tkv2>OM zj{tYA>(TKnA+Obf9U)2Wm^6Y*S+F%EsU4Gs+>r&-rpDw@_d1~{vhoV5KJPlAz_S7K zj>(wgSyJM57Vla`>FSmom_nv)ja=SEHx(1 zh~UdfuqVz4**{R%k#JyFDs*>}>+0m}Nrhd0Dd{j9&Cb%S(&7w&-zm;G93aP;LB^DW zpqIk|a-0!zZ;;L*16xMecJ#LPAp_e*$fiq3DynjMvSL;K{U#u(T^=jcopFLc2Her| z*k21diUm7!N9?bKKAz;ds*?S+usf4vkH6-FTBD7HSB-G`Yd)wYAm7ciB+4y-6yO1}4boNztyTYDKQtf?}-L8;(veX#2EBG%L zlDd)6Agv3rYZ3Atka5F%p&ur>uD;6dMcAuZhuQYFlIC^+{C2k+*@sav8kx_fM`j+> zx&Xh;=IWnTQ}fUKotnJ+pq7x8Abqm|wK35M9t_-caN~USY(Q;HH1M7*8)IwV)jy)j zFBg!~)+vnIm}msQ0}@I*X9K*|PXs>%+|gpy-b5q#8W!xHzo@;5|ItJ1V_4%zi=-Ms&OJ(@5n=g zT#RR*qS0tS(%$KxIpZTwNoe(bcK{`g?DvL~`qs})s7t90`2bWEeZbRd8BT{$;duM^J1@n z^n^ur^?IwWN zVBfM&hSCoJcP#s4D18MBju~Bu9{pHp>jvcvjk{}&>q{%@Gv=sd3 zg72SB4aAlD;<<^y{|4+!J#vPy(pdZ-z#UU7o*4>xJPTGc!=1JI?xh_m*p=1yF6{{X z&1s~5a0IMw&upevQurwpj+t5sTt;yDETna!;ixzzQ>#C~5iqsY7@u>ZsgpS;9l1UT zH{%6glKP)dttOiWbjYdXx7`~Gge(BbZqXU)g#COlHF>Lt;f$k@FM;IM0f)2YS%cu! zBsl6}%+yNAvstinb$~;i(EF2IZ>SS?L)IZC<4B?I`pqQN#ibu(LtP~GIOaaj5wNZ1 zVxvmg%mOU3oOaadN1buhnXkzs;-cdc93`-QV|=2X<;zq+=|!GG1@zeZE{Oq^5LC$FmFcKkb; z;$J7L=CqtfimHFUbO^AzB+AntmXKD$wLcO6%zQ$(j3>0SJz;fN&`T$Ly{>Qd&Y$h1 zeFsf&)eH9R)0{tk#^&ri<`gZX4qblTviAAo?}trzHDsCHn^Z#QX)X9aRj-eduIal| zyG^Bs)D^rK!bSsA52mZDa5iUpa{AIED_(;y0Zo^N)Qt$2IFnL=F z$sFgNy;)Gd9LkHDtBZUu)oY4=sRgi`^Q8oP99T9lB_*yV!QPh=wv^b&OHruWf6?wZ z4K=8!Ihmk0GzX(pjqE%;CuiD8=3V|idO2eDvS6c>Ipw51_#lAO>J8m=JiR$3MGQ&R zN%hkbCZ7VSdWZdUHv^`I1dk%Yy8j0YBY{tw5+(SWqq+F>C_xzvgDkFg8qBRJ5V|@?J}$c!D<$TjgulfKdk?XZ7Mo1fsD6m{T7`E!FEi0E5`{& zb}mxl`(xwYO4wP%M&F9N9tV$f=i@$V@t`w5VA3DbJ87fZ$?ikBws62rL^U#e(c5YWMsthIB zUau(Z!Nke|56^j0cf>NiA;kyP*h;F@AEQslmx5WDd8V6!J!p zv3^qUQ%JDKPYSsg3C_Y#N{OG3A=zF(De#+swRoSOloI!{c(0!n_+o-nNqkXEpJT&S zk`%mgX?(n&+;>5n{A9hUx7PktZ)t~V(uXU8y$vjzHY_C`Ai>@?EbL{(Mm==WZApV!YUd(dK7((>WE*_%ECj3`V3m1vDaEaG+&G`Wk7HQjI7W zn0DZB|0WGfzbXD2r|8qhh8rV724h1tAvA*oJ)GbxLRyWvt02MAP56osAn40Z zh~T|Qu)Uk`b3|b=w zf0owRh$@Sk{MXFZn59uh8>2KA`Hdpv3m{{SBKS=t*kcqS&mhtj5xhou7Ur}YC9NGk z^=F9BY=?Vi(+(&0vb4kT&^FW#f5@&KPBJFHu&>|bLjD<~Hu++nvSj$(#dX{ir9@|v zt*0yo)>9U4jRJoT%Ty14HB`hUCGkA=MOdMIHNeA*)F+=S}QW zME2=|pH719O@)2BkoytI{YO5ctp^V@!Q!bCzT%X54VxNWuxA5?ks(}uFx{$X#54?{ zQ2pSP%}Z%fU;qpnZDN~d_PZ<_!FkIMPNbVm|VN^C@ey`yqrKf-%jM&cYW}1dRObhWYz|gHwlCl)*ocI>aiOP91JHBYjHz zNL=c0K%MSQu+rPjREAtcXLRf27vmDfiU-TuN#{ z7A~dHyz8;_7>`R4awm{kxD+X{vNyf0%IBLgtQs6+nS)%4uyerbKJRlWQepy0_q!B< zhoy;kx)j0tuxz(W5i+01^4S>ttiMZny{=6zWx1)lTMwp(J6wuj7XZ^P#n<_yL?06D zbt%H;5gTczZC;J&I!!yuR}S*Lm0%Nq>9_Lbzoo=b66}2|VGkxY`c`(A;#-X{*QvatKL|Ta6HWUsgG?cahIB($cVy8-`SNuSQ6T zg$KmdhOmzjyMC(?7ro5R(y_)7}R zMghOG6!vZsp2he}N(>|EerGB0p=sis&QkDBEZglYgmi<*y3>W`~ z3)GTa*T*gkZwU#%oY+){L%1b6Xjg4E7m<1C=vk+@CQ&CwNX1OuU zC;NB|BiIyRSr|qN+(dG{h7tBOVpIJwW?3)8JOR7@{)U;ocUFdZ09cz0a}mkS(lAF8 zo9Yk$v|fg}4R*B~CM|C@ZcjWTGjCNa-FWkQS2rHn&C-o8hRUIi+AgM}az>#i9-?+J z9k-X=>>SPn>j}(nc1|ZqfuHC#U1sO8zp$?p>*%P#f&}K-I)|2)E#3hyA2m3AX|wGn z8DLCu7^!3NU2GD;x&X_J>pCv>=a@hUDRumd{or$Z<5_eop_V91J)*!yuEw& zCV7}xN9XjKWG%F^noSzA*(?KX1B&>?wW$1PJ(snKfLXkJEoBPkzmhkfrGx_?O9{%Y=Psxkc(h#e?#H8 zF9jx1^|;&#$9-Y1C6=>%Z>uaHz5`7Am&(7!jpVc|ke$gf?r{OA@S4>WwOpZoM3yV= z#cM~~SLe)uLbffS*0g|UclEabA*(>fwgACTCBdE+AY?BR9M=K_&t;9>EkMZkcQG2P zEiU$vpA>im1^g{Q*lUR8q>Q%(xCcOy7BE@00QDo%0`A6Z|Bfx7(X@c4clNgcA#Va1 z+X4h1M1nmnK*+sGa9j%zeBDl@vAYEbxe#O)K0pdQM54VuK-iJ22F*0REx0>S4tRmg7;|jK8se?_mT*!sSXu9zIb>ZKJD@AiO8^*qrupjiWy%c&}V)~=Lm5pZ)_9yEm;WeifH0Wk@IX%Wcfa(n>jqDH7-$HA{ z1-g-FR}1YpBP9IW?IGNstmDIDqWH@w;ZD}^=`n%FrHQvC>-fx@;AgRHYqE~dya{15pI;w_R%UCR>18aJ*^!ta?lXW-k8gn9H1T3efrBk+}hdVl-V7CCvrkzTOvq-Qv zStsoN#6~+`G+D>-(b@YZnzvfAt>>)-dl{H%j(EQH1ef4rNvijigdIX`^p)D^PwLGZ z75Lsru%+Fzcq74I0`7k!VaE|0c_VTrm;cM>OwQw50kIp;F&krN(qdk8S9*-cnF#sI zHfirlAILEwOouymCQ@J?3izFgu(c#S3uhuFE+Xm9%s)F5fsaZP?{p@DZ^p9S&P2$T zt;u}8Wzu+O^3a2AawY{cwKKVZAMS7_g7pO!+wBDJLQ=imPS~a0z(yKro7YHtn^&4h z0$u)5uuFic!zI;;qOU)3-#j;hR`aA>9}@3FOu(>{bVSf%ZgiPKN*U@zm?R?<1KMD zBMLo$a{ihT_A+AEZ`HK-{p>p(tERi}oy9<`(p1tnTRN+g2Vz1_2Wh&X+jmNV8WQat zhzWZR3D3fJN{RhQy5DySoJbSz^qqpg*M(%eeW#F55b0etjrX0y?roFroNVfDAAY#Q zcM8@Kn07QDei7H4rQG~2sQyU*{0B@1i2g|@q34rR680ftvvH!)iTH-LwbKhEyX#&% zZJ%SAj;|q2oNK4u@z>DAGj55n3D%xgI7^4CS2m~mj+%TJh%{^huuxwy_S55x`QZZR zkX%>(r1$KQ@ZQ8amShrpPKsMQt%3=nwb16ZQ#MR3G*gp;e{JS5j9}LS%fc{H;24tY zHH@&^6YE%*$-*!rVAtQ@FgJJ3$}mHLwaGC1k=!f|vjMS=<(VuDa|-NgH%waI>x6tf zBQt?^p>$*S+Ua3ydq=+$vOiP~b=0`EQ=Stvn)bMPf!*vJ)C5}wt^H=_34s)NnO@Un z_Kuj6OcQoIv5t-!tcqdU9oR7aq|KL5K5Fnbubr|GK(WY6ML<&qo z0l!Ivy_Q%<7xkLt9Vq|%o8;TPtV}W+SQf2BUULG;^|lgWhZF1QoL-aE!cu3WOM5Tf?J}H$AJvYZe2U&t|@pA z60ApU;k8rlm_n|CQG7E8j%|X^AsgD)O*rlgc^?T@pX_q1oN?Tj0)Hpbu7<*KU)Vxc zgHA{|)=k)%IMz;STo73|sa5MH>PKYVWC-jTZC~rNcFHZF(6oT7HukpwAxlBVwgADm zBf*{)Amk4lWzhlzp9S340))JW1jn}kDeyNE?QH?V9>Ho*rpDU>>}#ji7BEq@0QDo% z0?vdzf5#Ru%(Q?jI{I6HkjH?GZ2^LJC&8W;AmoY-vuFW=&j9Xg0YctIg5z6&6c|RL zy)8i4!&nW^+NtsZ_O(-M3#d^oK>dicfYV`5dkaX5F{dQ(0+})9QpA|_ufd(X!V*qO z>2+l7bW;dYrt__x9%EZOy)xr*Uwvxh41N2Bte(!f# zJDqP@{V1|iP|+sw99laS_C#WT$F!3s7Ya!7ewWGInoC=#1W}gNdld|KFREpxGNV+p+$6u)w z_=Gg^wv-)zTT}2IS++H0$KTcza&<15&v($2K8YD!JDraYW9qfj=PT1wcEftcteqCj z)$R0Jet0y0#GOyDfxxoqd{SaZ6721K!v2&4HquVpzT#ot>JbuXnOX8%^)}cjU?wu+ z`PQ{l!3U63?<)zrll_(2=ud_iRo`8=)cr<+JqaxKjRe1*qUM%JT1(aD zP5T=So+LKXNZag5nvB5HNubM<2zDSay`-_x8*xh-Qtp?Z?(yk38Y>-?K#iCb}FT|Bl(`SQz3s?1Nm`lr-IJ{?wI{#D1HwK=8x%B zCoXDtlkI~{AM-_chB$tsTOghG}%2G%B%3?{i*nq)6x9i7wLO2)vJc9W!a%TeD!dS3jR60s-E$N*G{=( z3i%R9-^_tyo8Z+X*uHkk;Z4Z1Nw9a_WCX=>DbR~VyBZ3|ePKJW8lJUN?m3RNQ}XhW zwbM$qZlZof)=hST`J?S?eb!F71vHx$aOk)G79eD2kg+X5@FmOqEkMXAAY)sA;8i5p z(*lG%iv-8F04cCHiT1VtVcW49p0!ie0^9?jNDCOPT7dcyX#qRH{J&!h==i1{E%y1w z-vWfp2N~M}1aJP@-vWeu0c30o5d0<*>}df)ocS!nH=e;rIlTLGrT43&+zI{qt z^2blXf8xzU))=RL2h~f4IJQ}SYYn!?#7o3 z))hkFG+_(DB1Rw08?sG|rhnGehRH2iD)LyZ_6z7U)cxv9s=sxaw}2snxKi1$^iSGDo}u*+5?%_e9XDQa0}*rV%UaV|$OzWoVCM!R zWi$cx-Wj4c8%Y|1*nD`#|PnZIHJuf8QNG{ z@tSe9>K@mUs=0N8(A=lhn>N4TQ)=_8Go2!lEn#vJ$m${pb_9nE!B?|j3T%N7@6NYH zmeBQrVZq0NoN~_XiEh<7&PG4r?U2^pQ3Q>?U7B$8c6i%0b(6#7ie}c@xzV5e|2H=|d>y@IvTV-09}l4^<`%!ubsSg}**aM^n?8ex-*xy=UC}YP z0g<6GIs5}IlDjxr_Vsiw+@K3DRE6s|N$Tr85K;Jg4=$^s3O&0zG4bc8w=AbGanRk# z+<51grVT)JuUMdZMI~NH9rJnRW9k)Y%O<+MkE$Xh|OU|)9=d?*WccQ+yXkl@5k z?>21d>=fL-q{N0Of&1)3?W;StFX|WE!~|aak!fPi9?eZmiad%U817{@G3wFW>4dxv zB#h$hbd#y&2!1SZN2lw$FhuS|g1cP$PQ#Yfi4g;4Y#E%jO{>yiOv$Dhjl!xvmwo8( zdO|jU%&O~2k?|zF+}-trJQpO{#nJU9(aQ>bFw3=14c-fpc_52Z{n7QNtFHG3HUHA# z_0$$ha_1z={;T>>qyu(D2MqShw!H&(O!CSAbzXZ1>c9P%~T`4J=Dk-xPAyVm5cl(5BLg4hLJsc|@1LvG6s~&a*&u9w7_0)(($SKv6~T&MesKQAUKxuNJ`^ ziRu3iB9>!#$vvmmSo;|iig79eR{%D4aSRjKE>h$K6!AF~A$yYg9;d>AOYqfAPN%|w zOUSoCRwo{NjO@Y}uR7d`l(>&%dm_1zmx0X6ok)>FR^07Qgxr!8ce|4egS5O)2C=%6 z41?5xEKZf|lqfErk>F7N5&4s1+(lA4d;$5C;xZMD5$3nxa1770ZE@Ljj^+3zzQ-d0 zxiK3@v?&}B-O#vRBZ1EGBY|-%+cFYpl=lA~wNLj*K*&mvs15(XTkydw*xGM-d@STX zBsg*1ytt8ol=x*~Y^N1?KH#i6trWQrMSPuB$jdqhuDCCA9V>_VWO$$h{ zy8{aO6v*mC&TDZUP)b~j5;0Lz;Nt*i)d8hQch=oK6c=*E+p3|t_WE%L6nqA7Uk4QO zE)v}3n>o}0zvvs+0Sirio=s2i#7-d(2ANd{lp-BieRu2>a`Aj+7vE4^=qErsVkdV% zA#VV=ejTv$Z|Q)DfN>qLv6iw%9rDM$jC_&LCvzfan5nSIZ#kO;2Pz?N1j&M%>?w;3 z!Kbib>z9f$1n&jXv;^A{0uL%hkzd{-KJ7{NR6}wdk>h$3G+Z(=t(Tp>V$cq zE#&n?rjAV{Y50Uh2#=nU!xzv#&t!Q+E~U(!S&bdl#Sm?oRMp|rGS!Ke`>B2t`4yu( zWn={}#xHbMaCvFPs>oDmg_;W0m^y#u4f2hV4HzX=E7Vj-$Xh|O(`_lO_%rBBz zS@18>$=lbNg8P6pUePf# zi6|5k;{?6{u(6A8W++8^v-<8BC*-E2e%#DZ@K5GC9U4!BgnS-kbz=NIpLFshcm=Z?FSs^>bVmQRo+y%wTA=)OE zyZok!W%r z&AVq^+_+XsJcJT4eO}^Tblf=^(4S zZ2l^ZYx53?8`ny?Dtdya^9y+v$gDcQ6xoy2cXxgv+mZV2oDfeeg?@LIv-9(~R>*&Y z#JConf4b`YSx+pf1Fm<9d5idQ?JJ+Qr2`H!O`>V0zXJ;S6v)^PDEKHA?CyX<4kW>e z$6t!;fKp;dl!)no082@U3b(GOoER?OEtg|7>YrRrJc7qDI>7QC;*(pQ6V6@ze2V zOin_JLKD>Qlo_ikx?&ewviw#0kz=M9J$Un2vU&pjT1_)1nbx+po~nyW$W)^TZ$Qu` zc#0_{=AuM(Q6r7>GQZqAA7ZBoSxd6*d&qk)3XxZ`Oj_=%s-T^C(1?Cm-hqCd`3}9+ zJJ6pj@4zK8-eCjv4kbgEmsBlJPH#D~qW<#kQ(@~Inz&`W#-eFxPi4QVk6@TB8uU+s zGv4G0z_1SObfA~%sa}SDSYC#HT{oZBp2TPuKtQtWRr*tCeY%HQpRS(3g?Nox3LS(( zI#AMMd#T6L56ffe*L6H-770JpaXX+t$*iyk&#%x9xWr`IFCrxxP(ru6-s*Yu!}2`( zbsbL>rSur}Jo=NR&bS0my`;nm{Jh5U`rMMCt)&&~O#9Y*6V}w#hFet+lqfD+XRWw3 zbvcVeue0*ipyK#?;)+7`M)bq-M)Ye{aYGzrxR>KUpe{ zOK`4)W!^lMd*B47|bvg}p*Q>ZR`Or?LKd2*o~)WkF?w4-_| z_rf9Sk@UmzNcy#^%u0w*mHEZX{7Cwfy)wBFSEdx2j6&&^IZQp4epnt$zgCqg5-y_0 zmcL4WvQ#FQ;L4N|hoOY-g(KAS=!fNb^lMd_Qu^1I_<8gvOJ#Biu1qO04<)!V+dFq5 za4(b+ccVmc+1gOeb?2HZsam3Xp}-fC_{3kTX}c(#Xor%aj%?X{@|sfaKz_(Cp}JB# zdP=I0TBDs>0(S%))RS|^1G`5lvT!P1BD!8hJ03DB|TEhiO3 zg%en?@_&x`?PRpywD;^mvddOmEkC!e>jxot1dvlrY~@2n`wNHg>kWz*iHDp;T^tGIxHmy&A-&lIv(_l3QPryBxpk!Ckv0 zmy+aax8QIN@?>`WxhMU3FW$M7(3}5wqY<2AZ!X%fQAt&65=S6Qa$9*9dU;E5v2teq z96Jgtk|-01F7${VphRzwtgavzOyZ#o|0nG?^FD%{S?~z*r7rEs2Vo_keMwcb(!M!H z`{Lm-4Q_)S8EW z{v0{HNbfM~8bZDV61{`AFlLOUsVnhVTHqAmq;)J!Fps4L9>S90N*ytP(|LmL%PPB9 zOoZG}tK392mp=Tb?wO(T;%7&K%eJ5=Pnx#d>Q@WoDA9<(J27nl7^4cTm{*5MJm`zRy{y!094q@6LIowO8r0Y$LW zP{u5JWhPip5^^j^UwGtfq~K?;U~72fY^0F;vtXW{wzq2zOD8nW}HtI!DE0s4&!h-N61rIuBMN)oIgY>Jt275&vQl_Vwjq5qc@dT+ZPZ z51*$(K__ehTB`O5PuJm=_wf~{PQ`iQ^lve5PB$4R%NlfAiT41m$X9#!i-*6h1mcXC zW0^a3oc927bQ95!yq}*&D>RX{ep-s-%aBiWt6lw+n9LqugnffpYkc`JoA^>`9y$hs zeDOudQ$fbW7lHR8{rvGo;2f456JG>>`$ar0p$F(}y^qzhs+U4aq&#G61#GI<7igr&$4vD)YJp>o6sSi5 zXDx875%zXsfA3h6ar47(k2Mh3ket4xeQ-`W7wrbKMCX1vjy2I`jSIiRvW8l;2#&Ng z@{yLK==X`gT^%fUoQMz8h88o9#xJnI!F|`U-H3<$O{O0lF^O7DWMiB;h$7?`AgdGK zjij{|>#c)4>KFd=M^Rs%wF@C%0?8`-CRT!11NQ|!Aak^I^R1h+>=!l|m^L zib;kFJcOTc2tT1czeo*Uq{i|hHL6~u=+5*aW!Ke&DrMVX329)Bn(_(0>Y;FrOvH00 zTAnje)rb^)6$Od4)W}3tBf{PSR@X=^3e{R3P@7pJr>h6lT56;=vqpN+0}>lw@ku9V z${?dUe*G6!$1j$-J*AC#MM3m`8!+}DTuv3<9*G`mS(ES{lPvEsNmWQs)3Rh_E^ILX@g@ET?h8bbxtEpQP(VS79wx4yh3;h!!`u}%3I z-cgLepFSvc;7wLbk*83^m#h|Y3`pt>uG0n?wjaoXBk4GktQNt0kl;k(Qrd~xWZzw$ zVffXx)HGcQE>2d9_<02DWOZ?>1BMEesiy38N$!07g1=yrmZmNmO-&wYVGb_#9Q8mr zXi89Lnc__jFPf-?7S&i&yvgc;a4-!>^R}p@aZ60n7!oH35+_+D7N<`6LnMt8dyb$^ zMJuW9sr^XPWw(0(wdI=qaq3vu757uyrLWJ-?8XVk!g(8R#h(Po!?!ZnPxwYSwdSFZ zL6C2RBjhNM*#B~|dL>^1*$6KoE&UUGk-t63CkN+EARF1s?<2+C6MPXo8)S8&_M$*> z_cULM+=e3VUZ&E>Mw9bceqkWrQP(P&Y|89M4{+7BN+uh217c-Pm{l^_6n^bqa@780 zvVrdfY^H*aF_KCqne8Hw!%=bmg=XX;j zdn2X5F9J4|?1=VU$x>tt$@k3ng*+7`N6J=DVtKi#x;=pV=KDhClHkM#e@l0$k;Ds6 z`K8PocX=v;r~JY`NbK)D<^N-(mlF>UC#(50%w0d#)2cfsiq-5HW??TNcKyRpp?T;I z5abI(Lbl#X4Pn8sptrl%F{Q{X6p0B#0#79QabZaC;lO=iNXR2u@orjicNmf)omg?# zV2{I)z@OYfhM9W4x31Y$Ntwq{M!Tv=U2_-`b`-JVsH#)9W_k z{kU$W%v-lh-5NH$zG>d_U&Kn?>M->AhFOFmdEyz65)+05?giLXvM&rtkza13O7^U8 zn)i7JWNa9!G5ABkePKw*Ye;b7q;tF#!C^?s^dsr6@c@S*VYeps_YOmU(9AQob%#Hi z^yVg#QufVWrkINR<`!xZk(svH_#)(VkkyHM{u=a7ci503<4`0fYzTZd$&U*gg73$Q zyJs&#c4WnuoE<3c4jWQrVGSwn4I2Va0$iQAf#qW#p(B;gTU4abWhfNm&IRrV*z|Ot zJC`DxlYEam7jo(N!0wJ&MFDL+75pXOj`c&%7zY$N5s^UXsra){yjp0U|kJFXW{ls|O+@wuZ;~23H$B@a19J zr6l;Dfhz}VNIEP-AMjJBam_1sBf(u(o=F)o+kI7>4imv&r>Lo0@2lcmn2_~E{@$Jy zUmM3on4i)TTrU3C9x>FlQL}jXbgC#M-CSD}Y4eEQSjt-yX+_Vm(~-9(s@)@r*=M9j zBV%B_=Y}_sbX=l`_fy7tnki?QgdI!l`Va4WnTP%vf_#2j$ZjC36Dv=T_0v-1%W7%^ zwj)1go1(x|0mu4j!EXlc8{P{!gajuJ(TcnMv=rHk75DmSfpb{?;?ul!%|k#bGw)_k zUGwl>*ji#`2$;?AUJ4II;h1 zZ&?&_Gzm_edTP)xomnO+aV$z0-(iM0*!+ZRR3^^jtskJ%?5iar7#57<<)Z+I_7wj=qT;k}SQ+{Bga z{DQ3d;;5axekY8pVHs+)*D6bL2Ht!m-aV`jSZ_CI|nUc->;zBwk?hP z36Bl!VJXmhVAa7f;g}B{ruF_zN6%{@+!4rz07@eVfOPMKYz)IYlFrT$*6Z_-mol7}I}b|W?#s!shei%=y}-YKJs^)84A{0LxEvA$3x zMJ^-xo=_!ZG050Vl;B$f_k}7UmycEz>!<<_QbIR?c2)uBpoG1H*!UooESo+QUw)(A z1KDr5$Aszk-Ei-{?!S0T>jt=H`z( zZ4egN6UXyE+l|VvPHb>*@THcc_%A=*6a2GuLjqg)pI!3D9D#p^qR(FVh1x;s+*rb{ zyxw%AwbxVYh@`t{n!~PK$ORy|*)`dBm1GG17YmMT&7?C^8G^3{8FUu=zUmeVR-#BT zOJ1|FImy&*>c$uc)D8F|gzXUM>uAkWi0<*Fb|^nwM|QA&UL*-9jhxHm>~_i2G3_!N zEDn3%4u=IZXva%g!;q7>EpM@~FmKat$@2OGlZj1-w&M>s&!S(^F_81}d%nFQ|MdCz z*^t?q*xJjJk$wvJHSt)BZ@~1C^+ytbr8Z!iccrDq*T8$Zkp8*+PjiSg6 zEZI?wf}IA8^z~I^ld;2IEYw|%LbfL|bu3P)&S*ytM}2zITYpDb>d#!x#K&$D$4?A; zY6^3*>cr9fPxRF5>7SnMUfg+dzS6Dnynpz6rI3e#^i^ku;5-&=txoQhBKWgwvZ>CV zM&)P0<4dCUUMbjEVE+$$UmhP-b@o4zj0BBLtf<(kj5U@-A!LOFL>V&!6HS1UAkeBY zBm+c45|fF5Rm8@$+>TRit5s`TZGF3IU8)GEKtKhpT2N`JThX>U5El@uh)aIo=iKGo zxpVF|6Z(7qc=>2%=HBN#`}3UVEcaYHb8_fQ7*ca{a>#N9X%dxcM5^ycF$U%ofnhTW z%-Nb+e!9Zck}Q5WWEUWPyz?M+!mos>6UHl|KEtd?%zN|AN&vshe)h4S2;kT8 zGpVb64i{{?T4u4kG!^_{nYAl$$i;xPbp;My$q<{n0*4$4NPAb{@ULHK;R+n=5rEmb z0*96uQgc_}kc|Xs?g|^l-E6&kSE%^Hux|LLk+OBYtA42X!)O$yG4B=ZC;VXq`+13a zcQbj5U4GxDxx#mPOkIJcVGel_Agx@1<#!G~o*@>xf&uX`K-#;)K8@uUqZY2f!R`T= zohxwYl?at4?btWE>QgC^1xk)kiPg(!HA*dt=xjSFR?mF$x zM<>+o{MK%3b92b0fV4F?2S0})Ha9ni90f>wb94BAby=93gFOx~J9BgB8yQk_b92ZD zgS0dE25|zoP+;ziy7Ot8C7-*()RL^%<&bv+(#n#obKu}nhFEAx1LE@mX>Uo6`O6Hm zNnysp4s_aEl0!cUXsh88hrELz1xLKgW2C(%CTtX#FsVC_dA}N<*c|5jzN6ZDiDts1 z80*5J5=)UBa(9Qd30dyt;12-YWT<37{9QoWn~-Ck$1t0ikb@N~{8|l_IP?(=sd+GR z$X)Fum|ZZk=OrATXgJ80Sh#zqyH(&C}UWbd(R+)I}@{ zuGK8s-bRWywyP)+M1JtPiKhD&B-B8 z2Bdv}a`<;zEzHTmo&=bkIXU#L45_&}Ipk7;Ofjcc-L}vn# z)mk}+%m*Zd!GpoI(x#*%-e_MVTX^QcA4k~Q9tg=Y@@41nR-)@$V) z{0_n`eyEUDg>?07!(IlRKIkVcJtUHW*8^CIN7GXf*a{BE|6Me}A~v5ZKAElH(0Q><`3jm~T|ZUV zFUY#)koy-Zx;9y`X1eC!n*ojmYkn|;dK|zrvaUJgZ45DVtvYht zSd`9xyKc{BmXG_@6@uqzovtR{eHtw?(>ZZF!tqhhAtQS5c`W`v0ZV=bSnE?4_SjmV za=u$XEJ$xKi|2m1M(M(-(RF)8>w|b9d@h~71Nbbg1ZMA)iQpJj+6dg6DA0O@Ty^pA z87v$^(T2Og+JBR%1rOc_V&iLfOzXP!O&Lj4S83(?a zVMaDJaXJIbbpSVUIyN%nh)-8p7VbP^r~Po?j~a<(%Wbn9_-O#E5xza;9SAJEIq**z zW?|xBvCSd70I9|HCboHB+Yw1|Lj4wtZQkTuG{HMaQe%6w9NQf7c*Zj}*B=x=M9X6P zHqA5Mx&-=2J?ewH7M4djPci9Z`y?f^La zIW-||miLTs#37aC)BrT_`xVBo@;FEGmT3dC`@gBg^${kAZL!eiJoEz|hiwUZZh9jj zi*62lGk~>Gi%Af&=;lqD&;&tfjBW}-RwZ%BNsMzux5;J^7TtO8s3k`ZLoA$SVbRSY zU;l;@-RhIxT5p`xbMprGqJedEbJ*($mY=qYV2&@q1=i1^pR9@FR8*SCxNft$DQqWu zjb8lxtg!Tq+_4@NZvC4s)SnQIrs6a6(+Tx(yw}jNvTD(4bX2LS_*V-^4^|P+VOJ2W zFyi;29`PJt?0+TV zzvMRJlb0lnk#AF<-mfY8-nphB$m&xL*$YVX5M=c!2fh%%<{`*RY7Sh;Fq?O}tfc1P zhZ;;n(7^I`Kr)t7J6!|6zXqhqwr>NoSIan&{;Wcfb6ZQ?T6ek}cnp9Yg&=S8PCYoc z=yW;cW~YtOrCC3DUklH97B<*;8OSYbE2SS_rrhRp>U(Wk#o0V8};f&~nRyc3Wt zV1$!yEM_=x7l3Vs%^WzyFx#Xh4t@e-SvXf?VELWdwrPo@-3VZtw8VjbC}TD`ewX#M z95})-C)d`>d>bpQIph>TIvO_fCOK$gHEiaPug_9q+@xZcryn)XxDVh~!{*rfz*($m@Y&pTEAKiCpLIyqICOiEa*F$yhdzZVow8Wm!1VX9G_a3!I(jBXBlKEY-j-SRxQ;SrVitkIcAw>ogpCc4zA0=9s-PgCc&&nKC! zqMO5BNU*}_ZgJ9gkA6=r7>)rVtG=5<{Vm3gg0jWnjD>P0Xti1LI#~_&p5&Fmf^Dlp)Jn zT+olI3AuSe!Xcjpq@%3Go7|2jR#}Tfb^wx1DOe3R)@qJ2jkvbRS{(8OLhRjloObe< z=I3hX%vRuegMXg~)RtL`!>%J(+pLwk0Iw{lCok5S)FoJdcd|5|yjYj|c*lERB9F7K zPbn%Wut|r9Zg~w_gfH67^T+au*$!wy51(um-pXjt9Tsvc>pWXZ@QGuR`Ga~JJ19{YPyQYA+G?W?Sx{n z<|yYe#O4!<9C9=v_I}{AiGJST?Q_7hW%P5{M+w$`Lh*miDxP=mNID46wCb3zDfo@4 zrf$co4i5PUAY$z8HqJDI? zb<*LG&jK>${q-@nJvVQ1JDS)e9S+<^_=$0=^+OIml`(FfbU5U3jPZAKt&$9Z8A=d#i=KT@FY`JxSH~9{l*d!eeya2$Ck`8b3HDcZ(>2S!;CNq6ouVVaGbCl-* zZt{Qz+d9A@?&M{<~|5+cjM`Rhb4Q8~Ag` zNq~%bk3GsNDB0v5Z<38B=0V98={WG;Dw&g*1|?fjAC&(eR~NklM>3bNr~v%?)LQ%>73S_nZ*u35 z4+Aphy-~q!RRr)h*AaHhB7norC0KD0a1+f`q>emL1YD_(Jgn9=!X0e%JKgZz%lP?hTnYNfy1w4$j#>+IAjAL9nCxNCa0l^)w~0T{J50q+jL07 zmN`2$N7)8&t9b_w`Ex?-T|UgbFlO@(yungJZJBI1Y=B^G=N+`e7bj2d-oI$1?oF*BG;jO%8twLvEg6IOKsMhY5x^*@Pxm35G-d7?7->v6|RS zXpXWN;8qESLrx{c-V+C3w;g5hHX{hTWsc#nuZ;(6#yQ4hQZuu~*3=c5U%y3bFGqdx zx|$%wOR#zS)%s>|9BIO8f|J9(2-w*1_kIpdAHr!_zx4{M4$OCcA9s?Le6GQT0p+#c ziMqabeLpum*Rpp5TS%zX_*x8FR?kdw$f?AY_e5}I_1GkbKZYc>er%Eh?>>upt?5&Z z2Cg3fIG$=uodA7PetLl;{w^W*Hho513yUW<0m|X$0p2{fvFQj7d?rJl4diU(v#(a# zm-H|xy~&1U3Et%6Gr_s=c~AD*8+zW813v>`tr9VLER)TF@+P+u{@zcGbD;ECCVP^B zLoNj*OUx!EE2~5<)g0vQO^$Bpiro~~Y1q3GdLKppe)y@j5{@niQ4e&9P6kCb3@1m26j z4R_d|V;;rr4}6!<+hsxr2bLmX@td9FT09Oh4Z#A%#i>EUAbaZ3UF zi2LzTEA@?LAMrf880#aDm_#|t3&|IZ z9U=9FaXVPWK72R+9Ah%7w%AY85&d=~^o`>`Pz%A2zKUEr<#E!ZcHqNlV1+Kw`>5!V zLjdbqJb@N5nG<1WdVezbEsVe|qF9l%zukZ;Y?p}wc1C$CetMHs|*{xs4P<2vBGQ-g=9m}t~bV9$_2nA@q5 z@4gptTEL0t$It#MzHh-p{YRc3ALWM%$hpI@QQOt8(x`)0itQv#J%>Lm%O%cc9Nj`b zo1|E}0LyLImkfRkz!le^9(kJY=TA-Zt@)_$Bc05%KTM+VvY*LJo<7ZYrwUFYGv}8@ zzCXFmuhFK)ci)o`^N91~<;9SVf>(|zY1BT|J4LIoOu%FQqYC&O3C`P6?Fi&@w$czw%f$VnDq!-Ox?gujHbW+OZYp8#-2!t*Ad zo$6G0-ew!x2!sza^Ljk{klaS?Q?iz#0G7*00aHI698~(}zJ27Q=J~D@K}ebGVQRcp z)*+?Chbd-#H%?S;TWFKzh>)huJSOWcNjZX&5M7{HVJVJ-zj2B&R<T^Mcx^IOPE9?5GOOByis)Y9CZi&A6Zv68J z%uK3Lx9f~rEO%n`mZmCZMc<9L>#c@W3#o5Du*4pxzd9Q~F57sv9$LI)!))B7*?8Q^ zWC0r+bMVi zJ(87gO0n|ku<}i?GC|1EF7-_#C9)8a`fBmLN>-rJ`VXRsVhLklwlaF0?lz6?kK_@6 zukYgHv8jZSvM|LpnkjzqWe29<;9US$u$yLX7H+)Bxr_qqjtyOtTvzbkn728a+N2sH z9LwI08g+Gysn0^b+co+6PjVn12mc|!73|jX@g|Lof)n|8o3p5mseE_Y+V5dazBfiY zkdK2u2yjR8@g~=ENBB;| zE2Eo7@miL=I*K~vSB!rZ@9$|IxK=?nA2--2hmgtxTN>Kb(I4+P9f?X45h_v z8h|aJKgaeJ;IeXM{w936MY(WH z?IW(}x_p0u@_B3@@druRPz}AsZ2V+>%fs}|cjFJ0_ZitGogJFfjyu7D({k|7k0+-k zVr&qAdRXnW4PyY_EAf`DNg0%P4=10(+ilHzh9>?}B4k&-aPV^g?nr#z64Yu}(k}y^DxUu^H=d@M?hDiOxo{yh$FTz~*cW)wze5 z)Qx0$n^%w3k99_=BUzbrE_)vXRF^SKlRU`L)L*#0rSIJ$lGE86{GmYOnp&Bcv zV8gKoG_Cw>B-4uZkeh?I16;vwT_f`*Qy2v`pXsNkjAH=aW;nIcbyuX7)v8mBW@#*s z3djXY-7=r%w5i&oVoA;MY7yfy*FUG}=DK4X=!S#O0l1_3nl~B4C^*p#Z!?fo9C^VRr5+bNACU1Gf_o4%T6K zi?h)}HGyb0of(*eAHxXPtiy2d*K;^_D+BWu_n<|1ioJn(i>nw7rX|I|+PaEi4943` zXH--JYw)5fmNz<<8o6ADu{YjS&3F$CcV;}^;##z@svw#1IQVQvz{YqS{4^bQE93DN z?;q*Rc)Z2qXrU`(EEdst8;MbIWIW!eff~6oo*Ie93Z@lHFjetNq+OqKi@vkf89W^^ z7{)C0!NI`=Fe{=O-)3R<1Q%E1>k@YHCVZQTi(C7q;hQH9lcHW}@9C#WeL?&V$Jynu zv^BAcc#g-}jJSMteGVa$o~OHCB5QKs)3fo@d@&@FJQHqW&$VE1?mlZ=uyJ-;HM`+0(SxWd^-fGw94=5N|3O zP&ePLS`>#>kVQGPpP;eEg4*o*CrNCoPlzvV&Qsak+$Psl;Sf6b-5C7eOecN>+_=VS;LfSRd(I>N^{MW9+Z81wLhm>vmGXgiq86I7MU9 zcc%s`2LR4U2bd0ae->Dbcf#JGJgjar1G2ShV$O^nQ_6iBy?Y4v1;926G3#Xmh-W*5%hu)c|dKLl{31MA9> zgZDA)OtEH%Rf*MYYaT~KDjSTW99JpTnG?R}iA?D73vX@d1g zmZV{Y^~93A|AjZYof>8BGOlfF%OC0v!#tKhfneW8ti-Ltf(KhumNyiHR_TKxtVpkJ zv$*k1H7MwgX;3hi%08qf2M;ke<#BVDIwZRkZ}I%+3Cli`c@qb}6W|C6@*K@)X~Ti+ zO8pzyt{D#Asl#s4!7~f;7UwVm_SH6Tk;`Z>Etv-ei*4R!*JmV#qk@e$x*LrU2s>%l zQ*G7J~Xx)U7 zP~DxhelK~?$DIu%=SEV1yn8J2?{^2WF_Y2QNK(p|XR^yL$uK>3C0lQd?Z+KHSZtuozs+G6){R`j75Ga1>BFT0k zWYGOQ@e}+s;y#1p{)vVgCu`Z^K6FUKEvKj7p*0b`PIm)48$26+uiRLoyFt3#pthLB zZxDjcg90|c#@TeGg8F3KqeQsJYSOM^-vpY>tI)iEBgywIV~1?HGCWMblTRq512NFKUK5mW^l;-f}yDiy^4alfA^7nn^yQX zF43UgAvtbiiU-QDZ{}vw+>b#WKYNbacsn2yzxfEcLV6+z8{14Kqn`U}{2N(;bq@kG zu$Bz6j(k$uTr0gL{UdQEDD+;lOUE}P*_9&6E}bN6fk`LHL2#2qJ3c#0`3${QK-yL-y%CmPhXO0W za;>zRtdv6veG+6%u*xC?t#D1dW|SyV9{r-e;8@UiaLvzje%#}3P0?wMyOG4LrgxF6Js)?lFLUkR`2hB?!=2|bA5L5hE zb1juPxfaqsTnooGP&{o-yv&rv4SN}Wn_yM3z~0`}0NGE7Qlz*8Z0n@B=L7uI1&ByT zS(}sTht&X4>1Tm{VBIQaKRj%RS7j+-G7>E4!{p~6o{THi9KradUO}XkqAa);=#nCZ zE|PvyA_X_JNI8j#$Q61LX~5XK{Ss^|{VfU*#$#gZYv`S~^u59}a`APaCMUKr;pQz` zf?iF&w;O-t=BKH`0z=J~-c8x5CYnjCq?qX7{v;EPz)v+hGgK^e=-Z3&tFi;@JfBnC zpd3J62Jb8{CvJw&qAW`7V1TO7Blry!Nht2S-lm&ELQK4N$Q=bsTakus!3>CuEMA?(`j-QIMGK- zCm5CMTxz`GuD2_W**H~`|A>E~k~Ql2H-vF3vpIopWu_*VO~>3Z@3&u_bd1CbMje}f ze=nJ>1lS5{Y+e3O0b9S*u?6r<+4|Ef0=CZ6*gA@7>jSWLfyUNLZwlDD2Y|A7uVUW> z_1xLyEOObl{ushL7bvO!-2!8&H%P%7?gBx&LH?xE)}PORp1^W1^#ukO$hPw`ctqzT?hjt6tdPv6>z%ylQwur6R zK(e;>g01BmTc?QFdPK(-z%ylQ=`Mk`KHRIaCFh<2u(eWS>pTAtXp3G3rWz68nX>ir z-vn%3tg%Hy_YHUL16zF>TkpRvVCzvGTL90LtzHpZPwh$8);nM;uCX;=#Ma|Fwg8?f zTlfD}psfiSTXH163$||7*y?{xpskHMwg8?fTc?QFx-F5ct@pszPc*j96tUH>V+-J! zvh}^40&Qh!Y{}YsA8h?XW9vt+3beId#}>ddW$WXY1Z-XQcCxnigRS3aY<>2MfUQ?_ zYymt|wpv7Nz4%so!~Xj<)=A&2fMerS3<-s!n6m(tTEp!GbzKej*1vfTr(#PUV15Gw zl55&NH~k41l4KB0SG-0VO&Jk00``iAhSG%m&WN$K^t~iCs0o7~M zdJd*5xDfAwkt8AbOqgm;fiL9Xs4e*2HZ6B>C2OWp;tb|MHvW2{h$ z9bj80#hb6EnkLQA@WXZF86_<=g5X^r8ZUvkoh&AyF$PiaFwiB123;imq=W`;XrVES ziO54^7MMsUGzzrPxW*`kFf@KcnojYeuM;=iix$3?s(*)}@is8Iu0XgDYth`!7OA1} z0no|INhdT=Lxx#sBu#P` zf_HsrtOId7SxhFmCtyDNCqS1J8g!BLlM))Zp@l{{6Ok*l989DW8nd;~SZEYO7#cT_ zrc=CVB5}jLXvWK_`ga%_&jOR{(BMM+49)Fqks2D05c?@YHIWotsII>sp3r!iDT`b3 zGW@m^K^PkQ2~mm^cYtl36!+}HPi=^y)P06U87Bo5LZdS^G)962eQ5j~#O-7;35{Y} z{{gzB(4dQ?pOnzR4J|ZIVj^;do&+Z3JrsY&#uVeC&kI}JtxB*(pXFNAj8i34R$By1 z@(aiUDRvn~TypOk^*8QaDT_YIi=}+g=T6{o9W0#pPBdrMVFZl{Qn*qYC$3XunFQu_ zvV{LivOK96K56KYL`nW(u||q&q*i(lQ_O2XK<`)6@!OJfVSsEWHdC0t3f$_LkL@%V z!l)M|I%MWemPYlVu}5C!JpeLvh?%;BpclXGM3A1UmELARi60->o1}ptnj3c)4exj7 z%4~t{HqDirk~k6;rr!rhss<~eGMxr%UNUHqrGCf|K22+-MisMBKNd!=h)ZNhQ19ipgpbR{ezUr$$CU&&*x;#h1&bLK&Us8vHx%01H$*1tPQyIyH`dbsa}Xwg)8%Z&Q_RNY3v`M|UBeoeXWgG0G<*yO9(u29@S zX_kn6Jz1Qt=LO=-GKh1-DGyzPo!ehonsyJT^fKFXsj}7OZ{Sd%7oaA+RFPe|nZNOzKramjaTcr>=w&uXB#YAt4u}HLSH!_8 zmn_cj*E$#HHsIN~PZK9cEDlf;vCGIDT%6dm0&(UU#QEMjfjHN3M6x(}S+Y1pUl9jO zoU%BtJmOrOO5oXe6aL6etDta!b%2`0xr5BX#d++{0&x}?#CdeBK%56TB3YdK6>*5Z zA`TXkWu9_fh_j2tDLz6K=Q1c#fb8@FrX+yR9P!~tp|_Bok@i*u?-oQn;TqD>)+B z+5F&u*&p;3aj;!Z7H9jPoQo3%o{e{D;uML+0cs+46PbgH^T1YtIL!ue?te%i&Tlv( zS)5IZI7D9&2ixpqak5;9lOS=Xc~$E)Lg51I05yrzPv+p_y!n(s9C~b8U%$QaCxJM- zI3ih`OThuNKW-@EU{{zdPU(Zrt+N_;p0f7w0&UIExM9d`TqE z2%|WjBV}=jz9J5GWys<*Kj2)P0^r%W3V-CL{oqg_4p5UgrzeXOd{UY8x_&FdIi(D| z%ph3Rg91AhbCl~(r=)O~BG@C~B%Oy@wrR5b2kbGa2#vHE&jh@x*kS`cYeE9eA%k%H zbUz_*x62_0WV0S&UHpJRwuWTv#}oGJo}ee!*duLEOF@r-;I0j%fXth{6>Lh4)A;Tn3+r0_`r=Qy3|t z;MGycCkm?-3O6KExVK-RrCbArd;TcU(%l@<`lE@$Jqm?0bvGF4waSfS`3+KEsfaXf zan=i0A|hSD5y?+nV0OoUBk7%WsgD!XgUf_j*gu5K%aXBU*nFQ8-0IEN$peDBMYk=UUqIxIjzP59ldu{JlU+Yd9h~9(olDQ~3>&;Sv!A zs^9e#E*4Q};E2|fdvCZ=q3{Z+h0E~SV*(jSt$GTd{!Spn2OQD*QlfB|LSY5JK{EVA zM1h=7PvLeEg_}4cnZhoG!ioF_QK%GApx#nXVUmbK5l19b$QvQY^uwfhuBE>|D$o+S zx1Pez-wL$!EJq|$2rCro`3;gGDxz?*fkL;4!etzhOktHmVLz#b%kU);g;NX^julbJ z(NUlV8y-|B+?Y(^{`HALDy-`1ok7jR!?ClU-1LjHjtR$R+#NTu-k2MkP#f>a4#h6^)W#R*gkstGy#*Y50n zFGt$fpBUEr*6?^+K~~>KE6F|}K0=)w{QX(jAM*A1{t{ye4 z_sJ}2LP)yU6Oyv?Lw&ETJXU&l9o1UFLJ-&;T%CQ^H!qgoJ|;g3&7KFxi!?@JPe;}Z zRfm>&dk>CT`N4rLfd)v^`%cc*VE$^9xt_j3-}mV$sTwfx7+nakD!n#-QU2 zP0yKl`d;ZdZD0hnmbIyBOZUg|>>aR34oSI%7STM6F9yk;SRdG_w^cAUwl=;D#yT}9 zy#}+vTp^G20TYd^HZ3H*K{l&te%iMt_vpZgZOlGG77+vijc`R`^Wc3o9o}JF0eepV0ec4q>Wme)c@ynv;QQSD^QatitDl z(iUmYivt1nrX#}kMo@YNBA)i*h+RQxY(OdsNg)rjleGWEH|frH&4z(_nl#tFa~q_s zkv@ax=LV#|)kx3RNl(IjlnXrgq})uO)G>LO&-Wh6SvfHb3+72XH;|WLQ91D%$|Y%7 z@4GPFmh9fobFTe&AU@+`IAVN0wlEC)cvmQX_3(qCzQL8p2c<8l#UE%;)BK_oyg3gc z6O08x9|ug~)g3D@=pDco1tKW@Jt#fj_sa6KYMM6%VrPG+|E-*G^PZ!l_vA_Yn2A3A zSPI^g=euuf;Zt~7VDBe`J+Bg|6z%g6ww?{KhWt_eZ{`5~=>FY#BQ_u1ke`PiC-?6j zjgWwjFiayAsLBa6QqIullf?Wr36jlQU;R>UHcKfuMfLM1(? z%RsR&g<}&Fw@{mqCpIk0`^9-LtsFC4u^V4u&WkEMqE>;PVf7Dq}6OM96uC?ZOs zJ|$)-CVB_6eBbYfM1k1s?AR6ARUdRula(1@?ob<#=8vk8-U%=S#AuH6`M{{^SVKrl|Q=1@?o?B5Hg*o1gK*z->yd?9+Aw8gjP11b8`JlYbrH>d9f zisa2%!K$6U8>4gp5OQS>$Rkl^2jk_hfruwm^>OzJfyd}8i?e|AdH@H-^_(+sQQs@k z)8#v{xgNzM-%s3$gSaSG!o5!-KfK!YPxxK1@FV6mwbJ{EYjJ;IvcAPqU5ilFhh6`K zP~qER4cF(zPks$Y0}cX1;p^148jz`elVmnptVIVBmi`ll;ot{ z`lcT3fjOe+SERwjBY#TJlG*jgqbLu<;YY`gz!MkKdJm4c_SC@Iyc4E*)@1`Pa%fn3 zj~c9j#r7P)AOYt^(C-sK0mk*63s*$S?z@XYza8#>`-sHvfjlgE51d2yHZgV|nF4lc zASScBiP$aE*j<1IL1{OR3_6pJTlPNiJ33Z)+`(}3AVWy^f$)bqgo^|S-vp0}{L~;; z3$d~!Z!?ol^9ho;o<_xk)wm>+(2Exyv81LbQm|5`x);qj~j0Bs~uzWSA~;IkIUL(;!y#@l`c zT0eMPS_?+^NBY(L-|w{6M|`6OBFdiLR~?U&?lxK0A$I(_(N(RKPJ`9N@bwy$VQ z*HMg&Z~j(JaFastWj(>a>j`e3ax>E^5R-C!wVQ#MzTrA46W8g(H;b;*H)&orQOxlb zc|ZSkj;Cv+Le+Z!zXo#UUl)1*jbEh1Q}*EtMBb(6;FL#kQP0JuDYBV^v4@zsgTC5* zK}r6OTV!Cm#Xfzr*e&`l%|H@;DmPGw((kE2{}*FICHq2!`{+mE(;;bFp#LB)^@j@k zLs`!U3b%x$UGk+ZA^gaC9rWL*!o6G3-9H_W&vtaM9GloTh5YgFWsC!imuCw?%kAimTV1Jd^E0)I5cAWRK$hn%Cf|9 z|3O6)%x{Q2#+oFC$-n30H-RP&e8}!!1iIx!ci@C@{2@kl<1qY8?3eGCBjO*aLf`{e z7-4%dk-_~y?`Ju_8?VE7V&HVCADmFl+k5c*o)OXw3}_%XIOVI?{!95qxTz^=e%rlJ zr;I5+I|n8U_8zKPUjwAR>G;7e?4|3oWrG2FA{o`mJ^8EfiK{Hb(O_x`b>z8wCL!0=G}D1{crR~lemERI`3Eo% zNi_v*U-R`)-@7YoV@C%IKM6>G>HlO*pyV%s!oLKouFk)7WqGVS`z#Eer2V*2@_ga* zRZ(0$HP)SjtHs(?kj(`?58b`?*ea;N_cPi!LdAar6_`(=%1EqS9y=}^ug;C-NPjE* zi}VG;spR9rj{{Yot-Pc*enEC^ygDxss|uV|nRM^GaQs_22!&C>*oncjP6$aa2Kqlm z)V&-kd^uG0(#o$&M@wjz6^P{pq+8vE>^L|i1p*v$ z6@J$uzyh%&;f<7#p!Vx=5u|W9R220p-5`g@jn%l(do^6HXIddmYfF3-p1Qo8&T`7$-a<%@9nD|ERRmk0bc*ghJ+K@OCL{BrrEy4ciDCIVswygeA3 z5{gX>f&+|MYxDC$u@OPIOEJig^Yk2tk6d}t#TSCw;S0I2bH49B`C?!Lhpp(aN z!v#W93a+`3D31o^5Jpe%sZhx?p~7d#jAzrGlLLh>q}=%`-SGziW@w|HH9FuW8FK=? zPYpxKn@jJaJu1-q#fiS}`w$`Uzyi`A4Yf$Cd3_)GZh=Dtr$qBBdxozfwMHkiBApdh zu-4KF*kb4Vux$`-P@A6{Z^+5oK#N7VIh$^-#Z5w1IdB3iZPk`#bn_0}gqiX@E2pt) zKP0^s#AHMswzSs9gMIL@8}cc#l`vF2;Nx<%U3LI%w+ut+d@cH%4`E28mfbRwONf+YD+ey_65I3slA#A%BA)n7;97ir;KVyL#cf(-IKhl**&?` zt_4+Nsl5#-<;wWI-y{Z6?R`+=JM#%DwWt3)xzwinKsZ*1@Y4yY2$yLHe?|=;IV-gf zmKsa#w{Ru7)UHKSP}qqZYDs*%fWq+_g((J>fAlkBsl5WY5+A}?z`In3Hz2_KIKX68 z{PWlPQhO@3i+PLiAu6?NVRN-w`QI7n4I7Q0yR4^C#8!sm7qqibrBNrJ z6+#Ce$;L10-2++K`L*$-IRQ)>4paJdn*1W-bsnn600y1f%X8-$*EY&84ryLLL$()b~-(C6I`^ zM06c>n2;JpFrx!fHbi8qhSWV&&-Y_61&hP(ussb8lwZ{tft|UD|D@@~Tv8D_hS3AZ z1_`~au~F<2phLi?;R^W9%+A+-0~;>r0IC^2>aK&R7z(~FI|h4qn`_8pV8W5*{1FmMJGH7+O}VvSa!$0RV; zH4<2S)Ui7-o%RV8>wWC%^@185zM6+};_7RTgIdO6LU+&LXUh3~1Kzb@jOIJT_|(mJ zuFp9ddx9{bl!mZ_N>BFN_9Yc!wc*>(WdLiZg@$93p_?`5~o8%OrM9P?46`G zQsmqKRvYqHe~+dJ!+r1eoVk&bMPkpL8YJm794lung3?}E2>cdIGT>*J#_gp0?D|t- z%yM2zx4f)y#8E4~^72UFVzzR&ne+KI`|ko0Ugj>SL1-bvDD_Slm1s`lNT_&V zGI5MGpCi?UNa^Loy|5CcJL-6HXzum>!~$T*Iwc1#B)5Hrh+?e}@2qH}Wx^I(CTyc2 z7Y4S_dZiO7u*^^+JsXssj9&vu1{44JnVBTbv9jK$vY0pt+U}MrOcfX!INK;p?6|~k zNNEt}24J8?jH)wLaXux=mf|2Vlh;pvDle~mSol)z5vj{7M?r|0;<&S<)xC4ujIKTI);T|k!uw+-Y|Jn+S918=zi7bMRM}1jg>7Z}TQLAYs zg=UI?U|-LBA!*b3SXRqITb8m8Z0Y+bdKS{l^_!w+t{x72(Ni$d#~QG!J$nbf^we-a zyH>Te=R?+N3*f@>;H0d9f8BHwU%iq(W$Rb5bFhSw_!T)R9-aM1;sOYjSP!k1Y%;7` zVTa>QHyzlri2fD%#?TA~MY+1b9*&Q{b7uhxp)+oy4_rq)YRm0xF&=B~wT1r|Vz(=_E;9&HmM?JGwd3Jt7(P5E3zok z6=`pd_&a){{*Fcdg&jTZE#3Y_9bNt=1~Im!dF(iUTgS3Umwc0;T3VMz+Phmj+WjT| z$nxe$qy@MO&S-1#pY6xrLQf#-Z)o4S`#J&Jn`8UIH73jf@F zC#ASAzgKB~ucXZ4UU|wrB_=3_)hKB|h_Nt?v`4xYukc5%Y-;OiiX!H!5x}kOi~X}M z49%$x%xgcSJhLMjn;@*+GMx&<@|9U#6@)+r)Ay2fUYY6O@np51`PtS*`yhC6=J)Ogu zzx8xXp!Flw5Y3RJr%wVX#x^`A|sA1L|`k#JQ&zht3 zZS;X5vWE^*(*L7+SpA=7%kb}7{e5}^r1fvlD)oMIQ&+UJtF=8^w1{0Qm@r|iKj~jn zTj!Fd@qk;1acy%4%)tiD-3k!OvXp-^hUQ3nw5y}FMc-)bgcM-m1(gS2pE!Tq*!g3} zm6YIff%5qc5mg}~?P5u>5Kkd0*0BX+Q7n%eTR3*y#6=~gMHPiE>eJTfiq1$HwW(qN zK5Wt)G|426<3#1dt!t`$IGq>3WCbKnTVTiXXmrvO$T1zuc>-han?{{&IZt53udA=s znIb-XO!5mgejUr1)_fJ>K;ff|kKCJ=G_|%b>}hRl!K{@#`tEJ%4!`SiZK(J+HKYsv z8)+6Y-N4f9#Ai{`wUf$+dt<>Vhf-dnQhD^HMaNVgBOM-vI{&ZW`8mn|)%ciba^GoQ zwai1t0-0+f-;{mxm>C-Wjq2gK!4-Z} z{*CxG^*h&3XIDo{8WEz#M@g|sfka6M{~XJy@L?*diK*P;cN-~g@tg8*Vk)jjlY&TA zqt=g<6q^(#Mz~Uw4mbtQB*7Ws%J_^=QilsTS_gEjr|6PMv?&dTGxAemBcId$PUXkc za3+qY^5c|F=aSZRq}KeS!p2VS_;4+)bNgCJS!TA)f&2Hwp|UIZFqP8Chc0ib^8^!Z z^sT~8GLH*#5zT&+bQ2Hy0gviO8a}?0#TaMF_)I1cQt;X2XN|7I{4>d#$Pr4I`pSXhyGOQ(4Q(D`ctJtf2wroPn9o2FQk@`~%jnV*-I4pE$w zJ{nuwue9o(j67>uhxhlTr8ahSqd$cow>o6?f>S!QESZMPs+~&9hA55|KHSRcxIXPz z8d;o?oI{i+6h2(bsq_=2MB~$IL z@nKW%xa7mFh8^d%j9ge$8ZN}a5uywa#e-Gc! zquk%93~!y!bEOlmT2j^den;*I21>5O~A}t(CjJCe5d}JZr!Rl zY?-c`(TV3g9@DLBoywPCy;`9g9%%BZw$;~=sW)|nZpux4m5_SVisnR7hEN%rXhl=M zB%DICq}U2?NwF2;l49N5Pb%gT%eEz!ZA(QxiYjeMsVKJiU6E_@ySjOvRGv#MwV@xg z3)<=`2EkojosfE0m@PD(g~7(}TDeV0nWaQ!mNqK0v{AXGjdahwHK)p3ZfT=(OBK+cdGuIlr(5&nCA-{QMRgIqnbB8FUkVrN1aC%IzEvHeDnxWj`H|5`m zUo(_r{C4v@Cgr*oPr4kLk)8f;9?SZihoNEQ_tdb9&*s)se)IX z8QDqU!>y$p=XX0fjha*}_Zr5xk$Ze6@Iz0@byb81{w@UdD(_EPyV71%Td zx~nf$I;QhzM#X9&mL45bd5m-;$4AGqRJ+hpC`uh8ik4jfzwa_(p&a91*p$xp6Fv^s zJy?^Gon$^t<;>VlHgX#E=-N&;{2TGRwiEm~ou`y}oiRjpyTXTCLpk%T##HLacajEqk1p{v6fKk13> z+}>T*9l9~UY57O3J0}iR-I8A6;yiwfY2`MZ5SIN&lXr;v|0b26^bG7=?u^9N`88h+ z$S9th6n@eZ+qqw>bPo6ULZxFWk690^6pr6q?4vRogHnNSd#azLsU<2eoQ5|vZUiY#Ob6Jk!jE|mB-4Gj_EAU#EQB) zY0?n&pDI75Qd?Qk#rmX5CoL7I_MJVrW6hICKIR34+l94>i`bPkt1<)(*8Af(;v&D1_dI_b&N-qw-M zjtU-ML$u#d;lor~ljw4+&sH4lo56vmn!LK_$1}<&oFBI$vyQ>B+*g*QHRs6X9isUf z#)o@Rhe%FiF4J<1JN%~n8}Vzg=nlX89O(|fDgUOq(K-Io4E=0~^2n9MhpC(bIeSDduA%<5Y->8G9QOc&dV~DbBOvsg^$A~XFCo-Zd}}zPK;8* zQ}a<-=@6|Saemy2>@NRemaKCq$4?rfJNI+Y$-v-T?jh=@$qwm-w%l(i zFR}4E$NAbeX&L86k_P@R@`O_Fm?k459c>Q7W&dMcUl^$<(m0pHCb<~#D^h57)17y1 zen?#aWZ+-`7`aU59K)_BUsm{6jgvaMdE^xB9-){ArHmZc1nUQq3Z|$>60;(WO0Y+JS}c z=$jhWB>TJ2x3zqxCLJRFjrcXkbdKM0J;|sa%_ZI8Kb-ovb zmGGyG_HF<4XIKtGj#-=Ee{Q&(G4H+SZoP<`X<;CagRbEee?riU69sBk&c=G4^(&#P8{ z?><-lU7}n#sQ#`C%$M)fDR+KyJN;XCZV;Do=q07+l0q58Kh>{b;os06KM2^5e=0y7 zzBRNijriMIm$pXz1xs7o3n$O~Mq_;ggv4iPX0QgoH1;-iO>1a8FVGOKtC?9-SM!Y! zDPz`!p*gjI+4Z5ooa%6Wb$v|`Y6^yChUUyz&=?F=L;6{B8|r4&mf{0H=7gr>KPU~~ z8KKbp25515&FncfGaK^8dOTouMrfwTlNiRnD+J{C8A6}cf!a`G-K=0}PH4v5+Q6K7 zp&F>aIvlFLV8)z45Ig}-Lzt`ufAqKaEL|AsLf6*qk9H^>pdU|p`DGCQ<&hQ3I=Whl zWW|QMx-ff#SsCa8Q#6?0ZEg3@L1)@n-O-MTo3^65>bgK(btqIfx0dl;7nogLAHaY9 z_Kv8(8*YY;wviTU7hTfY?Z+|k-M~{nYkC71W^6W|H*03FAynT`H@7-K{|6V$q>uW# zS+k&A`NC9wW$LV%p~l)!LqllJ>~PI_f$9t91ZKh&p|!d7p~m`9b>r+gp&&^Q9nY9K zYffDwBUDhTIz74Z7caqAbO?SVhq_>OPghr@J(_d_ZwXT- zaH*YNXSWJIKdG048P~J#+x6<&YW`g zw}SnhIIm&uoS9|pZ#nxr5iJ2V4~a2QGjkqgh@6BP4wq)*Qgp2e3P#2f3C#NVboyw!5V=9p8EQrTbFkx({J<_#!#dxaev|L}% zgZ+a|ZIPD3$zvx>pqg0lZ!D$cskkwg)z=fpjrA8`5vRVoaI9Gq0~W$CI~7BxV+8)O ztTO=$9S@yYN5bwctRp(XGgj`2rW!Gze{#~C#Am%xG7p$CibQedTl-=sNXAkRX3)bz ztg<+4&UmP=M*o*2MSZm&FUf0abHV{hfv(iR1D=Va+T0-uh9Q`&hn9|>g>4ZOn2r#^ zA6WN3RcFomdjG<%)|SPQZvWTVtXz@5nP%jQgkq6DP(`7~K?ctninO?`rX5 zG!rp*jj?hulB7u;hVtkV8wAiKl@=9d7%H4%m}rJ!q7w|PTuQ>Qu&Md-@!iev2~29D zwrgVpIH4MuN3jwt2}87}tG#=izsy#NEY9H%DB{XR7#wJkP{N41s3Xm&Pz4YR~I}ueQ}*{RtMNu0TiYGR|m-1ibkc${onu90Y$7X{J%Ot)`PB+_}^3q zT#hQwq!5t%;4)D!{=cmbKtDDovM7RCE3Df_BTGBc1)^M_W!)}qXoC*1xkoO2CVM+irGql|uV`A}0{OSxjeiZl8!$Vh{wtvSY(XPTuiu)nf8&KE_4Rc3 z3Wo61X&08tzZbE8XHC1XO#UrnIAK%hygBUa?53_JOebRwoceN_cCW8zC4j%PBZ}EK zyt`|%pP0d)hA@3=@Dpx7A#81L>1AvglbtPRQ;+#JPxgHYHO31v(IC5PDPIW4DbD3*wK^LGductuFyRX zkR-p;yrSd7H0UhmgEjr=o=;ATkJgsfC5`glxpY!3=Oe@TPv*l^C8pzM7kW?U7*{v` zMflwc;23`kp1)a~ZuRG4{z#+aRuXK_L?z#Z|7EX|cVuEB4j%6Z?`_zxP=Jk`rEI25 z^B=SQ1Zuv}Jx`M4=h}Ut|4qkSIji?IIwtGi{JzOLze-2T1R8H#W>@ zqWZg=mUhy_-sFO&vT;mmw!?|{Bf9s5>+w1EhptF>2FKy)_%M;%X1vM`z()?Mx-tWiC42}b7B!z0c(uB3prb9Wvns1U?Mt7zcx|#p4PPDNzcdOw5y)Z;k2)wj;TB*cJ}M_D(ug6dN-N( z((EGcmuuQvY0lBVIMRV>$*vXtWvv(yp+g|!p#&)^s8k#I)5kAcP*vkxWW5D(|LA+%O~!)+|qX|pkw^#HW#O1KrUZ~{iH(Qt$f(0)Y7qZ zJT@TFnkjoc#}Kj1jXl$w5Z&y75fzjUZb4HjGqKEyQ6iR-$N5|F1W8ldRPDeQR(t}5 z-x&h6JsY3n*<6o5!X7+ADpBaN;bJP~qK-C_ zFEV18Y)biYZQ4$!)rXU+g!N%Tp?~~%e-fOqJ}K1X%XIw{Ge+dPX`~Qv$>picw7=tg zxJ@N^Zjsdh-353yUVFYyv0tUh`c8e%LQMHk0O7eb+6uuIQ?QRxPrcxbww6M=IacLP zd6i1HUuldpJMjWQKLeEY;k2G(^JwW88mj#(b>~ARje}hCp%)w?x8OO{cG`^Xw=6Uq z_ft&XG$$v?&s@nvbkdYZ^_z*p_-yZ<>PN>R1@wSw`{nrC6lGg;Fc;n0(i8FP<4kwHo!;L5=B9SqcC^&r#Cr*4Bm23hopze$ zFS>Ir_3gF$;_*;>HwlhM-pA272-t1R;jQNdD#i1*yuCON2Gx#^ZIp)m@~jf|fr?VP z{hjzm&kLfD?m)HNCGV+L=WiALR}~BSn~m+)9A!`YJ!9tR`}tKic6{jSHK)Eqg@c2w0mQ;d;rmF4FU1n#zoPXwxXp z^Cdd6Mic;P_y11wGN)rIja5gZ#f$EIT%ErcR4LCZa5$6sNT>YbFqWvT9~e2-`9V5# z($I`!zjh>#(Vq^NJVrWc_^l&(jIZ}OT=E#{=;TrJtl7Rf?Y_x;k#=9W&&YY4w?>v* zN4e1^TvzB0wY*kREPn9Uu^*~@nEJYr4@H+WGOs9Xk1TJ-2IYcMd?&W_dkdfOB@X9&r#X?txYYA0-st3-4^#aaa}xK(WPO#{=xdVDA-O&$2_2H(7jJ0L-4}NJ zj`eUk=2Ft=v^4r9;=;`>eYa+42(x2~xZjqPi0x1-pCX~-S6FDxs!PEqw3|HLG~SQZ zwa8+uw_S-nK27wbYjC9bI>%sfxk`lvx?B2g?SONO*r85t z=vbc2X$(6hed^>C+u3QI06i1^G~_Hziu)4rMpPZ2LO;{p7Y;~t_l5q)?~6}%Gx}#s zWD#4#!TbXzBQ$T7&+wMrm5uZq+YjlYaZy)Cx`nQee_>&n4j*o9IepHoI#+a}9cg4p zxx>=QEA|JceoK01e7KdiVHWdSr*yCpEYg{_xVn5S9#A{hyUK@KadB*22q|BucVQgW zDEXUBS2kh41ePQw;Au0G-rr4!X;DINUDVpFotB2oUgX(6oSof?!LHx)aZ*J4AQk1@^3o-t>0b1=fArmmt&XLSj>I; zvF{n1HkV+!v7PJ!DuVa7;ajMecw^) zYL`Zqc66;M^3<_U6`0lm7O|yRkGyf2>r*^|$M1_L$aVL{{fj1#c*5JI9;vdmS0XIL z`Lf9irQ(Ibb~>i6eNk7_Vt+fG@gaZ5L{c+eHbVHF_Ge4eJMK^8zf3%F?UugYEuE|i zImW+?bd+v1>+EE5K$mMi+zQI9>B642@*3wo4D&Be{YIr@DvyzlVSd0VomM;h8R?`c zk4oqNyFA7@zQZMtkxrWSxuTV2p>!k6ar|&PrWP^|jr9_xK}TPqB&8%Pk4uA&sXRtH ziac}tl;72Ut4zG`r@Nooe84j5UDCB~5})Gnywg0O@?okiE9<+-pLX&Zt&(PZ+R9_3 zqxWVP^0fY!JkOwirWZ2f@MNvtlnN}@(qH52Rj$S{vn9i{SN>Hdn1N>+C0-A91YLBt8zK{gUXI%4^iCd;2BPF_p(ihucr9 zf5ZnL2GnsAhclV4pgp}2e@U5mgX7HUa{@DF&JNVf!8-x2o%DK8?R`vGcu`)*MD6Ejzx+#kx})@@nlj;v zUC5E;ct*atHQKhqU%=R!D!=Rk6Q2s*T5a;I)K7teJ(f5EU&DZXZVRy;RX`hrT(7$? z)|X1ZT)d%EYhNxtSYCJE+HcB>t>+`l#RtN3{Ne|qboZ_2`^&}SMl6ez;n)rAaw}!) zA*Ds7g=bNoD8j=bNKk$A1vT1x5Wl@Mt}kB zr14HG(f7Xh?$0|J=e_ftcVBbC1iCV_AkIP?bW-0*Xzn^_n|MIi?d-d&SKmMrv!M(5k zvp=YQfAlwhUfx^$NABJAU;OExRbPKp{h`sHeI)Sw^N+vy;qSlr^7nu6@mDW??{>L+ zV@DSAWUi5$bR567?-|%94iu@26W%PSxWR;$4>Wc0e1xG&&4<1Bb-&VF6=aXa$YnRNhbS_=pnRh>!B- zk4KNb`_3F%-P(u6>&n-IjM8R#pt)ws!nZvk~3Fj_R-x zY_Z%uebkRU{V-39>DTpz=(>^U)01(2UtMxC@i&u1`;w!Uo(hv*eyyBGv|CC-?Djuae^peEhlNr3r>A&lljKbaZ)sxBlp& z>QHzn9VMPV<{0W2(eVtG|1Fm_A1ZT1?7j;xHIrC<3OXzvN+(vIf)1M|P%{|{52Z5{ zo}#Wwn@XcSU@;mB52d5Sb8*pSZ%i6jWh^1yAJ16D-5)+hte4e z54HQD@K8EK;h}av6dp=vC_IxS@uJ(_C>#QBBigY~a8`Gu!5 zaJ=wzSUhRsg{Q;fp?<8hM^A^vL+K1p z@#6R%7;%2#`C$DkO}y}Q296h=4vQyEyzq2bJk*bM_UP%bcqpBr?2-EMPY z9tsboGZda_l6p+Hy-_+kJeTHO0R3`ZSRaXw)2Q+#&j;&YY2u%!!^R65mvr{%>9BYx zouTwcoeOtw?D`nC3QjNG& z@2kkpn7WYrJUS+K^zWnVd5?b{uEjh4c{nC>{BtI+^!zdFX72OonAg$%qvKb{KR>$7 zCdfCsCi?i_ckmy*`w;#8$+KtG3pt-J&C!aD-Rf`lO}*Xj4tL9|_v{wc_o_FlZg0$c zdiG^b^B2Xk+%`3`D~sa1+guKKwK??OuaZT_xgs1IKG*?+833)UPRI5F%O|6&y!KeTHsJ$8**{ji;)8b@d=$z)S{@~5&>2`cNe)Tt= zJh@n{HdZ44ypn?vIr%i)mI{l{U zk^eFIrgVR=>*-OkD-$1C?YIIK+0^jh7l}a~_%w z52e#c>=WXlb?l+=P&!IH?dNu>&>WaxheyW^V4hUcXN{23cOb?3TAA0KS88{Q{EexT zO6}9}!6Ib>mm8;1I_%g(&BkdI^y^MMl#bI}O~=Qv_WD`A=o@c!+IRL{oE@#tC9`i% z>w$i_-mWnoC9?^Y)P&!RK&Of>JOsU;( z&Gm2z&bt?rp80X$gEd$#cH2N8wpV+NgXRveY8dtTNfBN5*occ#xjQ~oXj9^)VW45o zxLjF0lupB(0XkPVn&(O6_EGiE!eERL?VpX7R7|xx(EeHeY5%nv{5U%4IiA1OyxJS) z*#)zHEXH5bXVEj-1N^BSAC<0mrbPVH{=E}n{j=6b$I#UL)BY9wYhFu6^s9Ld8SS5y zKh>{7{;mAe{!`1J>Nhq2wEw`r%ZH+CkQ?K&|D35uO2_TDW_-|vxaNFi+H+mV@qvfB zijQP?sY!X2>*%m}C>^hQ9UayMsfi7RhtlbRM;8)txwVECc4*bnVf91ppoe~1@lZNL z>4)0EPTvAxxCbi$n-pp*P)9&%#d>dwk{gia^-^-qmDQ&6lqWM$b1l<`*u0Mb9@!pO?7Kst1yq{S`;$5a<<0 z`Henj@*&Sp&wS0ileX%ygVM{5+qWQ%i!mQ;_QOSYv@UpFf^M4!QcB)Wo!q&5JfwKb z`lROrMl7xuH0iK)2|Dh0yovEpIxarR@tAc}FE$nqr@r7<+&wE|yfGiJ`mp_?-FT^3 zo%)n?SUi-DQ(us4-*dO7K4Sxt#y1;re8!9uQvC)#SYc2j^TM#>2~LMKW-4^ATpb-2 z52Z5{9-1Q#g@@7^3J=X0hr&bY=4u--*>FDs}`V=L^zn77wK}l>Vrl426f%33%Li z&fPEMKRr#V^l=$_dp!PLM2I`Hj{J3YRQ{igt&+@_r*r&V+9z4nENXYu{+~ZTskVjq z{TNdHw2xmj!St~=@ZB?BwU1wvem#DD_q*cyTYEgD{XfLl_ukj`cu48j_+Gpa<7@XX z+W!%JJ>zA&e^L51zLWa3phu5hTy0i|-Oc{6JZx8^t=(<0G(+*tbvV0ew?#cVF^|HS zS98jL?9(}?lFKm&m2U$IV&5{#pKM|1kf%p8Dx~?xmv7;_I!S4t!L8-u|Ta)xkgQAJ)(2 z>h^Z3@t|se^M2I#9#6*ytf`t0sXQGWcG^NE>NKXL!{VWIoaPjC@)Y_zn(qK{*w~Tf zgT>2vT57yhu08bEiigr6`m6Ks*|_I8z3dx5nR%k8_2ROS$v$=rNT$$2kWPUf7(B+pXKICGrWayt!KTrrjIH}te-A?RDiXD z(EeHeY5%o?kp4Bpm)B3dP$hi%+boyC(UL7we( zky&;$PdLe{XJj8$_uhQ{%3N*ZA4K}{c|1X^#(V#s?8x4NGkR}ycm4YM=IiTG_`saZ z**raYmM>2YuTMUA573Ub`w=idH2qzh`*yS$l9vltPnV9Pfe+S|7*jhn@7&*-=X33n z=yJC);LVrV{x-LJ4R(*aVCsxg+!cKkb25C?{NeGbgMZpTJU*Fs$=)P*uWnZKtoM38 zU{#g&__@2)YHuHqw#)WE`0K%!-#=MCd-9d}pGKP7D^ny|TJy05qTew8{pfmQo|<02 z8<~S;)zw&g^9QGeDHlE2ZT8#so%zQ|>13Ionn#GE(`KO#JL`CFdDgN1Fzb6S{BGBJ zp&RvW*bb}yVdWgt%kMN4W94k3_h>XKg>1f?uicsbw-$I@q4sg1WBp;qofC8OqQ2_` zhS}{_6WL00mB$xH2bMfYXZ32UDP)Srfx3SbhPeObm41a=V})(Mk4A>ASKg6|5W2h{&5zR!pC4T}3VhF* z`HAzh1+$OIe||jQdx-n{pZxd%@X7K?9U~?+G|xBp9#u_u&b=4qKkqp&E`wQ*_~7^$ z_soABAFySD@x##>x~}Qyuz0wPsK(>v%GkZs-*By5 z`;*#NNuQNZfBm-Oqw>e~+s;4jKj>E~p9|ea@SvZbc}PirK>f7iqw>S`)6PHbKj_EB zPp)p}KIitK`p-N3m#a_tzi0g0f8H|>FoTXJ0C@W6*UHGVy>HvWD&+_?0K`yd@3tST8(v~0-ZlK?L@88;>=>9BYx9XB>Xbap!BiT$hYp9R4v1??Xl zEYSX0{uw2R`(G|w58hDmI9qb@XVLc^=ZZcHkJ5KG7U(naMLK?6^u^EQ*BJdC_>brB z&HDA1&)>U^_aK<{#li;i(_%Buo))G?<>i?%^_DtbFOKhj`0jRpclC0+-i$7G+x6up zs&m<;Oj{h!o_zVyCzxPRtSU!?s*RuKx5%4E_P1o5tydW5k;L zSzM;jXmQ0y9YQga$oI?V4Ra&BSh@XAfAZXZ^WXp4->rUs{693m|M5SnelHt8`sIh! zcmMCt{7=;nKmWh~zw^eEPjdC(q_*riS}lPo8B<_oF+f^nEKdbN0im<=^gZR-5&m*_raS*`s3a z*fqO&4mYE!=SpZ7o6GI>wXx8rqvy-(>zji;C34ta-)){geOfjY$7}l>nR+)*@bgJ1 zyHVIWe&7gJ$;ZW=Nk~(V^Hay4=$Y^1?WA${wqM;MnpUOY1D2kXTQq&@$A{Una?q7> zD|@N+6FrZah96imO}mPYIrihnE@W%wxl((q`$yr5tNF}^=gsK)=K8&xx0~J7^42B@HnQLB-jsp+>FCqzmB}3To6!$H{=LsW z{pzX7G_via4Dnyx+>G8VuiuRhyY2F7Z-%|b-;QbmCjQsgEFMZnk4NY0O+AMBWq&>Dd>+?h8*VDySc^dX zD*dDl52X`p5umd@Y_8hiP~)%R_&C#%Xp7@@%?E3=l#d#2;3KRP9knAD?^+VukN;8> zU!uBgYk$!@!|m8v#H5$Y}p_(0VvALl!8QZMm1F*&mQ z)Bc?oeqwA1iYsQmc5!oe-CC@q#2gqy$muPM&T043Tf`3x-tr@r@AAyf%oGu*6mP&C z?L+8=+w;Ssrmo{uUNR)d-3xZWU4(jOKioAxI@o}|yKuJ{Ib>H|cqpBQ8s&K2=}cE_ zC$@iuPQ?9Rbm@bddlYmKeKPuSs0a6 ztPwx1Mf<>d#ks%_i<{>`ZZmIL>9#~$H;=}(_5!|G4~`#J z+ij?UxO3UZ%46Ie^8{&L<@jN7w^@12PshAU%8wOKf^)E0wBN5+9Ps?G0%|o3#Qrqy zfcB4~5chw5b)(r~?c-N;eyx|jYCce$+rtMV`=|E1QMviY+%*0H;Mc3o^}e;HP5mey zy@DU*(RsLDa&Y{xf}s4wQ9LDX_KMIdj!WZ0Cmu>iiATBi=j=F-?z8WuKg$QJJ3i!y z{k0V*wT)n_Q2#9dwEv(hasQXgyM4REQ6U8oHh+-Xou|Wsp>zTm6&=N5k%|tBhgXh@ z4vU96>`?llbcWIoeLig{Jd{q2#~isduSPt3^8D&DK_WBLBn z{cMzI^;p-r^Kqhe6&IgbbyGKKh)3>^jt*3rS#E($d&kHz~@7S12^8uv>+Sge!}k5!EG zLN{oF{lfsj{$Zm7`)B#*jLq9Y^d8GmJ8*F&59b-%+a-$6t@S~Q%A2=5?zGkiY5(4A z<^8WsSwMZdB^vMYM1Jb{VJ(Gm)t`*Z`_R3;wCtBLBtE_^TSxr2tmFL0C+5|tyt;rT z^xt7W&K`>nx%hU%adQ&xn`yQ0sjyvizN)pq=pLQ+c07*iDTdr+OzZK)WKVIvRGcNM zyY27Ze67d^oL-CQyvb3V(tM%j12yBo2QSBl+{PVptL>|Z?(sZ|%eU)T&vflt{KfM3 zkN)R>`p^FPAO1fd{QBcZkNzF=`>)#nX}-R3mDtU|La&dF7aGRi?MMpf8`c1_LDnR*o*MXCc zsBXjt<;e0+`>#iA6D@x7{>Z4z>wVF&?o|8XBzh;Z&95iXdy%c}I_9mFRRumLV_BS< zbj|l1o{YDnUvb3EIUTV+He1cMbgzeLbkE{Zxk>H1;)AsdCaaO#_1ESCD1`$%dz(i0 z1NOpM^ML{ld~}RwQhBSQS+MJA^v-=Rd00MBVMK9YmUYOZ_M4e--ZP8dl{|{e_s7Sd z-~7>!UwrX{k3Y8u9^C!0=A`A>)*SQ{`{lMiHKDLs8wQ-c%)0Vdsl7z}DAX1BX&J8@ zd`Is?x9VZu9$#Z!n1sn3BH8WC%Tb&4X!+7sD@XRgp-H(6jru%c{1>17=qq!BX8c!9 zuJh==0?MDcx#8?|J2_pBvs0^c`{jBaeedH>e_&6u*gqDL` zdrzn996@c5^RE3Tz8yb)Si*rWZ{N*!9rHJ-eXUpL*Cyj_Gk2OBxZI=3PrE&c{V(vt znmgquR2O17%Hq?!NVJb(yRz4&Zcplm#_ z?~V%=#CoatK*bUA;i)iwbk#$ge7ouizMYWntRoNi{dMHWzFkLL@$EYDR@bhpUf|j- zgg6#5{uaXe-=bsxoVEE!kA6|#fAi}f{hdFyU;q7wFUzlg^&!2_rf&bI)p!5z&-_p2 z5C8s0)$i_JoL{Sd|Fxe#di1mSt{wBc{rdf%-|kGFYwk~Y`qEsFV|LffPt1!nOM4?= z^(FR)*Bi~rAQz^Bk|KsI2OxdaAL}cD-h1+_eE1==0(iNwivo_b=zL+!nwYQF{fS(( z0&xEY-Cy};d23D9Y!%DRt4ikHuJQshbH&H4z1L%Z*xi*y8GCV;d8X;*&6OE3Z2fF> zxx2Z$E!V!@F3nXS7grl|d0JNWV>-j_etE4acsuiomI*6Ju-{emQNx$p@Ac(YGm$`X z7#JlT6o_5L^hHs?Z_M9lc1nrmR`(CXsc#xX=wzCCUOhfW*U#we&)~H5QJi9Y#+NsJ z65!~)j2IwH9|dSGn)^*tu`N!s#d17(GD7{K9Bj^ScI%C%2Iay_w2P}kFUH-ZkD`~4 z+nYYGSpxp<=%7emeD$NRzW>>ezW8CKK(YL;uX}i99*XL<(PDH_kltKE5{%L{@%#MB z6zh7Rj+Pv}tBP?)^ilLyvk;w^W>bv>eEGXS{PG88GIF@v z+1n+}70c#n?~U1PIRfmc&tx*H**WEkaa+15=#%_xQ|>HwVK3TbQHQ-+Ezvcf^#@YF zw)-e9x5_FCAooBAl|p4;)xSS;zli0oLFU9A?eVkQPE37&Z;B%27errySls{HP3viL zrUK>>hSva^2toQVLUI3nW@kmn5}N1$yS4ODbWXaH5G*75{?m|H9r-kRXD0eI`ti}D zc>n05M?W_u?SKBUT&|nFxf#93fF2cYO^w2aW9u6@eN?!h|J_`h%lrGxnxYD#2B)Ww z;*5wH$S03~%Y2QhX9nJL6}iV|zuM{J(W~Wtw7eeOU7NqTxq53h%h^f)=&@aBsKh1L zOKUWti}v;EpR?%btch9mFkAOrv;^#;^`2!)pDcn#Z!dfLp10tO4?hc@`0%qZ%X#=& zh%XO5i|#>w_*qMz(fghc|1Qiv9)1?q^&Wf{ogI7lSvZgJ@UxK2KKv|<91lK=?l67$ zSqt`PZ>ayf+J66&x1YZH$KUyD=BAbE>-hTJFF*Y6$`AgvTZ{XdYk%0jvCm1EM=I*q zQBEIxFI~lYS>tQ;!d!*EH!fv**o;m_`zJQ*{CazMRj+;QmoGPmccUvq;81;TD&}@k z#B4;pS(!W4qd$E*`f9T?jF|h>4KtRvlV{IL&;G5^^P4xfcZceU3`^^Mb&xAwu5(M$8Y`M>4Q z<_`}BpZPP>)1^7PV|FYZYM zKdXL!^jZD;FFyS8?!qiK*_EZ6yMtYeC@(cNuiC^r6i&W#wSHnhe0;UN-aNJnGRsbT zqXk3R1Yo}_U>qF%&33;s;fd`(4%f8*&HZY#F|w^WyV_iv+p$Jvt3WFFV@=9MqA07Z zKdHZsj1WM6%&PGC@kqi?6a#8zJ4%Dy`hbHgQPDKr!ScMHbbXawp zdW=LhbXYu;4jH}|59H}wW*psi00A$rJ4adbaZ0HG_0NRs^vG{+M*n+7NY+s+V)RB ziYuJe;Nt%(+N@ar6=+Y_cW>Uv;`}(8I7Sx0(mU=aukL<&#LA2+*nVPh2R|tLX|p0P zqKCmWd=%1bD)Z=e`v)IA_$zBkEdR&laMu+t77vE`%3fJI$K_PhX_HjdZ~U*xwQPoY zWzravf{p&xOxGA65yEW4KK$p6nnjSW z|2zcHV}C!1GQarqDh|5+y*?`b%>PvW;P&bM@=wL_V7G2fQW%GE;VycXv7QE-l7syM z>9Y!<^qoP;``5rj__zI|?0fMAV+i7NA4WF-9r-W}GeQDe{;)Okb# z$cJra7afEMe<}Cp!{$w&RhHxep_$C^H?NM<%9jwfCCm=Ox?pXHzS?`jIt@vq&@ zsC4l0wd|kep7!s;x!k_m`KQuJ%|GoQ=ie5eRr}yvG>I;1iabPYU!Fd$BWPUrbnskG zM~B73>P$z6#ls9ymI@HRyxdqkl#Yu4b%r^PPKpHs5!=VQPxKz29UILc{3U%Bd;j>+ zj*r?39zWXor~NV zg^y~$;ggP^UHsGjorb~w(I|t;hm}7UU8MYX%qJQ1lG|_9Kg&Mt-wn`W`E>A4)$0NT z*gwlZ?cbS?3-0-`c^@*q82jyjNJZ#8#Ita8b}6+FPoEV5rSDW&20F2vJssAQsHmMP zm2_A>Xy{GNKkZ+^|NVXI0u|M;Lm-w< z)j#V#w10;H=)WyG(C%yYLv%P+leGy2MjwUe=|{H%#?b+wzrOD`{zhj2Vh+UkYx=MR zq~l8$KI+0w!(jg`|FnOaJxz}-&j9+n-`%vXcySgi#aH*w;-mdLd|>}<2&D2u_0z>a z?cee5#^30ktzh5Y|BkNaK>pvc4o1Zb$Iq&NRDJUJ)xkd%Z)*N&|5SZ5CP0hhldb=> zuR$;>3N~_dX-?`No<6KXYld_s4k)ESBt&V(pbTZ?6j?PjZ`P<2{GMxY0=#JK7e|yybovfzE@B)6@^E`hxJ665H z>9f{PqocEaY5ePXoD3Gic|wz*`^Eli5_Zsra99y7!Itfybs^kKC~{i+Ke9fh6Qf&H`m z)BfwYP>u5b-=F!P${&7F-(UKR4_B`?tJmff-uZ2L=J846Or!Z_w7!3~wy|+p^&r-J z-*_5@)0jn+J}WmG4qQBS?if|&hhY#$Z<)8NN z^e63q-CE6Nv;&OiW&bSqw14llV*76ApGv2eelq@P|2Y4bnhSOe|FwU_>$xibDCrNV zpL^XyTpnMvSggWV(jO3C*SJS59QFUdpyT$5xu_$zmpM(J75DW|<_+G()B1)EZy((F z-_Q#PC5ohTwyCjN{UF@gCxszXu978eXrSt?QWU>}Nb?0?-3G%)mq2 zMc0ir4DvxcA-;Hja*WUQC$&@UJ~Xg@HV)9d)5QU>e|FfTaR!cK)jmCzf7-v}-`Rh3 zlYFb*qxWvx+X?L>-ai%F$3y$C9iWPF-u}6S%h{L1R|x$ix?rOfU-X_^dpn^VqANOD z`-?6#YHug>_vl@zMt{%0KQc>>^_^Yjk_U6M)#d27=~3Ogx_3OStaMqq>UPtyQVhdluJkW4m#Bm-=PI59>RO@0a{2=%6t`ONYh7!~&Sk zxuybNDah-Q8~>_i@^uoc$C4iwb&D2(`jw}n(V~V9i>F14ARS%FveXdd_m&O|r&T?e z9kk-1V)G@;#CRwjU&_?cVa<(hUli~1biNI{Y{f(E zrH39{@lZNMkI;D5Hi%Pu843@jQ{y>#wtQwXlkbO%K}T=5yTje`>b(ndF{!z)cs=^^ zxxI4qaI@QoydvKH(kHKIjX^Zl1=)z>sWO&A{j)KL+E8l#Y5&1S#r&Jea+`H>8t{2Z z*F0G2Clw!XbOCU-MwM=y(Kd}f_P$=XMjgtB(`b;ltp1W^vUywr%I%=y7oi zitu=-TUZQyFoinDha11+9el^+=G)2YU|G%-NGaX;99J9N=n=7_`Gw<;TL^kUm%(vj z9v+>ZjBhvN%uI2g<@fP#Pr`UnF!TH8jVbdBJ7@m%v#*|-J4iP>e;f7Y-rU)3_fFYa zMah}dSAHgwTb?Z6vTSG~W+hN@yt=IEz!9;gQ|mYHs(VV~v*SlG)>)3y;pWG@l8(pS zQP&aUzVD@QIc`Tsr*NE(PLVhr7Eh}py?fJ5EJ`{mhY-II>Lbz^Rbj>TJez!HR#;G!s3ZV19BEFZVPaJUkuv+FalbRnX?!)rV zJY{s%3Y{8MD9icySHx%V`rwZEVWCrgT+zos4|?brkG-9B%!j3Nym_--?QU8hZ>MZW zVhntD)hlp*G-8bSk&UZ`uzpeq^Nk`tmr3mDqrVjCnX8k~xYL!tNad=%7s=UM^qR`i zxC`>ZDwhcl;&IdBJU8#7DJL%854-X~1aHj`irJb%;767h7Zce$x`^*VA$HoNE2}S0 zqPHH8+DC0ilW}`|J6YzZk59}yn&#V+$EVrJOS4CRe0G}Itu@(`Cr%!du6lt~Kjw)} z-Mg!mql)d))6rN#u!D)P{xRX|AI&vpQum56_CgKs5Hj1Pqy71$uslp-=jyPA1`;?<;B&;Jt+B^c^1;V@@SIN>g!{Zr@lM* z|MA7<>bu*QFMrFt$o8Gl$m|8QPhB3C=CMn2lyp=cII&a1rFE#>l<(K$>C@?xP_Lhk znLHWQ$K~=`^|ZItPwW0sl{@`Rqo*d1#i!%%m%8^%+`A?2Jn!#+B=-CDojX~<&adc@ zcPzh(!kv9c)V#lVUcKE?J!c~Ko4^k$%F-eL+~u=Z%3;`#dr`RSi`(%tH17RsZ9Gy5 z+}UfitlJm2=SKq^(6^_<8hXn_LPLkeL+QlxENQ%1-&J^ej(5?rdm25eb`_ZMHz{r^ z;1ID?bQFpd)7ied9KREEjxanpwuSgvo77wN4J<`iJ zOf&k)x7TPJ^3>?b(KP(9qM@U|7Y#PvmbiBt^|&LA4-p*=B(LvKzUn96uY3Bp6nBFT zi=4(0uS~H&HR!M-DfK7ZZyR)2Jd_TOr(gQ(^T6m>R6l$1{IHl?9n#rvbbP8GKRwTz zM&-s7e855x+qJ=|k4`}T;_1Lps(9<_RA(deu;z$i+u)a*~&HJ)t$k|&| z)=R{XQa;jnS*Oo!Nmm51D*j^$!EFPNq426fvO`{(P9!f`p=bLZ79sNXf2GvhQhfV#d z+%)=$=&*Pwok%|}UZ%>k%ebKqmwvu8O_gUy{IKHT-4Tc{cAV(IP1O+8t)j!?p>%?} z0Xo+2JMd7ssqh3kEFMZ{C_GedL*b!xRCui4e;fJeibXYu; zj*l=pIz#FwmK%#FfqsnNe=GWl>9BYb=qIK#q<&&LEFNAzE?#!!mvy^nd*Jc7>e_{E zuI=r*)|FjIo| z8Wg{|ZD`#?0xtiFj*X_#e}gYv0*IgWfbZwa(J`hp_)T2$eBtor`FKnRAI5ZaSUl`7 zCZ}WU#>7YlrJC76XU0Hj_^zCtRg&=jslO3gFQ6r<5QG3 zB++M+FIc1E_|&AsS~Qik)2NaTi-*#28vT_zznT5lRi@*qDMSUkLPAUc~Xdy-S@ za$$Un3Y|&Dw3uLtUy{7uBWF{xSk%1uT|kN zy=f|aM;rK)#O*r%c>Y*E)Dr9Z_s0$CJ zqpcs+_1g3Oo#rxQ=eN`80C||LBiDSPYL(lw5I+?+oL@KTuy`mPmtWU@GmSU?w)u@~ zKMVJwwbmJYd*M8(Za+JV4uZDvBd!D6@mW{PEc0&9SL*so%@?bGX&%t@@-#=!e2X>1gqox|G5^5jS`)B!Q%nIq>ia7Qt1sxU-r4xHpkh3r^@Nru>zxVBh^F!BuEF7M>5{dqI*GG+l%ec#PtN{=4a8phe`Qjt*OmBztKg2~HziAJR%&ZHi{ z8ho)L;8dC#KNYo8sge$hhthE>#po0X^eG%C#p8h0r{{~spGKda4w_a1>j*MD{qoejD)}~^-X~j>a?7UM+hs8tbIPX-@nfBGEFwaEwY58LDbKaR6 zKb3P2eYWDEbTs<3aiCgn&}h{AXY^igntZ6i7pzfiyy?bICG9k-q{HH&beu-zbgB*1 z8eKN>+e|o56Z@yMp$ zKNYicO)F*v9TpFz<6IM@WAYB=9p5D$mk&kn#iWV1HD4_JHt$5_+=ZWtxuH%#pIvw; zorXGbI&8F|b@HL`P&!&XX8&d%`xM8In$F<%)`f@KfmT0VcqkpMeym;hIG-TaPeezd zBYD0O(P4dv$}Z?gMTf;h=>#1?bT0G`haphx#Wul-x}Z(;-^9m%7n)2PCS%O zP$opj>>JUmGvr_Kh000R^=rO#>IBBOF8ox?4RzvlSUi+YL!AH}8*e)5-_#Q#NRS`Y zbXZ7~P6SCqM-e_%a%1tds7Q{doWH3(F2v8&=kGjUEc_OA0&@0rH0spQVez!66QX10 zZwd67djC;5ue5xz_*3b#3qO@}6mB$fX7NxuQMdu;MPKQBV~ z`!?mFT%#=I@9ZP_xr#_0t!sPCz*Sm@!an-O3+cXh@wivZp=0>tq~QE_J`wtFtb z{73I}x31GTeik6!g)oot{bT;JIK%KR&M4hV zt1kp{FpsKl4y#w&>r2|n;}@U2kf69Q7I);&EqADIz&c||#}J$&_}FN`c> z)g6hpJ#@>V?qPbYhcBNSeRiU-4|rePTyNgn-5i#0HoK9nI+RczzxeK_zioUg_KTDC z_SI&+TV9Qp*O$hWS2xzcO!z$P1AK2>#y20tDAU9K?&{@sy?L)P){*(+-S&FFT~#5t zVO5WR_xX=L`|>No#B)#e$e(!XwO<(THhpLdSOvJEZb zI*H>;JKwDH0mGLlK^ODYTD76YzEBBv^Bu!abQ3*4fr0p8WkmT272}8>QNkLv7bQ4i z{;!RnoL_2>^Z2}LJdR)bOCZ<47b{(EZfi3!F@7_iEq4dC0|vdwCdN2lD)=e!QzH&e zrIIs?htdho0?{!kokE*(y;S|PC>Wze`$rcq(f(Qf87)M1-Z{Z5{m;jL*#!|%|Dp+Y zXfG=miRH7o-7Cx|ii5MX@wwuMRTpD!a{uqZOU=PKKPXR@f7-uudU5~DrAA$`zC;BV zj2{**r!Kj^+VN6xI(32lv;5Qkox0@xU$h>Ert%5=`*<=F6&%I-D*0jYQhrk7rSc4T zrRxCg{L}se|6ZPRES_hwgDqnDU+OL{#`;(2*U2Z79sKa)QI^T(7omSy{x7wM{r2*W zE+pDvJH*ATNIQ->zHn7kd?mwAUBbJZjt+~5(($f`(b?_KGy`0)$3o`cQu$W=u&B9! zDfZV6yi}@Adtm=8|Fr+6)_y5}C+?@FhNYiYMt&$;I2Pk~e6bSXG+3Ige4KZ5(1}b% z2B*W~Y1gP&ZZDU;6dL?-CL155{^sQaVjM ze*Bg#;NyI;`lNgfg`XaXYvPyMrJ^F1dMMJ(BFmYT`ry!vWuBL|AlPh zT+|+mpT!v#Ka1{-ZH@R}jAilA%SUMcp*=R<6`(y7pgj~58D44LxL%!K-|Sk40O|zZ z$>MRikZo`l`(@w@cg_Z1Q`z|B^*xp46H@uUy|`MRZ?E5Kic3u#GCxxA#R`~>-ckNl z$Q}R)@dI3^EQIThUVn+_{bt{zMs)%2Ocp<+daL+>RVMbk4!m3}0Q+b8r~SJDHbb@pnMjXe5T<1V+zhcrr>;HdIs_@JLu!>a|+t?RCXbI)IZ3-?1IzKzi^*{^YvV+IL-h}&#yuRk3 zz80POI>}C!`RO)4&F-I^j%O!lw=ZW;b9=fC*w4J%?9GV+&D6k>3Hiu0@j8X)3r>+J zU!8THljZa@Ge-n#`EAVk!GLQ9)-`6rx`wlh*#sNMX2SY}?;ori%!K>$L;te*d}xpP z@l1AsjrYqL==U?w?`Oh!xyL)h#(~)k8wX}!9GcCrI5ZQ^dpus@I%;SS+K=qOd9Vj? zzv2wcD`#L{IS2E~Iha?@&pP8>BW};ZJai7`S#xkdv*9)&n~6XQdMK!K#s?)PYjD^v)leu zp-i#7>i$s>;{Gdp%oGrsdEY{qH^}v8{lxNxLZ^Jm#$PF4K-Uj-c&52fQu{zU)6brhE$ErjR8LVGOVT!8uJLYQwNcCt!gzruPZ%onR~ zIxIBx4bx$VA8J>6`z__n;-Pe!c)WdK>jK#smj9Xd(0stOhwME&%RB2Taei#tL+b*j zJ(Pc&_8{IInm?QVp}Ku`Cfo;C+kFj)`H#o4{LIO7jIC2-|*SUuUa2kjl3KbZbyMFiaI=b>bFpj*dRY~P6tM~YL0M-{XuwIx6>xFWC*qi^=2I=iF z7w)@(`C=7FW1IIyt3V*0bm6Cl;$w=A4vUA<843@*4`e7jl+I9iiqYnNwb`sU>(R+~ zuGXWW@K8EhJRR|$imd?$vFncbN9i=+0Cc|T@*nD_4M0)+ki}VX{BV4+{zmy~2tXb` z939<&hSOp3B#IxMc&Ont^_fmTl+IB4p?*CS9!f`xrz3t)vu?ma{JJB4P&y4bI31n) z;B<8EgVSO0P_rINKa>v9kIPr{Gps(5%O22*;yPBJ$gy*KSw5E4V?AEkgIj@raQ!^T z_IqbJxZfuS_xt1-R$s}%{Xsdl|2)gV{X{vqp9s7M&G3WOw{viR5O}XymSg+pO?%LP zh4+Yge#Y5@D8JB{NDuYzeVezzOkP1319y&1^NIJe1B*`lNm^6dp=vC_K~;hQdSX=3c(+k^9&LO4H>`-R%efr)Tk%slH}D6(WOXl`FIHZZuZFyEI^RZqW#!D`5$YeSKNaBqU+KMm zQu*HYyVuohZw!}wu!z}b|Ka}(kDNhv>j^Iq{%_l962E=+{yG-1AAQCYU+^&WG* zMPy0R3%=WAg6Hy7qb`L@xQMuj-~M(27a!aBJWzvGLAPx*?YZ-Xx^UQKdrKkg)# z)yt*0D}U^LzlVuivVE;MU#v#B*ro8t1o(yb7)kM0{;0p-L`tW$`&RhW@EZ;p#tGrN z63iE?e#%$FAtSyL&-Ys2JEbKSFRO^3#C18#4=W?ekC#!zk8B)qdG7>!hbWr}*AJz3 zad+8jtQ4?QK!jHoZ!vyg1w{PF;(3t2EYAA&;Cks~BJ-Efzs#OPd(hsncTchjxPChU z*Ka3ay<;LgC*|dX-4B{g!1d$_Sbv#JLH!ErH34thd2~6RopeGE9_OEwNmv3Vec-=A3VS`_5J;Lc@3f^}x749dN%Il(a`b6WmPmIKJD*I~pSl8oH1*N2LZ4vw78%E^u0UcmYw(P!Fq zaL<=c0AhWv4rZp@HpJ5u$PXEq-8ecd97@Lz-4z|5PYBP4$^FLQ*X5X{{$V;g0f_b4 zk#EwR-)Ym&->1U!Zc^M8KP+go?4RYI_K*8(d;TZT zSK@KDJ-?^?q{d6-3G1t4enR_)`R~ZrseC;De!Nb+AFm_7r~IVGOXcbDO5;NZ|FnOc z|2l8(Jsu|Buh^N-bDD$4!;bk0m9W#Gk`5dxY2G2+Pbal6lW%pbFSQClJWhBzIsruE zZ`!=WjK3ZD+vjsqIeR)ReoDu=a%li!IlsB?wFKDhkL{#pKM|3UsfE(`bnN$tVR z2Ra4}Ixcz4c3=|g&C_AAQ#u}dNhjc!?Qf9cH~ICqg5T5WjX!PvQ|8%v35fL{(a}gC z#Bp&Tx?2Z+>XnfPi19~sSp1Yud_PAT-^#q(2%&9&Z!vhW-Xl610R;UE_xGdtJ8Xd7wdH&s#$VB4ML_Ae6hFc* zTd(x~seEl-bNCkQE26^+m)fxtuJN!)zUlKvi+^bQa`^k(mn?q__9e@= z>h}2=b|1QFFUw~_|7pj=_V=v$`m)qN+TW+9{d%;jg)ul7eFY>d* zb^9h?tjlr&i1?D}+uH|r9y~u2){}hy!um^S55;ryoPeyp5&DPDtC{{~@h|ib#S7Cv z7%!%v{|nD82mV3(5uSJT{R_{bhV~#{VLs^l7v@i)J!qd;zLB2^^Nqj{XrI`5O2ZFm zpV)ay)4y!K>hWUd9nJF|p#3aRelUL-pPh-`b9Q*g!guaMdn_J~h3`v;{xN$SpJDNE z4CX`QGfZD&?7f$KJO=fJy$_R*!T3KG#(!zPwY*imYT)yYapLu~_3!vdjnnDM3<%jOMk{3wKVCMk}) z-8Vh$Lsl0A`C-M%4C9f#VtIWrmW>}${@?cYH(CAx^3y|}vhgq2rz}3o`R{3;iSGk? zTltG#p4hwHd6D>DmgS|!-NU@45azE^{Z{;-;*9x``LDN6Fh4GY`7wZZ+b91kg!wMW z52}C=Z^3*Td?zk1zS`Tm9LETO$DzYgtX`68gVUh~mHSa${aLCq-G^-N~hL7w3F z0oHX3;e0*tBg>zBd$6usbk}vQOUlwd5#xi>k?Pc~6+RNnY+Y9R*p*@y=O5dgR{R{7@3+=Ie+LK&X ze+>P1=7*cj)z!_4`Ze|*T0WV8I3^$t>^(HY50>YG@1dDxIBdTp_+FXmUpDXYdKS*R zeS2`;Fah_2Ou%`=1e`Za!2KW-aNYpE4`h~2L4C>gYyafqFFyP9v+s}I8@*ZHKOOz1 z-BWFk^((2DOiX88o(5~1I#8)K z5{;nG#OraMFBU!JD?$(G)6>ytQ$vTv)1pm)&hmWq>aI0Yrl(#xeagm3ah~b;V)0YH zbo%VZPmfeL@u$N>=```U@pcO4&C@ZKH&4g1yjhC-a<~1dIXkM28X;aJUe6H)P ze2*iv$Lc~;u+B40d=I`khj6Ak(Mak%Q{jF9X}oZJ;i0c&OdS6l9d;n2A<4Uzjt+~5 z(($H<(|Mzwo<;L*VciJPr{#;q&kkjY@l!eX&}SBm&;xSl#rju>O^9{iTP6K zR7FSOqbfQq9$u$j&NJ|SfSK?;W2v8AUS4X#dBneLT?XWb6)EHPV!au>ns684mCcU< zyscrOH(nPHbdMwk+`>A77?JvI=R6EfFf?R z;_0^k9IFS+g!KSiUai+wP&@Pb_kNtX?hyR4^|}knR}Xt>#ZT?h8_%fSDD~;+uy`n)q4Y`ZW+*(Aj>i+?TIaqt zTY!r4fVAt}RUEW>X&s-boq2f$97E*ijxW|;C|_Q_xSzG+r*`S_>*%m}D4n73P`exo z52fSr1iJzAbKyNjxL#VvXKH6&ULJ?=J|rDqti4daynJ;=5V*W&dX(&Fz(I4dHful z_soU!3y&k0jl;e@c;D1qcwRsBkMaZR4ZJ^UKEdXlb8w&j9Necr2lwgE!F~60(2wWf z`S>~L$8*q+=it8kx$qpiw@>hV_#8YRJ_qm3pM&SU7s9%@!@B^_^DMyoMHU%$PGyl{ z=TsIMHZNHS>+?ZASl+h~)<1p!-SNL1X9_aTED9`cEecQ%;5e`V?>$+7ae4vX^S1!w z`T{)fv;glVS%7hO0mj`07)KYveJkEh!ML~(-Y@O@pJC^D7U2E83*kKyfgdbSS%BxS z7T|fR1$drn0p2UH0Phu8famfT!g~k3{1>1-EWr4-0LP65Xb<3fABzPvUnJ1)}5C%1tmB*MAC!-9-6r%8`fm=}XAb4X#hI|~==sO;ThqVH z9;9`%x0}}Im}x!J*X2C_!h8nfhppdHeti8-+W+0(&vU zM!J9oxHrM-r=r86p>zTo6&=-pr;r8k@Tl_#vP4*qHXUSHmS^Ul22zW0|^(|o_5rpT~$8`Hj%jwKQNQg|=4$APV@6q#^c zMQTUeSGSty0X^PaSoa0_K@D3Rhkn`{uWX%Minrzm#_Q}y`Yxew-|aW0Jxlpt8+ocu zI984s?5L2f&!K#wg00w+*Ims0jOL;M-6S@S8@X^lg%rQ%OD6!aKUw`N(4+mMC?dPU z@>KA>$O3%-vB-t{Dx`W=tttTXVB0?`{DxgmLHT3*>x?{Qd6kcU*!w6&4vzmhcF(Tq zA6qBOh3{c_ykI_(WBV8ivv6wfw0*g~+Ke{Wo89HR^V{?5&DZA_%iV6f***PU8Bx7I znuSWNJvc9wzBlOPQwYyh`1atsV*#!^7Q%5b@B_*d+lN<3-%kttVD>D1ugv$4?b|c# z%ks6*KWNX`er&@J77q(-AED_VtGj{kR~6vLEW=g^No0R`9|DpZYN{jqQw(cnA$Bsv>;gxa} zo2xv&E*%|QcTgPnbXW~C5z|ru#tTn}#Y5@D?ibc4CfIq$VgjzSPr!9{@SP#^95a@G z&B1-nbFdyT7uEx${;@s0+8o|#t`f!UDbQgJiaKI!P~!Nuy4k;0?(r)9!{s@1VO>Nj zXUCTcJ>a+d?R6sjY3BuwFD-tr7cjq)o_7cIc>7Af0OiLy={b3rFP(tJ{$=!-2)}Hd zTZ-TFrNr;;OSoPV+GF**Id-npJZGHN4^14pebsse6Ai=7e8}S#u2V?$=lN3VPfDk> zlO*_Mbx)WtC4R3L>|H@qE=_A+%jKEPDB9{7Z3Y(Nqww8tseA)pDgle*W1yo-&=qu8 zJZ%ZOf)0y^-n|qD32uUhti4g1pAV$w@Bq&@D4nDF@5~dnqpg{NkIR3v^55J%!MizZv(fFV?Y8`9Z0!E^VYIwnj|^w858jU# z!g~><_O)F08NNf^XCXXq0Q1EvgEuay?$wE(Dm@sNiVlm1(h0_;qN5tVf%>PD8;hrH z_*T$i@zD2GhtdzF)6|cP{|oRO&7%7p&FfL+q>XyjqI=)cXk%S_xh;oK7fNnl*={1g z?Y_6e55b|2%mjYY4|sou;NG;F{fdq>Zd_a>if6+6G+@41e@PS1y7ALEqw^O}hs8tb zH2npZ8|(ix&J2Zz(isX5jWa{xp>&4AL*Eu13J;~D!?RkYiXXB%q0|pNU#!2Si65R0 z>u=Prb^7#lSUi-@Q2L~PJro{FXDB?>uZO}z=?sO3`t?wFC>eo7bdO9o~N@pm2QokMw52Z5{9_rUa;h}Vf!bAOf zC_I!-6OXSi3C~xD_MP?UW<3bJS91a0tGNL8zb%CO-#lLMJlvvt-`AVb>rqwii0fwE z=iEls9Ea4&y3aX`s$78nU8f#LRZOFQmv%q+%a@7rTj4n}>G&#f{CJZ1Jk8~0s`x28_bT-p&ll^TY2v4+!}=$UYdZaVIxHSar>TEf zZY&-e*M`DF=?sO3#b^}Je1B*cxYT33J;~z#1rBtwm)xyy~kF7?+BUp zvUM5HKX{&L0p3Tm0Pmw&VDB0kyt4d1;FXOlp*^Ty?0vXG@GjHnYE)&HX66(2NeTDS zdmP>O_Km7Bh0L#n`{Dzg&Uu_A5a9szfxXjI2;ONr*=DEt%lXss>Ee~!Q!+YzIX-(j zc@p@XgLXCt?FQV3yAbZf^?FIaKlX4~YOXG8*w-W%-g7L?SIlOfnlCmnYD@IQ`)SRt z#YFgJb|u9h_!<_!m2;*y0Owam|1$rV;*a>!2msQ58vL?2A;oX?U-PBHU&o6{F1$A; zw1@Hz)4yyVdFVgw{_gwp+uiofM!OId?6>XYv~HP_4~!k=~>Kkzjy z{%?}um)WsY|A8+Ze(x{Bdt`ij>>TnW$L?*Lj$?ezco10 zj&F`Hm35fBTZ;ePyHxnoj&F{yq49t7O(OilcVeY_aeQgS`68KX*K|q#R0bY4K1xq4ehMrNG`lofO#l4bxs0x1B!~ z!h0Wmdocekuy+p3wRW<6+4CbjpB~!F=B=SUC{OGh^JH>%hTMy6+C%4_&9!!zJSW)u zJCljT}#Iy&zB;2H~EtJ z55|}J;vXM}C&GCK&X-DHfiG}A1KwLXF%Bz=H)7oP_vcCMH}QJ@+VQ1QhkU+K(Me#B ziSMrre5nK=&WqOX-X+q1+WD5}O9da$e~CYV{)OwSV!!l!sRSU`|2N+l{inb$%WK5= zJzw7j{siNQPn~QOnIDY@`8?AuDxGH=v2Ip&F`!ar<27mha zTJbe7e&feU@C)}hi1qLJ(&6{>3GAL-bB%b~b&E1j(3cE@e+l0+63f@-Zxvq}0n5j) zK!@EaNXt5*d<5hPfewp@(h21wkj_}G&`6(&=WP~$%@->Geuo35&o2B_&_SUpIxHSa zCn!`-$L4Wrb^85ECT^sU|KEy#3h+}g2Xz8|6X+<^3DW7ZPwEE&Q1H*h>oeti>D%y6 zE9Wl!yh1U*>B2+lX#CU8GgA1c@P2#o_!;*%m}C>?L0ayn-G@N#1fl*%pO@$)X>x}-SX#(c5(DPKe3r*aPX)8e6Y6nIR$Nuy8M zx}O+-%-4|mjOnoUOy#W5XH191L+J#4dcRr7))_;4**r3|m(5dL`zg47J_Xm$r`S8k zllcVWe=e;1JG^sYojA0Yja#9;Z2zLvkFND^P?|Dyn7_`2=cQ1-SXW>}wPj15Pj=#` zF6UiaM~B5j>3G-1=)Bb}_4qt$p12NQ^235=l_<_P+VN7MdgWpLv;5Qkz0$D$*>Q!Q zO-{`}?O(ya?v0qp-emDu8aLirepr1au(wvc)E*T2YUQ8ypITqk9#Zp9`w#s4@lCjX z651yo53g=^ip9)e&%*tVQhUCx_(3gN9DnNm*$6}}uC@rYf0lpRe{B)s{@)t;=!U#% zT=jO8c%QiAiv`YxB5=I#!cPV4U0O$n#Y5?M*VfTt4U&eCq3}>TJ@B*+8PpC4o?xG{ zd73n?So>@pYA9br;iq;(@F&AV=?sO3+RadSD4ia7a>amGdUZVi6W&XJ=+pAW#+!up z*@~aqO%Hvx;-Peg(kHc>q3}>T1doqv!uuma`?UGqTiu)IKHpmi>s4aAxBTEnFVB}+ z@lqr7#)0+E@=yEsMiI$Vwl3%FVR6=3KRa1YPq&lPv)h-mr}>l3;bs@bt;LzBE`5@n zEc4Us{&Z{qeR7(a?^AQPOa3gI-q$}pF)=a1Asep)4y(QK_4d{I z>gIZX&`g&iIgMqwrT)|8i#0@U^a%Rve%}d_mwBPC)$=GrwdY0rq^*$kKzU6_cX14mg^Ghb8TrO=vlabS?WI#Um6b*$B&2(TMD4rgwIxhaV(<4 z;-PeW)}o`s;-M+?P&4ALxYHOlGJ!89SxqhS!+HKdcHVKMu0k z9y{<-6Y+Qx@lX3t%|A7X)cn)_Q}a*9uhjh0{!{Z$$FJ1<)BZjGe!LUj+by@})_jcm zqsJTgk=5lfeuj(>t$3;bdc2AFr~P~VV*Rs2E%o2j{L}tZ^H2RZHUG5#)cjNbP0c^; z-}4{*QI_An8o_Agv zxyHhS-3jO2I=*0!5a;_s{B+!f<8qS@i-*$j@mVUjw_~*~%er{5Gg(~(=ZnQoqo~7P z@`cl3a~vvTr%NRr77wK}6dsyW4TXo&843^0v4+A!>FDresr*egj*0!i^Tpa{8h`V2 z2KF~khsBe|-#i@_5A_3`eR?`99!h5@`=ov_6dp=Ph3BxmGaG=ev^#*Jd_|TAO8qV3 zi&bcg!-?~ihz@I@)Da?uYUr?dD4j^5k(|N#h44NcpQp^z){ic)ZdN88*5ty?{7HD9 zz0|$}U#vP>%uBu=6XC(CJGL?&kxFX!&ui}eUA>(2v%O}rot`E!AVf6CudUe>|T#w!^ukJSc z_w8ey+1bh1?C{R&H#+0}+|x0(f77(b^gYJj#hZ?E*|_NJW1NHh6j;4uEPQvt^8?mj z#xof|Vms13EadHaocR5%OWngl;ymGUX*TO0RK5(&w>=%yH!T&Q4tsg8+8P__Pv#GD zd$Rn%paVa``y##nfce*0n16--W%bL@UN$fG?YqaT6MM*JQpM!_^yTDf_Qb!NJT1Wb zc_DmH#PL^5WOcRB9>ZT?`{SntcF((M5ArXopa1RO`1{}g7vKC}AO7Wk`rqDu@R$GP zha0o#vU?S+YZQskfo>1W{cCmD3i;Y3@p&G{7wqwMoO56B-0j3qXHW4gO+kmn zL+Qk`v?v};uyamR@clE>UY19A{{{2>NiOsE(7&wC6537Q^o(>!Mnc7Y* zuXK3mfY}(x65^qB8UtC-KX%@DI?rVKcXmC;-u;};!EtO}V17Rb{eBM4@8-gH7QKAH z`Py7KUz6JFrM+z7u+@5Cu%nqQzAO0Bcx=R1=Qv{T@hGB;JnYo7C?}wk^55y^aJRdj zHsj_(_#Uzp@AciAQWNK|F3xYR*4lt5jvo){X~Z1Hkp*`D@pLi8#+?OrPk`yaQ}2y& z5Ipy9`p5Fa1-S2UA*-8+_1!tYqQhTfSc>#4yhl@N_l_@>^+kEiLRdF zIA3pCudSh5AiR*pdTh{Pg+}T4vERkT+1VL1znq<6`>;)W$iGc{D6cT>q4}k0FB_j~ z`1(b+ih{Gj3oHc=1F()iKvYv&J{aDIpL#l`{3S0fJKblCms zG~P7u<8)X&l+I9i=$!;Z;h}U?cs9#k1{(Z6aXlg8i&bcgfr|6&h>pfUHFQ`!Ee5Kg z!{VWa6B($64vUA@(0(Ma&Vm~2j>?#IKRk+`@y|EjAilx^16$0 zR|ZY1RbfC2dK1n|G<>lGAmu9zfZ}o1q-WjuX(ANiPl|`q843?ggoeUH=|p(EJqzcj zh@7(|_AHwRYxo-4o;&eVJ5%c4(P8mWI+6Z0a$^&2YG*^?p>!fV!JfhKIs?b+468@w z8CH+Vv2zNu9NVvH+RMf-FHdZL=Pbw0DVX*$KM(wX`W5c`3;l!o1@~3t!aA4dM_708 z?S=abLVHmD;5v8?u7l^`d^QK?vpIGia+ZVh-yEF(=Ggi7SuQ;P?)3}Kuk#rgudsc5 zvwRN5Tj9Md9xpf_&%ya@0nRrIaK2f9^Tz_5KNjFV!UCMH72WG8jrv9b&c6z9{3^il zs{qHZBFDy~0vwNu92<`c?A+X}0QXH6;J(QM+&5W(`ydP9dX|e11$GW@R)G5;3veG~ z0q%nY?>jL3WBFbI<_`t9ZxFmsVOD_oMgisc`Uw;!-RUts4ZW(9U`!n6nD5120% z*trbD50*a^V18AA`Bfo2m*Mpb=3@nzj}>4(RwO>Z@%ptX&Rn(~SE5COFqx0{K@`Hg zNm^&{d?|_n{Q#tGO*^P(N(cxK^`xmte4gM)S zKPA>@;EOfr7KH+S(~X}BI#Q^H4vUAi8JnL?z;iGY@SMs-n9oY}-nEoN4+7M> z2=Wo;k03v+&L}^%&ct@ng_kaQI=rd)r~RkqpZaKO{%QY?f0tiR!1=)h+|Objct`HV znN5UwoaZ0hpJE4GvT}9K0brv*9;uLX5jcW2gk2Dm=Dasd;q*} z&!`uR=W}qs>Kq)e=ivJ4T)4m0+0R_K?=-Z>#`ifmzR$t&eGZP-b8tO&4z9<}!S&cV zINr^{{YT(^db2sW9thsQXZpwTw>h|eI0x4c=ivA|2glzzIR4JT^{zQMKF-1MYYvWI zb8vsq9NZr?7v3Z0;}!P4&uk9vKbnK%?;IR|=fZWmfESxD%)xrd0vz8L;P}1($M*#| z-(P^^?*g1JFTnZo0^Gm60LRk>xZi34?zdWieSO@(15fGyP-oA7k-nKE~pYX%EU1+n;Fq2jz*)FHHZSJh6J+ zJWIU}ZqF;Kw@>+dWDH+2y-C*<%X5@%H?}bgb9OVf`4X>>S~{&a)loXp;=H9(k81|M zEUqH>TW@@$d_{}-O};RD&xG|A0Efo9BDu=^3FC)dZs(*L_`&j7^IQQ`?>FtS@h8Lb zU(-J}K4-#w8Ib>lJ?GiFW07uD2|gF}Ak51(e6iL+`3gQ4@rC7Y8Ma`LW7A$XZU=s3dJgST`OC&T-#?l^V)tB|&<#}@|~;oD2gu{I&8e4bV9@o@j_T{NBDC0IBAn0P8Usww`0;3&z_S7$30x5$5?Z zFdxL$m*zQ`|AY5;nEtW&4Bp>i`p4v-3-ez$KIY)~o`dxv@cxT=4%UZqaQw}&^OG|budHX8cZnq!2e*OA`ll&xmIX-(jd2%|ruOBv* z*B#e~jXxsDCPwu1hfj=rz9zj7LYz;;e6d44<;y3MiSg6ZBLRO}Je1B*c-TUMPHwDz zD4n73(1O@d`k{1&!b5LB9tsbo6Y#ik2fU}lY@3sf3y|M*%`B*yd2xkx5$XLN8oqGz z2iApb9PGkR%`(ED6c452%_T7&YL-Lcp>!fV-u}V57I<&Vya4N9;5{$qS!itDSAcmk zcrVFZdcT2_{{+mtCScw*!S3NQ+i>Xa00FaPQZ1&33iTZ zJ^}ZMOu%)73Am0h0oMg4;JUyB-1jjN&g;eF!e-Su{P4qX7=I_iIHcf9G5iAKl>k2- z7Q=9*qQl~$bi#0@qQlPi=&(2x9!e+1dX_cZaf9Ymnk^DOu@SG6s*%uv3=0y*okcan3MlhxGxfkYl@9Zz78`Lo)ZH3VPg`F z{k0EA{K)FMVt&fHkU~OsH(s>%Kw{EgZn5K*gMzenitSM z!TbcgC&F9{1LZ#p@LmSfUY5_)@;B}X*}ri|DE^!FP<%G+LH@D%s|gQ~J(%_&KiK?l zafYprnQM8VdXZ_5+1nV~f3X;2`!7s;XnZ&AF?$;e?=^7tKgQ~Nh9Arx#@M|`i!rv} z#I(o!cZ}s%rhicWSUzU@$NX`O-D7C_m#z0o=N-#~YGUW+ zSbpMz_0RH8`}Y$bdH*VP$>+OE(?838g88)VpDi;{@p$nk;-B{K#a}IYKKjLn-N81 zxBvBzs&@ax{{PYWpZw}4)$hOd^XmIw`FTa>S3atK|2KbHeScK{{%aro?cezO-~Sii z{FfiT_YeN7fBkp=w}1KJ%kt~r*8KgCHNC%5xBo@`_rI*a|G)L;|Em7}zt{i&-@5-_ z|Nc+@RBWf~)%tCls;Mc}s&;WDx=q_0E?I9k*N5}lr{9y?K}{buio5J!vnREfYrsT=r#*IeT9vB`eBx^$C}aVRQzm}y6>z=0L)A!!v!;*2M8=0!d^Aib#s}L!%RlYkjSsb*M7tDp z^4l)2ZeJ-B=*ADnN2tE`tyH@bSVs0_rz)vXm9_6RD-*L2! zyR}`kJbbhrgzxZbb7(CPQG|YA_xQMhT_tbF4=(Y*k5Jyxbxud+==2h8H*aqj%EU+8 zL3-J5*UDVO%OTokos1t`Eq*`JINDZqlpiN=E|5EWs@rAJ_4IB1Wzlwl_I5J6$)e@6 z*8ZYpmG*XFd8EA^lTcB)MB5Qs`-_e(wYL+}jkYr%?N4g|m#gKjb;*DYNu?y5{pZp3 z(MkAG*uG^*KE8J0rUR@G#T9N;zAPR}hvZ{oI`>_GS```e*kOM#KP+&{PXoAIkDa)w zfE$X$>9BYx9VMQ(>#tkuHdJg4I6nU8+yX;sy@;RG{IIYoKMmM`KX&1!;w8AncG-o8 z(&>XoA6UIVM%z>R#Z`;j@}pCv+)o@GbY@f;CQgUN)2c{09a~2|->+_U6Q!WXMER;U z0>=*vo%hMKxT%PPPpas!cqpCVlX5!7E;R)Q7cc8PIFFA1^!7)~4~v=Cp=Bu@ZYtZL zLlqqs52X`yh|@_CS5xIV)~>##xLVTr7UF6oO-c9|fqM%(@S`(w=yYs0)xro~M~ z9DGtmhs8tb1fRs|=mtnXKBlU(U0Q#%dbIqoz*$8m#!Ut66{(}c;-PfBBIR^8SDN85 z=r3#&?&pUUA1qQvbIEX0N!A)e`)B#5{nwg;`ai$Ax$e0>oJZSQd+W>b!-8g%2IVov zE*u@j5U7$bi-%DngvaFZ7rHq`nJ>9~G`h~EpB`&|Sj@Z*vHYzIHig@lbwf_8BISN!<=cvz3b>(2oDOT4lukpDaylk&D02ojxKOz@ z@pymiSl2-6RLUF%^OH{8RK88z>F`iGN9gIxHSarw^XaA)gAb1{LBevvIf7HZq=6@^$>M;3+>fcvQZQ4ysHo9TpFx zOgWubw-;(}H2U%Wn5te=^TPsW6bRc(7j7!p*c%bM?7~Co#NG(fX&vsV+z1}0$5i`Q zE)8ydngisKH67FuHTKeqCzT#oH@jV~zVXKUV|2}KzkId95A2olIMkp6Yg0*w#lvY6 zqf;c%W2(B1m9OWA#Z9Axi$%LOW*%ilrz`~of9%-TB+k-lP*C6=*}zLC*>6J~obczF0v}z8Z>#{nyjeDOyjD#n-B6nBFv%o>SF@ z>bU9oV&#xl&z|15q-Rf$#g|CW)@i0?9@R(F!JkvrjUMvFN}@&6a(jPBPowER^jLf? znwHb6{br&|w1R$9)srHASm3;3)8eKA4nviS4vUA<2}2J|XWCbfsp?5C9vgmG+zIvA zikr%}haN2*77wMP(PM}=8clkC>^d(b&RZM&u*f-0%I&v72M)1HIxHSelX5!yl|iJg z@CH3H2gc#~j^~HP%<0gQN{O4wHh80o4vUA<3El|PQCE0_9#hqmN!I0boKM-+4!S%SKlsG{b0Z9v78##Z@2^H3jfxf?5+qQ{@sC_sw*}ND2{aC zp>$%iK(SF;@qAl)q&E%e?6MmVrPHuWXD`vS8%M_-v3&hL z3Y87}I22vWosb_^=bR18wSKrGeqhu{UU_Z_*($LLdBB}& ze$e0tMlRQPNyk<(d*V)&PdE4(5O?k0_DP*wJ4(g&o@!l_@C8c%%^xFrMG85j%I67R z-=-W|YgaVF#-m+q52@D6B003yx+q`q=!nF@az3jK2T}Y_mw%hUT=Rn)fsf14a{@=l zBR6hE&j=iCC(R#PGkL13|DU;c0gUS?@5MKk326w%K!5;gIeB3z$g=jSl}U(YS$-&k-&OKk-##dls+0$bDOF z@w2Z~FHKD)4lF!=$nzyj!%s2=Nq*$|xso4YXBoMEr-jSPctHDbYd z$rGd#VXx%5N2Q&({YRlyzhKOF%lqeo4b7(@^Lh|;l2v$oI*~DHup<2OxcbeLXDfB} zkk8Bc9X;F8if zOVB0r_&R)1!$zTxzKC$}D--voE`cXnW_u&?7IGT1E97-F$%T|*hRChz zicBp{jrt1YlCLmYU40hFYu$>HvhPu3$_aNxrW{puj|uwN`1OcsgFG77p7UzHA7t-(WmPJ`gDCj z9~mTs8Pa+3KAk7;BYQr>XvxI9B2(8^$Ph$PQs-{SXk*U#BQRkM6y&63gb#A$+bIV2YdVQE%ozE`ne0Gtn9xKpl zy8R+~&RtPb(bi;4kV-9HChDk|*2Jepo!>8#mr24Lkk`=_nHsw)>RfvMAg+s3Wgd$ZAX&t*$#M>UxZ#uE!|qdW@p3$0+K0jH0f` zDC&kWMO}ANB=c2a4e9!tVxOkNE$TX=qOLnBs=5==<`%#0)vT^#DU!*muu!@oLXkYv zq$sItxyXK% zi*#(_vH!Kh{^h&>7B7A8Q3w9fC!AFLE%1r-mp^jy_oQ~(bVT|$%bdT1smTA0)13Sp zVy6G~)lRuzpYEhWKj~hbTS2a-R*9%IZSxb$OBahk46)vj$enn@&#sYj1aY)RbcH{Ginnjlwe zW2)Xn))Co^6!P}z-l7(>YQBhI*0wm^*e2bp>q(HS$E<4?Y28>Mo!0dx$klZB$W;V) z+)|9&Te+HO2S7~xiH$I=o7YLFGn&pHxtiV|xjHjTXLL?7O?Ewm4LGff^3!QmByV0{ z2ijQwNz>O=J-%(nVqBk`e%#uOV~&$(LmRu%xf*mgCV+xOLFD{y6?q zS%25IW1pG!>yOhvmGVuMrbo+3-U{}NB%_S`OydWSeq13X{esFZn$o|nt@>33rt#Vb zr{9L?x=uVp?oRc4#~A(TL}_}uJ@Zfv;m!L8K|ijJ>{^H+=V-DQv!xZPX2s*vk1Hmj zuQdg244P5JppF8~{qXG6T%)bFq?$4@x05NCesTpCbs=v?8)|1%3$C(ibPEaTjBc?2 zxhm%-hBO)7j6T9P&Fn04bwlo~HcZcwSEfDB;>nxM>NJ_vO?sy3yN6-SxtwC~;I?$0 zEMDgPF@IUs{ygXYojT}zFMiH{+x>0bXFkn8-?;4W9^s#3ml@l8Tl=*#q|3tTxC-B{ zCDfN8eM&e_M)9FMGFcJMljDkTo^%}HJXw<>&(WN*p4!>gmLZd~g1o0DGB+E}lV%dm z)2M>DfKWNoh{JgroMfCGESDv-r{TQls?L%%tWY_!gcZ({&Lo@{u4lbRd}QS+Tux*2WGEFbr{R;#JBP}V<*sm^#&*eLXyI}i zU29x>mIUh|oFs$}=V|Jl%vy%ZY5ZrFM2n$vBoGScY3g0$KeJ?}GK^CrpU$_E&?tzL zUTzZ3i>~)vZ**6gOGopWBP&)RdK%xF)A-h0CfZNr$TVV@o`z2{!5A(V?I&_%axqkn zOdf{wqU|L|R`SE;G`x_|G+a(oe;Qw&)A;fn*`pSsr}3?H%YLXF*@G6&)7U2ot;6M_ zePmAKBXeX5FoaX%KXYVF)gt~b$#VSl%($h3Ava}yAr)gefe_6O( zbeEFXxbeKkjpxaZGC_~--_2{>d|u<`^JIUt!0YKv%7KY=gE9#h!>5! z&XfIX;c{VH_vF(I0}5n*G>Ef6W=F$$(V=%i(>)Y4-9tg)u7wQru7(gVnyH|IW-5r@ zw-Ck|9iA1)KIc$5%}}PG7?Q;DNA0Ym7ZkB*Tz{No9X*b}O|lOnF8-v>yiYUl)R&8P zynW;pPS9BBA)HX2X8x#;Y^V;G)9|91cj_a%9>X}JoeMpE6Dp^$Inki|H1kJ&WJjXF zDN@vT=bCiyq-9yvCVte$y9@if)05-fefzufll96}dAvKjzq>Fw>;3M0y}uja?k9sH z*~#gda%IZ0uy=2^RPXXQQ*_OCO99<9r|S_0MNYUV3Fio5{2n4~GZvz!sWHU}E7e&o zbXW7;<#caPwmVbq@5ywRi#_S?QntI4!x#K_{wfxzsqV@#8RFFWTja&t&n}k zZ&-?U)s628ljV!~CS3k|-(<~cnUYV%aGBR=h@ZCFo8uH*KF|(Td_?#8eVVwePcsEe z&zlK7i#njk&BA%nQ(W}OSh!rcsdui)6eg{U`N!J7dTge)uTr0=)W#~#cU_%pav6~i zpIK^F_f@R%x!PE>I#aWh@cjaQ|7xpLD_bVTP@j@cX|ypjHP>uGCz};?8r9mw+*GNq zU zhSi+0N{vRP(VzuD$J3Lz?-iKmrplI@jAwvk*P1XSr`<`u_jRVbtV?-+BW%cPxAdfx zDDI(FOhv*D!KdAUIzL^V?C-30@lG^1S;lW`)^w)#JN;Wu*}@Gp{G{h^A`4E*Wgv2eL?7w0)-tCv@x)8AyJJt)T5iX!N> zNRjAOjG|@;UDOPr=^3z~RQ;OaE*&)na!)0?hOzZ_^^XT3iF);D)y4bO8|ndTFmO1JXQEFFk!G zSQEz9uUN>l*#_Ud0p_~%@T!&l&3cJ4XpPTsCyXmmt0mrraPMEPmL_U5jb?SM(c@KZ zzhYGG;t!%H0>(fe9X0op0|r8DyFM~dHvd%ZpVoM_2AAx2Ki%nG?ujP2C+hM%Q2|w# zaa@t7KgCGjkya?@YC>E1tdzJJh7&%49<`vY$Jgqv>oBj@`+anqN4*7MEMP~GJIWnXBPh>_b4w=#31ewvD6f(7_5>7)u!7R#;4PY)r17}l7@cKl zS}ZtMSeB`OYiCg{oz^UTBU9HrHLe6DqZgr&8E#}ezREi$)SZR_rO|DT!Dc5gni{Xq zOj~>mf$w1Z+^#&GXEY&TIzuj85x$4VLm0PkTeYfkIK;<>UUR}&u6b>xQbS zF(3y0eeKn5F6@Wn*_TZ}uJCc>OR`WE7k_;IJJ( z4Na^vtRw5Qjx-`H>Gfx48kpAGS0TNNE>_IwBEF0wsFNB~#9rW&k7sK$HNQP$d>5nLH70fT+Tx;OoW2hSJMrw*rXOjq zLHZ$kRqQ&1$xT|_S$Ko~NvXW9&J3nL%FP3_6;E^Nf^Cl^9?oc%S8$ntW*MbB^{Gd$q%Y%{Cb(t(m;Cjq5Hz1$H`Rrfh_ z%V~Js={by9;9N`SWhYXI{k;j=VO;!)+gVnzbKBOFv3zaaH=sI5VUsu4Z<6~4 zJTu8@HnOI3ie5*S)J;OD_I*RF<=k#WSgPCq4bqAcqgPVbGwHT~r*pb)E2rqTB)6JX z3!n{m7Nn%6nbKDnLe4d(=mR8L&7Q@yZtpoVHO^DFGaV(v!8~C|(~0Odrvt4{L%JR& zr_HOy_lj`MhTTB1&qiOt--uCBu^u9=ED3=Q5LA z${I4wyyoChI&a&`sGCbW=73&HmSPK^JTkbahIvI1g#5PZx>M zTg(+DH6Eo;SEuy;^DtWSXqO^WWA%NCc*3i)KF!fK%nfV8G2NnWx~OwEMP1BV)NGOl zT1}HE>dd65Yx+fa zzJASdOia}3xK%8m5{5KJ-JjN2LO;3KIjnfada9(UYb*V_w$iU_EB%@S`00L~L+;lc z_e4pZ63CU%VI`1@x2 zT}i(#H0albn7Re$bibyzz@ksI5|9}kgd;QBQ6e)ss6b}4qs;Uww#NwdAybD|<3%&Q z8efP^9Yf@j`=IN|^lH2dGIbit>O@g2R7oXh{8FY@bJX=3{fy)rsnD~iBhs!!uhfL138xlCF?GrDgB+OWfbH7ynQQEN)-{AXGdE@tQz!eNGVE;6mTKPi*exyZEUMh=wJm}Z(> z_ZH?>u?{V3g**!zE~)cKX`M%+_YEu1>O|3mFqyQ@C!`fVA(cADoPB}syYL;hhcQk6 z^bHRD=-Eyx{$8DO{uXI^x%2l0Z}F$MtaknmrULFU7yj+t4xDK^P;}rQ%Q&f5lW5e@ z4I!hMRLa2EbtS`L4_BmloXj`=CRmD)f+^yh1oV@}>QIly!(=o=*^F|=*K~YQu1=P# z3G`-Lc?|ssI*Ik8yW}LJyR9Unn_fkxreDnK`U~AHA(_0wnmkj>Yo-@6d0l^z*UTWG zWVj7Unz{%!uXF5q#U3AtRu{qMb&fr+3mEgdm@u!1>?A`v->tjp0(XOl*Fq&)UEr11 zOgZ8D(fH?=)lweLA1nryKR_u3^aN?qSIE>1>a_0~+R5 zGkun!4|ax17InUaK93kKsks^!8d^%`4hKu+1yFuSRCrRY|m(`%zF* zM^keaC`%tq3tB8PwP>T&haGFt!2>eGRw3ooY+6A{9Zk)APgXZEgUsmZm8@#QBF6Y3 z$y)}o`lmM9M>ef9$Fw4XaT+hVAK(2#BnQPWfqU~){7rIvZV>ryy6&5Q^`jsE%k}?s z=`Fwb+MUmT_ujYdwm<*dy>EZzItT9ZbuWJD#1FQO?sUFi_X+p=Gu(8Gn~MAR&$0hL zc-?U_2=dm-HF+=`z2F-~Pm=AJ^A8WK#LhIP)DM9diGkb<_8`>FsX% zJvViV&#rUQ-gOg|ndzCiX3xe{XSURxo~__4biQkNXxqT>Z(G*T_MzcTms@dMRo zXDQFmdSMqdcDq+`ln}pUyQ_Wj7i{8h_VG)Tx;77O-Lhx1!?>{>)GG~WD1m~!1}1wH z!gzf8Nfk$CFeH7CIXQzNGx?p1e!XUF1qb!&?Jg&wrNrWqP&EWEQ(dbu+R12}?UaP5 zlJ^AkBdaH(oeB+*RZvRbuYZ_!R#X8lBXDdSHl6L-wRvc0WPK_{_xzE8l0+%!kyQgl zrpg@b2ICc%vmlD%x~e8C60&5#&LZU`$5!M47W~Fl;WhdKzvhYj>Deb)yOpGcL}cw& zktqW#naKl!?Ot(}LUwq$G7y#JMJAb$Q)DXLM!WhlR2Ye$6`bj6nM@UiOOnyFB2x|` zWM*dxR-O~4-`97>Wm;Nb}uR7Mw$ezp%`>HQS{n_+mi|05rN0pBa~T)mXtk*Nx5N;*aD%h+oFufqGoux*k9 z8XQ^GUED&hI3P1u5>{eT^=C3y=h^XMwzr(Dz)TjKWiH%z*Uy0J`U&3%u@o zuwKEXdbJ5_u7OId;g-9#UY=#m)ev}hW=i}_HU9+5;mW=yt|p>I?9!cUN?lj1yJTc| z%g!CU-nMPW)}g`OTZcOH2>*9&8`!#a_pYJgy@Q(vhBpoEl5o83b<+Dz$U>TwhV#*B zH&EU_`DYcHCa&Ggq0J8VWYKzy-RV8u1Kk^Onw)>h?&-b_orU{0s9D6vp^VF!%&rZe09v z1u(`BC(zDzAME;9nVGqrx%A`8SDKxz)XJS(^6<$S1W{Wu-Tj^L(U~o{SX4M2y4y$k zRxg*Vgv#8`>>k|D7)C{QlMvvd-Azb>Wao&4k_1({lDVpgLFTIZ@J>D*TI|l)v!Vzj zGd=n43%feuQZJM|DF=NVCeu6K*OPO4Vq<%`rq#Sm(D4Q4Pc!PiD>nVO1{2pWT{By% z))O@Bq;yWTJafXKM+VoeDo#DhHyyuv^pgo{Y#(#tVfDCjIu#e6&Z(BCF;=dQk0-T6 zb9p>EaRuru^6*U#Rj|cG(It3}j4ZZF7g-Y7Sf|qz&XWDuval?x@{wLHSeezzFN8uK+<9_3@=1npTEGJUO8GUil+xqc)caVuQ% zp_({w`Pel#+Gy7KGyqm_d5gAZa~f-Xb4Q(gWtC^H65QZ!>aj|t+`#)ScHyZ?ZK648 z*?>0Y&hwiyO^i}^Z^zKqs?_l6!GZJLb>Twy`Br_pQAV}&&hlVLT!(r-E{`mY_0&qu z9^^SW;=90?{95jb19d?4;nfWlHDGWSp*u_IUO~Cby#66qb1#~DB}sJQ>7JYy^w~f{ zKH}Pdxt&!KT6{n{9H{BqMX1Py#w}CF(qX=$#whWj{kPQ^Q@~jq|%Y z^SDi{w=-?8Fv{@}eTP|E5ucO+AcwcNTNG#kRqxCNGnQ*#`C9%!Mq7JZa>`*=JpEEW z;%a!w`GqtWgG$dUcR(tu*wLvyCyzCDm#@qRYU z?UM2lslVV5Nv;nV*At}^IYbH8Qv!RSbRy*m(vkMCYj7~iBD4`Yecqf_g|U7bW7zh& z1QwxMzK_#x{d`1fFv=p9THaty35!TbCsLju9cdBhQ!+jm;r0`vlkhwS*~2LZ+?&f}Iw92;U1TFmXzp&wUKo_feN7LvY~OAbYp5$sUuitj}o01EFB_9dsC)EQvKP>4B zC6UId$W&<%EgU;lHVzLBxtr|mtrR7GwYdE;Znxzm8ThK``w&H|h>n&2Ah^iT! zTD`sWmQ7nP9~d0kzIn))Uefa%qA8`x=|a+$V$+Y5H=_PL`s4s3q0e#S3B+{O)gdo@Dz)FT%E+RGp&3<8}rW7r%F5ha|V#J)MICU6=D8@8&=DbnY6& zpZM`^{)5ky@b^*i>>6gd$)@JJMJkpmT_oeuFh}AwMA8~bIw~(cj zHyGW?=rKlnH;^Yt#~Y-^X@`8j{oZOV$up<1>W9#YTS1<&k`)kXC^At?Cs3mTJaw8rhC75_o}V#s64f6IBq;5bqUnD>if?SRWdw{PQeEpyWy>40@KJJI z44IvZGq(Sv=c2?eQ{^ZjhTSARH|5dSjoNWJl0t*R^Wu6TT`t+zAPv$hs|-M7uBzr_ zt}1@_a@DVv@=8zt zdhW%z9lG@6Ds~-zd>kKRI&Gfs$|~pI;?s{Sr+YHA&wnyBOK$v7n1ptjD@)d(6=k(^ zg<10Mf~u_6>nLaGyauA3;mRuKuw+@a4aTyMv6)7*S!(C%j2ts zo04&RXkhzwyYi~Kk+||oK2EK?T(6mS1y;J+czP#%BoR1P{kAQysyBBkI0+wd7V4&g-sgS5DOc*IZ6PKdzjfRVz32$y`+^Aak|tMY%=I z4fM1AGsHP~$x#_i9F2i-5%IqBJMI)9n5+~c_St~Wsx;u03sPM(peS0*sYSFc`I!LHfZ zd?eJ0IURobCCOH2N8tE$;tJ$b-w>Zh#Vu2tc5fXR-tz9DwN|axyws|V@gI%3S^l$$ ztrmM5m22ehbFB|)_bNuK=w00k)A!X#25Svb1S+F6-aoLXDg$+T}?(nuW928;Hw|LaV&#f9X4&G{Pa-{x8C6E?3%8P)mpVNX(R2n{DiyR z!{XMi-P?9;#k7BIAI^^RO+GeZL6;og@X-ZkSn4$JPgk$AdAE9cdMxi+aNlYM@h?J6 zDprBe&!fvCXGriv-of$l!|$ra$GgA&GoR+4Z_~-Z|^&iBafPby=2kSQh{~UiL|3Ul-_@C1?-XQ)2 z{B!*O&-f44?^5$0#GgR_+KoU#{0aEy_#^cn#GinFZTnpU`_Z=FApQjWYgded`bnUF zj{j8Zf4{E%E&=}>|Ec6Z$?ZXL`bUmGlK&;JA1(h&pnom@LHr5qKgp%9arDpepGy5} z`48$RLHpJ6AH<(P|4E+iiKBmx|5WN<%YRTm3G}b!KZrkp{;z?4BIDlI{)6?q)cOzNPhda$OWFu7%7To?Uk?7*HDHWi8~;RcA7lKhjemmp z6X^fIDQ>?Ue_ZX0Nv|wJHY+Tbb?oEhg$?Hm?yqw$+70M$U-$Qxi;p|Wzc^)H_ zN6s{d^W=JEI8SaE3+Ku8>TsT1e+uWx^`&s0Mla+h$zZt*S!QJO>E39arOBr1aJgum(ev`*a&o6fD35G14(Dm;k=+!ba^x}3aGr)2 zvcEP|j?A!!@-%HFP1at+<)ZB~OIjlKJsiq zs9d!B)U=drv;)!TQll0IBi}TOt;bZN$^7F~!t^oW>K8u%a`RW6odG<%|ew*{<{AH^L&wcu5g*RMguO^Z8 zB)8B(QW(E3y6+&TQaFoU-a9?BuY#`GS>na=E?(roPo8z@Y@>Q9=Y2=LI$S`#<8X4i=?8&qaQcEfd-A^OYQnfF#b*u-)q-bg81F| zk{?1Um+-0+OpZTiwRhW&cMkQ~CSoj?cH9-DOXPCvjr2^(>Pq zas2TOPlVRQQzz74<`m1v@wXwLbn*%1!*g#5CN|9LkK>Ok->|%p1Sb+J)AlVGSU|2< z_gnR~_N#KZJ&C`CFn{3H_B8;+3+coOP6fjZ*ISD#6=(rBzd2Y=iOHLsr* z{0BXj@R&=*e-OX$h{B%~WCZ80f7f3Zo3gx?XVJOzJ|^I~j>4ryr@KAbqJLkG>oo_~;Mg)f0X$T@yyziWYdt?2HeOc{@t@ z{-jggUVWx}<&+L(BsL!jbjn#Vrjzs?O4qLGTfT|pO!`ixM_-PKee}J2wWAgGLZ`db z<>gch&BsPwey^GHV@+3T8-|2sj1LsIE4ZV+)%-CYd)(&)F8+=G>2wP|$ut?|!!^5u zZfaid+HqE}y}JHFD2)svv0Jxspn<`#`!TjV?Kmt*pZE(?f2fr&=(CAGjDtV2Z3gkX z{CoP7!Fpo-U6-J*_vp(`zAgHZ@-IRCCD~`@$tQ!a#PTKChen8XuEE8Jcre@kuTrn$ z-jdZ;sW~&{jNK+qXU zVdB(}M<-I4ARS)?8Ou|hEY%b4k%?yqE}ckmf;Qk2$K0+P)rsj+HPKt=vE-3-A|=vQ zka7J;I*|q!)EqUq1audv{St~?vaYJim7$R$mt6Lx%9UZGDp!wL4sTRt z)MSo#Fg@DL?!$hc78A77=BhOQ|J-=E+co6#XQZP&Q(7{q^5#0 z+4T-=v9ox=yo!y&Y7%mzc z*~u?OfIP;2z@;D8H^;>v+s_!sUylAF`ES=xmfEZzx#W|qeq8#I@W>&Y(I)4;9l61)WHFf^>XlXfBU!@5%PObBT=Y!=n=^khX&2)A_&A zuC#mkBPs|lQ)aqzm2|!*Ctv=NGwRg%+I3?+e5gj>qsK}Ia8j4Igs}as5^9?dHhCO51VM?d+pfv|nPS)cnd2@Zc^dnUs zq#sZ@d1Xw}7b+)jp(rxtf~_J`HN5lCs$yF&Qx#WvnX1mg%hXv9S-VtltFxYh%6ekd z51&5K8Az~A1Pr8KE{#gY^#(d*_CCiAk@~O7q%ErDn}mW}Dc?xO$>KGdF9E z;eczUj`=qZok|V)rp|aBVdku1jaIO7S+Qp8bG2%1f;@jtZZ?qBAS^#k&aul}n~rgR zS;ec-+B2C!Jq7BC-mgG-h)q9kJxLv?`cB2#v+ufM^LFg*cZ-ZCS}I88NR0L4(NC-( za`m~aAWwZ{qo^uZ=ONQ%i;bcz*$$z~)p_kSS;kP6Rccf$w~!SJMOozpv8N642B4~} zt{X~cwGxqC916^2Ek%{9>p0Wo!3ITHviz^g)k>rd64K=8rwX&Sj>!E8in8P~ZB?!= zQb>~niHfr1@ds6|F0@ILH6>YgNbS!{Ynu}`&^l;>JeqG)<(#2Fv&ab zD2)A3wUO+;aJN-v$XOZ6L0tMtyOqisxCR(ieA@(#7s-y1UeG zA4$yEKhs?sD&tszpB=6=tW|i)nIAZ^R@LUFtxNHQ!z!l`o|Nzx>MRwzxoz*NG`Cl( z6O)2+mb}Rq<||9KddS>Zem2=TRLP#I+l}Mrlbu8L=#ys$r2@QMWhda}Y6G{d) zJ8qJ09J$4N;z|8bHm3^z%-f@&pR~bbwew_I<#d3;TAQ7wd7DD>ys*o<-f|J)iwwR1WjPm0j^N9x^o)dT>{jIVs|JIjY>r&z z7%rP5mpQ6(b+KVCt&7ca+A&s+9Ai+?RW)c&qKvKs$z^oWeU4m}Ch1~}kG(4t& znZ7`+HYO{TCTi7jrl?l9PPbZ}uk3G}dd>DZJD8{w#zD_16hF`Ew5C)Kl?ZZR6u!Z}YwLHYI;kQB}3(?OM=} zY}Y~hZhYaW#+;7uAIarB#r`WoPS2FdU5?TmMQ)zl=BUcmHO@S_IaF0v9hk$$@OhB` zd+9Y_TZi<{KmO1#Pw|;|&(Dhc@XmP9{yMdCos(YZrXy~eO6{5(MccsKo;5SqjcJ5{MoG$$<^&Y>g}L|ja{I$6Q? zL~PizH=*LXsM)5~oUst2&y3*`5ge7SRVJM6fu(7%Ve>OxnVzX1=t*s}QvuBP^o^IQ zQRzJkBJ1(5gYDl<^#Ljqho+f_#vvV_aN>dE9CPN}hGLj#}4NQDseBXf#!A z*s2Bz91btl53IH&ZqC^=zm;;;-b3lut7)8#c+EB?-Bf!-EKl{8lif@*y%9bl^%mrV z=uOlgiBtT1xbp~k*`@jOP4!hpF}razwJ%Tvt5}$t$ETbaT?#%TRTkt!sLa@&Q7^qZ z+Xxa6<2<47C9n%lKdykr@|7{5n@F;N;kGkZPO{{gtUf(H;z}CeL-u5TJ_MgK8d2xV z-b|e@dwF%f44~EdQFbr*i?SCtpG?5|@yBYvHQu`=YQUoX_Q}9`N%)9c>zxDH-tK{7 zZ`Y80CkpRId2ld}uGZQ$G`MqkXhY`!qG5lw-Nj-U_ii(ib4Ph>ns<7XLfg4ewRX59E8n&p1i`bFu`15?^83ZC3(-pU?iv~1J-C-Q z7OT@C*kzSxU?sH~eg?TYHYuYoiB8&5mpGo{a+^9RezDVo8`n91yYE&X9C!bAzU4m$ znoAX5J2;K|y>{2_GihlEcv{@P%6nssd3!;h+OKJl%=KpK@25+RtM@vSlC)`RNd;mU zy7ojwwH2O(k0c_;qXXa9O!7dWXq9xe%P(;sGUzLLsnT3-oe@ERf6wL*1iT%So@bKG_==)|oo zDX1KYNkK(!mMmDPa#j9RmX)EVqAXeCQ{}2m*~3f*C5p0SdyFbqi@9GV5$fbC6ZfVr zLAoq%{ovNh*v|wAIdkNeYblA%fw3KO`bljgM;6hN(x0f8%1Q3p7COk2jcJ4jWBCRB zxH^bi&w@^5@EM%c6f=bmp{0{2NJq>BCZrQ7Pgahf`FPYiRq_PsB$a1h`Pw9Q7~2k9 zI;Y&;TFDc%gQWG-N}eDcw|>0#tM;hI_Ff(8hpnpA8 z@&xH5l&8GEO@E*YcVpP0OFy#Og6m{)+fys~f@TrhA2|Ape&4D5V|k6vzfF*_DWnra<2HROFIv<*HnjQF@rken(k0u3v*);VS*aLy-d6 zR!NyK_TMi3*i~cN54DmnXx9na4cA{J|3Uns-3Zen8&0JDyecNELaJQdK)OI4vsRQP z>nVy{vh7@ztDO3`Ezh{#C$O0)iQSpjyNf@vUZR?OO#G30c%a45=NxBu!>qNxFG3h54U+b93?sO8W z2;RvFcvY^-vOKkGCkpz=`E(U#b(O{IFG}s~bL)#-$TqJxPCst7#b_6L`GRi2>m-(l z{~&(hHqHIY=x965su-`zVq-iPyf6|DHW(Z}WMoL_?H80G$F=6r5&3#4}2pYOQm9#biPlyJ(~H9XE? zuoM5{i!a7cnStSVwQ29f1}IJt;^hSAz&U^SNxW;|l^ZP8oK4$T+q3C+S1;ho}SJkj2O2Bn95z+i*sQKT$iXvw{uPR z$&R@#`2|05HPBh?#N%n%sWjeD>*_MM_tM^GDT(V2$uGx*ZOZTP6IXu0k9obfkUQvd z1MNI6ok)3tblmnkY8!LTHfG3XY|o=hWY6R}gSP5Z@DnM2g#YTyC9~(zC9-F-^|DR* z|L@pyOSyw~me8IBoyhtO(sAt>hpB9fPG{ozXI`!i5AF5GWCYMweM){JH4tUd>5R{$ zZI5N#t~uQ{JeJghjI`UviRezZ* zdJ+6)$j*bd?7?ZHk-Cd$6XyL|Lfe;e$`MjqawfES$%mW_QS#y1M}}MzriU}Lw+y+s zLyM2>7tzDX3kdXZ@_eu!u7zI`dLid>^!Qq|FM*FtifQqY>l^iOa$kfVu7y3i{AIIp zT26;AOO6!k;Y{h8*=d%nBPr>c#>oc#ThNF2{JhW?xsHE{_=)Y)cn2ridzM^eq2x#K zP4@BV;mqth+bVt)bc=@e&?p4M9?I2ouGRdF2WKV+y+cvUAGu9Y4`5|R!f)c zp4G#d^;`5PupXbGyhXEqCTG}B=g6UJEq{jnbk4A!&KdTXIm7;vULdNIBTw%4*20nN zmX&a(@iEp#oTaT~YZW3M&68KsmyDkT5wgcmD?bxQKP|T0nT#LuOErGr^_6Ukp))_` z`kQ>wtbfmw+pv}O>ftks-|~iWH$4=kqgx=?J?P=g;<5tSLa)bX*54Hj$Ik__8(2%% zFrJ~OceMCgwOhA-3wg8tq+l4Q6b$2q0@)y>m4j>*(!-g}KNQFXjaq!I+L5WBnWw1mn!?ovYqCIIW{BfD*^D3tL(efW$nUFI{vG7OoAH*+L3XJhz z*Iqy0*UF!n>XGviDQD0Qh@7rJ>Lc%&Dch$h9|`a7@Y)^Oc-%H0?dYS`yuPVElI&t7 z^OAk!VcE9y!TD%MA5rnvz}B6c2X9?aul}VPmvKJ+pUD~QhZFSk zTKBb{Mnt5B)9Lk>gIbud~znij$_qyCGu#tpkOh2gPr}{kP8di{Ezh zA9T`7*14(s_uJgR*E%V=uh=*~5&Kfx+$T%I0~|~p!%@w0rC!~K7fGB?Y(XhU}4&&c$7dva5L63D)^atC+FBxr9&@&xISxYN`>mRp~X4@S3AnppNC&fzDh zokVmZTV&8%oho^PbY$(skZ0fMRHF00?zn@5P3^TWmyfuWXWp*ba340F4XbRy*m(h){M^(&Y0)%q27A(!*? zaIO4`z$cd{wD`!w+Il#{ev>TY=<&7cH(fdU4E5J%s6R4orR9$-Gil+-1H^i`R`q7u z&YW|ViTeSveL~G|JU$|uMpRQ5{ZX1c@Ty`&!pZ%DdN_UHEb-|L*@Gkd8MSoDJg^?l zkUz5TQIAjGkw`i84b9viHmbFRw#P>l9zSHCqf$Oo`zw3=#I`}3pZIhl+gxzqLAo3B zIM_g*ARW@(nA6!eo7TnJ!FDTTk@eT2J(}v(;Ujk48QUu#?{kz6O)g)vM!mN!A9U3Xk7e}{0H&7jZEs%u>Txr0q!^mz&CM9LGSBie{xzxsiU*G|aIj>>v)$4_Z;$D!^qWj%j}@h;h~smEvNkI24nEk1H?LkrhpBF@uaiwPxT|I;kZ;YjzC_PNdA zgeGYKlAjj(H*JrEpU8$1s{OU&e4=IMZw9Z!_d6DkWWG{bL-l1b#0=_8~73KatiD zZQZf@^_Fr6txj5ZB07=s1nEfYmgCb5*}tvSudp-2`TdOH{C=l1k)hVr)9xGMUkjNy1YV>sT<7>>6y zIYT=j=L)rYA?FJ9aE5l8H|5W)KgqP%$m7)qIrpHI!*INvX|WMP(lxZJR^v%w_k|Yo zodV8qypn0L0Po2`&Ut9{*J5Ga!)G|I$&mYuv~&%}H5qbVT941L-pCE+dVGfUM($nE z;%hY?5_Vu1*JoPA^&UR6cAjk&x48JShW&5Wu>Z{(_P<%f{x@s5zMeJgf3t@DZ`QD1 z&9+(}7kbW^_0!pmnVn|Id1jrRX2~OIdN{NAoZgG4$7d+7VLzQU?5DGa{dCrFUObyK zn-|X-&WmRaiJNA6qF!Vx&50He%%|}yI#)V zN~4r>Y4{J~_oh6h9$Ul_#(daDP@B`fG#y#3(jT{qN0aaqzk1F6v2%X0h1|iNJ6<&> zqZ27lkd9Z~M89TnS>7<-&l|@3d2;W3(C7H#ujqKc6Hk+6$J4z%`R+VkII@51^6c1f zUNTSaI}7m9>0wpkH=C!-w>Us4`869~=MDEC zW?OiQphuFPLfBEE)jkJfe@*y_^e91oq(@m=xr3gI$i1ZU1nCfYTt84~wLipzGiz@J z^8SLZeH6&Ob$U26KUFYXPbnC#rxXm=NeZpjKjPJAf)Tduc09jJ?=e>DH8wv98Vm0S zV$+G-G#4~t*;o?MiIgWuM>ZBtN3?^qp*XVCJ8^0Cb|^;lZo8HrXZV~dAlZbBIOCv zkxkBPZ-(oXg;w)v!tM>%DGP?{j|Ic?HU-1^h=SpKM8R-AqR?tzR6P4^zkh1AUn({~ zk;WC>SYq`XwjJx_4jQp+EQ#nu$`hm`8w>594CikOhU>@$!*yhOzrNPb8?Fx*TJ3K$ zwUcDi^j?48*J?jc96lneHriB$AM9%tkH+C6GSmuAw@Xtt_WNAlk#Yv{OB0rI>i6rw z)bSDb$eyvz{?of(R^BJ;e*bM_z9;ydt-59&tRtx#PD}8g@eRlKHfQ#7yglO%KQZEB z!B3!vt>c)`=agGFNPKy*l_K#R%ee#W2R7^j-*4{kZre`H!duWByy| zKZsxG-;HPd+u+)X;W_Ba9AO?+ur=wKWN~5-IxZhm7TeC{o5v^@%e`> z8n{;dluOsYEE$c@zbvVN^9}PgaHjnEmOG;H`NK{PoNqgU2Ch}Tnf5QDpHC2z#p~zY z_$IQMM0CV%;9~B7u|BBtcEM4M=+8{`)v7-Z;urmtYtR1W!07t*F9#~%jQMTZ?*%zN zRsCL&jxS&o{ht4rO&fAA(S6S3c~lH5mw=y0`ww7+Q04_LMYl@x>q0ANjSYA5>6jzOE|qC9-Mz2Ia&_?x^EcH)PGFuZ)@U7 z^4&sTvH6MgGr^9@?_XoniS(PnfHYZu;psP0o**5+Jg)yr`_KD_>s!G2&)k&*}bk&=7- z_}3l7{0O`7uRChs^c{z!tM51@oIcQzaE5-l#X#S~=Rc1ZEwBGPo(9g4KmUCK(fC>n z@H{zM3_v8DVgKUa?-m=(j{`-`| z`ZkrD`isaeD|kbXlsu82jPy%EI#O~`-+}v~!g9NQDbuSDXeB-~zm)Os>(kIRi`xSC z8Aaps@9WdR8OrNlZ`I&4^TUCAiZu8P_2S=$7LCup4^0DSs2Be}Gz~sOz4-5E(BNy~ zzl1%vSitb?xmBFz;WMOb7zbopOwfD$`OlF>>&3tSNCVemd?4iY-)o@3XQ&tdd8lZ7 z{(VXsI77Sg?}O3cGm9%S{&P$ke1>{yu^{2uf&U(*XuAG$MA2|9Ccr(qE#f0*SwOt& z>n;+I_k4}}yHbKVP{Abe(dDa3V>6=(_E~WHk^QVD{x^*qwDJWbm;~{Hmj57r5+|7Q zuN{E~_2csI)sJkeiR(wZpD0LwspSjmFG2li`48fE`S{iLqz?<{X>gLo=}_f;$?xPEr)5uQzWw@M-t-^WIZ-?@T&kW~j^gj3`VHDc}9xN-G{Ed!f&Y#-Qa4Q(BQhqOPdwsGi7tySIWokQtdPajVFnfv*xu4=>6 zliTq80>z{s*V7AYB+CdMeJ@X80WtJa)h?F@JzT)U_A5MuUD4)xvFRt)i>4)#nTe3j z=<|1q%qXcuoUwQrb3QkSZ(jM->i2*8Q`cOIzt=tXzQ-?fzdtDQ-Soko!$TXl?Af_v zWW$!>k!?E%cMs#29lJ-;8@Fs7nwhQC=4#buqi18Pv)J32o; zvIeXX{5NE6$ImU+4y0CUU~0;mnQP9@HLdaLRHb2ETg5k{6>Fwu)n>{SYfW={)+$%) zm9geb{XoyIxzR?mUad{=Qv42*(V4kgxv|z4i*#NzRqo=Mt56taSGkY9HY~U7RHZi2 zoV08>M?ztgBbTm@5yL~-##p1m{m>VzJ< zoR3PAeeG1B9=t9%GgYovN@ZKGq8`(v{|vx4aSp$Bb#3O_+WH;aH|!i39@#uJB9omP z*7L0=wbFED?`(P0vUu@Id3Xnhaee}1WXCWsvSXMd*flt4|G9C;?%^#%!*Kol%Pkx1 zWN;_`*f}sVGBmth%9A1Y!uj}vS?`4;LXHd>@A%-btAh(H;iNb9!9fH+oSd)l!A;Lh zWqkP52GoPg%sF96SNa@^Pv*k+{>EqK z>eWiUHIH8Xl6f-9-^fh8fzAq6H(RPh;%2436!wR{yESjT1xx;9ghct z&4l^`zOoU6l0P{nqWsxiK~Q&KDyBbajE$LT%;P5_a9KZ7D_d{2sQ9axDSyK=O|*jgQu9}ziQ8Y0 zbBC0_kx3rfSldYo_5<9R+PY=EO@8bCa(&!dJ;jrO;la%SwsG&=iQm>tRY%v1&CXh@ccx}W zuR@26FXw9YN}~s(r#c?QLCSY;&0-+e@H?CDwK{6AW)t+C0k*|x#?Wv@`ES8k|x>(h+m=4~Eb7(8c0kVcc6OO#|rK`V4#pFpa{g*&LwftJW}_ zZE#wE&6dZ+Zns%YpMHsnq4C<984^ z8wCEsCGgOzfyX8A4iNahOW^Y$@F|zT5D2Vs349C$_PPYl27zz81Uf6$%0{f(L#tcdhS*Uz&nk&eG_o*hJ5zHOe} zjr6Qf&a;P+-u#F2Y!T_156rW3pxfS`%(Hb!w<5g~>E=W8>?Wk^j?A-rk?#A|JbM)B z2d_WGUO@Wj`wlVd<;cJ75ZjFO!MhHzS)`?V53$>k{_$TNVh0-#x^7k^a-)A7Xoue)=B{v4co={jWppZlo{!(INII((n8u=pcRnzktpwQmI!m z&_TL>)z8>0(uFlYW49xHDE~9|AkzE#e#YjJE?@sMb_NXb-v4-l6_Fl8x(De8U$MXr zBK^{v7TDcLzhy13hmpSW;sv&d^kid!S+7i`s?7zq4(Y!ly%Oo?_bsrSkiKO<$|3E2 z-vWCa>FN(HurpqjN`3d11=fpn^A{G_9;7dP5%5S4erti;ddPpxp zx)bRKkj^4K`w5gs`Z1*UB7N{M$|F7ZILae^;sofv2KIDvffbSd29;w+^$;*MaV;!)y=IXV)BNHzD1WJ@e#^n!5w= zNbfjtm>op=DcZg>;kLA7ML@p7WU_Y(Mu0e{_W1 zjx_hHBkWGwVl_%{OoH=blgr0@OvC)p&@AI(3>ZbkawNx&mLeefuoNBV}}J<85FH zNQ?jLDBFYd;EG%Nc+D06kA04&4H(x^;;5&YM!Ho>v@W>yWN{%P}^Iba(z3yA|o==40%B zr2n}47(0se@edtiE3H)Ox9$Qw(l>n#@JJv33E+{w@9JN$`;i`>{RNvxy7j;Of}L?5 z?C#aiup-j;=AL0!BJH^58Fnku!XG}v?nip%{m-y@q;L84Gwh7>Q>lM`>v7hLbmJw* z*-oTCL^_M~$xDy3Tao79cAVXd^!-R5MY>|(IC}x7Rb~IJ+O|qeve|%03VH3nBLx0f%(#E67LsclRD=XIzAK_}`ARJxD)*^dQoI zMS3^V`@eOZEh7EQLn!~|RO)MIEV6Y-|KSabY!c~reshuCiu7d9BD){yyVHwo9_b(E z7TFoAUikswb|uoik1w*Dkp97s7umf?*DoxxN0FX!c#*w;wEyTLI|pl8*DQiRq?=ct zV3SB+NS|Q0BK?E86YPGZ$F4iU=8=BlqbJxI7pGEJ+;W2TBK;xKok;Kc_z58qbO!B)Nne*D-8Rz!N=^C#GqNPA!PEV~Kmy{~(g-OJP8 zd6qqn^x|uuWoLB5jz9S<>qUCT?a#73NJs8?mK{WT+da>+yOBPR^g*Pb`m<-*%GL14 z_dd(6MEVV+`;m6u2RNjk{yOj?J^Qg|S!YiwmHH|2k^Ut09J`aJXFkUsM*8qs&#|LO zpMCjrtaA$`5e0+>C{Efu`_y8sSR&NKGKz)&#}!&M=ySk%_4o-Tb^UL zBE28!y-1%dJjYULzzsgfb|O8x;W@S+>6JHuF4ABA4d^0$_MWpxt=s9*yCY8GV z$>-QQq_2D$^pW23Z@`Q6j{g8&q~AX6BzqL;=`T6SUO;-$c_*2bO{JP|J;`<={oy4i z*(}l(11H(-NN?YEl0As@z&lT}d8Aw3bCR8rL;v@YldKo%%Rhl~NH^bclI=&D{`N_B zC(`CaC)vYDfAcT?mA!!U;Ca7fRvz}*`AfDL>Esi?Wc!g`vG7ZFJJR99zhw6#{T$NA zkuD&80qN!y&$G?~{QA)IY$wv@_UG9w(p!<eQ5Z3_5#u;ke*Y7f8O;xDaH$G=ON$RxghEu2Q zUwPUa&wA+@x1I(Z_@4iJpktoB4S%NwR;>K+vcZ?1K73;bF9J7|x&;6Jarrzu{f+oV zWB1ElKo0j9{ymzRXFoWTz-Tq$UOV$N&+_vxVu;S;I;zpj>=XE1>g{7T1efyxSn zTMmWn|?gc3f$<09d@M8=Eyj`vtZeu{lz?6@d1**$JWD%_q!B1 zTn=y_oH@jJeS3J8f#9-V`S`j5aCgsQY(eX1*?_Bvi|s1n_&x}@#SddFLG5eV7z8-S zGcXQ!58yU`>Ja9fUcZmHIcs;ruk9P>ZrjS2#{~?z9 z4U)fXJNPi1!^pG8^N;pwp zZ-0}}%Lf7X*T)aBG-4tTZuG{}Z+^)w%Rk=Hab_m~Ilg-U_qVV68T&l@%nlZ`7d7dGqyJi+lmGD z8lRjiJvo=ZG?jV=W9(f%ySyF*f8g0Am$MsiuYdgldtwXWYgx&wfcI_j*t7e+D**q< z=mMJqxF_ebS&y&lk$>LU0=wCl{}DT%>;Deq--7(FP`;Nnz*pY0Z!X_MfO}PCfqj(1 zb$rl<;e0P3|Gnc2>?J;X*x~X0=h984IQ-eLubo#fu>U|g$v3Icj*q=mNXq%#0Qld; z9K@%oU0!3`$(T?ehnqtF$KSia-v1hc_d1V_^{)X^PX9K*9laHDFDG!zJ|YdO<2;XJ zj`tzJPkwTNec0z`M;t?SJ3_9fV}N`3zb>#x@Vk`jd!D|}Lj5=ISzuqL{*d?IGmbCh z#W)`Bzkc~=3oJwV>salz`w`@y@s|tiNnSv-hwIQi+%2@`*zfg#`ySw)rf|zP0q}Dk zAeZkhz8q9<}=&p1&3OPht+}BI-XoEZcMP{3+xgdjxYb_}#<5%Mq35 z-;DeP2@Yd+u?u6O<3l;avW+)n`apD@Svb;`%I z-7G#NIOcH6&%&Jd!UC&Oz4AEg5(gJ&!^%3yvukX{&Tn2b>Qyd^q!`}w@2VQZQ{m=*hQv!d|^S_+`hd4jy9A>*|yIb}F0{=fnb=&w) z0{$a!Jj^ce>E{Ll|2d!DFI<6f(wh#mH_`rJ9MyBu6VvvKTLE{?n-8-Gz>}2o>z>_C zA%7+2R-d|p=y1!gni36Z2Vk+a=0Ae z#x6O+{+!y&4jbJc+0GC!yk4#V+_6nZ*njXp1irmQ%|GsTrFQtd8SoD@k1*aIJ@_l3 z=YJ7co&M)Oz}6}4ak4u*b(-M^9a2gyypIWKH}qWQ-J&1mp{qgN#_eB z+^hK91LyxXz`cMu@5gyZA@sKF3J>A6=Xh$f_52Xv?;LoNr7;IA;bma)7r*6)KMD9h zzVb=7lk!XOA9seRK<4P@Ld2ne{r)G}Ykl&+(w3j|y8-!M|HzYU8;w6Ya;JF=pUop1 z8=cK>6Y!7y(UWW<3cg3ebG_XK_?O-HB)bBsC*OY9#hd)`@FU2->^o1gpTC;GE&CJz zx4l|0!10~rcz*mO+qj*;z0+-9Z`p2xa=3F}g}L-qN7>o5z8pJG@wx(t-M%&e?#%T^ z*&J=JPWW|&(^?(4DZst!Q%BjCXgytJx0~xkd$sEyaF73gM=`!ahve1&7NO4NHGEIy zc?j_3Z~mNpoa%Ggdm;AU`Y`sq)x`?-J~ z{lE0|l0*Jyk^chqx7;88o#!@fdRG9hcIH#;r|>@#&lLc?eubdN<^3SwE_nS@>=~cE zZxZr$e4f}lub2A(e<=MFd+~gNchc75-=FUy=5Rj&+}B2)Vl!0V2i$s2I~wP3%P}AF zV&f@xHKp&w3H^dJ=d&Adm)-mn`{1Vt-DNw8V_EYlAs5Gc1>pb71y8dluBPx?Y(_tM zwFAlZ{XxKO|HRYmJ!cWPjy-2_2%diz@_+vipJpGT@kz%)J2>HV9zy=&9Z$1Y)BI)c zLSW+bJpi%s90S}x{n69xeH5-^zZXBAg>gyob5AqAuJ6@X$E6;fZsfoF&ZpUzxH5!Y zywBC=gyYLOKO=zqr$?S<*$W8Vd%fy>j@J=~yB=^yjz7&_Mf;n5F1{Z*EsVq61-M6F zc8t9S@vBF7+{5=24^TPWBY^v>*BoQ7M!kD*%Z6ZY|KnATww-?IB;fAuJjUvX10>vf z58+$Hqz`jv=fdI{^1;tWDl@356Rb?Ja$Y zz--G8_^Wq3!?G7s__qV^LKlTQ3AlX+o?#bbZC3Kj;r@w-IQY`x^IZ6Y{eSQb`~FZ6 z?)o7Lw*hc({K+%yFE6EV{~7qsy;Q()6Q2UypS~VznfP7O9kW4r{>{jL2Kl%8@_*mU zzX$oh`-bD}mA>|Qme+nCLH>?69%sB;llYxU8IJ!L^52L2t-kzCHh!+ZvtExq7RbMa z##PI1vgp1(wa{M9cyW?YW{Ez&D=OZ8f#>-#E7e<`9W_)Yg_4R;z zvT&Tei6a$wmhA=HH%0gA*gxR@dH6W{0;RVbU?25{F*e*IfV=!%$Jy7Y-{I4~pS(a+ zgY5@T0`ACl$CdN$mw=VC-vED#{5723`V}ibzHI%9b8hKazrwnC`M`?K55Ht!MemKL zcf4u&iq3%*7V-eIeuZN;fKtftD8El^tiEu0qF=;luHr1imla zbevtq`)@tIO)G9)*3r`u%^=6O0rAma{r+*bp3fV!!gps!$4h=A8sCLLaU1Y`^|s@z zf#11Z+_-GhibqddR$Z}jz}2#2KMw%zS1sT+0L22}hCX?m{f#THx;{6pSX|ce1&to2VBi~6VYjqD=_s5Az?05wumg7za5?OW z8291(6M#Kn`x20}0XHbJacf7E&AZDxBcRb3(4WO z0`6BI#NLpLD87#ZU*;lO?@hq{=Pxg^zw+sePqeQ00%(r!4#2JYGwd1h$;&6E#(eTV z1h~Kd>LTNN5oJB`^|-@=tP}qM?w{{nWPBdcgX{RBr{A*>Ctvl=MfP1}d-;6c_9Gq| z8&3{!XFRaT(v<&>Zku<`_XzS||84Ar;V#j$o6msnPkZw=9PWC+b$xG<{pb=3_W+9F=0^H*rC)mBqDI9Ni{(5=@a95sjf_-+7z;*oFG63GW&J}^vJqfrU{`Lv>PG38| z8(?4Z)%&>?#s=>=!M@-dzx>#)_wTqdBFDD@a5wBd!5*Rdz&ZtAUmJ02)V6=XT{w1v zU57GKFLO3$w*4dj_mKa6U;cOPd`{;cHCNZtEi_*!AeI zJUMK-%e)O1obE$_yYt8i_ItEGxfm0kTek5X1KbNwpJ1sC6yFEzdOF~G=5A!2jd=Ib zHP5o^&~JEjCrQ}3%?nR#yc+=jhTndceID)0gLn2J%!sKM&gT^1ZmT}aE}?#x$NR7G z+@y`~Ho)C|^|Su@U_QQ<%RV;T1Au#E=2`YOTF=X>0DM%qdwYM&0^k;Ic$STU2l3(k zHXlE)IruEQ^ECXS@3%LsxU%CTr?rSHwqAgC@P6pc1mDh%k`5ma9q$0XFMR-e$T-s* zR;*n1g%$Sv*nRlE|Hfz8Oozkw&C3Q>ob%xhblNvA@0jRVaSqysh{t$+E&zW2ho5EF zsNk!9c&?YTQCZ*k=(Fs-yiw})vT4Qq|6%XV<8`Xq`2X!3^O(#bN9JUnl4PD`9z(a| zoP(1yIfF5eB$=s@gi1(?BqT|aRFVuugpesBnG-s{&sytqt-bF1sOS6ry)!X?*LAI7uY2G7&KVvk@z0J?`-{f@PAGRP)qHlE^7r#KxQhKf@;mZDt>^#O z{bdjDp6#Far~KEvJl1-yT~6Nz+xjnTw+@8b9*ykaQdGenIVL1?rur?C+!eSJHn}e(In1jm$H` zriV|<{CpN%Y<-x?O#M~8wWv3^0Pf{%29SJx3jS)tP8`Lm-eI-B9#_&|e#EKwq;4iD zk8nUz^)grVyz(zzN#C2PhueYoB$z2K85R9_4=aToeNjeI|Pm29qhW$tzrxU>Z;?LhWaJ4Uriqn)K2=@ zcCrH7pUiPJ{bBd~)O|6TgX8oA>Luj4nm$G4rTA4%7u)J3RTP@{yN!Cgi(O4Wp53Vz zepr7Nsm`+mt{+}}0QdT4b+(78`DwnO`B8J!t6%AA`e>+qKitm~-upp&g6jO@uzgzB ztLbgBIrYMu>p8J{ziHUM?uo1E4cv9fIP52S+&URV!QJY^lG;*_~Eat!w%FTdc{Yi{Gz|AO7E&d1uQUvkW~^r%Fq zzFB{-o5-r_{rjR`uk+W^KXU!2-hQEVpI$e84)uP$d@a4|MrVKS=Y%;o+5=F2T&wD@ zyqp|Nu+3i=c{iRjc(;pAo-r8<22&Zhu_U7((u3;*F z)y98LVtX{&lmGty=X2o|?cr*FMWcSv1J~2{)OG5|aGiTuUHiV%Q16SpH`06LaO#D3 z)?>9guNBySyT}c@ZZ$sa3A-MmwttK5e`338ZtA$khxM}CRXomP`(-cP8{Y}+Jv8iAc+(%RNM*3P+597q$$Lp{qcUk_@^UZE}{T94lO^4;L zHjFrwe}(d&R3Y~3&fA*jtr)gGXWj_RA8_QDKbYk&eUJ4Lye_ub4RhbpKOfI0cRQZ; zc&n3m{WZK^<{$sEex??h!o&Y%VygUHyDH*zANHrtL;Ja(^|RXE4coW=%l1@k{~X)Z z@a1*DEDBessoaA)-r3lG3ftFnzVzRYcmBT|uRee5uYC#lPpvckw{ia&%ntAU=W$w} zKRyTfx+?DJSI4i9nWu+M3x7WI_$*;F>~X4kw^8p))cX>)*Dr5l zf4UL&wp3L0%2vl5uEve@T^Z}GmU`;E+M`~sn*W>^aoC>oU$#%f_8kAReFe5>|CjCG zVtclK*?u0|v;NEW+%-He%fD=Y2-`FN!*+GO)}Gs=l%M#g%A--<5wB|`g;3?Mr%^rv z=Z$#EcvQV^0k*$_?P^*qe<~hpQT_tTC#%BFs{ab)Z9G1k>CT)!PhRss z{htT^=Yjuu;C~+Yp9lWuf&Y0xd4SJzO&4#3vXrYSsQL@l?VOZI`JE6hq}EXIm)nT< zQNhOA%0n(Xx~I0T{hgkujQ(Mb@n6ip^nY=^n4___)TOifn-tbqiGE&-(%PBTe=*-Q z;_10KY~0!u^vA>S{^ zm#XSd-v94(b3O<1G}h(tt3E#-uJ;GIJ-6#h|3CbvVy9>B`Yxv#m+RE5g&OO6g{kGK zb*U|>J*Wez!>G?wU#7lA{gC=4bwBkq^*S|cVZJ}LJhd*hCA9~2Aaxk^dFsp5x2PXd zzohP`o~B->W-Y?^rL+Y2*{nXRc>(s18`To@M)VkD` z)E?A<)M3=;sV`ICqJBvIlDeOIntGk8ga3cdVe9QXH0j!*Rr~Hux^`;arYoMd z-oAHNJPxdRL`1X4@wnI?J$pB4)vasi4lSCu?$WMPFZZ-t^l08QqHD7%U7IPZrZSUS z;IUyzRg!RkE$~FKF16K>YO9X5>T0V+`}Q4`5z(Ss_bwf3S`?Ti}V+%0n%> zHp4sM@z8ih$1Yv@T39$#Y;E1CsV<68$AU+tsj{x!y7*-^12wSk?s&#L9w#64hdryO zpZ&vMPrR@BgQfQy5z!O=G^IV&S?bN&(r2kHee|doXx~xQ>}k(ZTly@uW$HEQ(8|7t zc>!J_$D}NMk`X;|MosVlHtf%yWl!~_W&Pr=&6>1V=Xm!&9R0tXWkCd1jZ>=cSL1;i zGt{`G#-hCVr}}0!?x-O__4lfeSN(cU{8P8ms%4{m_?I95?!mu8`1jxb+Q$w^j!Yhj zi1p~w6`pN{r+p`+L`1YzKbwN4(5gwN=Kem8HF{)P^dTD9xct@m*Kh=vc9E)&rZFU)_W%bP8azSyW!i!R-6mCn)l z|7$kq6Z&8O{6e=F&hUFUA=Uo-_SUODdG+#-qaHKQK?`q;9lUWW+vP@`V5oJOq=W?Y zVKDximVQ`7Lo z-Wt@J)LPWq)H+qGMh?X9BE;c!)DZ@&ju@zmtH#Agj;M~OPAW}-rf@r+`52oDj>gmQ zl@VQ?s*egBmpU+79jDT#pfPc&k=W6|v{9q*vZ~2(vGMA4c$jB=Y(mwlgVXRpNz_kH zNz!ke5<5tj$LLp8tvVn!QoViE((p;jVEl)F8OMP^C`yU2+YLqxs)8SHP>&|XfAGH) zY1ac#v6WPYRy&yKu_wJh>Pb{Cjp- zo57!#d$gfw@o(0m6!*nbtAuC`vS>ZBXhX7SN3v)vS#%^>bQW3kb+YJEvgo^H(N$#8 zb!5?vWYO(p(Mx2}@Eyh15HFWYKwK z(RazBo5-R+l0}b`MbD5$uaHG=kwvq7Yy2mghb)?(ELw~#T8=DQjVxM+EZUVU8c7x% zLl&Jw7F|ddT}&2TP8Qur7Trk}{gEtsf-HKLEShPj@t0^JvS>rHXh*VWKeA{PSu~z3 znno5KOBS6#7M(>Fol6#7P8MBF7ClH7JxUh6LKe;Uo$-fgA+l%@vS?|tXc@9-9kOVB zvS>T9XlJr$KeFg(vgk~*=q$46da~#SvgkIl=ytN`5whqBvS_AV%pYoj5G_R(EkhRV zP8JQ2MI*_gF=WvcvglZ{=pwS{a3L-W6obRKUuUmS+opUv;tYQ8dvgjDH=w!0!OtR=4vglH> z=xVa)2D0cbvgl2+Xtuq^f1(A*q9w_qmC2$F$)Yi2(II5fv1HK+WYMW)(K%$%Wn|Hf zWYKM8(Nkp6OJvb}-<$InEln1!LKbaE7EL6JrjSM7C5x^ji>@b&?j(!;Mi#w77QI6j zt?+|6AJJN5(Z|W6oynp@$f9G&qO-`N^U0!%$fC>0qHD;ao5-SD$)elIqI<}qXUL)# z$)eZDqDA%@|A-bNi&iF!)**{FAd7Y^S+oUNv=v$Oak6MvvS?4TXg{)O3|VvtS#&sAbRJoB z5m|H>S@bMf^dea_*8$@{(fnl5B4p8WWYH#M(XM3CK4j4pvgib|=uEQcT(amwvgk^( z=w`C$5whqdvS@*W=KMtqkwuG>MQf2o>yt$z$)YJ_(KNE?II`$evgnIs(bZ(pO=QuX zWYI%p(KBSxOJva-WYKi8XqKOhzeID8Me~tGi;zVtkVR{eMeC47TaiUOlSSu{MVFFA z-zAG~Ad4O+i(Vm%-Xx3OB8%oZWc(pok}O(=ELx2$+Jr3Hj4axbEE-7`9Yhu#N){bX z7F|geT}2k%Mi%{%EP9kIdWtL>e%Sa!G#gno2U)ZfS+q1+v>aKqK3TL4S+pZrv=3P{ zjVwBnEV_^^`Z`&3Iazc)S#%RwbURseA6fJeS@aB9wDb|QQ=aNMa zl0~nPMZ=FWpQ)uovEV`2{dXy}B zlPsF=m^pvZB4p9hWYH>Q(FSDEMr6?@WYHF6(RO6fo@CJ>WYOVd(fMT2Wn|ISWYG;| z(XC|Bvt-c=WYK2FjlV=Yl100cMSGJ)$CE{ukww>$MK_W~zaonsC5zr5i{2uO-XV(? z|HYh-Xl1f!BeG~avS>fDXe3#55Lq;qEIO7fI-M*!k1V>5EV`a7x{)lpg)Dl8EczQ+ z^a5El*9qev(R^gl-el1zvS=DvbUayfDOq$gS#&#D^axoroh+K=q&Z&EVr0>hWYIEY z(aL1e8f4KrWYJb+(cWayp=8k%vgmlS=zOy1a8o8N0LRyl10apMQ4&l7m`IckVQ9>MYoYf zzbA_xC5zr6i{|*%_)D}DS+oLKv>{ovCs{O&EIN`bI+iRtjx0KtEV`O3`W0F96j}5) zvgjqUXs)y7d_{|pMN5-KYmr5pkVRXOMFV8fM6&2avgmZO=sdFM>txY2WYP6x(d}f> zAIYL;$f8%sqSwfx#m*UjiIyRYK28?xP8RJ=77dU^$C5=SkVO}gMHiDrmy<=mB8y%l zi)Q-GoR4T8vS@Mzt|5!A zBa7}Ki~dL!JxCV4L>9e47R~fK+Z#1ch~^`URwj#9A&b@^i?$$(wjqmlCyVwWizbpq zQ^=wt$)Xd&T+($)Y>SqWj3AcgUjQ7ujB^B}23{S+ojSv>I8oCt0*NSu{Wv zjUtNn_*=9F zS+o^dv?E!xH(4~2EINoR8c!A-P8J&c?q$)Y>SqTiE6Z;(ZEU1okz z^MzQ(Kck!o@CKjvS=DvbR1c90$Fq-S#&B{bRk)EDOq$2S#&E|bQf84A6fJeS@bAb z^f$8T6|!i_YsTNArOBd|$fDKAqAkdx{m7z2$fAj4(K%$%*U6&ql0{dOMc0!>Pmo2= zl0|QkMKfJD=P#OtESjGzT8u1OiY!`=ELx2$T8Av!l`PtwEINcNI+QG$LKYoM7M)2J zolh3sNEW?C7R_{n{-I_I(c)y$3S`laWYJi%XgpbTBw2JKS#&yCbSYVMHCc2WS#%Rw zbSqhOCt37+vgkpw=uNU{u0M^xL<^BcOOi$FkVPAjMO%?YJCj9+l0}D;MaPjvCz3^{ zlSSu{MVFFA*ONtekVP+&MbpWm*=`#Dh!!A=Rwj!+P8RJ-79B(uolh2BNfzBm7QIFm zy+ala|H~Y&Xg0EF4zg$-vS>N7Xfv{CPqJt~vgib|=v1=kEVAfAvgl&6=yI~?Id%twa{>NEYo)7L6o}#*jsakVR9-qEpGDbI790$fB#r zqF<3kcalYaB#WLPi=HBjUL=cNBa0ThWBeytg)CZ&ELx8&+L0_eh%6dU7EK|GjwXxF zBa6OH7F|phT}l>RM;6^e7Cl53E%LWHf6+2z(F$bII%Lr%WYHF6(RO6f$H}4rvgi=9 z=uoogXtL-;vgjBuMP$*%WYH~T(XYs&C&;2V$f9@1qItY^`unY-`N*QB z$)XL(qRq&n)5)T9$)fAYq8rJg+sUH4$fEnmq6f*MN6Dhc$)Z=tqIbxm>15GDnT&r# z%aBDYkwvSJMO%?YJCj9Y$fCo^qRYsl+sL9v$fD_F(E?%S_(jW*MJtg-Ymi0jkVU(a zMFV8f31rb1$)a<~qASUwtH`1o$f9S-qS?aD`H2=Fi`FBH)+dX$A&Yh=i$;<~$C5=S zl0{dMMYobgcalZ-kwq_%MQ@Nr?~p~)$)fo)8-IuvCySOQi#8yOwjhgkCX03@i}oXn zCXz*ml10apMQ4&lmytzRl0~pkwq_(Mc41~eh$lVZ{r1DM7*C|(O2)q z|J-`ykNk}%T|M;UxRmcUCk3*7SFH0lRbvr*W%h%^r!U*s4SKN)ffI)~;G7 zqGt6P)oawKQ8S`q7d*A3b!4h3uTry;e)f2n-jR8#*~wyNH_g?5#PR0I z2cB24Zev|bKj-)#>#urF>i@v{Psu~xLSAF`e|^5xZ~Um){~9A9wasr?hIyw7WztV# z@Vu)}n~Fc;x$k%TGyDIzyVU=7nW_Jokqj>}_20iB^{4%6D&AHP47$soslVp3)Sq;r zv97hDzj{JM#y?X(Vsd64fAbDab){c7{#*UdzexRua+*g7^;w#6->Ssyf6s?mxc^D3 zO#PMrdi+;DlKKy?HT9n_{BQe@{YmP7_Km6k?cJ;Mcs@BK^{4GH^|Pu6E#2kM9Dm2- zQh)Y7Q$NZiZH8Bv`dKbY{Xc&+_2UX#SU1Obn%1H1F%>Ex3C-o<_GxhcFr3Y8lWa^(-F7;F2HuaBv zahJ*{GW9!*$;R{T7T;1=)W1)DmzS9OJvZ9=ubTRk*PH$#^d+W#TIYMX|6`raqpTk2 z9a__{sbBOrsejMArv8~y|5ktS+xfZwKff{c$Bz8B`m;7m{SnR8(_ipg%jf~__Ggag z<{hcOU|wfkt;OA|)1L|HQvc+urhZQSVD60XVD=x8rvQ)tk1}0!r6td0tgA{){g(K- zXXEeLZ=3r1_pa{p5>x-=ZmIvnN2Y#k^}AHI``>*`>Nh@X>g(TCy30$<{vY~V>X*pV z)ztde_WMHKf;|2bMNEDDJ4^q#|8AwFe)eLf{^EaaUvuN7{)!r={=U2HTNRn(e<4lk zKUdS#ADZRvFEI5ljgb0()-(01sRuOO<}={Ed-g7sQDo|`z|T&b_-6mX z)Zg{5`Tuk^sej;#sei~whBq<$|LeBYU)!piu5)KnhN`;2)PEX3<7|#+?QT>5i>SLd z08_u+mr{R3?H+nR`gct3@)oB4@LN*RK%h)PUI zNlgxTujAQK0}`W02D~SbTl(3U0q=3VJSrhIIWFKe#N!1~H#IUUH6`G!a;QeYD~;x4 zHx3>U@DlOBLRAnQi=D@!U{h`sq(lykjf=s{k7Bq2rQvy8pd$J3g9EWic$Q?qtDIlk z@sX(kZz$}617l<2q61!zg0K@JD)F*w7vuwxTaW0G+S0dLfOphO-)Mf|L2oO(#!u-Jrvm!K#% zVF=#pf&0ZwNs5cb%Lbz$K6+4cBzFFjwv!^U@0`g0_~@kgq*xpTY#!--5!%Fi#s|D< z3KCOd1}7#CQ^(VzbPz{l?-37}qNGGTEG<%P^TUk(IYD_|E zY-Ah`wRkyja!gcWd=eruyS$~E0q?^Ko;Nf;CKA=wR>CjD#tcMQ(v%w>eNbV1G+q{; zh;vV^Y&bb4B{eb`6)K_$D8K=~FJ>g%h+Q31jEA10*77Q1;$U$s`1ulS(NUZPJ7qv} zEY1P-ssU0HlTu^hkb@eMV^X6A2fX3v@l(>`Q{n;x<01zIyk%h2iovC=T6OWm;3@*IHN_L?M#Mw~Vq%6x#sw0S19&J~Y`nJ{q@IB?Y`8$uN`CQquz7d}T^| z*r9>#AvOhDoc1se%=S<()o%}5h6lHYa+p}Aj!aS^NEyYZp~eODHM|gRoXPXhB)!OF zJkZcXWK04#?=BcaSR_H5Rqar$?)TCtOj`tMEj1T3)Q9c-+2zW&^yUG5D8aQmP zo}AUs+oBkqgYq^N1%5^@!DwOo;x2i0=BP7x#h50Ea^}mBG(VuoPmA0bE}ZzzR>(dm z)4j^g^sGA5qd4#|PhGcPgu}+E(@5FcRuAoY_9ux<{T=5eJkUJ18(P8RNG14v=ZH5Mxk~ zk8Yws`{;8FN7hH}k*4C6r(w(4{SMndpJCO4&gXB9y4609AwagEnaPfX2KJ01@zhPf*B_6^5&PM(@i9L;A{&RC z`6d+TGk?B`bLRJ8Wb(74ackcXNy?V&xWApvj%Z_=?AWis?{8Ihyn$g!{CDr;Zg#Zo z;Cgc}$jOc;Ix5uO{6(3}j*guiZ-!&^ve~f+5SksuyZWv@1zWqeV{hwP^&s_tP7QA? zN{w?L>0_N+rLXJUj{RKcPQ-{MO|MG-5a&)tfp%^ebaOVX4oADr%{|z6?xV^U=bpoG z!KPPzh&GLL-&7Fn+&By!;@qEM`%SNKg6rJZK#p_ECtByer%XDxc#`AXT?(Y>#ShKs z+%p(7r0K0raeDj-VXBK$OO5*)nJx~lh!3PD2H<~hlXQ8Q)}h-DYK^(k>uI;`gCXbD z3jLpR?7KAT#ORsbKlU$R>+p`vXAHcZdRuQ3n63Z)d)#pD&F_XYX8}K)@2e@lJI%*c zCyxt;jnjDab#jo`g8IEGx@)ro{yM*>Ylsd_-2D!0eaL~*IFiH!%*|5+DkZ(eDA0bG z`hYt3=(MO9Z!=7u#|LFXjxTRn*8dTP98#NdL4Mn#QTwfTdH*23gsl%Eyow2DLSk~f zR~MiU;J&Is-ccAr976F)wCCRX)w6DCeAwFsNwsxWv!57eiH@yErwuXZGBp- zo__*UVfzWa_2Hn?X@|~`{loXlWC}Nags0=-?>=Nvn5WjTug}G!$}xM;!$xFW?5G&; zb6{jt6h@~2E;!WOSN%*%(1g7)^3%UKdma=Yg{z0FIsN(Qz+C$BOI-)NY)m~L?T-;R z9v52yuV!9j#bN*)0o!T7UQ?u|Vt?uxg$(88QY2;$!_jpl4#%NYMs}Ls=`?bau0M!m z^t*nn6gXXfE#xDvJ!6Lj^u_H+i+U&uc)g2pySj$jjLS}4IWiTL1;eOZ0xXR1BiIZH zI506SIaXal-XEHRqfns3*$gRYd%T?$6rlUkSH5@j7;7dQRVu5ftF(bbwauh?6S>7J zfgZ>$WAaL10Se-A`IRy};Qgs=b)kib96;K|Cz)&Gwn#e1$!Z8HSkq0U_DDQ`5dT4u zb1|D4+355((=;OIIsg7dF>|d_9rTcLec86I(|7)@KwY-SsMBkXJjNc()#fjN&|#`C z5?FfD@<`y+#N?D%bxm9i<2(WBbD*V3-~c=tNR1p27vtSRk;G~iE*Lp%95kjGHrllf zKEu&qlS_3mG&7efVPxiIXt7Q(?Pcgxhj5K^$H9QQ3~krN)V7zQ^SYwIUWRV$rirn= z(mg0E>-P|3Ru=1N6EWb8#W?I|(Sgv48Im7Ta%x;7F?a~;AZ7#kW<>um{ zfr?Bn<{AWBM&Avwl5}4DQ(`jz)}TQ0tlW?cd3F&+euq^d-p#XBitHju#Y9WERBW51 zXjDwp;KbpPaS5@J0dFJ*Tqg}PVXW1?9n-LeVsjbzmo?Gx2fVlxKS2+|wh8)Is*|Aa zr2)(};n?9$c9tBGF+1mu^s_T^lupPfvvSvDG{{`?eefJE`jZ9(aQdlgW}e{fK?yTz z%S1ONPE2xBqWBcQhuJdKi9_NHalTh(rkIRFEDC%_FM1)^IeWnNv@gGC&vff7d$@XZ zz<!)MU>G7z6u zG)JnIS5uP{F6Van&ep&$hv4Tbdzdy7=#hU>?Tc>NJ}h(ZqXlpV-=Yd zqLSz%gT{p@6v()+S=&ii?MP{WQZ-=LdkhAbPM2#N$%9ouW9k8WF=nb}z@FFGSZcuD zu56qiR%-%Yz9vBp-aAP4NAJIyy1}d29OR5F1Hc^H`=i@-TKBIS{XwQ^D{STY}1fybatj4 zGx{iB#Hk&5@52ttnon?XWX6%(9l}8+pkuZh z)tK^0v?;J-N=|gvekwkM?%Ho=(S!YgVZ>AZ!0;(-$;ck)e5EPgP6)}!UWuH!ltwok zw30bU6>trIE^IrLltv%nPis1(2bG~@oU$c>tBrCKI8BjB;7X&#WV261fn?}$ZR-rp z|FkMLi~EOQb9gU3Mw@1MpQXURD)jpOCy3Sjr@~lM8CC&hL^>x$leb$<+HpfS+!A-CFpV0+q z3-~B!irVHki`NyKL2vL>G4-JLlR>@q*=m~8Ut%1E5(o0DGWvD9-rh@+o1e|Hg4viUKg-wka;$ug_sWA`vj)>AnlJ& z-Bxt(XKpKMyTxxUzijne%cN}~t>q*N^gMs%mww|o0^2r@LEpHIWA0ABaeSq0X&h<0 zr44wM_J}FX0R_zUb;dNgUSV&B+`fb&x#Erb9!Y3Frkei)$YyexeIO@&yMmp(Jfu;l zUDSTH#ZS9girE``Kf?bqggAk|5JipcAC~4JRp%{^ce`zQ6sXXrydO ziVOICAg41^o!9zInQVY7g=KOA92pL>0X_?I0y;FaLLJbX%47fsX36Bo?7pl3Y4{%j zLj7MeJImnz+pzUQNt=9X&uDfg8UrFzV!Sw%8E;m|pGlhDefPpNO)n=F!<+%EuqIv< zMHk6r2ddk}U^DaIEDqaUh58!>+OuDk$YjT*8?bHD8yBHKF1J^gQDiQ0jw@TdJhOZz z8Ht)z5R*-BG75MAyNqd?UXzL$B9p6K{qlDD}$V-7Y}wKbxos=)R>1D zG+yScRLscTR|Ub6rq`gV6UK`Qq`j4@=EQW90o|EbtBws$dkcU=<9)D(AMeFAm2KKv zp;}_2y-ieL+FQBW+SKiBRXyfM<;U ze`?dRrJor;;{ru8czr&QZ$s z5R;iP1O?2D)y6cLQKn~x%(#Fe>68P#+{~EU+s%wpPXuR1N3fF_KWfyO5$F?~88a0# zGj7ASnNhMIKsx0;3M4Z!J*j{_@#_Y3X5es+lexhACuy3evD4A`7vaun;+AY-Tc^= z;^#-dVSavuj|j<+019+|R2=E&M=#hmKYn@I&5wJZ@$;jpvL!$Mcvh0aTQXiu=Epe{ zFh8n4r%jU|Zz}L7sH#too8afi&#?WTqwqvGKVDO0rk}f%>2xCLU?){3PGWxGI&E@r zsx(r}R9OMrrpnGK07;c`Q&o2G{*cugm?|BosV!#E-lA+LRW5-;Q)S2umLXN@%v82X zm1WjOeiVB_=Z8v_^~Thx(quMMW$HXEJVYj8y&acc?*aVLWB03W4rE{8$Njy9PN@36 zq4$96;CmLi9xnZsau0?tbC*hUpug{d%iMjx_O`$8=I=QBzPtka=GyDtmG0i3`G9-J zJx#db%KHe+PVe0{Fiod7|3mjpH?4Qy^x8-Mo6i3@B$02RKqvBN8=b>R_|#9QW3c^n zD*Bl^9Q#4c8yaJt|_)_pG9`M=hg8$|Gcj4a*lrSUiXOW|7hM=eRe%4Ufq=A^+i$8mG|HS z*s+=3_c;jDIJMnj_s!#vxNpAnXaCLH9Sd>lG8EVr-u(ocwY?#2K1i-h^PE&Re2ZK1 zjHy>nvYiTQ-rgRvf3bP_w0nXN{+daaK`Ne8=W3(#zCt$ClE0y$nd$WJ=h0NmM0UVs zI>+rnC!mnt7;(jVWAJs?8&ht$-uV1a-y4Jf3h~AlD6rm0z9XHSSN?A?+26&Xz|XWL z=|Si65Ec*Ji-^98d2ZWb+fL|QCV)B7jp1Q(qMzgn!;(nSNcEvG?=-xGv(wf0yE_e( z!D&U}L#lXuC=r|L%>oB6HXcMtQ1YHCi}x|fd!QUlle}M7bdTj+CHGh!s2mo&*ckQ@ z4vveBuU7RBv~hLV?u>Z>3St<5jn7Wz#rEL9);2)0|OD!-)G=MD&i~|AAK~G+ibkk)Mn#%&0)%b__qRQ+^gCG zsmgKf4QpdCySF7an91?`#?<55BW-PJPihzDf3Df2BTgY2ck?8rrFugFL8&sP6AJX5 z!4G#)mRVsO3|o4?t~P<%^?+$t7&keDqpH@^*{D_+$MiA>%T^TFx8 zLll`Sxu(jt^Rak0YwIT1tUYH}7j{VIVWbi&LhP&kGdW6~;m%EMbMQ z-bkD4b#P0(lk1x_`YVjro>rTk6~^Ub*cbv{jsMW(^=71Ev%>h`STXeq;{t;^?m3=u zTFisv05XaES%K-ySB-}$&F82F&L_t_r?!~++oe7;!&U>C^m`_GcRJNH< z?z1*BywxOZFzxP?F?G93oQ7h%!q{;-$XQ`rGXrc!)=V#inJJKmzt}MK*_ZmRU5(!O z@FysPE(7n1hnf-Scf zyq`N{RVjBKt}5+=VOvQczXD{bzP(^(K}DvO;Cm&0D~T_H^+nsauTfGRK==Jcv~*i78=<{8sXONq5Ea)BD{O z%a)~rS1gBt*}bQh4qCjttr0oJG=As-f5mbhY}>tmjL!*EqVUxP-0V_LnY_*NR}IK~ z+*n&4`xbtvYypE@@Jl^nEER z*SxjJjxbMsQS%ur*yctSs7quusZg`(fnHOT1Wzd@p~R$IGb96tg1UKOnz=-IWQCu7 zt?zZS@0kLA#+5G=l4Q@KKwn+7E9@lMJ_O%SvMh+0Bv~D0OILgX;n9~DBo935^+i;GP1-i2*EKJa1d@!s{}J~re_4MJS` z_@l1V#x%-s@~s*NXZLWhbCT%_d1i4=d8Y(%5NzMq7eUsGi#ug>T*)rJ<6?T4x6=3Q{RHr{?siW%>uUG* zv+k!Sc%X~>I7d_^;2%*xWlLJ+8sH{IvncmqcYy4{j*SMGhTCai#^e5Gkbm5>@sTMT zWX<95b7VpwAuWDDOtLq46yBQbEgb{*#_Ib4m;DEgP+5BID%m?Z7MYEU;(*>7_N+rS zyjeis6YIvip1AOw?}=H@JLlO1pZoa@;3z(-WAX)3qPzk#CI7y|$8&7)TV8bE=EO_x z+Z3Pe=jNK1{kQD>3T*cZVI2zm#(iqOb40UVlfl7j^}3iGC#Ityq&4?^!*9*oVf!bO z=}nL`uc-lcQhkF)v{roQYO&hlcjQAAvlaXd+jivXO90Zo7cOP{ZLq@iS|AR~jNUUS zGflU_d+<?(7XKP#=Z=dNIgb4v>^Qd1c6u}5olqtn+36dn z)nwk`IPy(EXh&HBpIJ&rc^bCvC_VfY$G3B z>hbIv4D0a47#_{_YflV~ay#30*qr?5!x#zEOl!sgWFCJ7wjYbi7%e#tt%2!JGiMcc z-1LBUlX~t!SNNbRD9LmwkudeaLA}xV7v`y_9n69w>VSqNCq#QG+Q3u*11LVO@lZC* zI|+BItw`=d;mS1I=W821e1f;rDQ*KdtJ;Za-e_%_+BaY)C!}G1q5jhFFU(U9Pxufs z3KcAzSX3hJ#KuCW)0cgRw?@y#>%y$qhq!tL4%>S8iR{*wZy3|~^4=V-|I{0w({HSv z!jPvZUW4O~j7u6E>1~0o57q`p02XCbvcMyh??vcn6$?-`!(dsd}d*@(qM@Mpn z?5I9cMeisKC++NLib6J)Q%Dwdk?fU0esD*Hkp@9Wl#BwsqXq?ocC=L?ccjktZMZMY zQ_qlC*TM6a;T$nw1=M9ra*DTIpeZ&4&W^X&H?NP^8ixe5}5h`_TyS2aTsoSjQ4KCWYa#6 zO9B62@?zHSA52Tk8RcNkW4><>=GD^f!R$r5;b^|NtaDu7D{zi0yqrC*MaI;}Rk;$1 z&6N9#Dlnsx(!6sptb^5k{qBC+f_Z+w8dL9QQ%!_F@~Nm%kttr;TJBl3tnHrFf6!#* ztjgAN&T20viGG*SrU6KOsV@+XL6aG{#N5(n5Q0*QvLzY!w0&0 z=7J@iIK6*0jZsj``wn{$s^isCDbHF*XfLW zPol9KoMpO)k`r)Sm-wxcoIX$fo@4u`1W z(7&2jmuq#<%gIQ%47NWHsTSPrt=Fh0bdBIz=>b>3wzKvA7$fZj?r%Woyki8$1DSU; zEru~ntwX54V#tXwPd&(H$oHPN68^x~(beM=aQmEE-t%_pA}j^q8<$8bzg3+?TKKK1 zggDQ9Lu7zOdb%mRXFdHkoaTG_caXI9+2|O9y#5CYwAVLi*Yb+1na(jY{Yz1{O#e#b zJjLm=V2g*d;GF%AqaiZS_xCoCGhRK8OyoplpE5b(G(}EwvEvhTy4Lkc=ygNAeXf-6 z?X?l~cIg`8+Zg3subF1;*t+N!{nh5T9l~1NeX66BrD(Oc!RH4eG_!KJ9JP z_B{^Md|N$B2gYP=PxwmbyN(I^DQ`cDf<89*rI)IszXzMBY-w3_QZT^SRnO5NS=qdxZNxL+l}uH|<__aC%I3GI zdZz{Te_okkhW(|>=EME;_z0$O*^9JcBW-%X(HBQqLb9m)o4KT!?>|9#GgqVT zLraa7WbuBrrp}uup3z&h!@h#e*52eGV84phV7yJKIGCZ`!0G4wZs7e1Ou03f z3Lww$fjmCtR2@ML?+l8}MfIC6A`wik&3VbqkoB|N47oVl59gehL&A9p1-i%lc&-!I z=JWO2a&0^HHASZXT%v4=a+gI2jQP;!6=Rxfjht@=1yBuOKcGmu*OrUji2Y}Y8?mfQ zgF-PwqYg#kw|s|>hi&`RddmQ2?v;HxOqs_&tbreV>{sAS#InAVF#^xL>qnsN3fSf| zjbDwaCu+&>qu5N;K3mNgmHJqPK@Ed3s!M39QTG6KHo8&i`H35qnVZ-YO}pJ^k?nTf zr?#o$$ue6lz?YFAX`k7)g#s=i6&8klJ@k;`U* zX*$h<*@?ZX6LdF3wVEF#_11KjWI_M@14#c;=QJrdTHGuQkACj*ZbMX$ zQeyY`QpKROxUZ5;i#L_YDNnu!krwiy&Q=A|5U*%pLwvDva6{Yz4($pXsLMuvK#--X zZHSAFsT*PyOnYqReceN4o_hY_{V!+tF4e^qKq?0NIIm2-km0!+W;7<}&egX$cjOV9 zb2}TlId>6x>`z)NG!DwSc#F)r$D7zR3wUc+!Eus^FKSyS;ud4-M4Z~w zPsBU0-GuuJlO2au!oAo#G~qsY!cVxeecXiW+t*FFlZu=L)qCl~t5_rFMix@K)X(M`%3Aj!eT{7&DOnDU35}6Ua2ixz6PR|L>gu*Weh5t2;dRQutNsG<| zhQEhmhJPz;8~&5808GT!y$Vwzeo6x)J|43kH{xr+oC9=T;79b(>o%g57BQl8)f@y7 zRTsgrxN*|^7zHM#Y0GU)2ft%u+H0j7(^2oaG5uhb1W||ZPm7G{0Zc;dRoG+eEFi+q zfh49SKJsJw`G$~~-v6;1(+-$@*qGklY-9TVXJRs@*HI83IYOOg!29`gKfs+ZCkYAg zeP8$io(9_w@E6;H1AG&C8HYfGJh2u;M$5A;6<=)fIr6shg0xJzkz9DokvYo zB-RZzFxH0@IITO^P8;jx#?-@1tvxo@;eWJ z^fKmYW_3Y9SF25bcMm)IqI=j2{_qdG_7(rIf4b@%_M{s)R(qZIogy>TJo~4ZJc+MS zAVbX~Hy*Rzd@LsJ zDX(T0`TE0!toAnEW7%NZOZ6_5^*8W+E?HeU4LfLJ@oHiJ_Tt7xVar`j#fyd9 zYdjnUdaB#_KHPLHQ{8V$Dv+t}UlEGTmEVJGdW<(|@MU@bM*4>9lIp zLXc@yswE3lT1{wc0ki`Yls;qI`RP**%jZF>tK(3hGp20^Cu4r->}E`6e2Ggkrna(W zrtnKoKP$TRvRUz}F-=zF?wuhk_M=E<39X-Sv*HyE!T(VBJSEVD~@m1nljxZom%U zTU8RU?6||y2JFKLe!wa|Zv&QKOcStU3WA&QI}`nYm6+rPtQ)@neTFbzMv=6;=#Shm zF4*9PvFyh|?QVre9md-DmXw5X5p3J;x_{!t?g}6@cJZJ3v8%V4vFrAwj@>Zt9T+Bf zF<&Dby5UXU3Cr}9Pk-k|?6qBP#P;tFidcy~f{fU0DNqe>z@%Sd4d zLn8Jh3UtIO{NzMz^$|B>$B+3D%YWR7*ya;{#A=+h5gTqy6S1=jf*anNQ+~wCopvME z?~EI@kj@UJ2vf&Ll>qM;ZIe=++t(7Sa?=&DZc>T}&!F%umgE#N0+FJk< z#k4qlFZmOo3EZ)pZnVN~xzT#$w(sF%cN_=Jz+#U-A3mE2x3Nd*E3ZJRmpcsKp3pf_ zJsT{O6J@fyU$AJA!~KHAn4I`hh5xX+trnRRi*s?i%84BJSO9edNeW!cAM(A6&i6WB zl}Im$FF^3qjiH6yqhDTFeG9;LgEjC)DG9-5e7MgO^L~MCPAhAKJ*}I{v~$hkxE0J_ ztm;}O=(Ofp#86*nX-NV1!kVWUhs8J=xx8 z4K1%q$R_H7XG0S8PZa1OYzw|WWD~U= zzW3uN>gXwcqQ0wanRN8VmwhA|cfbxwx;gmnk4!GI&2*El(F<CSlS` zmXL%m%?e7w`(`uq0^Xa-G)XsPj+i>>ju_NQ*Y;&6&;C{*quheI8S||0JU`ElDO>XF z?5h@#XA|c$&%Rm+BPL2!3wW2_)PUhI8uy~%IjLU3#gcHlmLMC62&?YCK*dM)qF=djI6e4Q^Cm$WaPxa9j*`CfgHi%{v?nQH11{aJ3goo+N*edk7F z>@GhV<@SU`V;l<1Rr6ja8b9pw{hxWiyE_8%Ga-Q-u^JkVJFMA)QSLPjeyfX8&4p9KDBw0Ph-}?Xsrgx10RXI$%r~g@) zwoBaW5W2+X=bVkIOMK}!Q((KqW9Ly|r!rx`Yhsu9$VK*Yrb~RrBD=)?f7o6St4LQZ zpi9hsO?QL(`Yhsxwsm742wS?uzi;}%TXGAwjNM~zheYxa3Unlw+;JjVHB-3LB|e#1 zkr}&ZC|laqquIi3m-rs+kS=jV_Hf%Jo`dbTu?KR3Y#Vz=k<%sqq}X4?oeqFRzJ-maAg8dnwS_A``7fC2m)j0&}Za03A`piQ%Pc+SV?t zS3TS&+OC?gIW0@84OkeB|Hb)N&NZDnI%)oK2C$qQC6HfNF4KRCSpYlG1ZSr?yB$CVYj&TEE zn*8k>1Jma3cMc(c8xM3gs{EZX$Q0Q8JvH^C0c--*xr`Fj(#pT9LGfo%S6P~_zAS;aPg2TZYq{C#d} zQ2yqgrcmeaY-O50v)^yowQH9jABXJsJRx9w3%LErZ#!i$AR>Y2W#0G6^zkdo_61B%CexlyqzGUQ^_ z12)g_ER2H|kaYV%dT=jv*h#vbN11fBy?c&H((O2oj4(;}=`S!%(!F;IrcJtt(>@{T zUUE<;-LW%fyG^>PXHj62uG2Y9Ou9+GF^^2reP@wL_uhG%XZY2IOBRrHPbgy2C0x2ChLdzV{|rgGN;jEwmtokXd-{%>bSu2fb{ctD*^+cq!ZSQ(v{AuQ9En~Y@2jV^8utoJO&6&x+n6p3`tk^Uf9ypRViQrNw))}lP*_b z7&4>Wbf1Q#WG`3o%wC%MuDH3@{tk#QSSAIn_!KCSnWOf1B{NH2Zn+<($;;KHVcNv{ z{sB!gZ7iEPXxeCziB+Qx*MKx^%Ndl!k1e?>t;#Fb0Gs590(A`JlYAP`} zBmAbO0$DcvtB#*D57u>Z=D@>#qO`4_AyEoG;wQ@Uu>IcY(*_`$C@miaIf*h1>|BBV ztx+e#^9}uwUx95yzU(n4`VO%3xn}~TaFwER40uOv)?@^$gjZ%0A zH%jF?x>4%aDJV*dEiy{uJKMknytCacAWGGG;QiH8ujPj-PoO};HoCVTw$gnwo zN}&$hG-Yz(;3jMvwv|Jiu(gZJ7`AQke%NLvFl_zP;5Ky4-fS2qY#oQ&ur(dw#wvQG z8>O%{_& z>#b2BtC=6e4(Vv0o8kxJI&41>Ri_2H`a_M{)sIc5*Lgcif?~S<5Nx~reS3!E`d4St zwY%n^G)4ciA@1(-O1~@)K0Mbtc-JeggD=f<9b9RCkb@H~(!m`USf2*Gb#GXJgKvSv z!HeDuaqt}!*xqU4Tdud)FIQw1M$RZ((tOSeaktlIrI_^mOcaRcGrgC=^E*%^LtMjE zuIJO8@26*KQREYlzsd}iY{a|=u}+Km0e4c($`Zs-nv85Fuw_)5ASPvnN*bSY4y zP0Y7$=-vfMx7BQyAI7u0L&Df%54)|rd!2Z`vd@j@*9ZJ~{;6z<=Ua#Tm=!&Yn3*pK zJ!wqyLDX&q!5>7udc==q?w{RQHb3gd@>@mDD0Ca_#P+#kHnzEs`>`Ae+lH^oF95Tw z@b?KPk_%2|jAY@{ek6}6+pGgr{gpwTaZv>|20{HCmI>;ZOE#!Umu*n{Uvq={>~%M& zALEe_CW`tB-1}3I?eUltsGzpI;|6saC}?nM{I?(F16~%}SpO3S+nrPVgaSP{EyiyU z*;ZR6n*y0r^vkKpwA$&)mMAyKlf|~om9QD*E5(Ji;3!wim&LZ&MX>$8@*o~9 z;m;V_5t55HZ zp4ctExS61G#>?7^uH6Mg#+xR+?Llvcsgoxxz?a3;@NQeXrgyeK-U3%IUe!p+s-Xh^?%Mr>sMtm#kx;WnZ&fpfgjnlxwH%r+F_KQ0EZtwrQ|+jvM<^FT1fX`ASgi zqbxG^&F0x~<7SwJ77+W3prF_&JeFvL*H--}7Vt8*IkD#wsWFixmXNKK^|__D5m+u`lw08~fK4IkDdbc4FUijg9?L zWis~d*E+HH);Y0%4G|;M>>|fo2An0#i;D#1D>oZveC=k#m)nD~A@?_e%!cz)pvIRjyWDJe1r(GG z?RWdxaB{Do4O4z_vLR+)NH%OifgWt8?02%EARe^kPaT>c@v|XS*^&(p9P_hbHf(0Y zPsTJoLHpwwvZ3%Vel|>k?PtShC){jkeKI&3Mu44c@J`umn50Z*!!_9a{!ro>Co#(W z>LkV%Kxkq#IL9(1MwZ`f^aEbr^A?Z_D?oaTsCflvkEa*Ls_1(IK_(4KUqguP%I7SH zu=1JZy0cMzF4XmgDX=S_i~iIZ!k#+ewqD4q5T4aeEO%zk>V*9cp0H&@{cg^zc9vK&SJu!5eg;K;7)#{=*@eef z@>;^evy}B_z>ea0?amveUSb}SJ)D(YuvulZ|U7NMG zWw*Q(#?vvM~y* ztFt!ML{~r7jNUV@e$FCY9o^h|7f;7;Z2_*nr;T>6&i=CPw5?tJ6l|GXhjsAX{&q*@ zb}q8k?CQo~Ko3Rcg6Jh>ORv_rw;zuWV26xlPe0+tV{IRhU08jzFUT3c;uPD4aH^jr zL@QfBLgekXrjAzA{)m>$Wv(kQm$+XIaH1F!l{G^Tb~Ku0h}iNN*ya*9XIxe<5)VH_ zpx7^YPol{0qjo!l=r)aaHmc~pkYEaIbbn6N@nj$64b{ZxKAh}_sC}v*qL*OHa?``Z z9Dko40k_!C(e58*orFV~JK7Rlw&7_-LBDYP8;aPStQ{k!_To!;SeT#YxHU8`(JTI} zWcvQ`IA-&q)%NFLnxx+_5vJ|BD^KzX$Fk5t{h`%wlg)PPmikjsV3WSjG);8Ntm$xt ze|P#pi%j|rGq_##jHPB-0L3U`|1xrpwsq2PhAm0o^A+E_cjm!%*9UsPs#2Y;;KV`& z7@YMT$^{lFGOeJQvZWPVTI{!is3jR%L6xO$&|=;K*;a5tk<$uFE>mIClNGq}<+m*% zq#xl4WX_o0_#KI%m*ZVAbvQ>G)F=KcY}-Hw%01n|qPCBUbq2?zc`S8ppHy_^o)Xj&VHv2*SeTyH|+MoM@{AsHb zkV#*{Z?>6y_LcP_4yFEfOYmu*ZxjVJlj$g8GwHEIOzqd7cVVC%JU|b$<918)cyzCO z;_o?xcZ>Mm*{J%5gda?SZ3v(2)2GV*;phFD*boXIz{&azp|3?Yghvl@yJ`q;9QqVtSmZ#)zBrs8U^!>5-=f z3sgHysbc}OK@rnqXFY9erxbYDPl*lnos^jUNJvWjfdYNmxuJoZ5@#QCQetisKM!&= z&5#G*qX=Qqduh?k&4V$`ZKUC)>n$uH?nPS##r+M9I_?!)OR&95*3@y2!n0J75&9H& z!?qVG32kkt1K#j<77+JB?HTuLof+Xe-iR&|;ac4g3DW_T?`~pd=Gm_}gk#Uy!`Y}h zfL=XKfsOE6y-;8y{B>_ljIh^-K{F9ECAByrL zyf@m3@XDBw2BwUrw|!g_rUTwS)-Q zNDhkd28}wxO;T)xbEb-^BmA^MeTrvc+Xzogvq8l)c$fu5xax35xWZUQxUTmOOw+~3 zj6)<$gnK<}VrC-zjYBy0N5?xGRfMNJX9{eD4@^LTjqqR3Yhr|JPGZnZghyCpgnLc4 zK@E7HPPc#vXP%*>s;{JqzMyS=`cJ}^F8=yUezX_NcA`CePDr%BM}cmM3to1k{p~y_ z+M^cu(LN755E7Pv3Qb-SX!5{LdR7&q;pJed@c_b*s)fwcM(6&jDhTplU6IWt3p@ zeMYQC3I6I!K?#Q3@4HA!aKi%zuu8D&K>$_>G9K0`R)PWRSguA1F0)Big2|6qxfiL| zp0EihLA@un;I$I8U$1G+g&Ck_Zm;)@Pw-z;!auj4^ehX$-Uh$mkA1->_;3Df=et8+ z^mO1_&?!1l@{*?mZ-Vyb_Ka7ol)dCw5Hgny|e98v^tjw?YP^Vbt&ws>XG%}BGmn6&lGXZGw9{wp`)edNu zQi_N6)w?vUx$re;k@?ckeKN27B1Pt}0??g(>6d<)Z~WRP^V#26nXdvV>$!&C{zB&4 z0m&$T>OcH4zha-2G8pE5Z&Q$Y+aHo-zE&r-%zOSQf>yzwgw!&hX_C6NAAq*~e8JCF z%s9B+ZxfJtYZWyzf6s{~$-JwY=0>@nw~a+b=8e-p+6CJcz7(3E(tQ`HpPv*rfR*{O z3;A%lz9!)c@erJ4=!*^Tu@;Aeo<^XA?+mq!i11YduYCF0`m0wKCrbm*92{ zzp^0`{(in6fR_2jMp55%zON}#vWCB{dDIHJev4>o=l&3o2>O&mqQ1_3c}t}1fF5aW zQxNo_herJi^?P+v3%Yw7Trr(nwG~ney22!N6W<4I1$}P2sMonKYHt$|bjuDb=@xlF`awS5k_>TbARtkLC{mW`7V;6AMI`cE9huX09MfLdg&AkdfbsL zJJY#W*(3{kQEw~TBK1X~O+e6x_tnDH1Nxx;n$}#n0<_HPtp|ESfB9IS&~G1Ncm><^^~?s(DM|Vf)b1#k)#A4 z>7-VI$)}3s)#0ZJsg>Y1lhn=68R=7kwMf_z{?So30VNoTm@8(4AInO>p$-DYq5_YP zgQ$!O+*@LVYE+)6A6@Aad$*Y>V!sN2?(3sx`Ne)&l~3$F&-TQA z7wD9UeAYRh*gpa7P2|nyTfu@$OBdJ_#QbP=l9>CQM@cQ_7l|}|{p$0D)MAcYpp&|Z z6`*Zj&su0Di$LiY+62V>Fj88~v;Ke|V2cKxOr8gcLSRf%px!|o7|{4vpwgE}mI8GK zXoN?cR#}eY?E-a!KdILIk(x5S6u;`K=&yrAzHDa=%Tg<^RqDJj{1J;!$+Sp{`@Xu! zYlaxCOGLjmMEySfK92c)`ZY~Y@+?_uldK@e#H};QB6TMmCF?CWy#S!l3NjWf%JJL* zcFF_oAM-B-;Pv`P>-)R~PH5!!7I+U*;t?>vvGoWz)R5XEV8bSW*>B3_pndwHPK8Hh zUz%LVpZYQOL87)eX`uFlUkwLw!#&DrvDRY zJ~7TZ6r@-o-GhWylbr=dc12nn)eOkj2&=;pR#?rt`GwWDyI)vydn5_#DVt~Z#v z!O5I`2WcNWi%($Jj4-n&lG%Cci9R0gMMCp%zzA?ef{TntBFTMa<*CBbP9rT#n~(Cd zwEyXTmYzL2iKS25B(wD9Gb|UebS$!jBIi)MKO+XI^Qf9f2P9lxA=gHG^!jz?DEitjzg$w>2ijIp_z)bBA~ zeGbd}rSp`US5`4ydtdkhi6nV{f4-IS=NBYNvg<-gvLtgZw8AS=b1$(8aOo+el18jX zaDMDLF)c<)hNU+&t;G_$j9?S2;uWHKSUURhBr&MiA5vw|?g~!^%Rn=iud7Moa*M^3 z)Lg!kNbVN(uCiQSd^Iy^1}28HN@qbI@Q3pq%op`{WvQW0VD^Y9RaI3`rKW%|qs^h| zmT3*+DJ`E3z|+;+5=l)VjVi~eFTMOj(7t{=sgAAi*F%DrF=KrEzotk^~S`OM*uQnLSnUQOVIvYp%yaf zL^tjP>ydfQi7dr=Bfz9t(@KgU#R%pER;ztPT2VEmDZ(1=3?G#ws--r`qN>8Y!Sg9h zb;j5PMAZ_H8I~CdjPD*Zx>Wj^F%cuYv?wtuhb&Jk9*K5(Ipjp(9F0d_%p2sa3 zb1`Z}(lzU-PmwH{Fl*8*1iM<~Z&U9}{B4?vq1$(0{HRT`fUmFEROR8qW8zjlq{9XXs zo*BEsU(MT)l4_oC3s*DmR$n!rz1^$k5v%;wY`vPrH*sE>Iv)wIez)B1uiw^tyqlcA zhHo->t?wq^-S26_I}do8F!(|L4KH}eDs%e75V!Frc_c_Z4lDeP!~dX~u&Rz~Ur#A` zmNhE}g}3pEf|6#Wq5b1Zr;k^!XJDwCIUANbsuO0dDYME;5&L!%rkg1m9Gr`Si7HoR zc?c{%Z`@hqq4N0qo4(7{Pt{RpVUdg%2~}}rC1Q+XcM|$=)|8p*7P`yyndKFw>ORb! z6KCS)>QPK_Qzp_K)zlm!^=)3kZ6JF@x0!+2tfFiR1%_RN*=%-kRry@CvI(e?>7dSN z3aXS!U(g&B;=2ND*aBpMj$(ZYCZq|pPEy~r(iB=d8Iw*a8a7My#4Iy@#!QrbN)Oz- zas~pz74#x%HoCgn-x~}v?WPvC)Ghq(r#fmDc!-~>iBn6lyQrWq3U5IZNq-IE#P4bQ z>!|xO0&0I0O({i0^O>qBmNF4e21m?dqBVX~f@oC5H)UlwwS#AzQX!~BP`e?XyIX{_F=Dp2k4TR*k z2g?A+O73k<>lx5(NU&MSy#|`C5$ZvLAdUU;C6w^2(bdV2sV_~lVG{_KAa6G810j1O z!R%&8O)r@+y>wa`tbz0G{1=DVeS_i>oy4HXuYzu%G>`RNpceKO#NiMuR@oJ0ov~4? zXp=U{gItPFV zXEkU~I9reO3FlJuDHcbcqlIK~ECj&3e$kMI*S!mW!CR-VpObz1B{A%Io%9&?Ev1=Z zC0L?ZhAljX7{*Mx5{n2_nmDr-q~XjItRPs0i%#-$=DZ<(&O9>I<4n=;6wW*bK+g~h zPo_Jty-)5Uu?q7CWiJNMM~K!gvR?7RFkvS!kmKvZzuYA(8ap z{N-Yi&Yf2y9}nP-mw+|{c;b&*Hf(y=r((SfRDaekJ_|Da+x9ZBg}{uR-o4#(g-wT zWs*SE+sSF_P&eOVvUmgdGq?K1{QYf6*#Z12tflw?rsj?$iPyg~SBKv>lJ$ zx{D-h25@J!Ps+C-VFvJ1*Mjp#jOsGbNm9J#K9S<^2at`WxPfRR#fKl%a$_mJgC!Rx zWtL){b)FO-ek4VTIge^UOR+y_kzyriPl_v_@JVsldcPE}p_Gy0;HQOTDJ}*eQVcy~ zrP$SwT8ck`=5E>lSxp)#K1d0#TdD;clF}r_YKyQq+3W(HB*lNcAyT~WO;3s~ z-?CDy_clmlS?<28MaTke`<_m*K#RAg#FWeXt0&>d{+1%)dhdG@J{I)&StS#v7pW?c z6N;x!oH7$nS5tAw@gxDf2>SxGC;g@$`K15y4!`uXKNgXz=Pk+7kALDxf4-*mBJ3VR zYUx+))FLm#wv1p+oD7OYwl997pu`X&3s) zqfl2oK5)fa$3PnWtsB=G%lg|RL#J4O%kh*T&jod}Qq;A=0D3@L0a`BxCf6Z-sWkMEC8Na1_kiOl!+L0G;|oa*QMwdEe) zX;H3JB91!;quG{%=al5QNbk$y;xSE)+51#(ft>v@GY?@sh-zR zlE?A%%Sl#n%3e`s;*_-006o;~_ybDRVMStB+p>QInY0)lxx^=m53liyBKvwz6#YP_ zj6LyX9+&5X_Vn4gA*sGs=%nUr-5b5CUjW+jwfjvzX1<9;Dl>=N>@l;!3g)1~Uw(i_ z{echUs2ec=4nm4qLED4~?ZZO|9Ki~I;cCpc^K&WY32(`XcarS50XvmsUXhuCEHw6l z_GYe@m^7@WzK&Uf7nT<#gyf~g%K-FNH};@cXZ?bwvoT$Gqr^SgNqp>-doscSpkC0pOZ45yaA}6V-Q)z4Rupp?}vx`gB zU7#xGOq^LVL3IW5#!s9*ty1j=RXGut%hVWR6?PhDD7@t3V`yD)#$)J7m|0~Y-kav* z(uxd!Q&AQ56aEL)rfoUuj3!2sTC=B4pKSzLjJ{GnvxruySau<+2y_pTcC$Lqh?l4A z6Cqx?{~oHb|4DENRs(vPhIODPzvofSsU;B5rKlp^y+~Cg>U!{GEe)ExYwZ=zKle$G*)D9NbuS(S4u)f*5G z4-Rnt?`!K$(rWv>l<#XRov|jhwFTO0Rdf@i;?+Bvo>cD|N^)hXS`X@K)nw+X4Nyp` zmWeo^{en%hJ*<`2!|Fo>NjIMfKr{L%RMG1;Q^EF>QKdU-CfvOPw2z-%AQ#>idW1-> zVrx_vOg3Q+Hy~km=Whb9g6fp!xvD=VXR=FTgH>7pmdq@gHci2omXDngqsXi}M}cFa zIv@EJWHD~yaqlDOKvKDC0Ho*X<9Nv1lhI9Jp%gj*3SdRDr>?(Hw-G($Ospu!Uiqn@ z%{r(K`UUGjxT*ght(*DpF(x1PU<*Ke=#57#4m|9s{1SMBDAz>tUI(jWQXX@gvoA zG}P-4x1(c;v`$2?PSVdi0C>`R2g9-LE*JlD@*I{ld0vt{dDihwmh)+{lo7*eV5+aW zgD_S9x}mMQw)n79i%b2_4!1|63rf{@paUjVy>Gt1>N5`URlQm3Untb4fV>f}&vQ zvHMXM0JhnYt~w>dB08s--3~+d1dUY$JQ#o$b{vJ?nZ%B30a)1`d!)a$3(#LZbLf3X z*;D~e%a2at#0p9p;9^sg2_S*r%GWpr4-VVhTda9HtB-TAn8NW7Owi zc0fH%nnCAbN+b`n=hgRf?Gb3Jx8m*#jr9+@Gm!Ra(07z%4LS?6iCuO##FE+egmp-y zF1rh$;~Ik&HO0_hNlYv)u9#b(h9N0iDbEsZ_IMt`Y`_obw~?M%E!s#*W;+j!e8S1w zpkGOx3_`^K=&h6j(0b|c0|?8vJ1`l@GNBQ4%j-NR_as)x8Rjmee2l221)6AfxD&@yb;=Obm4yC7+f@gPwX2vXs;(6S7ChX@1yd7C?EQj_baRaaU7!EuKO|%@SgerQiz6%c^ zzIJwnDoW#)gSKKrl{O)b9sQDNnhi7-(l%LcYm}$sLnYoPtAWtDZG6L{Vmc@ z1$e(h>e&-(MX#QtF>!dhb~RWfJ-B_QSLfHTa!aanM|4`PYu|#9C%x8~R%}BygEOLc z1Hl@vQS-qXe;2$5Xh38`zoZD;G| z{&o(6{(0@J#w;W4tdAK*Wb@>qDeY{C8O0l%jz{OU4cylePda3ah3B6wm^M-dp%v)T z(*9g@Xs`VzV9M~f{~V-!?GK~BbNeqRlH30sXgdI1)0LUgk@_RJK=q)<_zRHcUNoCl zcRU(rVme5Y-y{H1yRD%8^@$fI)n|!L>Mqc@uUDT-Kx=t?I*|E*`$HmK)Jezs8DBog z&-hixdCWd(FzZk~JabsKK6jGG)?0^owX8SP&%+_ZkV^JvvH%eC%^eP|7$c;fAaylw zABj6#L$fZb$0*U6J*N}Tw9Q$o(f+C*dxpR23(lm?00i_i@83LUlX{N8tDs}}I!*EE zB{qT7Fr-8jpO$$XxNMS(NW0ZEd&H zX81H{6(xMO+gp&9z)2OF)VABZ=AwppMa7usby4Tc6RveXhq#6fmLk>eTtC-NnD6J> z!UaiOd(kGDYj;*#M!}WWg*E}Mor;uj?Td>&t}VF)wEy93Hvq4b=KsORy}w-Paj&rE z7u-u*>?znepuJA|z*Whd+>W%blTNwXD%)Nnxsw(z@v(S65?b}nScXN__;FoS4G1$% z4Zaaf(p!$xS6V)fy9K1#u^)cBW+Fcb%v_~YysTV(M-ofFwn=8`>vvi%7O5Wh+5}iS zA1N8@TCPoDV>JLhrXP17@sl_E-+0i^+|VPGGRw2BM9cE*gU38ZpZqu&ZJvm)HKbXp zr9bft0lW!F#-U@M^b26YQ%Kok8FzgW`>)hVJtozA+GGDh(00Yv;~AeU-a;aE#Wr*U zW0>k2KF6|B_`5Jf)9Cj<=Be|CnB5Rqe8V@f-r=IBP6g-jhE-=re5{JZJmwq$n(V}} z2&@F-^Dxa)a?bRM$wlfLq`bDBi%Hkkx(k*ksTIA8)*Q(W)8=mU<W^+GZ|+>qN+C% zy0l1tpQ2xhDPKl`r$GDobQ30jk53CQ`Fo1)9_Lf^$B;->^dqn$5Jjhsco@1F*0U$n zRjJfBH4D)0`qvv7u9so0(!k@A*1P=Io%iFtLo#K&`_9lH(c-xpHdE|_tU+U{L zg-_4438WrGN=DAnSt&e!7=Y$dbsZm<($MX^X{i_blJIO2(K2$@N4Jm({!-8>6Z|RY zC^AAm589iees1Dt?nO$*zcle#z zC0>q1s+1bG_N26(Xk*+^fG(-0yVIe^df%IcrC;|t7od?<4^Mg9Lsz{=krSb?-V*&n z=&MgwFWDr^>Mp3Pl~tWWn}DoNLrP@zQ{NO>jS`J+p*0#a6K!y-;ZS2M5(V89kyLqsy&^M^4r_n$$`#ArHh z)`1!huUc{v%7-(V9%;|=6`V6KRF`&&@kLdQuZq%|1#u^?|TheG^ zcVh-Rex}aHfZ|h2dd4NAtZu{+Uo25n2$Z9%kPj9lf228k6{i^hLzVFQX6HJ`No{LlgAu&GX|Ly zg`bR(h9J)j?{bVbGAjKH+Uo+{^8HHkBvNdY^>~XUeL3b3O6mdXWg@w6(5%k`)cu(8 ztrm^PKw~>fg7D%31SMU3DQeg32kKR9rHBoO&2!QBl7WP8wW<{)fLW z@js}J2-j0jH8)2U)62`I={ZPUfTEKu2Cqb=c+ro*2|m5MIZ5x{fuf1>EWo^FW#2LG zmwhEtBKsYh*0Rro>d9LX?}PTqSKR?sOcw5o&_z$6LCk3^LIri?S>iP;E`8l@v~Kd= zR`t}ks2nk;Wa6~5rmLYu*{)Q9#(N_?;@8_IAz+)=q@U4p@BS!3O};>>5D_XGxBx%P z4Bm+;l=|ZK289}L)6INSB8UZ-3WXzZCS_<1)PB@*gB0Vxs|Vr0$>=G8G|{jYjSBH= zAj^Ue9jR2LD|$e%9)g6bSV+BbA$k$c`;cX-7xq{j7XGY8X=vYRb{@JLQZlu-tl`i0*QgjUMmZ)&(R<1GQF5Ws0hn_HC=aK@Q*|{?hB?vm`J4Oqj zf#DGA+Sn`UoCSU38dS|g-(_^aM!DRvtqwPNPsdj{%xKkPjD7{R3Wwh^b*LG3gvq-P z3J?ykw$^;Y=yAZppE@`FBkN3=({bUM$lU!5Q{Dmv^m&h(WQdEg|$#5^oeQpGC}E;*@(1n zxuAUsmAtnIT7b#WqN@Zw6O+3|R|`tUS{7X+=o3`mDD(IMLC5;bT_4Qm_)O3r(KjLv*LSy|Pm$aN-7DzXP*02Q z6SO7indJRZ(7Gr4P!%$ots=*Q5l;9)R3#S5|2vkY_`8?%{dkn^Nc-f9GaekWXiLuT z6l0!of?pU7Y$GV01A1s(N0+T4ovC!^LWm_6T0XLy?xW4oJm)r(y>}yPR|> zo3A*-lhA%|>HN+ZLgSM6-7HiVz-4HZlObpYy?qYgnj|#sB7?3ZG*j}fAjJgm52{>3 z&|W_n^enoRCFtu%AQOPz7~h;a!l6m!25n3-vFO(q7&Jj>w&b06jX~+z(nEuH8T4vu zTaIw^(kBg?gZ}U22sf`WsEQ21Rp&&iW-L@VdZW(!1QkF}$!ORLn_&K2QqX1OSg{${Ds^fH!*sv?mk@Z zhAoUDvMcg3x{*;8X@#!iHtU4XSZLb~MmOmx%=rr3cN^bh?kHEBGr(>Sz0u@%@NSR~ zy@}Cxa7Qoiaz?Xh>D5Hay_r#j+x4L<7(J5iX7jFO6j8E#=q-%i10H*MZ)NlY=$qSA z?sgla^)N4Z=yJ_AzW^apa@p%p-TkqMR$|DO9lPdU&1m$?_f*Me3u;&e0oCL5%FjU7<(F_QbN{vSQ`*UTZW< zt~d{qE(NM*t?D5 z5*Cx<3%Xp;zi3oS5R_aII!AFG#ujr4m6Z1=uETOcpVTOqkUx&|uAvdKKbNq4BBR$) z@9obeY!mcojCW3dE@A8>xf{)UQip9b7##&ov1on;qhvVk9F^Zkk2Yfkol7P3;W})a z%XtUUDAtGTkUx*nD>cd`EEn`#l0}~(rriAVIq#QL-#+}DIh0WqIf|Ip>kji=dekFO zJ?!6*C=fPIIX%fS3O$$d73U%7&oMLP@H40E1E zdkEUvj}{8rozNJ!VvwM)tMkyIf;J%jO5TxzzJUXjXzWqeO+gzIA0%)6-OQcKh`(uk zw|qgnP}>A;BWOn`nngPcT0>lyygdYMNjyvAaj;O(dq{2$qsIsuh3Z*!5Thz`8gA#- zuZLk4>%1mcY3;rjY;fSTSGhU&@F>PtoF`Cc4{d7lJ9#ME&3V!AVtF%05%t@b_b}6Q zoKwLeuY}Hw4y3%fa;2WVVr6fGs>n}Rsf9BJLhWOrn%B*qR60F{lwct7p40h?(*gsFMVAP=6JoXKQbtu2 z2dyd=JQ_0}R&@Q$HpXU@?Uqkeu>dQrTyQ3mrU*KSvpa224T}zC6a{qk(2f;(=U2d>{@ayykM)62TUp!hxZxB|S#wf-wc$N5pUNAl7hS3q{Y_DWtq zI+jsqB!)Aaw_4CaessB@JHXOVuq!x)$!xu#`_Ne}x`9!58cf_nA~I5{Ur?T%IILo$Se0~M(@NUlC9a$6Bs?8 z1Q+HyoFeE#9>H~3o^W%(m^rKq@-GYfMB!m4{LGSXT+XNj>^_9Ha1-*`>DduJJ7>|l)FUG`rw;ImkN3_q;Jt>g3kAs zyIjzhz#%uzt+++djZ|MjR|$HIKksTmPo=R}@~#o|2WYlM9}u*4jt^ZY=oB#3=6zhy zepFv6cfFt!sDuoz?*>5;@ybIt3VJyDoXz{PptsNzD)v z{UvM_l-^~udAA9ACoM}dxxU*4y^roD=uScZfZ4;Mp9y*|`jJI<3%Z!NE+y<`R7IAf zh+x}CwYF}%!#rM3gSI*gu#5?|;n`8HI4^+5!8Tbqw?WU{$@x{J2$|#VPCZ9#yXZ}= z^DUavoPxo9@pJQ19V zOV5!j<g$o%5^6k@#IOI22{ZLSwn?cd3-;A%$RIpsBZ9aaI#o1+DtZ)Wul=_6Gxe z^ik8BkL1>%P!GM>pejPu54Ml!rIHGn@g=Pp?pTlR*p4M8S5zdOifQtOmd#jx=-W*B zr$hgOO_(oo#p#9N%c7<7=y);o+M*K#-2>SKn{o+L1RVmF2AdU`mX`~<7ITz`uHZ+~ zQKWwPGdS-vjGh5r2lKgvnI9TdMMk0$8KL^LKntxur5b!ts$o7_ay|H$5xBsVB3GPG zQFcb~YJ=|Q{D{hqN@qBWA$@FtjHsqU)aCgo8CbFDF`@(3omfJ`HC}-I3;M-rzXGi z9!a+~=N&8PV3MJrYXogg<>s+mlx)3!2oq7NaWi2yPe3 zCua><3w~x+l=&^tlACA+()4Z961n1pa7T+y;QS8l3Rtv8(8hjrouJ#m>`*f*AZQg;O!8Lq6R$gA!UPXp#3;_~(2Fy(dV?F-<$1y^(e5V(9R*%x zhCeZ)mMhLD=#`n_@#eHg&B{e7c=Y`MD_ZA=T1c5=7R z7|nyud+6tkZlZ;g&HDwT?@~i7`X!@JqG2Aoo6!VR$V0zk6k*(y+g|GMHKQ-n^2DNh z45}h$f<4jr8@f}jIi;EyuNt)i-|j*CqVYtbp7`X7irj+hPOC>ybx5{}nfR8pM$zzQ z>@;m=vdaZ$II7~ILrive*0=&6dY(a@6=H;bEDn_SZ&~dtsDAY>0?OR5xNlKjreR8udK}Bvx5y6I^ z>p`dRh>P{8{6Je|Js*>HFm0|WMXos8pe@0)OANZHo5}Aqz|Vr|*BW$pPe#XSbd5oW zzQgEk7%qY_&YM;L5`9N!zeYK4`NNE^r{Pn!K0AMVsmZ$rd<({T0PZ1Z31nx{LP6V6 z32|N%4ifY@ zpH}AzJ-+4hlS!Kn;M<|Z3#TjBj;}azNXnuua?F#Aa|ARo%{{^7ZFvZzFveDC(K8wC z%4ml?pfN^UAA7IS0Ec*-R%PVYw?K{Z6h-#uc10` zL1Qw-Y)q~=OTmxK9Bz5xT9eg+o&Fk(8jooI&wut&IUuA{1?#$prf_dF2e{}okxAUCYw{j}FAwd(=zf~#Y~D$XmQo$;@?x1mRb&bD z**W5JNC&IFy=G0*s1va3sg%RndR4ACKTs7dnqe%3oE2b_hqh+4Db>#AJ=&nK9iU+* zR*j#4)ok;$2zPX6s&MEUqc(!O6+Udcv5#_g^+y3=$@$!skTZUb_iQ}yHagG3U*rk2 zIv(m_o|w3&+;JGPmyN4X@c@qxh0?R$2AeH9NYG6Xwnc{u`XPF(MMnzytv~NrLB9uo zZQcojzD2qvbto5f9aUM-Dn{LDl`1Y9<<%bOLl;T`voIjqyo)67#7fG`&jOb-3JW-_ zB@*s=XiF@#hR=iE0fU@N0VQO+U9PCeHq<%P_-(Kk57Qr-hvPY&k^eOeYoUhBP`Tnf zrqKtDR5x;dw|Fv+6D04d?fi)SHmVY8$a&WYIvWFlMQc9hyca^ap+?-Aa(;Bj<_t0? zqU-S)3vJ>F<#wuFZ}jhk*Z|5E6)A?2C!95qI$Fc6AJ7H)KR_=`h!Et8a|Ol+i*Drn z&LikT7TqN1*^q)ow+Q-Bz=v)Vv;+&Dgtk(rZ9PU+q)h?foCi@KY-RJK^Q(uWcJET{ zWKfbTPByhs&_(h9KG=`e2>KqxX!9-=bQF4QLQa8~3;GeYM9@`&e(uk^M$mOsuH;=O z==sEELDvh~n@UJ$joBzDJx5q{lb}sVO(pLZK|A=-ZGs{ut4hdnY^R`gs1<_l7POZi z-6!bxBqhnK#4_O~D7{6af)2%GoXFr-WC>bH5)!n&paamgEt)UrT#}IFZ6oLo3?zw6 zF1NFw6NqPm_7L=Q>Z^hl3VJ@(Owd7s?k6oC*a9X{)Q9K?U*-o%)H}u3xj6-QTFPrgAt~hNV zeTzolVQa&4FbP<+v!MNPM~jXWv=t<3(P}~eN_lg)n!BwMbPTGMmb=HGRUh!(o+UId zt)E%ce#Pj=R0l!V30ixx;Mv$}LT}4E=Rkg$VP1*I6}KF3 zlz8YTvdS6d&#P!p9wi)`gf?JwXM5yzWKC7T=&p8z@?n4~Wi$(8N@n;h(`t1uqY3aL zGa@znfYC|dyoY|zXg}zLhvw8bHR}h(^3V>9zE5|vvK1?OZN=9AE=(D4JXjst3x&+p$sG#K-vuz1+MpcYlb_5zN!tFwfm(P#&fu;xa z8Y8rL>HOGUh$_&5iV7`WGC#H!CCk-C^JBdr97$HsPix+=kn~2a;_n?jV486>CvM|! zmG(GOZwk^A3$JTY0hKOLPNPC9NM#%X)@QZrk6Pqq41_{wwd(^qH={A(XY>T!DPs^= zlXb@7pzCF(fu&g!4g+22Wb~|$#N>8J1Tt z=U}%(}ELl99{{z_6?abwIDr&LAWEtWI$x!WmU`lj9Ma02N86n-`ExZdf0n zW)=K|dWSM9uw9i^un%-N->*U{W`r1IxXW}%G2jf(772eLsPT5Zqj+q!-$i0%u6~?eQIa*^Pu}?P}I1rp-=1l zbFtdWI`(nOlUa@0X5~E$dVO~0wVDCiARmE1RczH7(FoS+)FjS0P2=C??TWj z<00Z{*J{EOGwHYaXM-O0*RaZLiO!YPcQ%swp^OXZ9<65}u`%;%;@=@tKv%cQ_?T{T z!FVJ>8RVom3wAvC%xFUmq*zp_coVvL(MV0-O2R5S8FV5I`jbC~-0D3(@RJRNYfM5e+k=F!?3b)9NcnDpiL*!hj<#)rsa+ z8W*z(&JU>^y*{S*5Ou~-GEVOt8r&44KhMICy5e4BwsgRYbPsyZP+!b7pL`=a+{mR{ zt33WPRU!+fq>N;y1E&5MkWIVjjWvVk>6;ch=61CCpj)~= z8wNMQ7En{uCVH*Il&mjTx8j26g%OQ!OlA;S2ALZqU(F&0(HkCKc0%Y4jO2{ww)6&t z#>1RH`De>gFGEpL8l7#JcI^+Sx+-AW{1?g0EYjr)|H$;&0KU(VrXxN?vXf1;ANJGC z88VRe!3QYIT;=R!39SK>QEo)0(7sYk)l+y)X0*S^kO?CEqDvLNpR-c-! zh%H|)R4;6}MW%W>*IAsm-cTbFvd>^Cn422SAu|k}&NFE;l&DX-MFWKq3++OCkhx8f z{48VyhMuQINT>EJLf0U40&fr%RasPNI(?U0t^`g0IbAQ1^&nF8UnBKYy)<1eopCdw znL($&I-SNHK++MG3q6JF=C04y`EpHd5{3Lbq^T~=BrU(|Ebu8LNHdWG} zwj?UQ1+M9)l6WXHZOK|-021`yN-z|Rke7=r0%?;~a^?gGp$%5f8ni#j3Df|l|N8C` z`AH}o9)Q`vG?R8%^kvflQpRn1mhoMM@TfR?=Fn&w3L$_VH#A_HFEBlCXxKDdV0yIB zaM%_?454R>6qw4UhYJnMkjy{QJfk(Zq^S&AU+QMk08LD#6`*N%0~S93Gh@_Kdg0k1 zunhBU;Fu}scH$*K{80p!HM;<2Ew`iOz%f)*c=5)0&HdpXEGY9#+V;&I9;3rPg@>9)X|_zhmbCpOL=*rp^P#9FIHx zrp^Oa55^s9od;m21bq0Hod0!pcM9-!AY&cEzDpfPr5YMlod`)BAI8^IA z!06kra2_yW7#44}&I7O=3jL{d9-vn;_dq9po$~;N(~vshFTg_>8u0tBjFukGH;ep}9LE!LU`KjnjwGI#T=;R!< z4iA1LDgB!q9z1s%MyB6`!-I4jK>q6v500c#eucw>$)jnRQ|s^mT$ont@IWv2Y8@Wv zhlK+>JZLZmno{fVz$^f29Ud4y9JCG(ilD9ky2FEEEs-;!-M!);M=co zcyJ+XU27d4Xg0gQsl$WYCqPiO4i7Zv)d3wI+&T#ys&#l^HtYW593FI>4Cx;P4iC!k zWm3OlVlQy}6R-PQyD{7X4AsGhxDRYo^}h zcyB#n<)1CCa>Ii5!*a)#5Eb+VDmP58m*B}WF6e41Axwt!gnq;2mQpLiWEu}NOVAM- zmArZE)afdi)!P!X1YL&`v&9`!uAnWb6@u0m^k?F)pp6ARCWlb2Z$6_c@-T`BoIV_a z!t*o7zPTO(b(+C&AaFX@POco-!sA8vnaF?8dc1gg z4r*8H@d8~Ke5mz!Vfaw%@xt)oH}!bYYA!SoV~gjRqSoUDxPck*|I6b=f*%9qN@bGA;*WV7W6hhx<=49sRVIN z{(zvlR0l!V3F^|^p6G1W0gnrs?MF8VT0?6m$@{XP&(hqU#U*SObc-L|Dky~@v3a)( z+JMkHT*7CJ9@u}#{pWz2wf;l2rGQiGKg96i!2UxTl}uNGtLYpjxVW%U*|;(lSWl_Y z;&lrel_7{$;AxXwvmmwzrxby8Cb?=stT)s@@UTfPUl6;WJdZqUl1mrF`qI^EldM?~ zyOplqY?6x>#NrqO0uPyF^@7+?JOKorG0A=NV{dmv@*I=gJwNs)o*GOYLyLFLj}5~D zUXt79H=3vdrCl)|1s4yB4Wdhbpj2q_*7=PlsX&>zbVBSsS{7HEOIzm0ZiOjUV3|p7 zp5LfqY9)SX?znM&>_dzKfpL@!E*=^qcWHs^Olo9oBNa5qWL-Z$Z6v!o>D06kw^gdc ze5D$nodTv`$v}P11z#z{n4FStewe<5iA^mHkoGPUU-0)d6dmu2K`1^DA1dAAt+<3L zCbsZ*+CP}+)!O8EkV+U#B@CsH5xN+;_y%W67h>9>T<%&XrnWIa+FzN7w>3a$0ZKbv zmnP6{d@20_CUV2o^qZ8EuM1L z>paF&&SRj(Qx17M3}+ZmIcq_Sr<~PnW#PUof6>CesD+lyeqcU{5*Zks&Q( zSqMvzz!{V&;}WdIvjeAr4rCVR5Ir39(@y44TBigkIM}do<`mj02@FEwDE3o83mEOE zU_ZO>paISJDF`U-HQ0RU;g^s02;vq@3F1cns3Ndw4(e=J z1t$e@vuIKfH;YOTHx$s-DRt<$AuFTRk6E-7D1}l48`MTY%TckM{mh0xGg{_H z$1>{n$MdAkTP^5OessB@o3I$QcUv#$3~I2g!%OCFcyF^Y)IJh!M50r#bO8t9tO$!+ zrv%aO>SC~?8Z+*c64=Rrol6RIX7^4sz@me`W3&M4$WVxa-lKrAS9UdduR+y3^j${x zYn00!+v;$W_jG)P!i-iu#^_gAT8F|#rrerg#<#V*kNUcVWvt<7ChmA>lnpt!gi#X- zJe(29-0{2jk1nyEK@Ng3;mm`6@ z6balzITE-_k-$BaBZ0dV3EV?D61Yo|z&(^Bfx8q5Jls_7#*x5XiUjVV90}Z|wU37; zMFJ0}L;|k>GhKR_H{628LrArnd%8=Jz%43~z+LTiQ1VJ7aF-&1+q@D9+@(n1;X}Aw zi3IMhro4hmByhJE-Az!51nyEKaEnSLaQANFkmOx1^`%JQ;g)rz}?n^)rEx)c}JLpd(4`z*CW?zWQS;=1&jn1^y)Tz5oL2^<&KrMS4^x?Eq0i|bNc zTo2{AxGu%T^-zwB>r!0YaJ`F6E0W{lhEn3<&Lk<(YhvCg!h29I#l^L##Km=KFt@0U zi>m{>22%pNQmjJPknJTFLKR|gIuEhvFqT?*`K(LxUFir-@j3Gfsmfn6O6>}pX7 z?CKQL6cUh$MFORc^P>{j)uq6$Hm?MBbt$l`MJ2GSy8|)}>ctUyzXW!5DX^9W4sTQz^*O@ zcC~pWu&YafT`eksU0n+7YEcR7>SF83LnW}QOMzX(5ZR06xY6xh|G64=#kO=GUyO#-{R6xh|G64=%4NA;Dw64=$Hz^=wqFnZz!sV@a~ zwWtJkbt$l`MJ2GSOM{(7C9tbYfn6;sfn8k+>}pX7?CMfrSBpwuSC`&%vZw@hbt$lG zSk5!HOMNM@t3@TSt4o1hEh>RsT?*`KQ3>qoQean$dVyUjSY{+8SSCfUap~QWNMJ2~ zMI+-W)nG1A3YHlOK5fwCV40DWV3}!{$X$AOBocnZerGAYDlFeSv~X1XK2aS?3#jma+|CS3|KX;BF==~9SEi%N(|mqJWh zR66EGl8ZTnYnb*E|vi%%w137L_nyE`334iY7{>{6clAdBVadsHf*iyN@<1CWh{DBliH>Eq;*-Z3ueMa!KzPm-KORN$(h!^l@@Y?{wM@rbXkl(eCtkAG8yvjdsVlq>qzJdZ*8uz;ol| zlHM^c>EpD~?iiQ!aoT8ij7$1BxukcDOZqr%v^&NneMU6~0f$}EXOK&JC+|KKilYf~ zN$;>r`V4YO@32eyIJu;Ej7$1BxukcDOZqsuq<4%<`Z&3ycZ^H=IBm2$#wC56T+%zn zC4HPW+8yJPK29#_ovzimbvTn;(mU2AeFnLtci1I;oLtg7#wC3Qxukd4C4HP+(mTc_ zeVkm<(-R0b+T(4gfyO0$oLtg7#wC56HrgHIl0M#+8f0A3XOK&Jhh5Ug$tAsGT+(OI zM!Ul<>Eq;*-Z3ueV~talhdMJgZO1aZ&cA@ff24+HvN)T3p$;rvR?yD z|2bVZko^%-^j{Cd4BenS57sRXwV)M$iX# z&BcHV2kG86`f_X;(lJCKk2-tKDMWsyjzk{)bXdEMP7KtnsQsvAM6ELXOMM|6cv+u6 z4Q=QRIctt9q!9-;F1fAn#B-qYr!h1kn)4^hLkm6G|25}NJq?<2{=|7x&Yu`fIe(J8 z$>&eQO}Q!OPmHFVKQWqe{={g?`4gkb=TBUPl=CM>Q_i2pnTn;HKh0$}@Hr7!MyFDY zrkp=9nsWZcXv+B$-!0|*N$T)V&!2|Tf`X2kYPiNp=TEB)m~#HaXv+E1(xk5;Xbz z>2srnDd$g;H~IWY(B$(cL6gs)1Wi7F5;Xbz>1VD(^7#|zO*wxOH2M5V(B$(cL6gs) z1Wi7F613+dcz^*X&H0m{$>&dkCZ9j4)_V5&=jTs!Q29S_{uCV#lb%S5NzZJ0!i7ms zBv4=&DkeSdMBLS)V$$Qb!9$-##iR!XboEd%>2WLZ{9yBnNsk-EW2QyLq{p2@+o4hi zG3jx?_212!^h7pcws$)IS=%M7zuE*8RM_KlAHvgz(~QE9jr-Zi4O;G{cYnDCkD2gXC394Cmcaf4N~npQ1X* z@p@FyQIuEExS+55(JVo4_Lq<==;Kr~DWSfgxm1E2&^H$J0?I3BzMxI~d0PtlKB4V{ zrbD(7vNla@$DWy^OA=axMC!LDd0| zv^(b@t3J}^rDpPxHiIcg+LAT-NL$v4U$Om@IntJO;vPoLkv6Y13zLtu86C?gA89jM zEvPxtW^}or|K20*T%&0zN7}6nnsTHqX!4OZmymL#E#>}qj`L-M6DN;V9$KhMJRO(O=xUbfM`{ zM*4EaxcW>z0?)*bUhNV1l~@{pZ?#9@h7SkL5xARj1bzy%RUd)7fs>4OCmn&i!7&C+ zJ_2`Bj=-O%Qr?K*BV5jXWJEP7fYL|c7EL+=cMo_3?ncfxCH(Uv@EY_@J_5hW{Bm@116Vz>P5pV zOiSd7`w7hj2`&Vy%)weK6K~Dp5@7h?t&&C zx(k|o=q_mTp}U~Thwg$VAG!;geCRG{@}aw+$%pQOCLg*BntbRkX!4=Ep!U%H@3hS7 z@BltxEX(WbXK0Z%d)ySv1pG86SKPDdlAw!ZJ^lu*>jbS4bh1D1Qb7yphLU$VqbhQs z!~f$k8S&vi@3N&F{xkYNdHBEMcqm=&T72TQl17{KsP;P5gWM!V!|(9ZDPM8PvL>4I zBKOR-CcireQ)x8BtB+#@T}OEZ-S7nGeIzOGiw1?w`vHs)jwaTT5yETce)%Deq(?>w zf+ZUv2%2PsAfPou7($YY-3=+C(P6V3(ChC~yngBgzvSle756jBF6d51opCzvev`K* zVoGs8Bh?7jJybsmXGIwuuF*Nim$@yeWngoHxaAg3%Pi2_B@Z;Y8$XYG8w@x?AOsGA&7OirV!c879s( z^^hxW7eceHV{|mPd=;T}o?!F>MrTve*@C{r=sJ=~j-WY>%n*7d@h(@;(TrY9<<|X} z%U#LnwN!3>K@VwcO1POy$Yk#4uVl26?k0W$W(qo=$`!Oq(9`^R&k?lPk5&u%0NqVW zSSaWqKe|ZJbLnnkS9dw1D$<(@j;u#xu(Z9@JRrVFobO5b13byd6}Ld6d2P&A4d-|7 z@T2N-e)!x?^$2t@c?VRkN`3`AbPS`{&_L}-9p*4P51W7A_KM<8?YtFfGuVW*b-#G7BdEH2{K@d83VQi8L-8S0b7C$*kZ!gobu5!T*E>0$uJ#DYampY3dhK9veQ&z@Ot{D zFkmwmXmRcP0nE^JHRzc|mjDB{`YMn|X*zb(7!24Ngc^kNNXu!#ZHn91r}CzOrvIF75Xh@WivDY)o@$V$??#WojOaq? zF;}M}+(23;>btDZ)_AU|B@M8g4uyj)&{qsUXx**xkolCB=)!5Ctzj79X(`YeTLWSJ znaeOOvdzyAaFNz^zKiBQ@_XGTTG!|ta+`AnFq!2xw08B~z?2c@I?w`CUo=|C7fp7~ zLhDWqIKIyVWu&E=`Q<*$h=G=b$vG^17pYu;CglYm^Hoqs%77z3;^9^mW{`>vflKg~~UFy4x-=WF> zuCwH4+JT+FhqL4pI)O>GXUXQlp!O`;@S*lB+3?}tc9wjgv$1oiC;k^_V+Y#n{(|!V z+xEH-v}Zf}FbsMJ!Jh5UsK>#uXM0g+)Z-x7v)zMw{APQ$w|BwK4&puA1MP~E(NOKK zDDSKOrn{oMi1WXTUD5X4(309+Q8O{t?ur^d{1>~T_hM^5aH84z97J26#k6%mJEYk8 z9B1fLVu#bt=Se2l$n>1Sh4cugcAa3pFM__s4`uL8237igrsfYd+3u!nIVYOLRYT0T zidPOZ)D(U)Oc&y82HwH%GU;hib{fg8WFGG{l3U4K-f1MalAU;`k=#nw%{z_cS2D~yjoPmy?=+HM z$uRFUl3&RX?=+HM$uRFUl3z)OcN(={N#1EBzmiejX(YdrQQm1Jzmg8`G?HJ*G~Q_> zzml@kNPZ<9-f1LHA8EYPNM0rT@=hZ;mCWUxM)E0{#ygGVQ*wPa?=+HA$wG&B8rLI{ zAL5-xaw~~CnVm-MSCV%c*WyAN?=+HM$q?@}l3&R%?=+HA$sq4El26Gn?=&t2t$3%A zTuRDLBe|3$pOn1QNM0rLL%h>SZY4MJP9wRMtZv0SjpSD{#5;}TS2Bxt8p*9>ly@4* ztz?vU8p*3EzsPpf4535xadYj^p?*e!cs(l~IL`uWPCXP^2{qa542OO&P$7j|f zzrr71aUu9-{PBswR+FnTX;@%?e1g`#4~5w!7Fzkg@;=lPB&C1dAKwArhq|aQhST4} z9(L9MFsXJA+YAAdfa5y|1wr4+XHAXP1sm2Sc-JU4p`x34E)4AIk9IpnV^z6x#Z) zzYp~mmGVXeAHUW6P|Hui&5TP>e(UJ}>ibaHC!!_)wlm^#SPG{)AwB*i+|f88l?TY$ z_o4JGxBQ>J5A{0ub}*c+pFRZgGtN-|SKo*Fkm_*|oUIQT3c37N??Z)#;bsT%+4_Or zhq@VcK6u}UYIHJcSNlGcc@+FjPyTlh=YJO`|E*3%OKMO4jSkhG{2M;}7bpLh;+3kv zN;=aIEk^KEZ3u9)Nv_fFJ#C=VNqPw?HWIs*ft$?ne_`xt`r$1mHOQC-tT3sevAr<$ z4%}!`Bje|l71HC6`g*eYjti0CqfmZzXd+f;a-d%dS`PG!K+A#t>7eC6e+1}4InW=9 zgdFG(1|8-DeF{60%Ln>>LHiE$dm||a`s5+q9_SY!AqV;$LFe*;ejAMwKVk)kfR+RO zrW!Y|4mAKR2l~07bNN6&0a_09(==^f9g67u=G7s%>$L~^Kj2|n4)nj(Mgr#5p|3#8 zf&OQpx3x?ScLlBd?)geFyr>khBN-*B~JW`d5Lr2l{_N zLJss7ftCY(im)gL`tvnyULBeXS`PGQf==TDeF|lY+L~8~D3+-l=u<3Hd!Rp-F7Saq z1v5=k;lL;|e|c>mPW0srUmPe0mVG$aoaMhp+7Vv7ZvKJK@_V7v)Sl(*0qOtRS$@Ye zAiDpov-}}vVjW>(>+z#;t#8x!>gw%H_DFp37;vceEZ?j!e#KdS$FbmB?OA>%CJV)} z^|*(vJcxXoUP4m(H=X4VFUD~CdpOJAS_&rBp5>b%p!O`^@S*lB-|*o#JrdV zmX9f=_AH-0o-T!3jbK>3m|n`L3D+s;f9zR)Z}gX6bC&=2Nzkm?v;5k#d|1F7eBnJ) zeVcZk4DF`yp8t|>(-l<88^7gQ{*)=W1O}O&hn?ufS^oJSUPQ@z#_>( zVc7%a|Bi3dPbyHm+OvG~DEOP6<*%&-=YJPx`CrXKOKQ*ZjSkhGDWL)OsZ_4Cu_;?mdV z($KVdNbE6*k@26V7h?ZIsS{?H?|9a1Lln(1-|3Z1e0q)nj+z611T_qvIoE*VW8fTk zq!g2cIiSTPp#rp+BuoV@CJ7TjTa$zmB*Y|P3}`V)7zJ8P5>5f_Gf5bNq?jZe589d} z3_wCm5(+_!Ny3pDC(*K zy+~STJj&8X%j9%;4SVY!vQ!FKhZsw-=%~ zrS6R2Zn`&zBQN5*rfWZH89_Qxs|U3_`2W*`aNtOq-2+SUDMQYr^9!L9W;58Vh+!7TGoq}9=v=iJdC2)!XC7N*b z&ODSLs6-Qv7Niq;p~)-JgrnDF`B9E09Nn)`E?1%nM^DFYN-)f*L=%pFMPq3A22-v? z6OQh~@+_!Zi0anxYb4R}4p7~%fG%NFIphI0m@yCqA@A!{MJz;+HA*M$UMYMf+5%p| zExJU|oz!Z%<5EUdjG~E#Qlg2ziLzasxQ7BKnrcfl(I`a|wWvfBjZ!pGi%K+66wuW} zC7NiIqKVqP5=}Hp(L^mO(L|#ZP1K?iO*Bf;L@jEgi8|y*GMo}K=~&EJQQB7whwm{h zk(fzQikTD+KhG$~L5fn$q;T*pgG$V#D8)<)i&%C`%p`|m?gZ1H(`G&rS?5){BkfBC z!>o&PCHhGnA1X0-qGV2L#j?I}M>Gy4?8I)gMK=h#kpwIC*vP1IDCSN$CFag)C?HDv zQeja&iN+J9m^EIB#mj$47BTTo)|#AX*)sBlVjk1%8! zr6=HUxH0NN0|CeVa4EWnhjMg}C`I@1P>${qrRW|W%F#Wd6x}22bmVe5x<{0vdxRYx zH6*%6l%jit!vi=kNB8)D?41dC6-Czf`!3z-&Q0zONgzQJh^zqtAwfkH4az8+8XZ&^ zP{XExq6jXyK}1kQ6i{DRMkAo2;)u#9DmqbdCN86>IN~y|83z>=6&00n8Nc(db57k3 z@AZ2=zxNp*oVm_3q5D_op02L0uBtv&bq44rd-#a%5s#iGRHA$Mi0)xuJ&o?+Bf5vB8r{Q3 zbPr24x`&T1U`uUu4|uLCLI)FFG9qy(>Lvy3$Sb|D2Ctt}GK>GMp$e7XXD8_7?J3DU zXS3MJ5F4};bn=Eu^7uI{b|u74+6g*IM8j}78b%V)FkFs?kwi2Mm!n}M5e>uTXc#F( z!*C@UMiS95T#km3L^KSSqhTZw4a4PV7zK!i;o4{zNkqeNIT}U^(J)+zhLJ=x440!} zBoPh6Uzpkya3TKTpJA|g=iSAM8ilT z8ivc!Fj9zy;Yu`&B%)!s91SChXc#U>!$=|;hRe|~lK3QdIT}V1-;XXw!$=|;hRe|~ zQiz7(N;HflmbAMZ4I_o`M^~a@B;ljZDg6OIB9B=b` z>2nDM(Fzz{iqS_GV0~x-`NA14k4C3j@P7VEt8l21c-^kLwdr+y<=(j7h(e?mx^+&_suE6mnt7ren_?O0` z|Hk8Ac#rdT@*ejxy}3SCoO@-5QQ1&muPe^|G1Mlc>CNn6&5zLwlcu)_eVtyNG;I)C zNH308u6l13dJkkRT_$vYT+PzuLf>zpVTI7&(F>fGy-n!fsCIho^lG;YEunWbP45s2 zucK+Yk|}Kzp(e-c+;YWvZV{CbR-D^V@Aa}4-5-rScR&rJa+|9(iV{*fU+E^?wbF%3 zTjBmReEVXht01fN8m0YlHKo@peJ`wGsnUB;LMq#!v>7F&0pM3CZG#e0x>9KsN=WG{ zrC4!p>1v^lcN`5FywVc7Xb@`xEk>I57J4XTi}h-Kgzk;IZmqORXgPJ+Xm{s2VHUQAJamEc#rcBMb6qtewXhj8lFa( zS=wLdJ1BTd_ZNBwWJ`|cyI6hz(;xZ%rAqZL`~D@}zqs#T_|)-IEq&@-Orw|_ti$sX z^0L>9w;kWZ!%{j|=`mqCUn#n8E4xrB>a(SbmG;0(sd}$bT1p+1rPnLH6-|K3E>$`! zthYhwb7-9Mz`SnS%&IBwI^3hB65-nKhKAZwiE!=jf%n5wiE!<= zM=i8eB3%1rsBhi%Y7*hvZ;jHoR3cpa8}NQuDiN;zH*h1CN`!0wak{ZIl?c~<3eV5V zN`z~_8MSav&4Wa^_OS{qO?4GmMl09vQEt0{m1_W5fp-Nf*Iks4pUukkA$>Pwty~-_ zHf!bLD7ZgjJm8Gr1ZfZhm8Gr1ZfZhm8Gr1ZfZhme7FcYLf3}7ZmgBZX}kOnb;nIH{f06U3pFD?c!6Qn^5U>u}D3}7ZmgBZX#NP`%_ zOppdKfN_upW9~tZnKpn?EY(&Z_~X#J2=kn zW(n3|u&cqGw!2vzC8xDs#%Z}z>1V&R&yupkq!h@$eroRIrcV@ z(lT1nz%52(>9!v9nvwFf+JeTh>!WM_c69DaNTcpMLa z2bt0E$$UaV%`i5e#Am~Ga+p>RPjw%llQW8Zf`B%K5QP< z$^4IYf==EJN#1e=i>-oKtIPRBp_BKWBrlR4aaec9DQ|rxiz&=svY5ezOZeaXg>o?K zDgi~;@Im6g1blKmAAEj;fc{JQpv!Ls>@5dfZx*mj4sN`K0WBTn$?M5vl4vbscjJ#u z#_q-+n~dF!KQeB?9Tq!WbDrV*ktU^{@7&f zZv3&y*xmSJld-$;$0lQU#H~!dU?5_UUWbDrV*ktT( z{ISW{o&B-N*xmSJld-$;$0lQU#XMb!mb~pamWbDrV z*ktT({ISW{o&B+yv3sni9lO_hH11r47x>0@^cO>L9d8c5m1}ln>2AGv+Dv~iNzA@N(>R&>dvvP+}{XnbDhw2MqdvD{usf3+xdEqG)prloI3vlugrGHFnL zJLd0ybXDe|d;MFF$urGLPwGwmZgy6>h$;yglQYdqH}s(r%Fasj$mA!?N*_uuUUpVm z=81RNS?OhXO8=s7@>BZ$^7HFA}HUhKKzsFZ4ZNM;gxCi-UOfG$3uEqx@ZR5GQ9-XC=cd2}zf3v*CfWJ|# z%Wv2TI(eG>%?cLt2as5ioG2tQAy3}HVtW~}+xSGG+PKUHf3b0y4gO-|G8_EG#$`76 zi;asn_=}CpZ15Kwm)YPiHZHTlUu;~u!C!1#W`n=jxOjuV*tpCFf3b0y4gO-|G8_EG z#$`76i;c@{@E04G+2AiWF0;X3Y+Po8zu36U27j?}nGOD8<1!ok#l~ee_=}CpZ15Kw zm)YPiHZHTlUu;}vgTL6g%m#n4aq$L!v2pPRf3b1#27j?}@dkgfahVPNV&gI!{Kdv) zHu#H;%WUu$8<*MOFE%c-!C!1#W`n=jxXcEBv2p1Jf3b1#27j?}nGOD8GX}09qr``N~lEEXuF1{ zcuj`lmqb^H{7;dkjcK$zG*cY(n_Tx!?J^wHiD)w7e;xWq_i9wEZxfa@QyRGH;Sx#35^6OXZ@&!ixnuX6&gfA z?-$vdgx)|uh?!lUbhVXF2)&b5B*%(hWZ6|h9k+oN{KmRHLRq1$M0fJWnoCd?*t-?0 zl(Nti?@!QOxs|nS5qT$P>iDTnG`9miNpdiElW(5kcGB!&wMH~9S~SI5L3y#XN#wmL z1tGdY>9bTZtn4PGPlai-(%G~oDVi%++oJU5Fx{qfMmT3Xl$KCEjk==2S;}|f6^5y= zv_C4Y$`&Y{hn#s*YfF{R3ez&Bk3z4?Rw#WR`BmCU>3HN!jAf=0OZ%PL%JuIAu;YzOz(^{ol zaW(%tu4^Nd{>D(r*@$)WgpLZ+kxD0_)Pwwi=Di!EG*uCzbxN}})x5P{wx)79?6NhL zHe#cjiq@KM#Jbz(njYdL>NdPT()VX9Qq1*TQ@mAZ$(7FhjOD#$=zSv6qbxo^dY{## zEKM(E>bST>Y)_Z^1?n+li;RuxT3oRNSM09srKHe~Yp5xIh5FebRyXHLBVR}R*S*uJ zjgOVf+p8&F|7eI#5P1(D2v&BI(l3gLwi6AfDm@bYWBZo?7^{d=w`KOJePE+X`q2AxavTKztfL@hdr?e|tz3$`qYK=-aBWHUGt$9aU z$nn2{h8ZIJiO|z=wMwCHDg8ITH^+5QJbm7z8xLYNBkCReHxo~EKlB#8FXs}{l+4s| zKf*iM`4OuAG~(G3r+;bVKfP$)xAdJE>wKG(v!rj>0qHgtt_yxRz=Iu zT&RMPO5dY=$2v%M>y$2n+77?x$1zFiOk_-D>tzSDKWWmWG@UQhac@Ow?uLB|RAKhM z)D+NIY}uWIrYQFX)~zXCGnHK|_rIBL*oWo4w)C@D;uEIZ_7ghJQ1@UnK&gFQ=BZc87*M=`;o_Jr4Jl zuU*N&%USO#JZ7ac7YaQZ4^-*m*M$BP6-D!~vcp2wPzYI9n?j|RqRhN9E{8QrvDG7{ zL*C(?M8o%Z^UCDjYLy;^&!%_})-Y1(t7z2SAxzgkB^oABR_q7T%;=Szv)#k=0-=t( z4$VccoDO*U&ZpdL?n#B?HKIxGDR-hNUUdbiG`?|>_nyRHSn1qvrSW~Dg{;q?zW*w{ zj@$Jh+DcITPx?zumiPjAj~=K$aoP+gUU(9hlBRey)MUjAFJxMCP7Xadx@-DhTDI;I zrvCI9z0(sLO;~h7)QF1I^0e$lR z=fpy#KjzxteGO}v+Jotc->`-zv)`-zv)`-zv)`-zv)`-zv) z`-zv)`-zv)`-zv)`-zv)`-zv)`-zu%otgVRHM=>xS5mu9X2s=Yrd>N1<#stugyrn! z9AbK7P4NyRV~VAVSFpU}zC?>fb1KdyUG!majcYy{N6}wz0JZfwW%5pGidRIvMVh|N z^4|A*5G_~P?jC=7UJ4DCo+b3O7PL`lC+Kab8WK6I;S;*KG(A>mKJLWI-YPT(4eeFK zR;F}+9SHkpQwFKuThh*y(jVxay%QiGDd$qs6mLDQsI+&1Uut@bhYa19W$PAw#&o`+ zM+)uyIn&N4i-^)kg)YUz3M6-RM}5Jv3qkecwQi}mAU7 z&J_AE>O)NL;whoCpx2hJIRmcFU(JE47X7nWZ2J?lLfw6)4s{*!5K3;MXwYoOQ4j`)FP zUqT+*sD=-P)*-)^){}cQ)TrSVpqk${5vGShLy6L{LjM5`W{)lPnsuv$=77fL9b#Ir zx~GL+18VEe=qT&GwnaU=U1;Uu(6C%Id?vKqJY%IV$5=xXXk6(7aYOynPCC`e(NTMy zw2c1b@h7M01fh;Q7loEHfwDra%(vyHm2oM%o)@mIn&N$jH%9BQb11h;j@yGu&(HZU z8kN(?_LDtnNa8rZrNZ>jnK7Oc zU(pwYrCXFb@etjnw3a?9t?Uk^acJ-*zs{$8C*C8-nNnZrXyid@fzokdTB>w%m{uqq zLIYE)p;GA?Zix0)+MY&#R<@tgE@4`ubYD>YCLOFa593v(wMyHfe3gz=`Un$LdL-jO_rM>UWAtck4pS@YP>no{wz<~>Cv zO{w@<^VrXtQt`9qv7a@i;%CicKWj?G&zi@6);9B9SU+p- zuOifLw0@FWA{xp4p2v6XI?@9j*T)Hp-eYl1@W)l9VWUX<< zPk)MuTXYXD)GbvP>KC z#u~3?&oY1RN`-`=<%#xMsFLC}kf5dOmHrT>O-iq$F44+9ue48?ZczFwXsD2@ZB!aT z=9F$y+6p;S`j*nEVcBM-e+tvhO0NiO*rK#6^00^GVXIOEEl+e1x=ra3kX5=}>9x3; z(j7|k!}L3)O~`}FI@fT?dlSNX<4XU4JgA3EU+E~wD$P^+a+nqn>WJY3@O8Y^BrAy_GPeD0!Q`r?lABP4@R|!1~ zvfWj7jnJ9UYw0?nm5}YMvg?J8g{-B|3%wFo>!Pw7goKNC7GOr0-O7N)((V+WfjSF>GZ!?aGQHU0 z;4p1a`fd@)M&&JPRJwykFP5$s>YsMnnG|Y3WScA5X`rO1CJjqrT75txDn4%F=C02SBebo7t`u zQ!1A35K2qu@XGDGnO>$KR?&4gx+%x$xhM5pZIIAz5I=oQaomgO%PP{f3L}VEV_%+c z*iQ2P3r zS16~EuE+3gyd~6eGwrTh)(f|h$>*z=(dVm|(dVlPX<>b{s?S$4P*a(z$5!?E>Sgr# z>Sgr#>Sgr#>dgx4RiCe3MxU=-0H;=^Zrr zpQjDhAX!V~xF6HEZ(d##DWX-O5^-lK@;sRu**tv{G{u`ArkgcFk9!1t>P9M`F~*(d zZ`ioA16m&Fgam1CG{yTXS|_EOMc&J;2+=J<9rsjHkssd^pGdKa{kbXI)SGm_Ky608 zetu|*_vbLJ6nW1ZMY7r@_g2~ys+IOtT0l2rX+Nb0hv@-IFMtN^Bx{r|gsjp*N`FJQ zVCi6?j=MW$IHyxjvVze^>ApN+JhTtp-;q>GIXY<86z^sj^OVjNdGD_Kk9*khl^O2y}~*B-5~l@*`I9{W62S@C)7vCm_r;`7*JpT|nY=dt%j zjP!O_Dn5@r_Ia#Sd>(u3^H{0)JoebR!RefzriFPYKIjue1giRM`fhj(a>kRzL3*QxjK@ zsHbW|kGU@u#qX$`{k)}I6E($g5s}Q@DI(cI$YWQm8<+WUjY#GpBAKNck<5cbC2Qr- zh-4ljl3A(|$vi|Pvs2bKBANRvsmRM6MvXGHNwQFA9r7_Bt+yIEHHBvNk?)-%hjrVV zZt+Z-Xcx1(Mh^3*hnPeT^FBI+6sQ5dLL!Ix6geynHFB8ySd{V*>&LJ6Z+W5r(0%A) z6UR+=^0dLMkreMW>US(1taJk!dX=qJ`VNh6Egh-!tFUaH(r@V5TG>fT|AGQiz0;Jg zMV6J$6zZQg!^zXuxjqd;bgn8m3*DH?&R5yVGa$Q)YtcfXj(a4kXmAfzUuxyzJed9` z3TOgNA|#?)Yq}_lz9JDXhxJxQ1L5r?lQap#c6HDg{b=+nu z{dn1HG$NqSf_Hdj;XBas5?cP<cLa$cH5-XD7keKxD?%FVJq@iiLF#CH?f z)k@FC2dC1-?}_X@yym;hbD1V9Cmr{56jR%&rd6sK#pN+(KgwM{v>vJcoDWU$`hXT3 zCv>!w-%8L{=Lx-7=-E(RsPs9ZYw=`@losu7KC8S%cml;rM+?0adfO})y~~B(0KKJ3 zJBsD@R%l4dGpvw>2i^=^O=B3GsdN_fDxInHxUlR6O2>w2z0x(fnrfJeVNOmQRKZl!_-+Q2B2-o zN8|&Rt+_(zjVQN>)Rx9YLcc*a^lA-DgpM&9Bo8%L2~9vlRA{qM$9({ANc^r&xRVB{ z_j~P6@Alcfogf-H)Vx-j;Ga!)|7a=0ire|=`Dc^e-@?1)k&d9(EQszcqN|1eCQKXd z6M87Ut7+K>gmyHeW2y8FYlOZHSsf~FQ+fdO#*XHzZC82|4G1mmD>D+_RYsONZw%Vb z6iOk|Xbc+UmE&)$S*8j8Yzm?eO=09tX5E@XB{3sJH*xN$C?I>4$nLNdvPx(2aNpY# z_m_B7Wrg-_LI1(j320CJrO0x0Nlh@tiOlEYK>^tj9^m7_!KqH|}93#*d=;Za2 zqa%LDVwXT{xWK&yI(g&d=x>cIwlA4+5@+jg9$=WbQ4TIyBcS>rK6rJlfDh#0>4ycp zE(eW|Fv#z;jt`!bDmo2#l#aVJ#jt%JB>1&zfjwkEu}T$5c4< zV=5H-F%=5^ma9AQcMznCkTbao>0rNcGxmjz5SxPAU}oF%=5^mUzo_hki`84E>l2hki_jLO-U$p&wHhpG*qavp|aM{Eky#JPV{kp&wJB(2uE5=*Ltj z^kXV9gnsKpjc0+>uLsd_uJJ683Wt77g+f23H1s3dkyC2OdnW$S!`vzIquC{V86_1V zJ?@zE8|knZ=M^!hwHbZguM)AA{6)+8_vY_O^dnq$Yvx3AiSIP6HHTP4<7ZSOhw*5K zJaS2Eu8f^bMr(}kfU;y_GGfOPlOJ+8*VwJp#@wac222Aje}oM}dILtw>gk_!6u_UlxpE(Gk_6@n!i;d%q5R0rcmMpn}`cssENe}7t3`q*x=%8E&p)7G27Ha z@G%Sc67qX|o-$~Gv1H5ut~nG`)RYcB+Rc-o7@=*Q2;VvuW3sII>$XsY)t3f%yWxQy z_1Cyo5v;Z36n(a=4r}=g*Gk($A6A!14q9-0u=1}Mx73NXJfT$8%r}L_Ehe$VX@wia zLKE|IiY-wZD~)ruu)cy-o4;`>Kg=zLFh5qR$#|1`P?}Ws2#Et>Qbe-Gzv3)llEGk9 zL&(7{Yrx_NOTcuRE=LKomXXvyMZ$0TxvmqZp9wfM#{zzhA&B#6%f z{^kGuujs{>ff3}#soBLyonNw+w}HWWSg&Zoz}(>642c*=8yqe^+&Uf;2ErMBgVC+Q zoGm{r!pCxD40sJ-k<5a)&?XgZS!laQk~FN36i3dXk+M3>n6`x~82uMnS~72+;oIz4(THO0G+Y{Hh#)jhJG(OYKee5GY!*@a3M(x-@( zU99xBNQhpebPuX8R`z4DUW zS^B)vMw*0b<%-@7N~1LEV(CVupVMQvbd%Em_CoY6rE76DE#GFP%Ru#No0Z-f*04qC zi_~aa4O^9dM;$^dPx8A>=^yailx|mgJ{k5b-J!G->Y2)Zr?kzlL)3}!Zf?gtj0&PK z{(J5}#M!~+sP9-MQKw(-maf#de3YZZF) z=&_KIdE`E(@BJQEe3MXNYzAx56mJNXM`7%8q0K|Mul@s+L}hZmVdh+>FVlNh7`>Ba zE9NmJR~A$tg}HB05z-a!;yJxxN7EG#rP@`PP~DQ^9o>Q+{1@tBN%jQLJe7T;fHF(; za=NL)e5KQeF}oGllxd#}P``OrW|+ zdXGXq8rCeb+Z|Jny!)Zo(k>CEFVGLtbfnOIQHO@Bh6O^ujzYGYenIVK%{rkTwQq&P zvs#0mXLFjF$n?ptK`b|RDqjZ!u33W2=-+pui-F0^VHWfMj zmvR607spTuW%qA$o&O2@xA!}i%I$x>f4l8*q~+gu|Mu+Bbbs0X+ccD+ayX^~ErYW= zwX^%TO>a?`-M`J{klnw{l7ZagGWf3;yr}XiPAce_g*x#^~EON4N8AYFMDB)YB)-q{XGcTnn5gEr}PCwMMJaF z*%&R_tBn#3OK4zjX@k-i3{?$E=VOdDK=M#GRy53j>;TC_gVM(h6%7^RM0PdCyoZQ} zhRH&2#CYrw(a@~)AR56I9wHj*rs&o1C8K$0K0_!R@mpFkUFb!Io-C!K^jv5-Q1Z}x zuE-9irnK-t$wS3?LKhh-8XA;dh-Y!&wXC;dj>vwB{2n;H#yq!Lp^iHMh1UPh80v&G zfFoeFKY?o2Kk%)v-#x5FQ@l%{d%u^29-l*lUHUKM4(z8-BrVw;*!00f<*-Za!2X`b zdpmDa-lL9k_rKq!oN;&W-Q!7jc6Tq2va`E;xg4^)d$}Bb*4@2tl07K9yB967e_D2T zFUrBo?(Q}3VdmYv30fETW4n7ZZHs+)GS#f?wpbceQ@Lfg#d109vfE;(PNApt3)>d^ z0P_5kw#8=J+S&OO((x)2Np@=|zuP}yYv;XGZa??d&K1-S7j}M_ zKH=%BzPzW&bLHujkEv%lh3z79jS)Z-yrXHFJxz~fasMoG!Ih>LG4+;V+RSQrK;%ZRSG@yzbIx*Lg0l2hq1VDFWU1SSWnXF`JDI8Djzsb7HIx3* z#-G1zFtS6Z(Tg(_=9ivQLYm^80&|L`{!6U;OFR!t`wOLq8KS2OJs0=aQ|_esW}zQL zLocP#ms#&D$Xa@m&=ts;rOyieCyaEK_Iiai^ub+MsotxEo{A~Y>V5esHGd_v9#5&4 z(#JO%4NlplJ*e_^j^xlkG@Jg&*SllPaPAq7Q@*Uiq>QRDwNsPI+u#RXB7#@E!M`Yf zy+atU{6=sclyp_;RlDsl`pZASHYJN!_ZNIGE&GgAs`Q%Q2<`$Um66eWM{Ca$T#mJ( z-O_kWnM41Te+4DoBQm+W_B`z5Bm4}c_l%q+O6CaeihTF5(sMSj^e3GN_q5Ur7-!ye z9hpuQY8Tja-3R%YPcM0fP1omRT}Z{VR9~nCI=k46wZ9>GA8%f{zIl@1^+3(E^bHm# zQEGuKJ+L)@IKP5AXz4Pc?^5+DEY}vuYs0d8(?4n2Pv{SLNv-S@p%ah?+p;ZX>bTe7 z_1JqMHCj|%x9@Fg@u@TD#&3d_zL(X|b4#aq-_oN`(|lf@;w?iffA67t8Kf$6K6vzxwaaq5|55_p7&w(X#lU8_io#FSL;(6V5(|@;r5- zQ>6P{HNl%sHM~fj(`*)TZwfWvR(6ZhTcKGsY*qRMy^@x0Q#vs$yItu6)LB^B9ZFB5 z?#9yZlparAug#hBs)ShFk2<%aoN9VF^y0;p9!zhh)!-}r6ZFP=4m7fPN>@QcTr}i; zDS9X1F5-*1y)ICCjG-#qT4Kjs6%T1BP`Z}#RHW;miv3sN{cft^;nOVNtPraE0k+YuQ=S z!1L)&M$<5=IIi8Sq<9}f+|tPs>8Hd{nd5AjBQ(~LWOe2BN~I}7Wu4wCrEMt##ky*> z$0E_N6tcQnw71gxaW!oVS8Hg~PiVMm=^CMqdj}2Qiwav)Q$T|SaRj{R0=mC3QA$t; z5}M*2PP4$4u29FpZD`10=}M))MVp}-Rw=zbOjj#?6&ln9#Tuo>$b-_gN^{W0rEcZ+ zaGlb^FkP>7G5QddeO~EPXyXb*!$zf>!t^br2^uh3+09DZg0>P3TZKC9$b-RP^F(7y zR?TiVnNEKrr>keTf3p*{_RHmb&FuDDF+Lw5=WA!TkK_CpIbS!s9l1alX3oeTLc1w+ zcD$SoYX4V=?iImm>gbZe?Mpj{PKW1BZ>pgN-*Kv+=YJ2lkea&*&+tjHKqqgY9PPi6 zQ!XX3{INU1{7C|?|1(P^F4_q=q`Xd4ye9wV=|P)K{Hrga`zuLRXu&^TW2yYQSNY&W z0g2|F0A0+<+h3H9ex0SuW>FSK?gX8@vnBc3H(2Zth+VxCbP^4ceASyQme7Ok0`m0W z{=c%s&7>&5)mwa`FrnaDk)n*gCWnXqon@a!4!+(AI*D3I{*(Nhrw88q{JZMebiwx| zRiOnRyvI`cwVV0i4FQP_I{}CO6ZZ?SYqU-tN-txo`xH7ZPL@$ikQy_Qj(a5s)1W0a zrjCwFQn}PNq$Z7~;~FoyUn!lOax9%hk}K$aNliS8j^oLJ)Gnl|htqL!!SysUNwq$V zjw>!Na*|ilz$&%iU^;bE7t_!$)ph_KHx?#OC*w@2S3f$5Cuia!LlNnyIGMo3t9lt; zO*u|gb)(~0aypHUQdOM{e+C(^YEQ@NeqlE{E>8XdGQC>UvASO3uIFD z^f%S|@AS8^Fm;0|062K9@lto-q!6*}Dq^WcIPo{q3FU7d3jFXF=_H=~2<5uqSvt;5 z9)JSt^)wyFl6NNQc>hKt^E}F^>`^+7CLf~KE_LC9hR>$4Wa_;8=-5dCi(Gs)ov8bTE9qF> zFI+~)ezF`FKXI-(z6}rS#MyKlPjVatgA0>{xTWG)B#9WDJComtg=MIgXAasj=uhB1hBl6JDy8o>5Wca5_0Eo;sCE zwul;E#~DC7xZgR%aj>P(?7<~h3H#D9{x9dHkyb=t|!{eeYtrW>vbk!nRE`~*3 zhVyo0`74Q7ll4CmIalH!fKx>ri?#lTcuS2~xfK%0psR&OEYUK61*38ytkDW-;Y)Q=G@Y&!^{{-6vFp`kr~8(C!PUgtGgD$WBe=@FV+#GDTl{;&PJD zuKnc}WS3a`n`zb3=~vR&FuQ7r#=TTqv#XZ49Cq1NOC1-{Q~HIiTAGYJ|D;t*nVhQM zx|pZgmU|l`RPo4x<6k+?O}G_6V0LHW|~8M>S`*W>>MJ>$NR<2 zA!eF^X!T!oC)pVY9;f}383?iN-V{q)cdw;mweBvVW3}!sq+_-2UP{N-x;uwX)Vg~i z9jkSBCLODFcLp7Yth=Ytsakg@)3LSgPM{OD?w&};YTf;f;V_P*s*a&!weHp$&eq*w zbgb50L@rb7?m#+L>+S*On60}A;ov3Nx?4rZYTbqZGqvtk8X30kb~G|<-EBw5YTa#X z~1H-U?F_Z2!0S$AKcQ)}IQhECMFyPl4%b@vZ+qSoC<=vb}0 zzo%oh?yfe+Y~8(^j@7z*I~}Wa_f|T#*4?EhfvvmOAwjLXS0O>HyH}WFw(ib{kXUyw zren44Vl|+(?qV6CweFrtCuH4qeuz{4otMdU??>> zH?yX(xce-no8#;sDTsLFNvf-n$T%v!ol7pVl;#W^cWfU@$5DLAj#-{Nw#uGv!)diW z-7?GbP9Z%J|67u#Ny(hC6vj2;;|HVh6q9qZ&Lt@*+jk(k}|USYYl}0e5`JQdAA@k+fOz+%c(?`-H$r0x8fZO;AMH z^LPSNUs3ivp1{;sls%6pF!dE>&*OQR`ihF@@dT#6qU?D*fvK-3dmc|<>MP2g$MZ4u z6}6tn6PWsnvgh$UOnpVg^LPSNUs3ivp1{;sls%6pF!dE>&*LV_R+K%DCouIDWzXXY zG>%dBJf1ih*JjV-2~2%O+4Fc}jXB;-Md&BcI7Zp?cmj=Mls%6pF!dE>&*KS9eMQ;x zcmj=Mls%6p&^Sif^LPSNUs3ivo=%YG4&O-p2zbr^%WJ*;|WZCMcMOs9;Uvc;(0uQsjnz|9#1TWGWI;4sKgDj z=kY{2F3z6E6KEWx?0Gzasjnz|9?!$nS5!QYC#Im3e?5qDm79mgF)E(N6PWsnvgh#x zroN)$c{~}x3$&g7pTeIQ|J}*`xHI|MC^dnNxAOO&}Gn zX<@Q|vIRkJM7Ap zi_9VxVAXQete2)evGo$OAwYByOXn*6jLe;uYIKnR(M2rP=pq54i&(l?H6Xf(rPnCk zgYs#qMi&VXT_hSq?kQ&)T_iwsk*FyXY@SyQh%RDfS17H5X;(F9bddnjMJ(0mA^}z{ zTe?~`Ai79&=jb8}I8RhBat( zkpR&}tOkuP5+J%r)RX*fQw@K>b5p9(MFK<@u~egr1c)wTsYVwG5M9Jl8(qZ5s^wVw zUi6nXvP%g02D*s=tCnMB`*N+)lwb_$PScw?Qb!P@=aHtj2z?z!f2*NEXd%rn#L89g ztwQgCtfk9@?vJZkx?Je{Ei|kU`a3GOwCrs{|3)PgYo}McU1$l_%QU@1DE4fn=}M-w zT#1T4*7;4UF!bI}$uTQ+H&G<-0IQZ`9nkrrMUa$WH1e!;uF@z?jEmNBI7J4XTi}h-Kgzk;IZmqORXgR%+XOx{pw-T29k_g&xyFLqDO1B4=$RzsvU%`ZUVS(*8o< zLBU(PztA%vTQZDGa`^#F9UrTfW2yV-k)T&wM30h!jkcpXKCD`fnWJ$-&FP*rKJTSdcD$HQM*-ksZ!dInx+j(pTm2Xr?p#Y z6Y{HcrP4uR*;PuhYT3%JR{Aa8ebul=X%{?arE8U*iuXh5I;96Aze*dGPJ#yAX}(_R zNR*D!CZ&C;nYQ$Kr7KV`Rd$2Yo2eJHbfeO(s3|JDNoj3Z!&^$RYT3#*E4>TvvhHi& ztn_|dP3ab;SD+zPx>f1D)Sz0rP3aPpy2@@B>i8$pD<03;Lh7lvy5v<;Tbrr223WNm zcMs><1@L_2#usn_spq2rtCroJ zJs$<|eB{QLvaEVO3gG!DEi1l=0(d^MR6QRBYw1zC>diS3gG$3QuTZk z!1IyoN`9^9BOj}l-JSfVywHj2KUOWf+B>MNh2T-xN#LZ%Tkw%a*F&lmLEH+*YE&`b|mD;=nSz1hfb+?~RXZ=*V$y{Dl9FbSCE) z2g}KH`Pa$QSS)kV)-Uf0#=zM?NdcAxdXwO5pvFsKS)g~yA#@T+VOgLz@jyC`r?4#0tKN@} zi(6q?px3%D9b?UO3d;h$1$)t{TYzPOUfb?;OiPQgEYR!KnNH#v6sTKK(8u?j*C-R7U=cDmz1BvvOurb_oTs3 zVOgNpZwIucuq@E)^#vX0rm!r~JMa@aE>2-tpjZ8Yk-@S+Z_s8VgJpqU{o8arFok7- zUhNx39?Jr~!(N3<0hR@NtvAqdV_^Z71$wQYqmvr10Lucs!aveUMXUhJ0zLl;I-xva zS)h0LIy#Q0uq@D9@DLs6rm!r~>vcaJ$5L1p=Ly(s4Y6Wr5zPlj%6O z0Lucs#5g*p++tav_v_i$U$`cgjWEM7;dCLog5V}fJY2B(u+=L&2%gt?LZH$(22w`1i%MP5hlV5 zx;kDwj{X_{F9t%<(DZoxPAWvxBBL`)rx=9h&`hKkvk02#*7&hQJ`aopUU72;1&gYH*JyvwUh@zo5Aj^T{bm>NCFIP}P zWi=$zDM6E=_$AR5BJcRsR3ZJ6FR1Lu+vh9zv~rxP?sRq`6`|h>_e}Dd5ji9MJS;Hiy<KD8$vNs7ut@ewgELJ`t^iHY;e(|R)yGkfoWXPdw;KpG~h&g}mLj~YCo%f`AHl9G< zC@u-%xf1|8G3-6Q$_NK{(W`Fh;C~3MqT2{!lHs~V)hv4*4aL&*4WU06DthZW_hs4N z&_6+3=*&ljen#(35FfyKSbUTWSa-mxtOn)9I&eRk3N6sF*fl~ko26UR8<90jbC~3; zSz5~B-`Fg@?_N~jvu0`PCh5P7X6e&=Qwe3w(#Av|{D@h4E%ns7-izpfV~t1VwMAhb z*@w;+cBX{L5!^~^N^p4#`T)xZuXZKbsLGb)8!f>A>V$Kn(iJzJFZ4NRP}-z)D`mja z4NC6=jfsX$N+&>XT&~uvbaz8Vw)t(on&bDN`$^>d7u6EFzfblw{nGo?vF4sLV>~5j z?j$7$N+B+^Nok6Pkd|&xdVE-RlhQYXkZiNkU(pD~YS^NbmMf;|Hl?*RzO%ABl*XaK zmz+7D@|^^aAZJQ_rK6Dtr3FgIg=wkM$zfWdbO?=&t%gdaXHd^=X>XlS4@+y6wnh1>>`0}r&?q<&oXGXEPH7p+L1jy~N$FgU^39bpt5Dhp z_olQ`DK?W@+FR*jl)B3HQ`!k-mMg=v8l}I(b5rBaAf-N4Jxd1*%{(1+#okm)v(rIT zk>SO)orQne3ai^Uo22Y5;dV3{7RN=ndyzDg{;M(Gxeu61O4y}Fe3%V}tv z7k!C7HpvkF5!cwZ`_S1?sz-T|)47IgisNGrJlA)qsSRSi^OL5HJqz+VNKjLlrUb=d z+E?U*C(%-?Y(Jq+#%ZsP`;lmN+KazrveRB%4!gv(R|6R^{BDo<=FPd27bZ-m+8WHJ zUcj%E_P$wCf1L4+aw|e~i%`d3MGZ`TY${AKvAU1hyfb5eI-5W!KPq4S zniAyD@H0&>&NZ!CFcnI)#jIiZr@XGtoL9AiWyW0Ix>E65CEX_LqYQ)pyk=?10GQc+vF zN$FEz+N^Z;9we({jV(%V4%2N)XM}UMLum;;(i~UEh)da-d{7vszS91%!>Me6(s{_4 zj$ulb&I;2qrH?|d%2p_SANf_Dw5kDSGcLtrP0_`&0YuM{8;9MDLwUw%>_5{6~7d{m638 zho%Ip&{8U$`58~n2g|5Q^&?{OTYP|+Ggp(+G`*B5nMl#B?&+GbkrU&kGOy!`CAeaD zt%Z_8{tBR`{1pt5Vs#P@e)&Okb~?Q|ez}y1rUd5(+R^4rS<-!!H7k+nQcmtD#I+9-4>6=G(d_N34;Ft}EThBMz`>iB1n1vaM}nlhS8 zmM3sS4V^WhCUjZHWvwZ}i>QrV{>*fxKF2Td9J@-MSHH?NA@{U#)D$UQBQ*GXSaz+_ z1<y&myUF!ZeU#(H;X5?&7p*8PFy&L}!xx~kQzv5=Ml<{bzpf-2|80do~HM( zyyJg_dfoXEjKgD%TjHj#dL3H6%|SvnYtfY8JUm^c1s||{@Fr?x7m=+~It=wr>1w52 zptrR=7w1FK@DAo1l*W}Nsh6?TS2`PSQCF$|1wtJ^fZ~o5O#QF8bO~M`=bMA+PTr4D z!X6^8DZzpuL@Px;C_z3{wztyOc$<~>Q#u}Zt+YnzRQejRbgHBZc7x@|w9;|yKEwDxtOx5r5~5ZQv#%S_b|;Oxl{M0VOk zLK{(I)R5Ers|74;oL1#Yo$IG`JQ;|5r8P>sLqnc)c!QN5hkMJ{ZgAk`talY&C#5qN z3OyPxj?%@i3H>KtNWI$14hvaBA!PM~dbQF^QD)w)Tn=lLB36X2gP`G^M8o%J;mYLR zYLy;ElW%_fKGrZ&>8t3F+!vUxeM&S;psd*0!kM~8e)llFK&az4QSk(cr%4et%VLh& zf~Sx8jzL`J3pFKppDK%`i!bTHH4z@!tVyF$R^S6$TK0C%P%5D8vNsgaFK^kKO9S9!&P8U*f3+-!zk?oV zuz*lf7cy!JjsB_aP5O=WmpTP`sb7OU95*KI1|$2r-`17Zx5PiCF(EBdXy)0OA;ajb z9}=|D(3D_in8rmu7}gE4!D!PJ`AQG#9-=FSI{pC=_Wn+P$;$CDU-3~~@rp`Hh*q!` zO$nY1)B5jOKA44k=xkMmT2ZeD)p@B(YegOF(XD%{sfnbqs+8fV;dJ&lYDAL}Y2q~{ zxRSagOPfSKc$k_?OE)MzpBiUNHz`G!AWNH-j;D?_sd?Talq_IXgo}RP2f3^NnC;Df zKa$SgK<>25)s&zR&r#`okq_Xy)6&IC-=tRA((9FuqOLKi_KXIlf5)9DU8(fruMzeQ;)+=bF@ zO8bZD4yE7VDXFZZ^Le*WS7WKKw3gtyB6i@~d=` z(ke15SUOEy<7-sjKXKr4H`6K=Qj-X&clMrPnL{HS$m>vJFbh z@zyF`sq{SPRk~VfHFBnOtxzZ965~Ec(VLiEVr<4=KWT}vzYcbZUO8lhpq}MZwqYzs zX*PDEgr3#Z9b+k=qy*I!pkfJ$D}567KBaTN6-&qyEo6PMNtB*NT}9-OeW?S9Em?eF z>BH0;Lbej@rw}a6WCm zptJ;vPsV9<$)x-{KCeN}oOWOEzj^!0!6f;2-qDWJ_HeolC$CvhYCFp|An9n6r076N zdNlp3X@YK%fB%wBQnW#mK1(MlihL>g`nAo5qAE%1nod$QUXl(@Cn>r{l8(1Y`Hu-Y z`YXOxz8<`jWtOH->~L`Ol*`FALiPBOnzRPjBFOhnQtCP~qel2nhRX(mb0 zEJ?ZvNoOG`f4QJ`|73-EBjw;y`S(wd<$PWF1Is)n|GtBy^UM|gB$U9VWx~%{~I(1t?BOQmf6(CNh-By4RxNa*z zpiaB30FgR%TLB_<>b8PqbgbJ75T(;k@wNg)>C|ln2-2zB3a+GMyRG0dlfc^w5T%oT z9r3BKFGZM6-B!S1I(b`x3DYUt3J|4Jw-q2tr`=Y7D4lj&!B{$>Z3SkjKi13h;(xOK zn`uz2e-}S{<{VQ+W-~xV%-PL+;9w=Yk%+bAShdcae{cStL_b3PgwZKuBKj`alsVC8 zJlK;fVg7|V=dp%z4S6gKG?I;l46s(rfciWJSXyI#Swb6J%x^GJY)EUqb4=S=9mpXJ zhXznd@Qq@YCwzG-0iz1@JiHwu&HzIV&LKpg3{xvcnF7q6?2auIKgfTs6R#kK|L?@T z0&^A2WoUj3fR)!|C)Y6^5n;tO=cEdy0{g8wui|r9W6k*lxgQu}S-||oqrvZB!(=(Z z<_=(&v|_j=m?RB|n!i;o5@B--B~Gx3xB$N94T$kyEf}sD_(~7`v{-V5v6sPZ&EI0D zuqF~8MiBq!(vLZwZ$5&J>GA*bPflbgWij%^Uuh(z`&ib`A4oS$bm`gm(;Jv+C(61p zbYK60J5jnGL=9c>Kj|+SK*aOKIVVulG;!Jtr=Sq!g@qLADWira>k105U|Mqy`w|Hp z`n7=UxjZlBm%7M=hN|^g+&;)1-77WvuAWQVh=s zofxKdLW4sJDPt;IuXI3|HYnXdlMwc5jY`kJ4ck0C$5(UwZB)ZsML)+28LQi$zjW@P zM;9dNi=$O!Gv`iIGH*J%@kDym|5=-k7L21J%KF0M&-Sb@EG~zClTAm5wV{2b@!wNZ zNHcD-jNv=fcQ^wn)EPZZ2~MU)$kI-_7-Fms`W-hPl}dY3)0C!dyb{x+`n~B|h2Fek zT(M!~KGcjm&S~T6>`g+2vEm0z|Dh?t5HeI2#(D^C9%AH!KR`)T3_J}p=Q4enjN*mS zp)6Z5k0}l2S`*HFi!8r%#k+VZ>ADGYb}02tg$dOyDZ$Y#=)r#}LJoryK=V}gjRMLn z(aY(k3iFjtAI9{$7Bu$~rprN-D!U9$+DOCK$XrTk|8JR|L`j7ON?+cagh=)PGFBG0 z(h{07jcFO4iKU-k%rpgBsIuo>$Mh>aRZF9HGrb!3R-~o5;Blr!tw6OjuVd=?M^b9x z;m9VfEEwHnWOFIMK_8U<5YeJ3!FKBDEp0rR<%4^vPz#5Q<0n;frcfXGI6~=~2~;;p z?@_2n!!8op?Xd0=y&rll?Gj=70wtyCNTK_p4h>fg3xs}6pQMGus_7S4(zH&fN9NqZ zVR9FPl)j4m4!wc%u)axTw^5Z!(+xszL){!Ide?6fx*nyYv`Hu}W1?4QXJ2$ZPNw_I zZu+Alr~fi;`kOF`N+`SOkL&!8Z2BvuF<{|A>*@WZmVL;TriGk2g$8e9CysRr54fA_ zvZe$Np)M<}6ZzmpLz`H(LFsQxNw!8c9L2hW2O(SYHOtm1eZf%C(5!Se+7^4YQKDf9 zWzy0Hr7sw&8kEkb>QOjA@=!NcG|YhP0Lepx(#H)I4He@=b~ReaLqtQvWT7|W?L9;^ zG%G!b+PlI-L_^&ay&C#G%|r7ULPz0ES6VS$=tYL^w#KwJbxO~Lh65!J&F6~jV5;|p z2TC3)&J()GP|?t!^g=v~1BbBQia8?tDe`+DKE0`Js}<__15jxF@1)r{nk*T>{_q~3 zLTCR#&$3?~YtfY866oG~>44XjGcn?Uq~)yTEqK?@puV zk=<@d!#*m9U3Rko(+$3vC@B}^GVqIUgLH3!_9ts*G@4Wl;I%xTk-KQIN zBP({#XLiR`8?SC_h8KIBNoNn1Q9}D_x(ZBtk`gqKprz}T{t%{3O0R1hl6_ujpD^8^ z^jFYOAy?a|G=j`2-K4Y?a;EexrBlPQ%}W0irkj;s5!SFpX;@RKOhesB@e#RQIJ)dr}X78El_%E zSVOVWb;y}&C{!6ufXqCj5o37d2Yy#ItPRIu}(omOwO8+ zOQB9JY}~}s!Hfe*g%y35DgB-9p`w+o zS2{RM89T={BVUpf^XJ z{C1_-r(x+1q2zE5uiU1Cpi-LAWtXME8)bk+t5?f%YBUsIfnz7Y00kKV7WF9aUC zXMG`XIqb5&5YD37`oH&u(CvKE@(Xh$eme5}lYAj$+Bf|-X!%+9O}Dy$uJ{x7O}`2G zU);Xwk<_uaiIq}APhScn>ZuWToINh2vzy4&)h4o?TVhQKKA^9nHqrR+&6mXwB2PPl zsk>|AEg@SRwdcpMmaC!V73wqF#M`jErUdU$Z)2%FOS^u2=b944Xpm{?B#{q-FkP&) zEKJubZKg+D&|dU5EB%tJg_iodPvW~U?X9#GvaA|L3eCKmdN4iQ>~3mf&vAU8KJZHl z4lwo(=P@4AJ%R!nKmsN4!ThK-C3v6S%96OOZE?;jHp8UgZ}d-zI=ydD+Qm>Aer*$) zdH?q37ts~7`?q<(@FV-TKg8Exk2Um{3_9}Jf8HD#aGpKxR2ra)rCw8l^YK}zbiSGi zUcu+4(#1+o3Cmuuv<4Sc*#@DGKd%e5wC}z^3xR@I-QRcv++CE9;2X>pY?cofO>z88 z`_A8h{EzHAUqNj_Vdsabjiniea*q7*>$!BFQ_pe=+sO(eO$d(8A!$pGWbxdy##M#r zMNETbnDDi-4+y=Ps&rv{)%&i{qSj$r(u*I>7u3q6WrqmGD(y5qpK0#IvreX~)yWHV zkI2r$1hu8D_A+{N$G4C@i)pYY^jg{1MRo#Y+pFFIy*Xz&m=3e_R-xAxhN;U=pM#fL z$WCVJ_#;s~d(EW3v=j0#8;stw=F!N}Y3jek`S=pg!^-v-N)Izc zPZf$~?}a_(PMU8P`Y|;0QW|}k^lN0}2X|eidan|C zD)lCX)wB31HGd_PmH?9mrH^kk8Zx^?m~knU&>R|UWL+XS!GD}fgm3Zme`c2mqo~ps z=YK#I7gMmj7^(U)I@>}WuC?K7N|2x-u%&U450;UIr&#wU`${KK`(|l@(iAlb#p;Qt zROyZMQWQtU?^Nl9oR8p3WKLPpkTRfxZ-_fc+LQ5N1ZZUZzW$fM5?OFI;? z=c-_D8Yva$Jj$}2Itu+38vN&lRtxRXnrI-j%VGC&JLI8^bKhs#%F!<}je#blget#f z>iF*trIA(fee{<`;=6O3eEI@9+e9~0qTcZ}CAc1gwj#Onn)_HjIEPxN618d$Qo0th zO4mOovJbbAeU_<{F(A|}$j6Vk;W(cPq_E;yYI3QLp3TF=NtaV6j?SxGJ17kJ+L*8HD5t9Zs{_i?@}oimTM>OwPD%4>7O+1C-evOb5?eW&)6Lm#7*Q z>?WUzni4!}=v1yoO(LH=_7s}CQ`wc9#lziexzHf8tCgNjy^*Dh-xFE-c5n)Imsohy z#4DfUKSvE*X{4zQa##PWJbGgsr{@wnJE1EQ=5jtXB`E0*dMnfBBUnDz-kE6hKBm1T zB0+F@7f=}wtQ4Br3(fJk;*WTt`5fw2z0x(fn(Cdaba0r?S9&3? zmXs&8P$+rhfnv9jZicM33wSR3)~o4kKgdVK@T4h0m7#T97L6hw+!?0MLYXJuhI~ZM zVcD81gx-j9i%5NLTqN`xWJ9mkutew>qe1debCu8pG(?3q3w8WVo{WmFK^>x6{9 znuja?te%WI{uf=E!<7;&RA(GU9Uhmw7U}sRQ}Za1XAacQ4jZ z%U*M?xPi__x1)r(end%1@FB!4oh)8lRlkO&Kbt=v7MFQU==U z=%B|U(XbSiARXTd=s~9jiwjSq_}HnZ%=&qemeHqiSm)9b$wdMxZC9onun zqn`OPmJD7-&e}+Czgp=hG)%R0jnWsRA-Yy*W^Y&rEu}Kfdc&e|K9$2y3AkHw_Sor8 zNlrsKYOM1D8#`v-OlKDq!LB54q^9IfqYdMulY^EKDE`hqa(>g>KoCWIZ*n zR0;iv#`Yy1+=I}nU}u8R0-AU(iOY&B=U$;Hs#ztj=In1mr%*jk({F?xOmA447L{_& z$R|jM_7M6uu4eWAN@y*u2T0QsgkD!l)YCkiBlJ9~$7#A)=n~}2%HAoo3VE>fNul?* z(EFy)CTf<`vfG6&ChKNNRMzG>`7#PUB?j7!-#e#^(1)NwTRca{$4`w8(YVqwqe0$L zU+FZm9$O80LY=Zld(b0$!)T5zSvR}vn{IS|x;c+7sVN_Sx)XWJtd@^0Sv?!!oj-@- z*pgMV%P047B88@9jV)P8r&Ug*NKRMKDam#dJ%bXHPjw=n;g``RgUctMNxv*MqR}P8 z>6CuiT25=rs_D}&^19@xVRqTv&UC&>&X>+kOmQM#u%;1-Q=G^)J|0<4S6Cs}8&kF? zl(dnIFP>fcPbhi2Kb0!Ea674VJ?`=y_UN`@eAzej`b454-84S$b@BW($RCI|!HG4H zU%$_Hf)?y0Qpx-r7XKfhNF?$v7YPMMd;W=%{Dq3?L6FM|^Yq}{5(YOdqYQPDRE2p1 z1e_-SoNs6{f(#Po}MeXxA z8~&{}hl-Anq>kw%MHfoaf$1bgt0ZYuI!V!+l5}P|>Ho0z9#B?P%lmep)N^_`XJ!~O z4lsh?fT#omDkuUXiUBibqJjt_7*GrtK|}$=HKC4TKt+WsD#nY7SIj7)m`6kb1;y+& z{@?1Vcc0_j!QaQapR7@vwU|@;+10yu?@l{ZRTC9vclG4o)La(P4wiLiE{kZGWj&qC zBD%`5s&ZLG|F*0jb6G^+SXM)vRXblqt%`OHYuGWDMYO+V?Ul)k(&1DhIv8;1l zR?%`pb&GXhS_Q;^n`&3vPE9l;Gq|Y=SJ>|}u8_=6hPK#5RhH+n4l{I-{r;TGBARbm zjc2+RAX;fzopV`44a;<2`{c5ScC)PExh$fSEbIJS7ST-0x-pkU^r~e&oXaALHPdP= z&1DgFu&i~tETW?=s}9U0?4%Q2YFTY^SwxRn)~>lMqBWLvL@tYHljd5(Q*&8FdsxbWfoXs2mAH1BTV|yezO!VZfzw~Xx7HSz9uYdYarfEg_i9N>|nw?`^`}J(7+;8 z#!enl>6W_jhq)}GT`lXETo%!2%W86)E0E|$%i1QFMf8$o?VHOY`q{FM%ViO@-%4wE zfy>J7Zs-pC%~E)HYikM$*K{yIp?*gL6#hlxuv8$?O zw=`j@{bnd!*Hbl`-GM4SYq?VlW>-`L*x3V?w`VWiFhgNPZw1FnNkc7{FiWtnY2g$y z@9;jl;icFxd!gkMdf73Sz1Ds+6q@g)+x=U%OYflxLN8lk**Ds6hQcZPs%qyuQPGDj zm(YR3OeO+)U89{p75Rr}4v_ERumfBhYSon^PJ2E8n^-!Qez2PT|$ zpl)}+RI}nvXc!yHB@0+z@nMeVD>=+ z_m~!RxIxlND|*lJ2(n)q`1}|xS{|(2cQ@PWbnLFlVc|2EsN#54zfWp%r0Ef6Pc%@+ zv`{AV?mbaATq7Iyviv&@W?wLHi2=0G%O>_7qZ?)?neeOqW+==Zs~X$hjm?@`g3!xQ z8h(Qv%p|sh_s&<~JO|)$Yy$q!fOzlol+r<*^*squU-lP*w(b6&(n6S$@ z1z*W_cN^?^s=_RVz_d`bNvrHPOJVA1w#|bkY<0Q;3bzovXu^@>O@l(SGYqse;hzLs zo~b#n+i!-#+Osrc-aR;vtu67M{bsvY12{%*Fyw6AFhgPH`3ioKlFqhV!t8P9XzoPQ zLP^YvU0@~cWWwGTD%kE`Xc2B@(90fb(j)epp)hZfZg&~BD_Ul`gbrM3TG<{GRsBK( zxMeKd^SlIB1B-jtL%7{`_d;g4=Q|-Y-1DuF8Sc3fvJ3Zo9TE)p{13Kh4Sh+G`sW*^&i5do4=KO`h($~#YMKhg?rvL)X{Lyvh2=q&+?5ZhI{T5 z>T9@XiTuHE&lQkexaU?#xNy(Sk#OOjn;?PuX}IV5NHE-UF=U2&E`-c*#H!##VD z8SeQ<997c7J%0<%vW9zJ2$|uY{{@-ho*#zn!ad&~W@xx)`2reBiltG-iFZjx@o`e!gKrCE z4flMLC|S7Y8IT$7`6|dR-1B8fxNy&xAc1ht8tYdgv1&YBi>j+wR=_o;ZUg(#5W?aq z{u@Pc2hZC+ZpF&naELWFFtNl0RQ%hJzY>=&k56cA#Yn`vkT1&V%c7A)p$oYN5YEf( zjMk8dan-jHE)m~Cp0v_(g-W*g;{PUFBR5MlCXMC%Ys{)8fuBN6J35suQ{puJSEkl8 zmCsFG0yyR4eA!eano}OGp)AccbqU)P0##(&OWdZsQnc!MEhp_NfkggGCx3s{^kw2Y z)ITQt+Xyx2jFyzyersDTO)nmC_6u=$gzfGV;BL6zHb)5V`jF*+xIqKYk0sk6CI78x zzc49fi(i?MFvztyHHGOE4<8`h%YxF7Gk&x<0EEC!TJGe_k&D4_ey+;YNzOyI{MQQs zF(ec5)W8^yK%f`}hniuaa3Nw4Y^rg$Nz>S`!16yVJjG2C$>eSYp4DC~2*d1T_?MLz z6T%Sa$@p!im;~iz{#GSyG%<+@H5%A%#4amu6YfR~v+{6ZC?mSKgxD7IEKBQI7R#x8 zWh+`tr$Vfiw7-@q_D^AE{q?Gd*;AP5=l!jzEU^^&?^6Do*sjG`D9qIJ&qJ2=T#Fta z=GOO;a*AZ(HB1*`a{;pE8Ul@TPArSAAs+G@ZCPIpfmU3!hr_VpzZ}ATFu~}IN<^Yr^kXj|N+~YUH`p!;V!zq_w_ObZ% zeiZs|x<7Un+OK29r|4JfUj2HJ?ls%k1OgN)CClzwN?D2N{p_OzTjvn`np(w*+F(z; z6Wuq*OV^HJLM4your+vT))bXI`v~5kQB-m?u~#^zL#>TUK2+=lQ%RWpVHNqsj#;U% z_bBT8_lh#U?r}6g6lEO!U9>|KWnA0gFA`cbZ9#JgX@I@NMqSXK>K`n?yJLzd$rBN(eeY4ft zShOqTEd4>TXz%_vo=ktXShO`ok$o2jvLR7qk9`jMQ50Eyl|@lxwH=};vf2(CHHz$2 zFX9mtMUh3fv2aurMK^IHvd`dt*^nr*Cojg86-ANN!ABHDR@5+79kVn@Y-by?3T`>Mm z`p0Ss<9f@t<52GBQZDJEj~uD=C}btmrt5V)QR(ei5KA&%bg$da52=@4i)DdiW)TWP z&B`>o+X+(V4T}F-Q%utPrIi0UCh6v{qLe=@CTUHPJim}V{^=rl))c66@Dd!!Mh#SX z-D}um6sS_~J*{Ff5c77jw+^B)8-jO}l+Q3!Ee2Cgbfva@j5e2T&c8CI3X*>L3 zfvav$!H~AJK`HLNMCGd&gi}iMRv;Ax9nwj+|2gQ;*B_%bqo70DJBfl0X*<*$bg0>o zL1Vnwv@mFW0@miuj*;~AFfBocU|D{o1rnNfb~D8LIgNJanQ}Av-sxgB`95|f-2BEG zY;Q1oX*D1&gqAnYelstdP|E#7!<&oo!a3q-PCg3}#nU1K5xoe0ha#I8rvOv<*Hqn0=%gz=oMC zb%P6T(BFMmW5c%9V1{7JYgOxADZZC!5oVvdT60HTqrX`SjchaQkIJ2{Q=KICm+fu& zgkF}?yQY?*uzZGY*HyOr)^Z8GETv1XS2gUBM>fWM0#1=FEA4Oz>El_I%=vh}4VgZk zuS2Gf=PQuu1O$8!v1 z`go2Eb=1dmSg6xDgS|``44FQjM}_+8<9Vp)luU?0r@?`cosZ|fNH`zQJ&|xep1UF8 zd_21&K_AbZAk)WlJIM6$>=^2(k7s+x^zqz0k&o?B)d^}$bW%coVQIyQba}i|vcs>Q$`FK8#g!A!y2nqOjHjmvRi*Pd%m2Evw zE+M+fcysk`iOuL$9P?USwt1)}8p-L)#``t;&+PpfY8Uy>ppE`?{xjV_N1gv({xcoE zL|-)WpV6Lu_0R4YqU`0Kcl0v_4v;mf__7yaicnD zsYGS7%fh>+!?#F9ehT^oskMFzQ{*iDL4FDceTVMDpUqEUv3%fT%V$GB;sy4>)AxTs zBSn4(`Ur^p4zwL2zXNTDjp}z${0kl_k>7!gB_qEBz5XJ5dlsxB!;eh1nPwZiY9CM)&_W3j)86?@w_j%1@+u@6jOkC7F-Uf~;H#l9Ntwy~|) zYqCl|F^Th63#`)rBlXzGR_QfanIDtFdHEx(%xn7kZ&M#TtHnmtHGTYbKI&XMY-nrx zv}&g`Y8P3kYL|ASTB!acE&pe;P_6OfWnJswNFqBTy@nz?B5jBD*b%`{Xb!&{CU!!1 zS>nKE_%PTVmI%KL#>5euUWY|-S?fl$%Dpnznw7}3nYJXJiFuhd23y~(@OfO3MX8%K zL6~h~pv1IbBE!66thA!;mPe31(7=ACfqG_aD3mY=;<2kzFjk4jDmj8jlY_r*#`?3KT9<+ktq~Go<{ADoo&mhxK zW;J9Q%B+A)Lz(v>J42bbkf5Q=QphxvSqzzmGS5TKGnDx^k~EZg0Z_s5WXLp>k+9@6 zl$j9fsG-dGP)7}APJv8AnG-{OHIzACbj(oZSjf&$W)Kq2Q07P^oS{sAB%Gm)7|7C4 zW*^8jl-UC^4P|=<%2l-U+C4P`nAH$#~%Am9lXkdHm zLz+Q2AxHa>Hffwk`;#u&QRvZ!hV>mlT86kEu7t)G_#+UT_&S9=HqwrAY@`*WwIDL= z&70tUjx4Tfxy98la+dxei>qhK@MQY4SzOf=8TLCI$c99QJ*OP{k%gAN$|4IbZHLH0 zOWR?iT4>E}g-1|ip(S%7k%g9Cf02b&*bX&YXnlbDWkW2qrnbTT6-9=PEVROD9J5rb z6&6}GMTYIUx%A`yT#;cfk~;s-kzrq!QvT=2u)9{ElqDE8H%vCXUx(NSO^^w9FIbMj zsiXeEM)$^`w_z$=r3?~lNKcazQWaXlI-_2?CY!oCZL!C{L}b`Aame+@ScOqU$U?-rk648x9!Am(&cWc z#|A`(ZMr4S`yXMm_ZYraolJB|OXa#>e_c2SzSCCNSri#Ie0kIu8TNB&pjvFym_nS6 zqJncmC(}7y9}2Iy7F*+rn+#MGn(UxY#2hbOlM&=&qF-wRiEiBiwcDtHM30s|Za^T> zH#8_&ee~7>M*27xe<3!oxMhzs|dK>Jq)&>&2 zcYD+>3M8ui)F_aswnG$1RNF!9B#TOI(YMk*1{*X(AJWlTq^ILW;OHFE&R9%#biPrq zMw9i=cfg$(nXK#kG%{J&c38j3`s-$x-b+l@-?T&@FHR!+trj^~^Gzd_7hD8j2Sc~c^MKym&6NeehZeI<+be7Hq&dhx+5;SvP1({~DFC#%S_vawf%>C(*%fz7HX#r%KxjzzeHFJLeGR@rQLZ+Gf zY{)cozde-I%>Cw2U(MXFhfFi~t0B|O{qj&p&D<{yb=1uLBFHpzKR?u0GxxJa$IRSM zhwRMUPe#I-xsOJ|nYoWZ!kM`rg9Odo2STQq`w@_7=6*=1qh{{=L#CPg-XT{r_dbwm z=H64dnYr%_InT^}2PB=D`!-0>%zbOf&dj|X5;Svf4Vh-{<&bISzDX#nnR`RXG;^;D znP%=8$j;2YAk0uR_qb%x%>8G)!D#0Gy~tjx*v+kRiLFcA1v@QTwtw)rUfi)6caB(( zg?rFl=1fM9^-4-l`DfVuUT|E2KBT%Ms%J^*B}%)WYScqLobG@Nl@^W}+aX7*E;BlK z*zg=3aHEy558lEhrMGFhRd<>0z~e{f=!Ds(yD*lgTYk4u4;E>zgat+m$BaQ8>RGu1 z?y+)1Xou$A>)=qR8lCWnqeDO+(29ABNJpb$^hQeo4Pnd5Fxp8a!dgSv2Y)}FZ3e|cYT#b7Vg>(k%haq z!$!4mzkGi@f+7odOgKdr?rFXLA`ADh9cs34e+u`@hFG|tco6Qd$iiJa-BAouZHHQG z;okaS>BnK(JDJ*BouI2OT&i{62?lG94m9m8jcVb3)uGs9WZ|xN@&-hWZE+ah zX|=$@eP7gLLoD3i5dB(U;ePbts7EcZaGxsm*Z>RnpP;|dEZmzNiJe6j?sx-YExe|% zy{||E{mCrcV@KhN+W-sq8%4j?TDaFe8nxS~7Vf*s9yh?k{gDCCk1X7ET)R;%+%Fi2 zmj6pwxPK={vQaJEhY!LYH>!nu!C>sM)>^nvKL)jnEZnu98d(>$h9f0S9Y;$1=f&fkh1cipS<>N{q$sB^Xv1FaOT%% zA>qugPeX#{*C#=y`Sl5qX?}fNsH5iBLm|`rdO*n4{Q7XnG`~JrxS3z?2RYCDdM_lM z`StEd(EPdwWM_We4GEfGcY#dv>&}pAe!W#FtNC?X$TYv+3^L8HTR?W^*GOL_SX#bRfo_1g_)opFLW z2|Ya)EfkqwYoB)m%&(6;1uu`t{8~q=k@>Z@LoG1BPK?9-9GPG1o2}NGUmq=J=?^l$ zt~d=(roV#ub$=YlhL~S3KLh%a`L({vBJ*o)hsgX|+hL=cUzbk6BPcSzmN}6qz_?z2 zk@ z<$pH6zFA7ysOHzlUw}RSCCsnilOx%v=GP4;VvmvewLX(J!2J3KwA;ouzrFx#eX);T z!opvW81R7xB7GV4*zAW2WVB1ZZ=ayEEH8beeA_Ow1WV6|Vtn8PnN{_El@opsqW;v$ z^gIlk<#N3AB7_+X>O39JxDK$-&`q2K{aRqJU3?MhQ48#~caeH*fW7u?=x;Q8?H4Cw zXOX>jc%j#5uYJzNXrMotz4lzxc|+{A_niX$T5GTUgw$@M+G~Fyd)xqf?GcwkKeE@> zf$&DP*WPw2TK+F#uYJ25$wsx;ZZZvf+^F{256T{Et-bcHSD<#0y|#92BYSOahsa)A z+hL>HYoGHE+=-FBw!Tjzdu?rp_1kNAG<)s6BqI4XmgtlD%#5}tnUU+qxT=a8{Yx!g z2^~1zw6Z-+g8)MVi?7`tVGL;Cy*XqWc(;U11Mg;#Y2e)$vNQ0mhXf70iy+g$JAh0B z?bpBd_?f%iDbH1Hl9ay9TC1(^ok z!-boH_YlZ=2Hr;_=?uINLxKk02SIiQ-u;lEfp=fXH1O^XnFiis)1NL@1MjYoY2dv* zWEyyPg6s^uw+u7Xz`H^+XyDySGR(lcxyUf^-n1<)vAvTYW4eci-GwjHz`N`=7 z^=P-qRI3)5YPG^Q2@}P0!~1_iTtD1d}A$0 z3|Je4A8sqT3D1-vK-qXVTfDHGpa6>dBm!1*p4BfZz?JNTGIj{cz3OOKZ4VEd1-7#t)jS8f}&W}ll;=V--DudJF^%*sYr zpzm@Z9WTq8;Y`F1NS=Tbl$bu@?95HpJOAY+?az#uiN5@!)nJxj+b2~kOJO9zD+@KJ z&(r#wImCpu_WL%@RTJqec^RVf{;j&-x~%N0&nepDc`K&B39Ib4CF<8?Z+tD#%MhLQ zg6ek5Wf9eXQM39>R=D%*AUn`E?bi)L`oqV8gOCFta}cr*WDY|1fXqQiFUW2XvI`O% zgzN~JgOF_@a}d%2a^4_h3nV!RX#?2}LN-N$gOD=F9E3Cqxeh`~A#)It4Y>|N(vUd_ zNkHZx8!`tWuZQ|N z2zfmQ$*@7lo+7tCQWl)|Pj(co zxLgCRVz0>UB zIl+thw8HcQA06AK;R_PxR2@jSl%h$uAuVZ{N4t>r$fsRN&yW)M8T#&|Q$&}v7wKU* z1V{TAt$74fcrT7G8o~VT2&RpUV9rTyk4}GL`kXT}TiMj>k@mYS+R#Q8L{luQUoPtt zLroTI7iolK=|F<0k7Zrxva&>HSXP$890Cd}2q+x>itZ>&;Unvu*`mh?OzCmFaSUXR zHwHrHc;g7j9B&)~*^M{$M}p&xy&-eF(FZce8$BWCjW>2ilH-jXAiMF#Hb`*1u{C6l zH`;|<#~ZC7bG%U=avg7M0-58DhLAbls0*3njZ7%(c%vZH*YQRiGRGS~hhvo&5Ix)P z{Czlv(ecJtkPC}-yzv=ijyG0^`a0fNAv!kRcptJGZ@h(s8*eN{!i_f;BjLsy&m+O{ z#=jwRyzvBNjyE0+b#%P(AY_g={uy!|Z`=);9Y=`dp=UhNE~X+75r=cqxxPi0eI?R`{K1g>UAgg`#PN4W3rG?qRfBG_6pJ zrWJn1ISjJBFa7U1U?O z?XZ5E>Kkc_vFU%>>dZT^f}0!9(_9GIz74o zGN(r$5pL6?4?xbF9-WJ%n;xBw1gA%DhwP?DZ$^UCqt`>`^yt-)IX!xLDC_j-rI0y2 zdJ$w!kDd?NO^==(X6W?j>5{?e(UT>^rbkDM4C{;iWO{UN@(N6ka!T~gcl8pE&FHxZ zQ==nre?vp$aB8&0YhuGOH@Qa$s>b^*9#9Qg*-B1d1~6AUedSie{gCzD z={dIV(xk|F^_%4^lu}( z*pU~Vv0U{#7|32-4dBRd#uwteqsqy!eutv$mQumQ^!`4s+`Z+>U74JQi-|3oTFI8Z z@_DxG%B0A7ExR&#T?kyuu1r>jz_skk>Mgs{F6IBbWmo^UW!L_9%U)xL+--%{wF~y0 zy}ugtGR!{sBUL+E)OuTj&?}l?Ws`Ef{U^B} ze%PWElJLeb2s z_B=PhjpUn`(QeVqYAu>st!dJ5=Bv@9VKixow@kBLN80H(I={wrLeDo%z-A{ zXZ@5*rJ$LXAmo^QIu45_OH&|oOg<4Z$K>Zi=9v6U$ZkwN4hfFQ$3o_qd=zAk$%jME z8b4=bl)$S^>s{M0hwd+R**R+ZyxICn7m1-qhs>=kU1tV4)t|RUMM;?CQm_jV{#7(HzxlP zBYHO`{}u^1CjSBnj>*?R=9qjXWRA&~hdMeYe-|>x?WAY`CIVOKexQ)r5g`77g zUx=g|lm80|j>#W}?8fBxBf&BG9LOA#-vyat^4mgL$K*Fb=9qj2WRA(Ng6ziRmxUQR zCci{7I3}MY88#+APh=VQTp=UfE;7E!q$Z;m&ffQab$Vb^;2DFygkMDG`Y5N-SMVsq zYR3{?z#O&=4=%Z2({;_#lsUl@81ko!uIZ2MgGAc&191*_w1TvMzHT2yYowX#$z6c@&U(V=9f&2E zuK&5}&NhlqyOg07Qpruiws^548o=`oe-mAfzn8sM-*<5OBYQ2q*dlu^ZHK?Gz1GNg zalJ>g3coX}aO-<$p=efNgJ%``R-xUZS%q3Ot5B1@*6nhZ{&#z=bWJlB2YndLSVS`x z+Wo9uW=@rb=mWQX82xU9X}aUO@acaYuGZjeSdpYFtmDs|;29i4y5d9)X0gijz4T5p zN{IKv9UdeG+;)C=r)O87lz-!4nU2j=edYwSrEJo1U#Na?vvmGr)}f!))jI!kP*{#m zRElASRAh@(XmRN!G`vhV*<&NwV>=F5EXPaNkz$%H!7v9kJonPDQ(y2A^iMz-@^mvB z8Zal=QHBwuLrg!Ii1Cr5!$`kEqotc$3CEM}E5nl(lPkg@$7s^I7?weEBhsWMvE)o0>jzg2_Q1tuXzdk<^2_9Y`C<6-L^Hbg&#XX?N0* zu#|GNkI}+m9dfWARmvk|YD5R5>_IuOlZAs_`rd!hZqHvGFuOT(v(GMD3AXh+O zS4)R)C#&upxw0}wyO0iUoJYHpwv!Sv_E7CZdWalbmXF$9FV=Er%k4orZi>&(_^292$W9vt{pUmnc z=V}T4Nten)FZGhr`A=C1Lr@hre={y_AD!MLpPpf~<_|^8k+Z*%?Llj@!)*5HA7h7^ zZirV?Drk#GB;Mn%=>*qjYp}DCL%kIJv6&H!!Bvssd0JuWff$TA-BqMFNy#i>4e1lO z#T;EnIy7JRYts90y*l0RNk`$O=jczQN0x%JX5LbpsoMc>id2DJS4q+yxUO6YY0_t; z+@!rWGo-VngwTWnGk}?ItlS}T6v-L-k}e@VD5SQxOar^eFT>sJN+=GEuSz;u;fQ8=`#}!w#_`Rh|10EP>L?KJc2AiWlHyzeZ+*A zZ6YmTF8Yt*k<0b2`H;EZbsuD|cijV->s@z1cI#cYAi?#n8zFPO>srWM@46Cl-g?(G zB)Q%tZz;FlB{wkFyUu~k^{z8QF4wEy=~T#E?-~~J4h{8nz3V{8T<_W!GS|EI40Uw9YqwBG*Soqy=6csop}wwnZ6`Xm-qjJZTkmR* zgj?_090|AH)e;HRPeXz=LxSsFjUjWrs~%*ocNK*?y51E)=6Y8$I5h67zun@{ zuy64uiWY}(BjNoVEe>fr{Dl{XCVz+PJz5;Xa}@v5L(#=fw0;{8(jYP6xB?6iJ@3iy z(L&MUP}mm12AG@<`vL71Ee`1up4h?(0zr1T*4!g92W(cT#ACK^(A2cu78 zz?7pq8eNW#L5}WZ^hD8Z)YtabRjHS*X>n+woTWc#acIoX(c)0FID|)Ntutpm8WT6k zf>ju*V^ZnDrRXJlUYlQVC;Z92Kz+Q_d4s+{U6Ud2Ex)3aXy!e<3f4FCUeiZ<+k5ei zKKJ?@9{9<^zUZ$6iSliA2JZ-|#|C^H_gU!w5kB+j$M8N&Cc0q84$BkvX`D}D*je;( zTzSHHHBM$fl0XARliAWf!CzuBJ4oSnj3%?Si@PC{*<~k7kF{4y=A#h)b$r<;GHZ2W zN*36VKD+D<$=}P;v&M^??y=$IcVhZ2tctQ za-XuS>}LWj;$G3W)nL)Ex|;i8GyN?( ztaLr#F>0(f4T3B|Wj)N)gZ_&Y^7j~X;=lgkN#V0_61S_e{)R`W(g*>(+t#{d4p|Q_;K0r zG%JNLOR%$Px%HFP=uvb1WCdidpS%y5>nCqP=K9G}$Zq{)F%n!qc^)#?PyP*=>nBe@ z&Raiu6iKe1JP6sXpZpUEuAkfund>LBLays4Ga+;R;9x~TYjt%v7{bZ2n*!szl zklp%8e~Ai?#MUXZzdvI}IcpX?aw==#aFkhy-+A>_J#vIS(W zpR^Hf{z98V&RahzL(;9EG(v*wC#8_x`bicEuAihKbNwU%nd>LN;5o_fI{pVT*H6BN z%=MGcA-naHPr?jcKlw;9xPDS48Mc1%b|~xm$?KwI>nE>3=K9GCklp&pGf24glP8hD z`bp{6v8LhYuO7v_B_;6{;^E&RSXntrp4YFZ4r%9kTv6GqtKL}}A?Fnh%gs`et(if*&)r3SMx6Y3INZo*80r%m7{7)P+k`ql|**Z?)yWhdRwzV@4a z#e@$ClBUkhXqWX9%yBuc_zp716<I%EJ;)qaya}1(iq|0L zjVoS8lH-c!AiHtJ(@1b!u>dm16_12m#}yAi=D1>R$aP#X8#2cgw?pQ*;%3MkS6m;; zIMLQ%ou4oO}jVsEL;J9KF$Q)NRgv@b8-B4D$tPEt1D+(ZUToH%t#uYzf zP*PZ;8K-Dbu+^X3$&z!=8U(Cv5e)(=ztoS_ug8cWv#WJ-yLQvQK}k9K#+R|@YtYLu`_Wxg zZ3NVcPO|K~4QAimS#ww0Z+3*`jHkx+CU6t<&ROKQyXwaCqz0Q>qNDw0?=#^kf{#t$ zX6&68!_bVq^Fqk%ou?qPckV%E@BBwtrMuqww@9#eE_0piov(q+-uX(%dA;-HNV0eS zE@am`UxoyG=Sv{7cm7hywRiq3WcJP%hFp8+|ANfk`NNReJHHa=a+=~+B=^lI@UWs53=i>pM`|$ou7t;>z$v3 zgzKH3fCPKz$3bTAd?;l0&Ig1#+B-iSGJEF-hg^H-`$1;!d@teFJKr60UhljIlCF2& z4GH$nyFhlm^Ug@HcfJ*5_RiZvX77BnP}bgg3&`x9H-*gJc>~C?H3uL2Xfu=&1s@A1OO~}p z59jX{d~8t#PJiTIqVw;Oe~GrkU)aColeW0tqm|5hcwyjGRg-^7%Pr7C(Msm;u4K-~ ztGm#D8B6U54YO~ii8N-sJ=$#wCf*8T`)Di@<^&i2hTf<8!BSXW6~?LCC>xd%bi+Hp zFm5p%7K}Igyp%w?h;$7q;OJt~xk3|G!ZOk!Qf|`rRzwT_A5Cj&>jwXL3iokZvYzR%l(-?xgp~d1D-kUZiPU zdXDxn>ZK16_oBv);6#niu0`+Z-U0VrWI>>B?piTeY^9dwA3ai4NJK@EKdt5&8v^5t_YX;KZDuem>pC z^n)kmNlo1@M!gyX5We0ynk0=TN%8uub(5qu1t2Wj26w^+1t6@+Q*E)7@+b3DYq%}y zyg{C7v+)qfCPu!?aQOg)G#AIl(P(G)ffr}AkP>Dik?mwks-q&MW# zb);kSYxX^9qZTM3oDV~Ny%~BWL1{islkO;UWz;Ppy+CTlenw-`v+`*f=_67ub<0Ut zN_|N;BONUDC9NQ>%BSr~Ti`4eFuGO;(%H@P=r*K#%280a3+atgZrb`#T}emh>vku- zMC!{DdXY|(Vd_9DGBpY|hNBYR6!oV z29%8ik0R1}MuQLYb?1{#mU5~4 zDCy>MEwy`J_qKp^h16^dqurO=btmpi1)Hxk-Or4kAbYDY`i@aA?MV?G-$o|fL=T+c z$-nZQaMU}K;pbV~>|$L{%Iw*HV}h zJef}?{H*%HSyB(KD3x=j^J<}7JF0LqodYrJTIA0`TVS@p+Hj8S@dM086ven6WI4g5 zn7wy&5$Qt+Z{+A=((yqaT}Ju)BD(8`OlIaJ1^XW9wx3R|Jbgw2o6pMpJoX@Bv{ZNjCbQbB_eBC*u^QBzs z&L=%rnwfL~X;&$sjM>OfGinE+cK9Ppe3eMZc@MU>TV9%8S1t~f`+@KRme2deGxJZ zbr*#?YN-2EsH29uk3*)R?n9xz8tTpy9W&Iu7qTQ0ADL)|H%jvDGtgiJ$Sd2l9+)lm0L$TZX)C)^Bm$3o6C)E$MSGt?c91PyhE zKz4?@M9nG}M*%3Bz;rg-k=;-jHdiyDMa8sM|HnP($7AC4+{#og~8y zb+-&<4bM>_N*11@6=a6zXb#zh=V*e23(rv>354fp8+%4>@P7U({Lr#@*M0O}ip^+o zZQC$cE-nP%fOT(89|bb@W)Tr1X@3qU~}y zL3ezzqNMZ^rQJ`}5Xpguj+E0KaG}z|F=IRAXw_v#2M-&bqXTZV686E1v!wJkEw}0} z(;ayH=p3Ce+jJM=h3s_8?>6e8%jD<+qlII}pbqt{+yVDkxgoSe^X_$UC{&G3c*M~m zpbu!pyhWs=QL%b2S~EOrbZ9;uU^M7iii%Np0%^B=T1mPXGjMKi3rJ6t19o+IUiapu z*J1EbH~u9q`XDi2Cw-?3!>J33@LH)GTcNdMPR$|y4?h5>`tN0e-S=QLQDlOxGnA1D zwzk7x!~}bHjB84h_v29*B+Bi3gpbMfE}wBJjms+#Pjlu3$KoO6=w|$?;lQ-epY-uj zLAoU#O*z`o$2bN5(jBm`QvZT5lyYVN?eG})ysZzx9^VEkP1L_H+#_>>JuoCJO|&;! zwMVEQ{7VXnTUWYr-1$mh#q>jId{5Oazd$LL4>6W6Eqn)KY#1fpss7<-_QxK3WspkJsx-4TGbEW~lpC2`USEF%GIUOFE{n(yM+$3oleUQ)m%&Z(gAEJE>eT z>5e}tJqCrBmXN->EtIggt{4`U)@2JFH(F_#oD)aCJWr{3+Le}4_w=ijek*6y(fD0T zFPCGh$JU(uxYBwU`j^&YYhI<)OYe)^(!HgcSaLmlQKea|u7uVow!`cEm>(Ka!qFCi;O z`y1U}uA#kH!epc0VaQwBw==fD72f?(qdo@CrG4!vdXX-b`tEs+)?wiy(_M#4Dn}O^ zy;ZKwJ+0h@%Zx6R)*)SF6yGDot&?c675>6p(jp+QJq)cGxj>-F@vlY~h}M{{h+H7F zTerSA4voL2Z(w9PNB~+1~EzcIWw?nOj>8PZmm836)lqHZ(!sSug z&FU~7?ajjGhzHrt`w5%>dHVq=cQV4ppa_ZXaCtr8`?4%1<{sHKbNT zCFz-RE_U8S%Pl|GbU&B+?##(iqh7k3G}?}LVBQlGR^2q7#o4$fg8#^yWrqP;3Uh)B zrR*KfH+p0N9*y`{qw`6lfw;0F=M!9LXxqs7MBAYjIG;Q=2v>LHe4=BPTI+n$WH8Rs z|L%O!=-8nrc=h7D;LV2~T@QUfE=SpgqlbI-xC+XQU^u#kj`lJ2paR#fqy3DcfX+GE zpSol5c6GV~NaJ|1I692iQ*Hq63T-)0=h0v|S}` z{p37-Br_*?0#BX#T*+Q+UN>I4CSRQXQp$RKaTYhlr2m%BZX$bKaCwukOTGM9=s!?~ zj24}-7n$0#oS+hljxHqqHJ>gby{bu`?hB+H^XX#J-K2za+uLiTF{vEsGSa$IGtzfR zN9OBRkv@}8SCC$kU&1QV&7}^TS{>Gqz9IE(X>=XwKB7zdHR(TOZ=~OoX7lM!q>H2u z)b*~=mJf#HmzyO0m(-z^)geuKsOXYrNMFsTC8Rgymr$SdQK=bAXiQpPO4!WyRz`ZB z=#rL`Hp|!DjP!k>TiDR4g0zd2;OGoH;t|pgZK->U(Z{6(M`s(|U3A+~cb?I4Qm&(q z8m$oB*3?~SbfD-u`hw9*Wp8b$`dbzmjq*sQb0i z*;1~fKPmOnFW}xQNw-BW4iB;y^g&iSLOSmQy^@$sIWwbh(4ZV#u*`-9V|PXoPW2@j0XMDRdl)&Nc-f|O45(&K{syK)B@7) z(Lr`}q0z!oqfYWl5~jPz(ZPB21(wiW_Li`r`(o0g(AzCZJg-m8ezCMp6!iWLZEAFS zehK|L8|{n1O0L{pjNXaK3Rkm!dl@}fPF&LJa3JYPc#u2#T7T1h6P<2H4;*UrZaifj zeeFb}CrF#Qa?dh)HQqlZNjtXJCL5h6drOhdG`gqMj6RaDJ!*8ze7cNunXD>=tIT-b zze9RgKCL3%U+PQU6{Oe7*(6;>Isk86N7sqxsvx%7kinp8f0?dbPLz4S7< z<+fjen+b#6&6|ejxCic+prK?m|4`39bG#ZoL)VSO{T0Q~)~aV*$uo4j zQMkJQXV1`2q?A9IXK05LQ0EQu4BZLmG9B-SM@NttaNGIeVCZHkWutniy()X$01vep zqoMz&^HA%L7i+^rV?5|FQaEq|9^#(2T#n>AOm#JkeXUPo<^-!TDry){-XD%Eel>mg zUg34u(4UUEI6Th}(ozmQ5v44_JF{W3q3SaySdO=iqyE9FAMA~{c|*SO){veiC8R2} zgmp%1_V#K%27CO|d3!x1uj%rIXm0c!*XY=Bl^n^9(qn1fMUR|0K?0pjM~9hy5aiQo zq-FVZK4}$BaY+j+w~F)|%oaMDrbX&c`LqM6gxq$z{f&C*paA!6t0CAau7ZivbrRzw z97*K2h9*bbq_GIrsHAH+-{C!`UApnoK;6(*jgo!zR5K@7iMz5<(rjD2lk11wq~HVm zG>V^I5#EB8q-{cK9q)BUy&65`?mHQKj6CJE!|(zgI*BdpW89TrX?#~N{fUhJ+RwvZ zOz7CKf2UK>;XGx~@#vu1Og(dg@iHtVoy2K^B{JM3oklt$U-xR#?y^JbRvPuv7qr1? z{^%tb0^wEofw~$Tk9utOgPi|SzKvX%<<;ooHv=22&&BT+T$iP-AHqWxOAO7_JEOrk z9O%fCz0&4pV#JJKf860Yy05Aio;;{i9z92CaI>uVx)Sa)dIPTM(iSZDBct^iACp|kC~kA9!Bw6&ZFa%77ji6SnO?p-9dMo?gg?!?P%St!*UA;|3>#@rNI_buG4+f zbccv;3zplhgVwA-*25gV$*9b~+D-Qh~Tbbo1{t;gXnR+3*|9O`a=8cx)n zcz%{{X)VN@U<9ty98JHXWq%{*!|Cp56elx}9&Z$7gW8c)-C%UBl&}?P{8cUYEYWrJ z7^AmH%^ZEs=r6Kj=;&5Uw1kdw)SX!FWk%(rc%_}s(z8_ctk>sEXS4+B39Mv%hUc8-tIyq>9Cu_RSSf%TBFghrtla=;+ z)97vJmZSsIEopp_zQBGBsp*b>(C7jjNt#YC9lo8cx^vKOX*zp!Asvi!=V*7*c2YuS z=AmJI`;Z~Cc6(?ikp^|SK%OId?eYou?^ zKs{FBjq4`ynG@7OAK1~P=?6Dsil;u^z|y3{aK$=WLRySRLVX53XiR!7Zi@PG3-QtT zOsz-ojZ}`bjI-p;XQrFnP|7or7irM^%=mNAcJv;qb=*Hez2`9pAoh) z;xD@5o9HvSBc6r9G8{~!q=h76PH;8aqftFO^6qn0KR6Y4a3fA@_9C4xx}*yqGu?-N zqx+mvFI|(z^FXP`dOV)Tqd`i`pTi>;*XSwQPi%KKUgGldYQ~qBWdw+;nMdDJb*SPc z?sRu7voc20K}r7%~L z8#|bQO)zFjT#B;s;#J}`o zIO=4giw^bdPHA@zb{08{YXj{oedFM6^qX-5CUsu;J!zmJh?CkNexklCnG>uLb<$O) zUpOe#^&beYm1!w0C0HY!@pv<(C26Dkhjd5NEjIc%9*VBqk~T(rHU&)_sk-xxdg+=R z-0wXPb&ed|<)r>K9Ngc>30)~g@?`ihJo9|$zkkrH_TC>A{iwm&F^*H>0DfeKLk>Oao{7}8wuDKBUU1UsLyh!)ToS>u7l8=lYZa3#F zp>@*_hBtlrc}7o>qDx7iH#%P)8}&%*Z4#cbV5;2S^+^vmI#J4P*wMM1M}$w(s{BsmT*34pL{xr^i0`X zk=0>}Q3O7af>R4{FnIY**2V0>6VdWJhuYcDYj6)PU;am zRdu^xV)R;Rx0qee3#JqrT4qg6(04jQpkj%1?-jo5t> z_P9}lMtmfDthGTSPMCt)ML{EU%n=2R&~}J|Mrb?yVL>CVYw3ASQa#G$sb1Mn0~G9g z3GT$h5&xh`l7lGA2|f{ZM~7QLgGM2>zDwn~MiZ@|OT)!kq{Sh%sp#3HO;CX*>>ae9 zY9-7NU3!9bApNK8jb=l07^L>IP4nnHqh5L>#)9=5-5pL@SD9zdeV5|+#-eLezur`R z=P)Ozz!28a^qc12bB4?SP`3kVPjr?X?N8cTW<#huf%IL`t$(BLZ5HWKs8;>@4=5e? zf$i-np$%SC+V~5jA4?rb=aY7l8JY4Gs@wld)4jH#l>4L7tG_aOu*{FNY%)LW^-Qw9 zWbmrgtf9T_=a7DeZmOg6NMDZU(fLMe4m=W@hBl4@kLVOZ9h{F;$=$jN_kRQ)X>`iK zFvMvplhIGnw{PS>tdl6-5ThlSj%buLlPm9Dqs6$g8l_mX4~!m%>o`Y$Fe+b*%h7s` zwPrnVo91YHqwmY!T)Dd$?T0z`96ieDRT$?q@>z#djh>F{I7g=$oh~(Vx_21uBz17~ zNu&S#O}TFyU4+MSPWNl0(=fZ)C~n4iUeV4<6G5VmCz$c!^{_#_X=g}l- zSy+PIqiNF7m_2qSWQ=-cZ)}Mhe6%k!jq&OIn+^A3=Z3a=@#zOP8-5a!(=FMr?D4ix zoodM=nvEKZ+{-PwS7x(bJ>;tKKHW`!=i(yFPBHk7K`+bf=XHl zWGHmsLqVO(QF4FFCG@hCPB*peY!iz1w34?rVY>Zht~TLcd#lE&D7k2UHJDwtm*(DV zS_t3%tchD#q>$oPxJLYT$6}6=p>hVv{CRPi@FR|d%uqS~Av09Y0gzp&oPCgBsGL0@ zGgMA5$PASu&S!a{a&|no`>_X*qK!Txiwt&n~Ic-8NmxkYIQ^*XJBi08e2QowDd@UsxYp9&hLmds3 z^9f{z$`M=O`o$V5r%H4zRLD(9Y%Yp9$%ATw0XEy68S&W(`sLgieGqzjcJpJHODoN16_I`6~g0IB-CAPx~U7p+WB2@>BLJ&`xy;T>3B(O|FFKpt}}WKE}nS3&iIR? znDvCwJJ2DD*Ke-6vyFNw2|tvm7Jg_?yzL7l{7|BFC)H zekf7T%4PVWf)LuFG5iqVP^cPZ_#x0CpyRb-3_nyb22LA^dY4%O3#@+JI`qaMQ#rG{dvEtyJUdJh-tiyhH|c*NjUSuhxj zSa(>BjTa0N_=Lg^gFA)F{+;NmIojtxMmymOOeXAF8!)xA>RyGa<>*^Re+{XXJD~M8 zs(ToIl1ZcE9x?g_>XuBtrFEFLpROMI-=jC0j zyu;B@^(DMRs_auOn}HwvBXE2j^1eWO_qh6{# zZXUnd7a+GrTNa2DzF%PPgasD))0ZzmI=YBdz5wayVp916q@&A7-^TOG(JE5;0;Hp> zNaYKVj;FF-o_J*j*F(ogdpW?w$^G3pNTG3pNJsevNMF7H=_tPd>B|=&{lJcbUx4)G3y_ZT3y{8i z0n$-^0n(Q*K>ERF`b6LtAbt4)q|@aWAbt4)q+e)z;};-(`2wV)`~svePWX=U3y{88 z5ID*&K>G3pNWakT4SoUAzemnnIOM~#t(Sf4(U&hkI_kavnUXI+rmB4baw%>NU!3q$ z_3T-}Z$tWzq0Twl{4!Jvx(!fvs@^Z!7L_fGu9ADym9Vvjuka5>3*~4BqrFA9KKItq z=(Z?6)qu2<(PlX69PO;MVDt$md8sDF2ZX(n%56;dR=LYr!c5Yq<<506IH zjEWO}j&5)Cpx>0x#b|G-SwpMu%pHtAEp6uLjz*VD!#lc@(Gx|tQC&TXnT*Jt+6@oP zf(B1+8a{RJ*M-G7=qeQq>gW~JtEcJ{ETdphryN{BICwB%JJs|a&>{+k49UR>ghPks zp!c9^`X9Bz-njh)(pym@N4@!``?TmbVy#G5NZ{Q1opHV3vRq))OUcJAliA+5ql3h> zSGALx!86pC_yV~Xx8?XP(YJQ=3er`$aUH$dsF#-b0_ke;1(u@N0>l?c$LxM&d;wqL z3pmR70=~o-aFp={P(bG#Wqbi&;tM!k#uxA6{y}w5e_uTP9i^wgFP{F6($n7;Pk%@0>F6`ZJpCP|r@t?r{*Kbq-xp7RN9pPBi>H6Esa;0&^!LTnKVaX1p8mdg z`a4Qbe_uTP9i^wgFP{F6($n7;Pk%@0>F6`ZJpF?$cGpJ&J^g+0 z^v_ZA^!LTnKWIx`^Yr({(?3Ve)87|Q|DYXp&C}l(PyZY>Pk&!L{e#xjHBWzEJpFUj zJpFy~^bgul*F61w@$}D8^Yr({(?8gpy5{Nci>H5%ny0@np8i1vb))NC_ViP z2FgG%#^IrP&KC^Ir}Xsq#na#E($n7;Pk%@0>FZn4w3X|Nr@y20^e+%k|3t!c z>FHlE3ZDLs($n7;Pya;XP`%RV>F7PiEny0@np8g5?J<`+P-!h-l)87|Q{{;JX^z`?|)8A2g`upgPF+2#{eAKDPgM8x_r)i{QRnHO5>Nl2ny3H2WHMSj{e$M` z>e+Xm{;5{du_~U6&L_r~aW(B8iKZwJPybY%&f0xoj_*s4i}ZZc_YcaalSrj+;dG~v zqQD%TM%rFRd@T10(#B|KN3SNmNyeAdok4n5ez}#T&!a_C89RzwNEbBHae} zsG~2C-XcA4>Mka|0hfTIuaU07z3=EU(ti0RyhAFU{!X`w^iCPI(9mrK=|5#}q^n3T zk*N&QHKh0AVsmsI>2zsz>V9q1ONpm{yzRr`)mn9j&XL@Q0(|lGk5^a^lR5q~XybUr z>PKI~I-Ui>H5KYNqNl$KMG%b#&N?KZW{!XFPEn zU3YjZTJ3(jAm|A3Y-uD3)92K`*hC4KafBb6S7tirVEdL2(xdOOZ_A)h$C%p2cJ zt;Hox-vCn=t_V z+0v?{vh6Q^~xdG0x=evVF5igSpAjBk+&hZ~-`rHIwJFKgNHc6P>? zgZo;FX|^OhHv=p%V-q~OeDU;;H|vbzFq~nIk8iu?=n&KQC*rzxy2D7n!nuhzw-Syg z-4{(7Z?RmDd^G7?ywh^@=1cXQA1aq$`PZiVq|qbL*70&H;iTnCy_9(R*Qw^|f3zHl zc>33g`3LI2?7#^)BvA46uM=;m)Oq@+#M8e{HBbLnq!jV=uam4$eR}%);_2@wJ^g+0 z^smD=9zFei@$|2g+EGhzp8ly%2d%?`ie69RGA_DbkYF zY6k7UEoZll=?)<6E9a1O4rv=Hw}G7(&%$H-%j@USBxwmk<>(}%UP``Dn`-}2_;{?e<%P##e&>tdeX1>|w748`6>hd1 zU1f_DZDmr->8>`qDV|6<`iapN(phl2pBgQbZb3WlZH>_exIA-ot@qtQTpBc;&<;T{qBA7yLZ(2-KXSBxqh`T<(`6i`0}M(KXcrH;lt8> zDK~YKJO`StMJ61V%p>`vO`&=&o|o~$(^a22zW6G~3nwe3ud?4n-ZgcuRZ3rFUwoD0 zb>=Fiud*+`%JIN->8tFEuW~#vUHU5f;;S6zWH)`4eeqR}XRJl(tL%%fvZM4>_Qh8@ zp0U?4eU*LjRgP!*k~UvuUwoAvrLVFtzRHeHdqX{#{a@t4z&gxoIR%G`HY*ifz8>a~ zPLwwD7il}pBYhK19%uJtug$E4pXHrVX2;f#bU$BZz zbuMy`i>tGrzezql!>E^9gyu=sc^U&tw5 z+-YtObAfe{{8hMD9bHJe2yL9?Kz)(xPKn=WVPRjK7Wi}6RoK2I>LGrkg}efogT*|l zcQxn7YoK3nl9x=B9u!{Vbbc%tlgG^Y(f_n33P@7ZoF5C|{FsAueoTq;V_`Mt$A?>C zXX5-=7_*br-P|JmH_$4M_90y?{YdKeBVCRY>u7({Z}W8rkp6(n$mtFveO(%e<&Gwu zFI6TTXS86{Sg(+6KOqP6==m(*WSoDeJBhl($BJ$TZQm(Iz0^(ZJTFu5A^zeSVxJ1# zrZvvq$Ssf&@2>jH@o)SM{j3O&H|YK#g~SJ&?#snWCksuGHZ4(lg_PjvP@}hpbb^&I z$LNhxLdNE|I$Y9FOIRnm$s1L7&NW6~`we}66V?4hN^rWZH&vSYjqZpxN>>U^vD~>^ zDBVk_|CH_RyADbRhtyhg-Oonvm%Z`1(ES&q3-U|oWzz0w#CKJF=3uD_&xWF)EiOn5*j`hk zoz)oC#b*`UDpc>3w(( zIo-A^8 zv2usVQ6&3oW0sH}6jJIo(C{JtWqA3z5=uztb~>>F7l62(CYsvwly0ZKxSb}N4%Z{0+i8KgojP5*o%-T->U8OL z>WkZ{qjWp5EfmP&`5FL8ne%oH~P&>y4UIr!P*O zLEQyL&8gEDr_P|1)SNnfaq0}}k(yJdFHW67eNuDk^u?(&Xt>(SHK$HroH~QXq^)c* zQ=B@3BD?+Q)ai>;XTVu4I(7Qu)afXlI(>2Kbd*k=zBqL{N~ca=oH`w)Q>QOZosQC} z(-)`CfOAsLsWT-`ok2CH&gZ4&#i=uhjli@pW-RE`>5Efm5Nmu$Na@t+i&Lkgbn5iQ zsWXUet-5sT^u?(&h}o4zr%qp-I^Evr)ai>;r=xW0^u?(&h+EB^Q)lWv=^Z5R+zgqC z%3Jk($h)QBln!1&e0Qw|GYU?@Qh59*h2^)YcEKrF3U}}>JEaGfqm1}?Q=MbjXt|RR#GaZO4*q$a>HP9zgUn5_b(he6>d=2Mb@J}Oi({s!1U(uqldQe3>w;Y9TcM0L5{>bW z#fa9@CAxy&6mOK4E>n6lb$yz{3Z=J4=_;kKP!8H0Rx2&0GALc6)TgdGY|ZDlR%ua` zu2(vr9)+s=tkNf_tIkReo0V>f(l?dnH;w4(w?bYEqJ@&fC!*`5hK(EV#I84k&P2_^ z%Jgt2R=yVsny6VdC;x7=<5=0DCOCgqeh)N;Sa<5_6E(G!1@BU@SAxUKD%1G%V*uSt ziJB{CrN$yO-V9q4HFIaB$4+$O#_B9lQ;iVDE^(j6IfjYH_!7zfwQNTcL`;K14$`I|8qiB*IrnWE3gpF>4&GsF~qb!B=y zbYEuOH5AGP*H-3VLx6CIqnj!V{zyv4 zNq9?T!Q~Wxyo9$_=8wUED)s_jfUVQ=7h#;B!7bDB-z05`-83!#dkP-K${VJoCQrr{ zdN$7C?UevZfBCA_OLMPK$jBlk&lRl)A0ez-)>ttz;f)Q^$y{HlT@ zDE?RpFRUtq)nFeHo>9=C6=BL?2HCywIfTMi zr!fqhoybDvDf}DUQV-y8LA7M@wfqJul%Bzro6c zDjYqUL7VPKc7eo}3v@E0McRKRtL=xj5?(B@P+)kgfRATL?wiK2u<2a>okO{QQ4cy9 zjSeqhwGB`UzmPNvE%;~(YX!yQSWrN&P(as> z{$;c}*8GM`GH_oM;dH4Eg%(^ZTH&Rl@e?psHCBm+f302zlYmNyPiM|55ETNuBZ>`Shau!V$-0;u9Hw!r9 z@FCIo33yvHg11FO0UcWZW%S~=T*~>qPj|*^a(IOq1ygHT>oL;$PP7zSaMB_<={CP* zK>>~$s`jA8qO?%*Q~;%*gOui0`OT=%?@3Pe@&3r_Nr_cxL78ZUAB)CMz&GnTpRmR4 zEGP&R_{*exDqJrhqr%B|vc{_YP?q;4_DA{6&f#8DmA0>7;*v?L41NQ{C&!L|oD3Ec{zX85Ak%Sn=6L7?EO2jxgA z+@(oYNz6~crK<6^XebC23=s_~i~b8vk*j#W{AOO%%lZNr;h~itjk4|3RqMcJ-hImx)%` zUo;d13NHAR^T}Kx!Vx*#FboW@l30Zne3@jeaFu8%2o#L|K}w{;(*iOo zd=ck70y@$?KeD=o3O0%sE}6!il#qj~@Vu6$m&-8<0tL%NgR3K>=d-;7>+gRkig2); zxk3vb7p-upX#4~$QjLp6LqVY6)U=%Jo%t-RD-iI42pdBN85K@!!l26`C|q8a104hg zCl%HO=od7>J54!i6eYY;65KB^c)gfo6(FHaup39+N(oyujI z0x~KrJ(4w+9)?mqA+ZWAs1mL4ebM*{7_1tpPMo2FK*3{Oq%10=y9!WYynu`fZTDx5 zy?Uc?5ADSPg@J+}_Tvbgx5k|(2?G^g5Rg$}buUgdkFsvvlLJcy2BSn!fJ?0jdLGKU zA5g;hfgDh1L6K;M=Zi){K=9;2oJ0XGmlJ6EUx`*mg;9sG_TF@PHc9Mh0)yrvD4;u1 z&`13^;nkFIbsr8Wv|znxh2@8{#{B|xL?<*V61Yl4BGE3T_b%u8^QqPI8p|1}bb&phBl{oMT3XyJs=@eP5LNXo*!AD7Z(H zsqp%EPBsV0f;%l<5 zklB)z3XdvKp-H7^s4!K53NKY@aYWdsnn7lu2)A9tU=+#{D4Z>j<~=yty;#zfi7@$6 z2DeZ;g~teV0*&4*B-|lFA366xK`Q~&U0fjYBdVmrKELLp1uAryC*@IL=M@Zk_d}gl zO6=1DgBt}b7J!SP2_C(Q6V9N7M_tK*1p`eP>hqCxm`IsLg3f1E%g zzY(QCg?URQ8x{7ygFzLt3BHop4g#IbK_cCDC#&s%TIO1T?=NHc9AKz$oxos~XemJc z@#UQ09{CM6iZETWcY=FFYJV521}fYvAfv+b0`@-&r(Hwm{#*KUlc@lWJYt1pqrztb zGAdNu!y47dCK$Yu15E@vnLR{mvsz*XpaSLyd~p@S=aDv4c$dK7Cec!W{6Cer8}H?W z!5>68=RN^dBJBBl0sTezN`9SSfD~o({i5=&2!sA0K!q0sWK?h-V2yD&i)<}b*HZd( zr>QfIoF+XEnHxkp{V`S>bTsPhbAg9H!Y~dPDl8Qk z1Zz1~0rEdv;tC$+sGx%guPFFJgj@b78V`vudYyoaMd&6aa)Qw!y)D0i3a32IX)-EY zCg4^SIC~FO*J}Fnps6#B{9cp-6~1^vvQc5}pBQXMHo=hf9JouM6Fec(_wt)*v4K^( zKEuD=k3oGYObQGR7A*y+=m8SZ#x}I;kPk{PVj(4cTF$}RCrW@3e~P?sL&@*fC~G00uB`6 zW(Bv2;QFGG5@DQzi6XqD;8hWNB_+urBGf8aDuOTDrUeBeOi(aUgqP&EkhX3NI}M3p z>&C)vfQuLQWJ;_DL=@oVIQZyB9$A3j5HpyZexf3;#$uU1?LK zWZ`Nfe~%oJg&)Sjd+vhl71Gv?Vb`6I(}k~-&LN*cE-rkw1aglLjSg+y81~&}bZG0w zu=*XyhZT+_oq?|#ecHM)Jn|LNDXPX1!;(#q*A*4rU@BlE0=>PWJ19`}6ar<5qH8FS zd<+4UiMDPGk6jDdE&Q13b>0Jz(}f37g>}6Ta-wiKtmMN3R~jAKx-o3E4062i0T=R| z#fH2y7_2j_Pms zOCeXcD}0|0a&a{RiNg7m+3;DAvxOb#1czS;Iaydr2S0m~k(biNI(s~1x3D9f(BRRK z(?vTe)BNF(QQC8*_gkhkf;X^MpoPnM$(~a?>!S)%zx!T zWSrNW4h_E-{KG|}4GZch(yj!N^l?c~-#{1~=f8sKBP#>A{Ma*?&x>|(W8T6Xt+&UA z`xxAu>AE6kHz#Ilh4vjXy3HK3gAkb<8J+ANPRm3~mvig{%7-?8T{#eK`w+ogI@dA# z)X-)DeE1(@Oz6}7Tt)XlZCsQioDG+gjEsz;7MwofH3}uHK%d#RqEn;WoHM5nX>y25 z{hlUEa6xe4P0{F^Pbr$ugudTo;v}&}E8^+fO+6$T^3ZhrSjt8YPk+S-cx?nE5MeWaDe@>K}d4t5!YERO^onxlKYYi2Ri_ zWR1a}3@eJMBOy~bK7eD4FO9h$OLYAIrBoUl)ET3+ zcN4wvqr2+rhQ+Z(tp?-FXfu6ikesL)mQ zGa8fquNzfk)iqXKV}t$QK9U++Ph;z8Y(0&w2Lr(W`mVr@J+UVS&apvV9Ea|C9RaUz z$s^#{qRrhgBf2jJ!ZGtcdy1fq#*mA8itruG7bc2CS3N}}*;9m2 z^%Rk0PZ6qntKSUebo}FR$V5?F&R0D}B-vAhQ1ukyv!@85>M6o!PZ2`ZQ$&(IMF>?- z5y{EjoJ12Tf_jPoBu^1S)l&p0d5YMF3#OhTlI$shzSg5^5Kj@PGV&B5bg24|IJ^j_ zrnFiqdx{XtrO2t!z&z>SA2lW);v!@85>M6o!PZ4)|0$ z?-krFdHyccDD6|p+rzXk#Qk#}W`XleaKqFZ%~8}f{jqv9FGC(kH3DxOh%@{E$B;u*y!&nRB0 z<}032eDaKvqv9FGC(kH3DxOh%@{E$B;u*y!&nP)6o>6@AjN-M{qlsq}pFE@FsCY*4 zdtg~EN7XZmN1jo44S&nP~5 zM)7u+eAP3GPo7aMRnI6sc}B5RJ)`*K8O2idjN+4L6id}Jicg+VJbHZ5bym+PK6ys5 zR6V2k-KmCDS3RTnlwu(4-{TK4;1ZDT%SBpcunM*s0Rw4JW%AQc%bl0P|;qK zr%*iV#l!=JPaY_8y5fPtCl3@kDjq0&@<8Dg>(Rsmg-;$Ryb`72fx;&b6ges$D3auX z!fWxWltFw=_~e1Y>Z%6{|4FJL&3CbQpzxodv#?Y=Q26A5B1gpog?~z&9K-{KPaY_| zrcz$@K;e@IiX0UW6#jcu@m5znQ26A5!fW;#pM~{6;Wgx@;Uc<94Z3OY8+6m)H|(Y% zH5zUj{CaL0jz-6ugqsFG=5kTgO@mKv8Z1>e4L-SPuvFbNAcGz`s%{#5a?@aS)lGv> zZW=6AHw`|yX|Pn?H2CDE!BXp{!6P>f$$D-YUcqqKCpQhr`feJ0a?@a`x@qvqO@pQC zroksS4VJ2#2A{TlvQ*tP_~fP`S%zw(#-MH*d~(xZsk&+K$xVZ$>ZZXbHw~7mn+BiU zG+3%`8hmooV5z!k@X1X>vRulbZW?@Y(~#7zP~9~6e z4L-SPuvFbN_~fR+QgzedlbeR*?ou*!)8Lbv220gVgHLW6ELArRKDlYIRNXZA;FFt%92GYWKDlW~wpU$o z)8Lbvh8z_)4L-SPNbaG!;-fo+%zCPIb_iHqA&QRG0AQk^u1`OP=`0S=Za!@x7KD%kqe8o+J-=LcYpWQTwuDWUP*-e8`b<^Op zn+DY#ndBGvmFV{puIf$_dOp>eQgzedvzrDvH+9qCvzrE`;-ZZXbHw{)--8A^*romEm)8Lbv220gVgI^iVw^mC=ZW_D- zIg2Gq$xVZ$>ZZXbHw~7mn+BiUG+3%`8hmooV5z!k@Z00wv{cZW=6AHw`|yX|Pn?H2CDE!BXp{A$8t4 z=V80$irs0lX5CFmJTe^Tjtbn}nYNgqbY+C8G5!+dV(A9a_s_+dSh`8+Gswo$ElQt= z(ydCX%AuQwibggby>7`UM?QNPX zt&GxEN*|_tRkuv(2UK3AZIzxwDDOSQ)!!a(AA!)o6;4nBXl371L!PNx2Muu zDPNsNI!NinsBUkiS5bL2hrUYZ)43__uk^@h8IDnUb(9WN`UxE^xd-=*Co4VOP-%%L zuazrwLX-|tI)-XJB~v&I>|G4oziVovd%(# zzbAEZ&gqoH8C?nQOiZ3^e2F^<=e zi-qe^WS?CuTqXLSbVlb2$}Sd!s*44mT`UMy7YjbSSP-f%7W}QqCecQyx>)ep#ez_E zvEZ|d1)=ILamDhFNwLpRQ8}4ow@S}j|x&~IR3$SKBnB8`Ajs%{{X#j%595F zi|4L0wV203@8TyFzn^t$m9{rj?t&dc9dBX+oo79t1&6iA5y@wP*HI@y#Aks|J_~YG zd=~iRvmi&sXMs;X3vyI^7Wm||AVV4; zCt`CDp9MboEXYyuS>Th;f*ci}1wQ#K@H%T5#Aks|J_~YGeHM7+vmjZ|XTj5`wt1^;zJP&jL%;XMs;X3oKQi1wQ#Ku+;i2@EY2M{)9bn{dgDp;e2oC zF7!U{LN8Qzq4yiyh2C#)7kYmK>h7nz(0hL!fSa!PUizEp-Exy@X|GW^wxIq}?p<6C zjqw-K{oF))!QS_>zCW!AC6~UVuhKQ7t91P%qWfSS-Dj9OUPHUv_v?VFquuSF~5blyW1<&-R(WvLOfY-3-NF0V6=sJGX57noNgiR(-z{E z>K5Wj+Cn@T-^seVg}6^!h$rLeeNEHWEyR7=LOdDYgQ;#I?$Z|HHecOB+@~$XlZgSW zYqt>h8rng6VMkmj-a%S!T-`z1=N+U^=Uj9L=_KzUExNjcw9h+8i>~e>%ya4${fOdxgpl z(mw4Voh(u+J4pMqgLJZqQrSV;ryZn|#Y$xdX`gnGPBwjA@|7K=ecC}f*<7jYAnnr* z(#ed}e%WH#c$apNPU;|9caZjJ2Wd-n2Wg*nkhWBJkoIW@X-lg$U)n+1Qr$t?ryZm% z)g7dL+Ce(0!*IKUv`0HgC+qDXO?#L6w1adqCJ!0iLE5Jsq?57Ke&+tv9i)BQLE2K? zLE5Jsq?55S*3})PecC}f8I$`$caZjJ2WfjW-9g%?9i%PQ9i)BQK{^?ilGz=kQ)DZg z@@w%Ti-+R#P0d5GRaczJZ0a)Ho?H%%NlqLyHbOsOeSgH@sIEhf!jQv}b!ZEr+fhv^ z?q25tS8dXN1r!9%(68Uag&bgogCQ&(o55GAyHgn~eO%~$b@F{f z=mtC?a=Kp%osa4GR9q%uoS+4t+c-ShQ}JO^zwL!SKso4ym@`l46K6!ItF)EL0nZ>B zC?%Cn#H+o{p+KnPt)<~-S07_d+&`c5Q1xoMf7a13xQpbXF@BFSqVmvkMc;p%UNw|n z@~sSbAFHFAWUCn`eNR&qETE?$?9|h*cFBeM=3~QOGov1_>776J0&EUU$4}I(twIR9 z^%ik(4F$1X?*SZKU6qgRoTpO&=I`nDdm=|;k`u2Pn0^$!eJtd-lk+D~rkyx6C_RCW z-I+64Rh2)1_8V@_kwXd|LN+AF5;ZHTf-&j7kR9jO@_QC6=rfaXk?^TtK1ZD+zu|fj zPA=exP=)st9FpOf&GH+*EyAQwl3Y>5!czGSo+)9le>4954h0B1H08jp@*Ap9EL!+- zPo!9LRt;6SQ^7MLJRl}eS^5$+-HARXEy?z4LNa|!TAZaXQPV@{W74i!`Vuugggz#1 zR!Co>rpM5iq`kc?eTkYLN1u|$vh*ctdZhW1G)rHirhAlu7Z)u=qfVF5m!$M1YL>o4 zP0yo`N%IQnOVo4=`j~WGQI@_$O?RcQN!=`ciJBf@z9!Amm#FFPw2kW_-S^5$+ec%G4^DNa-t7{;~v-Bludd@t<=}Xk~%u69VS^5$+ z-J_a#5q*i8E}I3JKG7?rFHzHnU5H>=B1>PQrrS?KU_&8&iJIPhJml(jS^5$+eeq}n z5?T5ZHI1bO67RVO&8LasOj)fib!VZOVsq`2T(+ozC=x*+LdId30?R1yW^_RA86`Vq$OrG zl?H1~O*H>StoihmW9cE<%%m%kAEybWpw$i0XGL0;h-4FY7}Fbt!Eyd8m~5~NNHnL+ z@sMJ5dMYyK!uHk(dQXTXz?YAv7}PbI9PkCGe2~<_i5W8iT6#B8`0#VL#BQ#s2I`GD zXMDtI2t8Ab8nP@gmRj@-79wRfhoZS1gHa}vk|tRUK#dv=nqT2cav9JB1|N;eivu|{ zMbVPZ_(%Dd=QOzjjQ-c-yJbzL^lER4ktbC|Lt}H;bw!33bI$Y*B4MsdddV;#!GGnH zxMcKJVQ`7$N-q=!xaM!MV0xqA;>_#7C|+$y?@+?u&n zUV|pGqk5u!H=4*&o&Beo$PVs>GXM9Q$YO}-+AxqqI!vQALp)Iw+ll!GX=Uo6Tj~BpQ*svll@^pl=s=|{sD4#= zt4!(MbZ$yJDD8q*GE2KDolmu{x;>S)r8-Mfmxwa-R(dyGH(h<|t2BvQ&(i)vod!+W zjy(u3b^mu$wg&A^=3uneXm?^d=tjE}p2ldjJ2Cap@Os^mz0nr`9Wj{YzMJNFT5lo+>xf zvjxu9s?xnFNMmyZF^e|#0u074=b)Km!?fC!9K;M90#{Ig=H}oOZ6(Wn({ErD6uX+9 zx-_5nE`=_o5C%S{RYM<6#=gdJ=rBB&c3LZb0cg{Pe>b3dN~hI>;fmHAn-VQdcP$Zd zOF2gcDm-1mp!wm*>F*M&uylmPu9jb(x5Jims_~n4oO}!=|3I`9hTjV4C|ac|R0+`h z!sPd=lVdl({l$Spwn|bA-gg?N8f$u^jufSk?FaP2A6b~ z(CN7<$aZ>eCIUJ=Hx;r@&rO7^({tmD%+qtDAnWwpFvvPRN81tF={eeuP^af;KSDb_ zM;j9A^jv?Ec|L|72xg+7nbU+OuCCK?9{jzRVOAja?8Ep=eTB{m{IDlCf!LyJu((ch z1JBYOM7!X$GAjTQ97sW|07$UE=k3@7{Y?CC9jMapdL!Qy+z5&}E*}mah)35N<{ohT0!+paSkhO341hV!G9~gb^8{Q)w=^NgH zZ2N{S2-v>iWdv;B@H_&xZ+IF3?HkrZ*1qA7khO1k$mnq2a6e@28&(<4eZyUlwQsnc zxbzLbgBa-eXP_`uYrld z?Ui0$SL!cbC4IMME5fa4=px}Qm0pAGdF9b4$A7~YR{I~1j;!$uD}J+V{KAUsq45hV zu7`iy7gh~@F*2H3;y?Lfq#-NG&!PWwTS+!#sJD0^%JB;})N9BTuJlCIb)zX9-{n86 zDclEC^Z#k4aQVY9E3u142#FfZUSPW7OBz1Nbj1#q`{qv>JKBluWHn5IjHPkJ=NyDj z@Z)Hh@fHPXGGj4KW=LpYdI}9&zT>z-`7@l@n>0{K)XcBSpJ@Z|Q~tCJY%trgt1?{P zm4`LG_U7O42@%$G7cj5~3m@&nzcPxS?dC@D(;(|8ellbo#h(XRNAY7I+fn>E2F9}HPX@h3x$jN*?+P)G3tAlp&=5eVogzBgnY#UE%m)oZ%@evow(zqjE$ir*8m zj^g)#tfTk}$U2HAYmsD@NAb;#K9Ay?K-M?>3}k)7PZ=E^#k)p_-|&CLs9#6%-#{+T z@+kf*dK-{Y{0_)=6#p>-b`<|U0(KPtE&?bM8{oW&fR5r{g{-6ammupX{yC$=qxcPw zbrk=E;XI0e6ta%uA0#fL_|=djqxgFew4?Yt5ztZmQpk1`UyFc_;%|biqxj!K)=_+o zk$DtT9O;P z2R}NL->14SG67!n@P&@khKBU6F-O5-|Ak(g3&xBjKrPCxsRp2ZZZ*7Xs@LZH^K#)G zQ@t`{Ea;jUOS~0K^%~r><-60+c{T3Y(!|t!gL}5@1H<0NJzHcqw0~jGme)^5y*2LH zlA$~67qMqcgKzzdVHEve@z&qvY*cgOTR)F~8{hi59va{JxgLJjxBfG!CH|AQ{)YC6 zAO|~*`$Sw5mZ%Ke-s%YUsF4)ctb{Kvj3U(%(_e zNmpd9EW*wC*e-w|{c?sKf0ReFk{s#|h7kHxRWEQrk^t8@)= z4Dv*`SZM{Fh0^9qf1vtR+Dd8PCPbyYWkQ_>zgvE0G&+&S$yy$q{H&9;3&x=4|I;RG zy*ps-9Tb(|X2fo$FVT)zj`QAFoXHR@5(k0YwHo6ei|@cJT_XB^Q!hf7DZQEQTFqgF z(%YkSmC{!z2mL;LwbEiLgVHrheQIUl+uS18DlLlA^-AYcFQ&TBDt&@lSypn`taMA1 zzNs|7X+(FM(iTJuC5KOhI_1NhSV8P_lq^wGU0HEZS9B#BUNxtDI8AwnW}YpTSaw=9 z)wNST{QT+o4mU>MxM2=^PC0gvLXfAltLId_NtpyOZyH5!on7&M7lgZ;OcFI4D$7S) z=)^`ya8qUZNIHfl-!!}8V@jTpW3JL;jv9836FWyzZK*7Wflp^MA(*Inc6Rw#n*SRw z;cbW=i})E5-d>XO`45uNmzCvM4d^1FU6tj?xvPY} ztE?!YoC?iEB5JIv;;lB3@Fi6h*U`!5OX=50=_^JPEtgz2%&zFUC&HWMZ01%~CvCzuDy%jzn!`<+`q$ zRXz=$Ko`grsih#Q=TK3vt*Y3Ul26p^XH|q$ym*|REQy+BRTWQD@#aYUs;Y9VVCV&_ zo>e{r=2X)pa!pk^Rx}D?P0huxomoB;l{vsfVmYOJ=1df1PYL!9=kDLv+);P+>HT45tg+PoPt}u|G$jc?|!C6$4n9bu|B?VuO6Cwf&A`wu=feMBp9*!#VW;5^92B z$8*BHCm`YFlHf#v;j(%F1=R$PiB6!x?I&W z2{ir7C$jby%KRUqv0h-fn+OV!P!l*ObHc*&k+8k_jM#C$kl*mgdI0CA35H9;K!u}D z<(#T0r;8<4p#^P4tJFP()k_3~3VxIbI=}F2%~XDa8WA2kjgvosqlNd?gHG^~M9&(= zYS&Lhp_&fjz;J;Ovw8dnbnRRZ=ugS9$AE4)r%xj@`iB7J%`tCij;U@rmT z-2z$&pffDpPmbMI3Kd=~;1T%^Rrp!}QU!Wm-w)@5JxXO-Bv~lLo5MLAebEU1{VS<; zst29mA&D*=&1$VDpDZQVW`4i1(X(_$1zt=5Df*>1yCg(BBlOd zA}f_lM(LLb+#)dSDuM!(T@%clB)O}wMu7@HDCj+zRcqxpP@(e$9C0ljJT`>`tptW8 z0z3gIwI+CRD(h~fgf$ZXxWKTb2ntYYP0-^)P8g`LZW@Dh82axb_i938I>yAZ2bBUcm(Rs5tYCfXE5u&Hi34SBdH&n6O z1EluOYz|Bj=mZ+QRmiJ!Ao|Rj?;tS~ScZYL8v8DWtY!kYKvpw>8z8HhzyiqDOyC*> z)J$L=WHl3@g>N+zsD>Od6PSgdnh9J8*_sK^_nT@aFdnj+35+(p2X5AM_u-J$OyEqz z*-T&%WHl2w39_0A90ys=1dcK?n+fza`fMg}C}cGgH~_Mm3G8ch*i4|C(P1-z&XCni zpuN#&Gl6o_5icpA}G(*6e36RqcYbFpNV9f-Q2&kDr0AQrnZUCMsF}c@Agh_c ziY2*6{!*;#<*KxBUlp9#JHn7szz zrP-*^s}FBp5R+XF=)J|5Cdf_^Y_LEBT0?ao2I`t4YA$xV#hto)Yzz&}Tf|fNA)tl~ z%d7k1Oc1qb%uExGcDtrr*_ZtFf|ZR>HvxvhUs9f<77vy1u< zY3n;7N80*l2->#(Ap+Xgw?VdT{T&3ft-lUg+xjbzwXM^3qRA|`^^K6Vtv>}>+xlaW zZChV!BDk$TKoQ#3@1qE5>nlk{tGSWhI6K9E?7~}TX=~Z{SK4ZBnS)j{0j;K!yv3u{ zOhBvApthR5;-r~MER43AuC~?G;5c-X(Kj!_gVI`Fkl%lxW!8B@K9BiTZ8c9r)>g9~ zvbGx97D`*qLy&E&xgP;-HLDcD~$h6g*VRX3FoN9Eq z)tmrXTg@>>pIgn5q$91S4`kbF4o1MXnw|*QR?`Ckl#dPRx+0*hrXyr+HEkhlt7&6& zxYg_iSzAqs;oNGnkhRt16PH%wL5{SV7=pIde1}%2t>$aUw$=O%0c|y(Le^HZ9kRBX zzZjWY4f#ORR`VKUZ8e)A+g9^}iQrcA3`Jb|1q%U=j)M6}L1wb5fGu|_z9EHv zCQEFXSCOFL;S%g$aWmR-tgi%nmrogqX}%*QIH;gX3JX)$HC5q>($4Rf-%y3-at@^@ zh;Xj_@~aU&gsBETrWzO$KSnauGaq+}*1)zZssYlW8gg+=uZ+B8TCfVhoPcVeiptC> zC_o*Rn_0+Vri+Q!oLq4;J?qEmIaRzxrQq09qIjQQQQ4e|qGq5&O%IexWQCSbo-#>w z<2577FGSC#`Hm^S5FML_#uvP&RRzQ1AbByLQZMi$M9g68}=&_)z&=iHG^+ zn{=V3#!tlM(+ZpTYpu9-IEyypM_`(GsyPL>X5j?%yt&|f1Whby!a5T{)brH%J0@5? zL2NIl#_2O|w`SLQUR&Dn3)g*Fl}f9sDvlorcC)F5b z;3@>oV#3P!3Imn_;OTsWHoo#uQ5()^saE=g7 zAFKzRV1Yz`FrU>{L#_0_dN5RQ`&Fz}y5wpWUX)~|>+69~!Na0K?LW|?I5JAxjH5-~ z5M)B|U6{q_)W(~Tb!y{P$U3$05@em)cn-3i+Sq`APHj8^S*JE0g{)H>4?>PiZLCI6 zr#9|^Y^OHJ-I-2pEQPF78?}bxodFYqH$m2^jo%v1QyVpqb!y{E$U3!gIb@yMxX8#n zwK2!&^Mv4Z$U3z#1+q?UOfWh;wK3M{@YKc#$U3!gw$bOQjnhd-rZ!H2Y^OE`B4DRB zjz+*vZS+IHPHoWk<~p@;5M-U&*dMY^ZFDy}JhjmUvQBMuFr240c89D}8?A}U)J6-) zk*SSh1j}@j?hpZ;+DJpTQyX~*=+p-GbGB0(-(tQ&r#Aj>WS-ji0uC zr#9X*5j?f=7DedP#ukc@sg0LOmKPU#Ns!kSY}_Fdw)Ef^7kXn5hPQc1LhlU%ci1Gm zG|4-;SiIij<>mr<_1zKws;lGZOO1CUx4PJ(k`<_`S6G8zUN;PHc%R|t=i_7=_Zh|| zb^g=#8Gft=W&ZEoXSf|2uh*t4{-zRJZ@$UAA^zoBj6WLRmj8#h<(3OjLyd3C|Kr;- zhEmuLy6z6vzyB>7Q{xWSJhE-v!J6ygXWqg3G}sMz&70*L^YGGZGxSgzyb$fVaTdqa z#V_LX>jw83zn`wsziFTGzuttNsnNeZ4bU3*8RzF(<38i29-IdK)n5mHQH?XIjo%^D zhlRf=fA!wkA*QqZ?f6OxZ#0*^V#XLp-ijy302oDN^5~_VJ_?l>|3G{=Y3Z0r-|?8F z2RwUNy5o%9`N`N5uLK#d1LrWk-vFkcQ@%>qK71zAv(S}hlA`PEJ&EZZn7zp8C#ZdI zzr;|-`xIZWW(qbSBb2OF7gL6-sLW%VA|jtAxv0z%8Qi-yC`J?MeRF1jS1F2^qjcM2~_uJ6_w|Ja*B#ts9dYZ|h-ZDA# z;}RTf_?U-bP=)LEG?`k*^d>3ewpvUHHrzejb4D*YxK(H*1o z&s0I0??k0*sK`pE3r$X#;$+M^4vJ8ngAsa(W-yhyV%43ix?`u1uDIF0QmE6Q6Y!gt z;_CepTyiw%!1=8^P`XA3&OE{&=%U$Kx6X|YoXsf1I&iKqdm?^sEUV!CxZ-bJ5U;_3 zv~tSZP{8W2;=Qhj`yo#LLK8LXMpaxxmX1Hj(&^e!6)6fAnEfF2h>tB@%mr zz;J0jfMwBZByQzzIib5832zp7roeDbJ%IJxzewEd>p5X~jS35;OrZ#EZeVaWWqp>w ztiW(uJ%Fs+O5CUND{He~qL0$G+0P;C+U!S=b#3;qkacZ#D`dMiOOF0@ZT8QQb#3-V z$htQBEab@A?4Jq6GG z*&p$&*R|PiAltRsuS^85&F-KGU7P)wB4lm$eUf?cm0o<>lT9fVpTDF!unuY+8%%w| zeuLGz8V^I>U!YaC|%=XE3VNm zda<xGHg}aKdQT3X!88?_Rbw` zM~-&S(OsIupQHIYUHQ`c+ljhT4@sr%=!}(Sm9CA_=1OC9ZmL_Rv@)vOLFr{t+D+-9 zbZ(kMPo~-U0QI zC$2`n{{NOi$_4l1vCwFc(u6KQZVJp;d+px9R0$?C&gH$vSNs7r)M$|MFB_!veGrYQ z(ICZiEPkUw3fIFgz#!$LhtQrI4N@}H;r((AQu;lN(*55JQX2F%5Uxef^xxoN@P2Z>KVjxMFq#tn~@MjN*z-+zrZ zZl)d_uOW|||3G{BSA28a@g(l7#;=Td5Z(BdG1tQ{;w$3^VL_27TaV=#%&33T*_3S3 zQ@FeTD=fqQLTB=`T86dUfFm|qhVdQ#Pb|Y4@>#qX_4af7HEGB~?Pa=t|AK|u8Bat1 z7hs|G7b?fkY@s$X#2j?08rD{@P)l5GUdabOgX?&K<0hWm$E?RGPfm`oZLI5md^j?2 zRrASfOb*G(NN(Zn^9^*oh8#@08*#8-uoIfCsG3BpS8)cZ#JcxfGoZK)W&TANfi>t+ zrPXsNU86@89s>WY9#!6_n*UGpsM4SzVf#%ulSV^AzK0qO3Ar97!jveb#uixE_?;D zwJzL*fLa%Bgsj$uPZ%#jWt99W@$ZB1<%*bqAxY+2k zb>S_L)w=Ko$ZB1+7mh|itqX@kR_nquA**%aAfv<9g(pE)>%!vRUB zTI<4n5m4*GZjh~YVP^!?y0ATDwJt1&tk#9CjLg=B%^<6FVG(4tE({=B>%ydoVC%vJ zMW}V*59m9j7&{{S(eq484-Eiy>#?h20#7HZ-0q_xir8`QFd$ zfuqHeh>gWs;cxx;*rJzW$g7)CAQ5|E&hlJ%S&DI}79s3vDxrn5yo87HXm~zi$Bl&B zqu6UE?OcSht!3=*CR9Dk%j=AsTcL>9SQ_7Z0b_$x?3pXl!YUtqh-=DFv!u$0L3Ek} z%c^`BM0*@qVH2#XO17u6=5fT@s?`3g_)K?~Gfoc{FiC#%Rk-|K)*OXWq@Ix2=>pTQ z*8`|@O|V^bQYsY6u~OcBoaIlzx4-9z)K<~>L_qrMdH`1){|el^XK?v~FHttCn+*MN zYiKvpf@B_bO<^J%D?vrle~CpsiiB;6q315gJyb|F<4i86J7fUfVe}3;6xBv|NKYyI zqt9>&&!szrI+X+$!N`q&q*C12d2s&|iw!~T)vr11gwzII_$wF##Qj}G^d3|l=a;|J zU3A0O;Txy88aHW-cQ0O>EWJeZy)Tf!(z!}oMRl)KdSyXGcfQi4xa>BE1xj%nYmrjwn&LW&sa1LyQPo|dv^(x3OP47<6P_k4U7@rBccG=Lls-b`P122r zGOSigo2gsfHA*X}CbbM}l}@I5aOEu4DSbam*DJk{j;6XBlpfMNLZ4N-4y#A;Jjr*H z(l`u{EZwa1Z@BE1Zc$1*np^s&(lvB6t>3LmZzHNl+op7RG>7d!jHjL3w1UmT1+A z@2aixv6wf+OcN-*g|}Mr61W~Y-CKpehE|ZHwL-~3OrlhC_?^&|q-*JILJy>)S-M#0 z2X%5-BJ^(5TTXYW(05ToiPn0w+l4m6eVLZ8a{aN0w@d z3c2UihRr*J%B=Jfv263=R6|PVD&0b7t@KKzg>-(qOTP1!t{`2d3zQx}M^m~`>HEA9JG7>rw03bT z^KSJG)!P*ZBVxBUd}nElcVxQ=oxgnNOeFw(oN25>GK z<27j;rSGu5_kB5Nsp@v}OfKH#l!K*Hg-)nL*9mP)`L@;^@_o+XGn`zGo+>n;GqJkA z6PlnL+Gq}+Fva;*04Fa*0bv|l)7sP$?dk5FMf$Pfe100^t*0X@?UqfVyMgWlhR$Z) zq1SxDbgrQbgtq$|({@xBF{KX+T|^fvC8ZmB!cNw`l4wlnBB5W=C9(7ap%3pKrQN^e z91f+U#WjaHLcgGswseEgYbl3>>Nfd`b2x=`Ej>%Nj)6; zch2{Cgk4vUHtuVt$544K{e#erb!coC>yD@T&C?u?5qbiZ!P4u6PNj3ps_uJ2dr%IR zcKHYAdvF~(UFd^!KP2=lo)B6|`P!QN{2R_;MV(qd;9I6&lWvig;ZmWO5pAM$lh6a{ zEG%vL9p^BMN@nROq06Wyi#3OPh4!E_6h9-i{+-Z$=qxO~_Iu8^5783U?eGKBfpzGk zLKjfJR`=u|S@%UMLsQM+BcVg7yp~ow+-i@ZySk~Cw`q*&k(5I-rNe~&gK}v0hMdI; zp+3>XCqkbTx`3#?cg~1&zQ3h>Exlc6hhr&+G)jW;($Fu2mYQp<^rZynuz{$n^!Kiz z{)AEE9alHu7%DxZKlYr_IXXh9lXnSKnm+|Ui{D)UF+U`*l6l26wHSmW?t-YzG*eW8;g^+w7;l(9q>rE zbf8dV&?83&sqPdEL9FgjrEwI_(lJV>VJv0oM5TX^9&Nf%Cy(~PPlWg4-lA7A-lb?U z##}yGrzCVg8IAF1Cd1N8MBh6lO6Mvif9h8EN~LtqSvp_oo-`EFqb*R{JR6}4mHsX- zLKi8mjOJUbbR(`%qCf{;N;gn>l`d1-H>$frDOsmj-Bn7zrD3V&uv%$*x?z>BQ92$q zZ|Pd4eW<*uyH4pC%0b=6uU9&VYDeh?rTd^)w)9!0OQ?aV?k1(T;04Xn%}PI^TV8dy zC>bEJqkB+8vyV9#@jIZ<)rT3tpv~-8k8me{G{Zgot zw_*=;Sc&sG(cL=q)0F9?PeUW{MgVzneKbjo=eLj2i__fIpGTSKB*V}a`AmD5tPd_T z4~r2>#~59uBSd!*uD%yjdXLaI(aq=RH%y(pmh|jz+XazmVf!b|(VnIldEx~VJ-|emPebP z`?0gj%sFa|N0aY<{CuWAioTQgF;e(m4Vns8^G~Tp9**-X%H?IWKi~aTnaM?Cy!Y_H zu+%%X+M$2mvA9S5ydDto2>wLr49X$zx-vs|2zBykFQ;U^y_|N^5y@gF=`Ln{=MlC@ z^gh4|Cf$dHPAxVMT<=}{B;y;I)+%jpsFY)eP$zF9HGn<;isRz->4SaIP#vc;x>}Dc zb`l-+K_@ZZ^{A;F-Ol=6M|xCR-4BJ9Q}1W#M?%|BA7kmqLR(R9(n*i@iO>>iTb6z* zl$xB8%+wG4j~N)|ggx|6AsM|&bAi|@r> zJpNj4GWGU*s<$oBPrA|$HO5;=*RP38xAeZ3^}T7hw8@0ry?vFgAzh{G9}(RL>*zki z)XBT40wu`ngNu#pH<0iD>(F(0XP|ARTp3bojQ0_#TRN8Yy=I2WGrRU8p^4q0tG&cB zrJ3wvxgy^oiNpwFekI>aZVE{F3vXk^{(fBc> ze7>oQzY*F8_kE5wY0f3^xf~mx&)2bc%mnuQ07ArX3=&YKPIt(=$JA_&T-0ICq zU4=FtJBov~bJB3U7*gxTBjK4}ar%djhQ(pv z96Bb|pN@J6g%ULj=A^NTRnAcZ(^$kRW%=avgi%iHNYV%k0zIA;X}F2glMqeyG49x4eQQ9!u&tggHFCizaVM_e-`1==ru{8u;3j5S3k@LJ`f+6=kKZq zodS)%YAve`C$&2yjY6lOMxyU}lGRp1Ex5BD3=a4s$NpBd^4qMd3vdAn?w82*^1A_9 zWhUb4JNdy=tiE1;3r7EmLGcFuEuvGct_SlK{3sF7=_?5qNgWkjC!qJ!oYMg0l)s`L zbOMb&LDJ<97JUVD3x#Y$ad-?1lwrRnSUl}?2;}0B{Jy7$^n%F(d-Zz7zGp+dU* zF34`kHWWJ{dto0scK6R97l(f&ovt53PKK+Eyv@jLL-CH$XB&#wA*Vx{ZcZQa3gqJO zS#;Ft9-E8~+fZyYI&4Gn6y(Fgk)$*5F{95m6l+N*TaD7DOCEp>A=KtWNHr zK+#GBV7hP(1(M4UK>64)@M6er_%YS%yjvir!-J^8y50ae5iZBDBz@olqr)~7*FcVk z54hlS<{8d56qiDF!aq=*_NXRacmbs?n+2I{D6(zwY)Kz>A%bOz@KQQP`$-6F$X-YF zxBGa=)$PLf=|WsQ8i7POpE4Uh9C9}7Kqol-OvuTwln#D2Iz`BALva#hH|$7v(BR`B zr?WdL)BK|#qqO9ZIeik{yXh?3P#j7T$uLG*mmfe8;ki`YQ@fIk74CQi?ye$q>Sk8s zAoTsk^e18d=9#~7d_QIu+!MT>&Z;!4&Z;Gppe*4qpRL?$N}P9nGMUqSxk=&GO+oXq zb#juUa(?tUGWzR^gg2vy5k36{I|k59V%>bxWtuN1tQ*^*Zfrtho3%nly%1?Yb`Pg{ zqPc6HLdPjwSL7H#Z(24PB{?7e&9O?HW>t{szl58|n$;jg{|#y8G*6pM7Uu|`@WTKm zS2^KgKEI8shcLC)H*#T4w^KpfmWe8ghA0N~hBqWL;HBY-sBpz_hBS;Y7*o8aMrj~n z6w*$!VY*h`oZbhV<72p&oXfMg3B@jFSU0x1SSOw5 zl^f}Pb)3x|a0ka`AvP8}7k_EGroK*Wk(tE713hMhnn9jRQ+4rrQ+3pD=3%NXZqzV9 zt20$+X`QJ$OLeLa8T82IP-m*n>eiX6v$W1souzfA>MXTWbw0*7{?RCSyky+@FoE7)-o2DKzi@9F8TOV3fCCq=i9>55J64C#FQYCOFIB~8Z z6VnYb8H)J^7NXbDQA+=Wzt6=TM^AgqOM3c5vpb9u8@ic2NBvZWI6F_B1A11(ee^{5RL^948TTaj(S=UW3GXosNH5uh&g@ zN6HSx2qAVboh99_4c?*WL67dxLmIe4dsE7v+@VTycc{574k2aSn0&uVXh{BYxr6#k z$x!TdbQc|c5&mj%57?~5KFUS0^Xa^fru^KR4X_4msAaW-B9;4?2FiVGgXLCg%bgxB zW$Gq}&%af`=UP~l_D(A8NzdW0ma?669kE4U+tQx&KbQ7pDeWl@l=jpHORF?j+GC}Z zE#>g}^8~yuzZi1GexTBxz7T(>q6^fLYG)T)M3gKuPv`P3Jj_e>+Y3pCP(&)RO>XDp zN0iSQWT2C%S#?;^SCS#jFjpLw-d{>EP=1?S1wCAR*F6G*E7P|SDW<+kz^VE@%wN|{?aFV%d-S}R4? zS~)7#S}C&D%2Bb_N|Cizj*7KbimbJARIIg9WUUo%tw$4UtrS^n<)~O|rN~+@XTwN{F(wJcR@ ztrS^nS!%7dlC-uTueY|p0tHLa+J3x=ToYZ}Ptn?bj>_78iq`hyP0rxEP}lZT+o>*W z4zjkNqP6`Tm9_m8t?kE)^=PuTpQ5$>c!^S3+fUKjevZo8ewxe_ya*7kE$ z*7j4hwx6T2wx6Q4{dlt}d=|O2eOeNa*IN=du6b!mJg$q>)v_p=q9t)lbxAx$OX8O5 zl6Z=i#4Xh&acD-UE{UgTN!;q{l6Z=i#4Xh&@f0nITdGUqsmf@+wOU?U5|8V`^Ae@B zByOoLiKpl#%2HhtPtlUNrMe`Zq9t)lbxAx$OX8O5l6Z=i#4Xh&@l+owua<0`mW-Cf zL^RxTU%zo}wjjOLa*+MN8tA zZq<^}l6YK~uD2o2NjybM;+E=?c#4+9E!8FQ6fKEcYL~>5wAh=dx7bS#uT#d; zb!;l%-MZMDqQ%}s{8FZNu{TMJy@`5@y+ybsQnc8caIa^5UF=QKVy~sT*qfro-bDSy z-V`nNCi0eZ4tB9ONlUNsdP}bZP`DH=y~aCgKO{@9DO!5XQCWITb)=rm>dMk&(rb#A zUUO8IUQ@L68t<%SkfqlYExqQbF1_aCR(0h;|(M z)-0p&DuGUBtw_hofHR}Qb^&Hy+U=B^mv$rb(r#p4+KtRhyU}@RH!?5nM&_m69`pvl z^U`kJd1*H?FYQL>rQOK9v>Tb1b|drBZe(8Cjm%5C-FKoMcwX9#%uBnGd1<%nHs~Zn znwNHazGL)hUfS)No0oPY^U`i)UfS)u5&G$n=B3^0+`P0KnU{8t%*{)?(RpdNrQKr}LyhO9-N?MO8=04OBlFU3t81Xo^U`i)UfP{`DLBtdyODWmx9+^O z8=aSSBlFU3bY9wx%uBnGd1*H?FYQL=rQNenGABXv(r#p4+KtXjyC>0o%k$E1cqo;Y z=cV1qytFIx(nSgLQqaVSnQ;s~PfF+yrekF;y2vf2@d@>GO--~@{2R#hU&2MP;yVzc z|AsVkig?CVLQabMGiL(2Mty7Rg9b-$ZM&qcjQEt>+U!oKb83~1Z*5cyrYai$G*-mF zax=KGdtJOwxKq%^;&^sx8$0)HZey`UMDxaCUeTp|-5PwtnKu?QiW0=Poq3p%*WlbL z&+LjGueU4uY8=Hs$B8FW$PTYBx?8$Gf?x-R-OlNbZUGJdPI{YE+W#LyyVH0uLErfy zgQ3^-VBPC!U5ufY3NteCD6EPJZbXQQ3Pia5BcDm{~eO*puw zDu4RkXjlaljMwzepWYqqD&SE6{23IN;n2YR859?CXi)x4ipz3nX#Px!E9B6af@*2m zm}aZ33dsCHhM57GKgcjMAoB+qW(H*bAj8ZInLp?-Ga&N^8D<7#{vgB5fXp9cm>H7! zLvENEkokiQGc#oVpu^07%pYW!8Ibvd3^M~Ve~@9OdHs}OWH1ygA6kRGJlX^W)K->24wyq!_0upA7q%B zsm1_XhnX2Nf6!rOhRh#yn3*B-2OVYxWd0z-%z(@vWSAL{`GX8I12TV*VP-()4>HUQ z9zbU*!_0upA7q#rkokiQGea_e$PF_yWd5MT%z(@vWSE&D^9LPf24wyq!_0upA7q#r zkokiQGXpYzkYQ#(<_|K=3?jqK44FUZFf$hD(M>2CLwkoKs5_6OD@!=05mKWy8NphB73W&Ty6SFh z!ro|{EeGQ-wIzKb9{Q0!8UKpwX`YP6;td;8RPvKig0&PxOO)V33hrZr^D7&EP`-s~ z|9|X3*$Z9Czw1F6#~u1#@t~ZC6Zs`PD8Hr({dYVlKkJ0nb|AGhc&M!8=Vnh1Zm7(^ z0M;daIJ5~N?BsGdhqfRz(~0%v(AG+R!tTex?Unf#B4G~>?LY_#_u1l=5kkUl99oDF67J2RT6t>k&cS5}(&M)+2Uj831C72N2l0qEkMZ^t zq({7YjPJoAJmN{U1BV9kL%k!1@Q9~eJ8=k)c+%|5p)my`rHjHNeodu3;!nlYN{Kw; zPk>x3kN9ICca=x{k&v6oBfbyh-kv<-4@Mv+kNBRDU3tXQ%2csD;=4j73rBgxcSJBx z9`XMld*=e@)wKTq{k`t*ZNBrJTQ$v8)4fD$5*5`%CAkfX&|$i%6uLK`v^E`XLd(Jq&zu)=s?|1&+Ua#i+toM5M+H0@9 zZhP(LS-TzNnoJquTOr_=A-*}}Y#HKde;B_E@d?O28RDac^AI0|9F!s6136oU_&pep zLNdgEZ)6_gzlI!?A)Yp-iO3MY9dfn|@gEo+9^&6IIy}U`0eOH7@mq{O5AlB`9U0o{S?my?}wf*uS{(Q)Ev9Vfh%#WGAx#N)IM6JsIkFmWbi z9VSkLti!}`$j&fPjDQXkM?=IeLVZ6WJ0(b8}pCUPO`Fp&*ehlzB^I!r{2%)>;$=<|~x)|#DR;wLl2@RQ(oX6WHz z;w#7zc@o?KS%-;_jXn<(?~{%U6aRqh3=>-saE6K35O9VGGH-E)iRTf}VPYd>9VVWD zti!}3Mu&%q2O;Y)QEfO66L&+_Vd8e;GECe8Icb;??d7M#M5&Q^n3xS&hlv@Gb(lC0vNKGaZ6bJ>7)KF0Oq5WB3=^l5Y+3gmhYVP^ zQxg_L1BY96sP^fk7M(?;0$KCV0+WlLb#Misvv%2LCE?-s@(qX%gyh349(IL zd=G!MVO}V2={zfr!#YnOKuJI}j~}QXUJT@QTyu4=k3bx2V%|^<{=#cg zmTt-LAV?#KH$Z7(*;`|*04*eYgVlICh5Nmv@*L^Emw^b+aMstD;8mD9IkZ;vgX_`J zICQhpxwJg3DQs0r^LmHYDIJ4TdcAVC?LsZPQz3BHgD4(Y=zY#h-@!L2XJOHGXeM2s z(nYFE!>dCpl)i;Ig+rGsJ(b>EL?qoRr615$C|#}e^JLv>rRykN)m^7_J{7Uj8l{I( z3Q;**tM@2G=r`}=}x76lId=xKhhmiUF!=e z^_#KM=+KbTVyt|6)8uRkrPJvSDQ%{75XL5l7AT!fcSvBiwo_g z^mA$nN{f`vr<^GrqI56ObV;FD=>|HR(h*8qQd3e|qI5mwSLp<$g; z+bpHm(t8x8P}+oQiPGgtPog|zif)zC7Sv*uu2wpS(p6fm zv-ru5CQg@;q)>BT$^*9m_lQVR0GqHdJN~4=f-jCWR zn@Z9V=$0C6Dyh`Hsiac(rjknCn@TEmZz`$Oy{V*9_ok9c-J41(b#E%E)V-;sQun5k zO4Urc(M=^kMGH@DD#;sG1%G8z$;P%ItH8>*Y(XaFtY*rx1({O!7GzIyEmSk*Aekxq zvQ*c-1({O!7Gz4@TaYPrZ$YLs*-Y7&`>NzYHyh(E$V6Aol!LqlnNs%_WJ=vzkSTR< zL8jEb1({O!7Gz4@TaYPrZ$Y+&ufj1?Zgl6ae&}r?shzvfm!r0(cJAVG*e7=GYHX*a znXrtJotC7|>mE$9(~?s6PD@JNJ1r@7@3f@Uz0;CX_fAVn-8(HQb?>yK)V3skk1+siu{*dQQJac=l__I8D>l62|F9#x* zh*@#j0a!Am50(TPe6VCkbBsgu!IFSldzR~GAn1c74t0I7#G$SamN?Y)!4ikMK3L*V z*9S`+>iS?wz$c57t`C+3%z84`2C6i_WV+_)x;|LqP}c`b9P0XDi9=l1pIOpHJV@gV2MLrA1raG>w_f@b$zfT;4Iy0y7a*khq^vk;!xKI zOC0L@V2M+{b($`Hu*A`IeXzu#t`C+tDY!mZ5(r8;xIS3oP}c`b9P0XDi9=lfzZY+8(zZ={Vj`yzV^`n4U2`hkp8}4+0f`>VVBOx zUCO!vl^*4gS}f#pNG%p}Is9IWg@HR@HWAFsL5GG#2Js%Ay&u>}th@w`rv)uPNKEip ztSUP6R;5i~HQcLjP@0)c zH!7{5kx+FvDSeuH>V%}QMd{XL`nJ+2o*NzAZAx>AW=IM|Rno^UAYms`~kh_kWQj@!m zno^Uyj+#=FyH1iRHF@l)DK$ClIHuI>vBOTa#$!i3ZpdRtJ#NTdM@^~8UB@p@wdAd% zrqt}M!%ns2t)r&Y9l>!>L;dF!YtHF@h~ zi&HIm>!>L;dFwc))a0&Hq#ifquj80flfw@3#2z=~v7@Hc!>L;dFvpz>~TZxIuUWIC3hVh%}%xCucM~a#$P9G1x@%o z#$PAxGW0}254r1j;-jb$d&phq$zZ&g+JcANbx!cdC)4E0L;gB_gH}clq)yYX99qY< z_S#IS*_f4G1=L`g7!?o9t<3J6Ay0tX(&{B>L-Z;`S9xD>R(tP3e53DNn|DPPe#1N0 zp``yCrcv8Tf1jO3O+&GyrctSPuJm}+OHCV7(_M!8^2ce8o?+q}x;a{UR zsii$pLa7g(;~gu?;cYakMm}`jm`!TCL!h5}Z@^1U{8?OC)ok!tT&4d{-W$By8xz2k zO)8HSDVtO-hke#2HG-iq_1=Ito(uBVKlM$C+N3t0IAI$01~XPKSdnNx@f>_pAx(l4 znopdL&&0$fI5zwEuXvX6i~L%7o?Mz~N|_w78;vFEItf(B{hfm7juZG z`l5tVbBNzOhnRqkW>a$r`PltFJBMg;cxn!jvOU0Xf%OO7et+M!Jvi=&)EuJfzwm2m z%=VzwAhhz7?SXj={*SOdI373jw=9~Mk^XO3G;c8&`upsn`5i|gcPZNge&9>l9&kCN z7R|XFey>IIMr{wi9g3EivOVC1!rx+hkWYKrc>0=m0Az2_TwWQxBfr@yto(+b^0QSq zYnK2O_WM?V3STHt;r{PLLxq{&3s9lYZUHL%qCkbGeh`h#A}sw;fC?jImo71tSc!KU zYASIfWHpso4p~hl$jL`dC9Z<(m`YrRfSO7yfUKqxWsucWVh-daQ;G8tR8tAETXjq& zCLy4^&YcBWcbyw$IKA`s7mkFirV_LuuBH+vLRM3WVkU~=B@TkDo0A@Bba>af{frK8PTC%_no3|RYv}W?b9tmAyUx+%&M}q9K)^ATh#}yZ zO4tY>e{3q@ML{7cu^O^t zDzVB$@UC+=QG}XGTt^YI>)cW!v#G=qQW8^%#gNrhVj*P5RH7UK$5di20x*@Zy2G~D zXZ43Ig>G7RD%Rd;)4Gw;3^r$B&V_$l_D2S(mL-3|#_zd_mg<5F@V5o5FZ7_czi^}S ztRNZ~Z&7;|W9-0ufuTPGQYv`kIWjy$vOj1K=Oi*rgj~s5f>Lb%Gsk`>zgF}kO4)|3g;lJ3 z@WhJEb;mq@Pc3Dp)p__!C(^6jVsR09Rzy&&fg6ny{@{9Q=L7@ODalqGu0eR136mFoaco#gzU?l_yL`_IS^ol`HesYfq%CSB}@ zMu~0@ee^oG4MF0#2gA~^>e~Zhll4vzv7IGq+px1biwPksy&{Sf5|n9Sg+`ywP4wV;e|#z`^6!U6!7?A#5y zj2 zzFh6QR>`?gFGggXDVba%Ck!i?EdY&$%DEP4G^1PWwfvqlkb-B%vq+rsta-IUn&+nI z?Q_RWfDTEN<>qH1C{=V|Xn1`NMp9cE=+E&qr!;5J#a~V5rI@BS5Mu=Qjh_5Ps7nNE!6w3op|3&*m^b)?~VVsclQk>Kl}|(z4gnp$m8% zXr|+U85{&QQD_DSflU?CKEIypC@0>^A@&&*x`so?gu6>2dBc2h_%$q)?t|otsj%=B70*?4Usv9TM75A|GqkWGu$c+0Ih_>fq^833g5+6AG1OFphaf1I zjtVp3{If03sstk8SfbbPDxKkWN`RVC4TbLD&LJ!8 z_Ye>2rI{W=LZ*ihd1RzmvfDP3uj6l2LeDpV7~Ld#q5Nj3@SMzMGH#F<1>r)8xnF)! z%F;u0!o@TR(Uo%#VYO+4duA=bt0bK?odyFPnlx!aEj*gD7sW)v8w%LiEzw7n#mgK1 z8aeex3dQf~1`9BcXCD4)0Zwej1?XAvEShTIcB4R|zj7<4Q1>K-HzT|@DNIwYN0Y)d z<+>O5$K#Ou_UQ_f`K%1o^9sXZo%MGC$3k}-gpx76r+UTAg)ckEoD5ysV^$CJ0}Gz z%0lDL9p}U@FSC!J*Z^IXukzM1`>CYh>N0yvQgBU~{b^FLy3F306vQmMj4~SJ^Ol#{ zmr~H?;OC4p$HFPQ}s5zIZpi@yl zC4~Ap71dKhsGd_%J0;XfYT!|n>9B8#s|F5sZoR325=6!5dpOQ~B*&tDwhYtWT_!s;dN1Ax(9aAS$G(t`b}))m4HUq`Dr?*+7Lf)%6GtZkOsR zK~z3dT_w1ctE*h}EWPNfaO*vCm77cL{i*aNSX*jeO?O{{HApWhxUMw(rc|hz&ACP# zgy~i6*<21BBhU)pE>i#29CH||P2?4UT?IzGc^sRgpof4x1soCnRKQ#L3|8QDQL2N{ zW%y%-m9J~T@f#?91;yWD;+4;C$?0Zr#Si-)9b%bt}v#;dIc(cr$B`sZ8%FY6)L0=ax*n;^|$}= zdrqPoy6h#~bZyn;`|+7PD{{~YaO+-1^$V?gp0sWW*C1?$9|^BR*bF}su146j{$E76u`2E1Fi=~kEWfG5FqrFL(+GgTamme(&Sh<3Rb^F@tCs|_T@ZY{N= zNkQ}i8A(C(5lxeV=s{W}1u@{6k@W`ds?h71u1bOnq^pu3IziJ_N$?8ksw9X$@jFUc zf=i|6GLss7V^eyr8~FmOr00_0a_PAwSW#-@ zOF-;v0ew1fM65!Dt@0aIp=U?dn2Y&ylv<({R&>2YT}x5TP1Li3+IHfj?xUzyCaRyH zv*mXaMYS_g3kAI^zaLXnXNrpWCCA<5H` zdvHEIE8f6f2HeSPqkDx;W~Ov960SkmbTSfNhp_2nBwUTK>14J^Cqw$?gdDCy*mN=` zzP^)@pms76)J{f%(#dRdbutpd9CtcZaFY*TWjAT%U(scReZ{U;{w3E#G)*(9kRY0- zY2{yVESjciRjz!Zn&02zJnxpy5z3Vde=MP6QKXqM+es!3>%yb_@UGHK(|Kul zcWI{Sy)?Y1G}Cln8ZIx(+(VUG!wbqXO@G!}uD852({yNUB)ofGrs>f%ymMZr>C!a3 zeO{)Sn`pRhUgjNi{TkjnFN+ol^d=3Fo0Mf{D|%BFm1UagN+&sKMOmhK4%6`RvP?5! z(Qs8+rkSyH)|*t8X}U=bSC?hpLKmrFOlb~2h@0(chw^XB9P|)p3mhOYa+82g3SJeE zt{~WxkN>U@|3-!g*eW1)+QA%ioBYN+hcGxse#0u{6(8o+d7wrpeEhCXd=}n!JW@E#oGy z;nii_LCaD+I%he?HBG80Ple}0GK@)mVM!ptu zTdu)PiPQ;<35>-1@=g1(AOFU#74VMyhE*sOjWdu=lfZelM%^@ZPs6C2W;oL@>ZYlS_efn_A$3v1sGDZ^(J<q&Q;=AD*+>WYj=5z1Je6FrEm@^3PZM`{9wZ>)o${)-( zP4Cq_yc`?d9bb&26TtgIBbtmZtcNwC-DJ!dz{RX-J;X^g89TNfmMa`Lt{z$svu4u| z+ad>ge7VxwkRyj$>qPe%(rv1_Qo5}bXm%fzEb>|-)XI5)l>K+Lqf4v0jZ=6lAD1?> z8@`cu2WMC#n#{!YdLL(4aJyW^OkA%6@0LsqgOb<#mZ(+>JuR75-6wP~U52CkfY7#- zVc%{}p=zzrcS+a0LBwV3RC*Yt>kA^oVzA73rTl~=&($P*5RTolahjh(xFle#0| z$-NcJL%wnQL-ywmp!fPcIzrCQTaLG1zMM9Y$<8zPDm;Pu7L(CKp!vmktL)2d2Dv6P z_dF~;`MPEy;LoKkv3x`0kh626bn?Qm;do4d?1${jorXnqU*TR5f9~^?@xmV=2Xhan za~FOKIXjmY<$YauLJsAwHS%XhCW}a4uaAsA*?Ic9z6Uvy`zGo1dmD0g?xq~bJ^pTV z$j;L@@KvKjcAmcSmmm+w9Y;FFwML)pJbgz#O*+lXDTg_aL$1keew`_R^#~LNo8Li! z%(Vy<_?j=FKC&HbAvfkp=1@7CHK_y4PceV73oCO>>EiXpT@hJG|mh2r*V_WRWq4N5!1tpJd@xI*flq_5O3D~TL0auJc7a)=(0S~UPN#(W6%7U+>Aj(QtZBl$fo z4o0DRK1S&^EIH4LO&GER9sA>`>|gK~WBS>=zdV_t2ggmpkdsL|G}=pyU4;J2oB0*f zq6=8xw$L3ox+Ry$F7?xisE z*k`@e9VG~xMs%maF_zCaL~<-VIF?`u!){{=jk0}L4pLA$H_T|{3!QXr(r>!yekqA4q;#StT0=elT( z&~}t=OHCnaa|&PJ;_B(iLeuC<9Nk-l`Y44~n!*mIxIRol{h^u2ASy;>OEX!#70tsw zgY-R5a4Bhwy^+qSv|A#C=>v2hHuMeFEm`sv(?y1UCA9t5Oxsggc$7XWbVUJZSaMf# z!Z)mY1yPUE6+*wITjJ1tdpL!;lrx8(C3H2FWVWVopU@tZhivIV zO7;jnh_1q+SO3iE9!@kzbvymSwAe)-7rKbbp*B%pFQLx}T}srcJEwa&-D_R->~^7@j-?b% zmK44c+QQsprLX!pg<7J1r4RTG4W4_}WXm6<=@fe166qO(6UU6Nr(=a$fdy1(_E=;E zz06PinE5I7GQs59sxkH+YB5@et-;(Yp+~3gKW%V;QmK$_nFr$`#2!Oqh%+uojNOKw zlawx1x}C-`rOS15=g|0Z=UD-7e-EWybT|*2=@{ICc%u^Ve2OB#Wom>y5it%OBI(C8CJCSaC)!is`6zMv2lhCW^Y#mg0i_pud%pCf*(D{^ZN7daX z^j%8Vp*w_rL+N%>-CaW0P`VD?!_*3FLfi9(I-?gt&#{TSl;^P!6C5?l@_OXqK_h~r zN7uuet*mWN?}sED)eo8Ccsyr#w z=$0!Tl1!_VewYPauhgj;rMvw}bfeJVx#!Nn%BbkpI&@4D-J~h(PiOO8!ZmHPQgS5n z`j#;*_JmBYW)F7J=0YozQz-5s^k@`(eY*XH-bIh7nzQ0#gwCfM=a)PTQ#u1na}M1y zLUiB2XynjgrV2fe%FIc(ROoVy1YW;f+m^*b*V5SnN>>RTN;wNiNp9I7 z^nhf#Rq0lGKGXsFZKZc7(>kRmQhrr;o6_s)ZYtfbv;ZW*@ni1H*g)Bn|u5NZkyeNB3jxFbX%dJftoKlJchHserKy5{Ghd0u*kr9~`(of63bg{CQrEfvTKvV`k}>l@Ty${e=*hUdGQ-jsdoJ~Z80KrO96N1s9S!nAcKm)*n2hy4TQqdvc^g=mcZF)E-# zD=yg|lP>5Uf}Y2jMAqaVz;rvUD(lp8qtaR=?krE#3WZ1aP^6Q6AO4~)kBRPjm+lKpu`)#E5iZA>(dv9x z;ZVz3gHUh=mT+X!uRJ&{iEU$D`?DjEfIc1+JhQ~45S)g?9e8_%fmYynx>MnMa2IjW zes1C=xD~;%*sL_@-7A+TG4>8pSGrwjaJ11)?`Vd!iU6l#@1UyT?Z7k<5_+PcM~H5^ z(8tkSopci&gdRcNjPESgT_@BE)KUMO|94yg);8bwo2vf{@@&6CjlQ{5T#d0GHFPGY zQY-qwQIjwXtM2M;oQnN-OzgeQMYme%Od7zHR(vG73vpk(dD7UXY-ef(zNQPzJ;yYw zf>ZfQW>F35Mb$t3O3sJI*xiXH?h<;sT={CE87~RFSm;bjI#cP3Lf6qf$x@n?$6dh^ zD!Xi@rwhH5(rxmcq`OM!b(C&1rEPho&Ax?Fh)d}g$aFJeB32{%_MOSpxY zP;|A>D5c;PS|`*B%^Wq&vh6C2gm^lCxrw=>^N}(8Lh3U7vihJg!6{gkucsfgzCHHT zWL>K%*NY=vG*{^MF3=4Qn&e`F?WV*l5cj;`7?jWJXmuTp)A~_2CY!5L!t& zbLgEy3n>o{eM;#4u5{lLT8j~)UU!$!3YzbDWtwQE$$h3hjBM{@Dc=r4AEp#^%5G^5 zeERex>Q|a?QjkGBq;v``*=Pzep;ovMmCciB7D>nmINTf6%+o}|6{X?%sG^=`5?)>! z&ZGF&60RzZjD-{aff8I@8XY?Y!FkBMud-%dEO0QC%cz%yy+LU#LcwtyTnAl@GoAx! zn8K`L;Kej}S>eMe{iq~ZQyObR$K1ll)RxA+?}^}c4sI@u{X)TROL0CV(SCtRA_@zh zo^q?`@yr^y~jhuZpf^E$lz*o7wG>UCEPGQX* zrBUoLGlBy6*FhrJArfzZyRS93a`S};kAK%wE?Nn!o6IoYU%*j zXA3xbg&t&~h_#-bwVG*1yaq^LEypNK?|Tc!4i&BRZnufLfOxThws+L0o*?tH(0)gF zV1AY$^Rv)?{UB#2$owocvNza#1ir=4YWv`yt>-koj3? zTzkm=1eu?OdK5s;&Ls1*P);6XGCxa@`B`Xj69fYpWPTRP&465!nIQACP}dj&{sfty zg@)RYvlC=~7Ao`_P6I=z@Mrw>CCL0NRJa?mKSAbap~7z<2NPs|7ApJ#a(05u&q7^4 zfgDPZ`B|vzHY1byS*X{$Mt?o!uj`wTBMCA;3-x;)a(05u&q6&m8yzw~3k`hH=#cqY zsQg*T0}^C@7Ak(y=#%+b=*SJElTnV`hH@T;T$7n`ohg9(5hw~~koj3C^Bx2Wd>LeZ z77DFK0Qp-;1%B)*$o>SGpM@6R1UZr*^RrOb>md6QWPTPpbg9uH^RrO?63E^JnV*H` zEjFCY&q8w+LbeiQeirIcPCS#$&q4)rA(Q!82AQ9Q2FygTz?UHNvrvbr2-Ief`B|v# zWXR?16J&lCx_CSSz66<{g|HlpbQ5HL78?C0$e{$8pM}mGVPrBt3!QlqWPhSFUC^n= zL5^gQ`B^A>6lCO<%+Eq64KxvCeilmaPZ6O6nV*F&?L!d>GCvEQ+?8aDX8<3x!)-Bt z_%AH?cxxNpNrRPW5}!j#R*w8wO=uLRRbe@d6}vmYV6U8Hh+?k`lO2WuzNVBoCdr)2 zY=<{9CoR&5N+-e`P~hWikadLtHJOqESrTx{=5N4t+BY;Zm`lmijg!N^a$+=n&Jewb zPReM3&y^lc%H{OJP2tcRQ+F~no8n|x&mBt({YkN0CUl9^K52E33uCW`2~ET66CfRW zY!Q;24_eSO7{zEZM(b@xAt0Ac&-q59Ce!e2J_D`)NZ7|arY34DgFK z7aFaD7{I0Fj-*HLq)4}lxng?sG#YxED;2PEww#@o7mOaCK>kW3tr3{W>|a%(r2!Ke zO#0hcTJ$vi&#C+~kyFzHwTa|9ui2&NP;Nu+%b@2cR@a+qN`anC48ZGrE>?PWFp7M< zPcY4UlW~33HVZ)!jc^we|YtY%WtoT?V>boqLsjc(Yzuwfgu}{Tq!vJRUr{d1xwCirXA&bh%9*L(3U%t|tg!(YmuBSH( zeFHPWdRiqkGXUB`Q@BOwJ*4Z?cZu*78%7rKA%=o8~s$AeLCg24OL)NmIZ21PtS%9 zU8Hm?UA5|7p)`Z8Pc4Bel&&FNrAw8PW4l9_EBz=rg%wKgp%T&*s+87I38{tAtxB6v z2`OEzw2(?j=^CZK^10cc3-E;1z=(S^l^YvzPvM%?z=&Juzc%7N9Ct*FxTQ@sFydC~ zHsV(5HsV(5HsV(5HsV(5HsV(5HsV(5HsV(LFO9fYo($6>Net5 z>Net5>Net5>Net5>Net5>Net*v8jO(w^Fwew^Fwew^Fwew^Fwew^Fwew^Fwex8~Pv z#I4kA#Qm+*9k&s85Gz0E<4fE|+?s;hh+C=Kh`XJn;5On`>Net5`afpG{RQ(8sVa=gU&sQfLk)627d%`O2$W3EfVk zo1=Rme@xRp8Eby^w42buq?@hjb{EFtr!jz|>yozc#f$0&}U9sl8Ynq)hGE*5tRF z+MmJ=vVp0+Qn#tSQn#tSQn#tSQn#tSQn#tSQn#tSQn#tSQn#tSQn#tSQn#tSQn#tS zQn#tSQpeQ3G1Fo4uo2VYiCmiXro(NiH%-4UWFE)7OVFoTR%^VA3QjzC3amrr!K++i z>>zcsN*5>{o=g`hT^LW&y+SFSz)7J(>HhS9r0FhI+6?`yLzgSPg&xOLcZJf@F}aFcmDW;zm9ADgFj-f>ZET;6CsjwcT6KRyFYVB^N;}Xnrs=LzIvIuP&<#ot zr~ImJjnWB}f?DHkR62r6M`^9ngK(Q1x=HD+H0-MGW~Db_SaRqVr8{UKRNbvgi<48( zZyVc#=w7I9o$B6&isMs@z->zJr?V;DuJlTJ@>jY;DQ&3b(49&vsnk_>m(a!y-LFA0 z{5K5U=Wt7CVCXKi-q5`<^KkNI7W44SIh6+H;j4tIAvl|dKfv_AG7qP3@cH)t5bI>{ z))pV`zIZ2Mub?~7S*K*`^Sz8p)uG$PKfE(d>Kyus(AM!}`l--XRPP<#&xGbv4c|}C zwnJzR-EW6}E_5@sNQZtQ^i8@Dhkhyaaa>qE-6=GkYK)`%mC!n>_gyp(^8IFe6V-c% ze#5#}qh|Hbpxnf)Ugj(f%<6?UFsm2Zz^qhV1mp4GX` z>K*Dbt9K@hF0*=vy3FdGrO1D6R{uKXgU#yYF|L7G{nK1Wi`0Pr0`y0=Pg+F-vwEQo z%<5IwWmfNPU{+6di7>18`s6Vz$*jJfC7acI{i>N{R$mVtv-(^1!^=ba1N?NyT1F)Tgw0g6UF9!J*@X-eG9u zK=VXfR4w#oN+H&nX}2qza0)v~*I&f6`Z}RoT=d;M*8P-HaCFELbBfhv;SqyyzDf>a2UBD59-~(u*o|@WCzu&RI+V=fXOIr8)5E(kWOxQ} zXb4Q#og8g0jou}8I<4#K#o*}n$j=6eJ)uSp8z;i#Ck2#? z&`b`qqME=$CHTgOyYbfQ}&_MDel zD|(R#^ZzQ~S`oU+VOCTVxIu{()oiqqBB1*rVvkoPAr&eG#8kNNUDkM^BQ9*M#43zk z`x?hS@;d)^BCRJH!02lN{w!M1*(l=8@9=?XUp4?y1#SPv8qq^U$bO4KOocp2<3XG} z`hdg^d0P$}DMHCV1k4a2CWl#3O<=YXYWI4Lo$BOtD%|%4gPhK|j^iX&VXWtS96R&_ z{_O+9!L$(#V05y8_M(NFG)%i0DQUF4@k9#Z*}E8G{#*PPF|e z9C$>2qc4l_{HGid{f7wW%VAbj6L?>Vm8N;ilOjm#ESXgh?JMBB&pBPwg=*n+`jTNx zg_p(JrUcXUwBsaJVQj!oRv-2?|1P8gAKd^(X9_q_v{001h}iNcKCm+-e^~<24`KFvh?D0-m^~ljc|L^M^C3>24`KFvh?D0-m^~j7c|L^M^C5m4-7NNeh z2wL1pIvj@jIg~1`q?z>Ki_paKuZY)WS^?g)GsnY?g}NfD=D z{txAx^8%kMJ(_|`4uqSG(Yt?lGPK-dG~{@9EWPheiq%tD<`pu%h&Jkpj#e{YGk*@v zed!s93kg07=A5)pWzXl{~OGa8BaUE((gw|aQ z&5@*N$;ALaD+{JI7X$d-xFcy1A}P|XV#=A8Rg8w7hE`Mz;FRRAoQ#%5OeB|0V=A;7 zVpO=C`EO&2v{dlVC;De1r=~Ro6X`X7vjx*4Lau3Vd~wR@k=!V{F+E>$D)p6%Y7ISM z3gKw3h4gf1Fq|sPU1<%#$zre1-Sl{PZvxMZYHRyxi@}r_Y;G?f{wp@O2Nz-)?myS& z_DBp^5&wfUzWNG2;TiOW+;f#YZLPw&Sw#3*cy6EA5%c30G zXAq64?wbi@7W8slR3uI5w4<3WbJ55pOji+&tM1Agrr%TM(v|l4iRoELJd#lQs`;Fh zWgmutGLoSsboLY+iag}gJ#pyQ7c)&KnyI>TmNET~?y5t*cQd_)t}RPTbMfO$voPt1 zWNB$GV~VwE#72&#Y~n4!>9t1pp`OS`cPjm(Bo&RZcVYVA(3XCgvrR&fR9;PqjfO^bEa9ug629?`%TK0q`?qc05AKarc;j-K zM5C7fXW6{3=!@&)jmv3%kLyd>ymL8}q-@?zeJDxUymL9EY~HyX{u>*YzfZl)Z?bu( z4^KtO-(fF^;HYtC1*{3Q8Bz9ILP7p=6Y# zFr9S!N*=0|K5nR_P%v6_t7*=Agrrb4QRwwFA3H))s8iY>GuX%xl0wPZdNz8J(LB^m z6?!Vo-INwg6MB)M%cOLa&ZZRlNgnEEi|!Dbm-dr96wDF2#8640O6feh7yX``N%^-kwuTD%PKD zOm^`v(3m&H`vZ_l%9{cYOelwa)|;XqOkw{AyeR^WnKJJfh`uFd%8afCWqfiI%(B(w zIAzL=B0)d2FPSo*F$j0*x9{04Px}8IQ)YV4{l}UzhmOPM(^B7en{`BqfTNc;5| z{xBl8;61f3!uT6J=X@*DQcb@k!afnNnCj{AtR6fcpTn)E7s*&5Ax?@SVm8RRb8|TcX>6=4u5qd36s2m#L55C#2xO68nwE`okcn+L} zzvvkL#v6mLItmqbC{0}skP^}udlF4W92$Cs^YJa+4~O;6VhNL(dX=E9K0gF9`jGCb$mm`WmOuovyl2)4f{gWLhrlaXjCpy6=RR z(_QMS^zkhw1>Q8ip>=zgp(vq!z`Feb%EupU-98s%YNX%=6fBzRO#U3eg-4^QQWb5X zRa7GE{pl7u^i5WWDw?W8`{nSO*lTpN9J*5Ihqw=s7TQ#UvgLG798ynv3EfMz+0i{) zx2HBuN>fqo`Y|ZFKgu!S^>;=0@B6f(bwVy)3`6X?qzA@eDQ*kDmZ*ziS#m2ecKfXtu@b*#dBEOp|yc zz;WMGQWHf%VRW8=wW0;1!0jTQ8RP?FD!e1M4IiC|l;%sU!sxXEj*ZA+qeOTko59UE zES47Iz$N^}b89YOv`YhMr47wswWsCS=!6CUM}01FrFpEIrouYOXLPT`EX!w&=-ndR zDHcV)WSx@vo#KR){Y^uE`WD#Agt2tPpDuDDb7T72=}^Ab)Iy_z(hqu|m8L zazv~U*Fg4(72+L6hpiA-LiUOk;*Ey072WfFBVW_)M0pSy-5>wjAUrRrb-QC zDK#>RXpEClgW-Njtv*pYjMkxD(UJ*T_colZv*fQt(lYfwMY3I%7&vmQsMGrOKdHM@ zq{Zwcl}6HXrAVvR2C$;qQ_`|^QYw6k2Bk<34gaK*e7*+y^o*9QUtfH5PiSyUj%8VO zjD;BuS!~eOlugcPcrkin8A;=d35)6E+WN5H^U1;Ji+;Wyf7KMN$sq3iJS!$$g-)jj zrhd_;-|+hWYqTqbWxYQF6S{e*y3q{EB)y-O82d>~3!`y55;UZ=CEiImw3E^i$+|<7 z)(}mX3DN|mEhq(t?%;gbrx@LVoQDa4OHA(UUP0(4loksOqYsNF=5o#^+#vKiTuwBL zYAW)(`bnX8HUZ6E&bn)aHohh1>!+f_`_DDcd%Y<}VS6{80$^m}1R-I8e`v=lSCJYzWo)ZVvg=2rTNLaS17##D;JJ#h0@#5uQ_z7($=_b4qdMFZ|y;S zWY&wEtx%eV$zn{+39FP2Aga2zDlNncjzd=~{SzMP9lAzo8$1U)v|8z7l;4n4tF=l? zlG9zMv<;P{=3#@m-Q9au>rFSN$uwCh2@tV|0VTaN^XyUP;4bL-5^%Kh_-ePCDZsYL5E- z88~Cg92HfC`Zlz(f6E+oPzh2=nWJLtKso%|=BVRGA(hk?%vn^Ljc>tx^JtV%${dyJ z+ix&O{gBG--!?~mW(-pK4>m{bG6C0@GDpQ!2IVlk4W8AV?dwzKsAiU(GDqb{(2|rn zD%XeqhB@j~>Sg|IbJT@r<8eG?j*7JzlxE5t6%T}#^&;xTzi*B@buv;(nWLH#-FMAV zU!uG8?{DrM*i3s*9`HQ&P73>KuHk*4Pnm+WAEM0Dx-rN!G1X`dl*Iru53>6t*kvNO@>2 zdDx*ewI?<|ZKU?Z=5m-%b*H25X#G3yiG3-x#3odSTmE0|iQRKLR8xlJJS#{Ul5;ui z6MJG;VIe!(`4PO`f-TCO>~cI8wbd@edxdBxdA+7F_H&rdL_6umtDiM{m-_7*@GrGI&A3?!lF0!4s$Y?tgXZ#88lcMdv=6q|6J%_GQ zY2p*sx8I`s(m`}flpal`ue4fe2TIrM_JTKPmTsrR-#T=*1!vJC1matZ7up5V=u-VA%}JneY+{;Lv_0;&7nH2w3pH` zbk$0Wl%9iSeuoYb8l2Fr9u})=K2=0bV1&|-sP?y!?3O59Ldmv~?-EW>I*T%zc>lHdi`U;WDV{kSZ06|6n3hQ0&=`9zP4AWdPi|P>n77H7 zb8xbhw+S_#;2-L3l5rs#PRiTFG#o2%3k?m;$x;y8+w{ED^!Dla(u+NxM(l`piRsKV z#;&8WD3Zx^^TDid=i;zvv<=gpy@if8v^Ue@H-z2}JCkTwbQ8@kGiS8-8Y;R|9u``Y zPP)=eyM4Eqb*rhnj|uIibPSgM9a^MxKT07cbE_drPoZl|(;3%*%Q@XOG-fHCb%oFq zX>?Lr@pqxW(5R<*Sl#9dP9c+Y12W60R(dIwS+JPPVXaa!wv6i5|HrhG6n>^@M7~^G zvC7e(X=!@_3e{UG~d<_o|UhXFRiSlB}1hPlnzOziaslJ(l=bf>&o){l-@`ahVNj$ap;SA$UVYWSw1)KT*{t?H_yp49)9Vb>oLd0 zqG{!y21}qgpS=BYB{VE= zKBd)EGBhIJTs`~um2CgeoG#ydfJKU=dQSA@mV+r5);jr(wra)TBKeJ8FTx)AjpY=u z#%Hbh_sPpJ!W`THI^bE+iasnF4-3FaqkBc(+J=*gsc>lr2GPYx`v-}2U|L&_9W7c& zN#lMI6jLE0&Lz)Yj+9z=6&x=(B(0`=lfO zjsJk`_&07v!0~T<4FSi$@nr-Y|HkJLQ2)k_kk!BO3CQZ-_=wSA|HcO)tA8UcX87eR z3wJ|S|Hj*ii+|%SkdyoyS0L#4H!ed!{Tr`_?D#iciGcbyE`qH7jTb{!|3=#HBqU#1 zpnX!*zi|d+^>3toXOLU=Z#>&Xuz%w?ictT?5{eN2#?wh=H#hR+GHz{taRVfGEd$uw zj<=9M3z1YyXhEjYNSdM3J06bYt@`PU3v>{@5t7di*i7jC2@@;C*`QS{bF5^G)~`&s zkmG4J%7n*CHfbS>70h4CAmxG9a9B^U$pNj)IBJw7T9Pq!jG zWYD?D7M|%eL{)g+z>oUUg%5*E9DIdtx7`q5>qvDyA6kc2uU10N+!U_@<-I7a~2B%H$R!{4$7CL6a z#ClqCv!rlb8l@nwKI-lk-BFXL)YI}cqPr1;t)p9Tk5CKK8i&>h4NjYeJY-3_C4Z50 z4V3I!_d0MKRE3s5>d>*EQ~83eTBTEvv8+W>49^Q4mrP59+DE|c%F!)X+Bcb2Dcy_( zK<8{VN~h8VJ9&7K&(^sA;-9a^t^3dQUu=DN^8CnIy#wJ->5FlN9A2>pEhM(%pDH@6e4xgXf+*!;1Upi3fdXtwYBo(M_7d{&Y5< z?4!F`=^1!}kNf2N(8V6MrLt)aqMkMvTA7?eaSx$K!+xPY-Tp%Fq6ZqyS@AJK=hKbz zOCE+PozWzTZW$rEZ@_A@UU!_(dvL7|-7;0^c~oXjx}`#wVe~`dYVwffwz_3olNVLo=Evs-EB&*r@N_iyV4SjeGc8Bl=g>m z=uV~hDuETZ<<9R?O12RW-7VA#Y^7H>hiuEGA-JGpb6k{V-HP_|Ta3d`yaj2bjKeWC zK)I!i!?_&x3FGh)=&aIx&CqzT)H1A`ZjiMcwbi}>=C$dbn|U=sW9&~b=S%nA%XF{k zTY=Beg`@{7vD$$)GMrP1tVAlWp&v^3Kgs$UW516U?9kxJtZyHS9x`2fksV5BQwo9C zIE9@;t-yNfFbXyrqxPa5T}+8?p)=l0eP_!LSYKmoABH=JP7r-NoJ=c}<|os2O6yQC z@m7*~Vv) zp=bYt?Qv^Sy&7(@eHHStFPObGxgGiVgU#L=+b8;B#x z2iVx@W2~T8{AM)9-a+b0w~Kyow9%!{>0;_x5#Utp9Y{3jrEltjCPG3_G?YH6OS7Oz|HD}iA zvXfqKczh&uHs@D*IGVDPLP+Uzl&)VKZemK;PzrvT55~TgbjQ+F_`l(iB%yS;p{koB zX2DluL~~L|C|!p!BcUT&w$e6q6-t{a{e{X`X};2d7+4bl$!~#BD-ghCWY`y(QM>vu z{vuK@+)#TlP=?+Qjj;lCG?~x;J0`do<=Pa*7ZWm#u^%;*K4Xk(R4e+y zQIqh@rn;-QiPO*Dk(GFJ(XCcGlb&{zR(vG73uz3^qpxEl-6`T`1V=9@XM}3-H|*P- zFQ2El3%R3Lwi&v$o5om;TBeoX4gHj5nt3|0|4_@cp=(g`|Jy9n0;BMDFe6xvP691L zTF`d7lK0TQ`E@DksK(e=p&dH3R`l)l=qVk#S?OH#vku*=ly(tzXr0nA=r=OF(*Cv! zwE~?AfwLa$PPr?Wnc}o+sBjWN9n5WI;Hcen3dKjJ&aO_%Gqj_cE!zb=w_uYsH;=mtxES# zrgcik<8dHE-5Rzl&7iALx>ISNWV&1Fk93z**ZM-{^Ead8bZAIvG5Yb0G`Wg|(&==E zlr~d32u1}CEl@g}?vUzsQu-m*STf?0Za1Y9sLYi1Qu;ad_ezVD&ZnFy9intE(R4|- zSm_2jo6-?VTT+*-v_$E8%CFK1N(=FX=Fllh`%t>Ncke8v*J8AHXt~lQRO+g`NGW{; zJ0l_atx(#8YKhY2N>8FZWQuN;(iYTem9ADghtgGAt+WT_OzAqIR^SdKoXE^U(c!Bn zud-`$&3$NzBXLI)YUisl_OYme4!u?M?Ivgi4qdJEMjG2Sg*8fVPo~vMU#Aq*Qh2S> zY|4YubxLiTi=_J>F{A7TrJ2ceqtXhR>#6Q0rB7r0Pb4ITElRg0)3=pIai1OCZAx>A zW=IMR1+VKKZh!qPc7vcC57{pWv zp2*-JWWQAox&UlTEa-OmJ)WYPQ&d#Z(32!x72Z|w=rE2sb2$GZJuQq)r!snsN@|7_ zo5JWK0r!d)4%E1jBl*DZ=)fHkuP|zz#gABtTJ;jhYOP8i&ULI+ zFGN7CRWE?7)~eGWtF`Jmkdv%cCm^WSsx(P-tX0oIK&@5jo4snSI?V7M$Z@#vc*tt4 zI@EBsRviRctyPO4tF`K(kkwlCU?a1&Ds3;UU*p&xvRbQlgsj%8ZH&%E=~eKjpJJc)LL~XWVKfP z46<6Qeq?mmTJ=51YOVUV;rum@ze84Q)mMp&wdzZdldM&15p=9opGH8fRUe1!SgX>+ zNUc@ZLRM?ldm*c}>Rm>@jh;BpybZEit5!i)Yt^!sC@>4Lq8%Qz*^;$V6;mMxf4H%kZ_n&u7o&7KO@OWjdcmeuo7RP+D8>=RGffXPP6J`v3W{{rLiH0f*q z7WHAia`U!;E#;8f@0#nwe`CMvQPj))rv0uPvpBb( zK`JSWbF2ZQ9Dc@+FXtSto2w4tS8w)kEX38*UP1?BiP_ggb$bha25X7+w2#pDF%z$+ zhYFoax=n{;T8$oImGgSwXN=0;w82=K#2aB*TpL4o>36a?-~U-mkpH(?oVTTWl764D z5Gwcb_K|Pa;zCk(c;=}mWrxRg!rmwB@bcPX>~D8Kd-Di#NGO*&n`53wlm6Yd{A_F= z$j9l7f2iHrcQ4?KDZ4Xnk-uVh)|kCl>5C}0ePElj;3ni_AF%hDj(q$s_Fj$cn{+Eq z#``7}sIP&uZ&GOHsA-mMSGAy)Y`xsXJV0-I8KsnZ$Hj{Ysdrpl4voL#;yrIV8=DYs zENX`R$pb^ z9J5VL7m0p*PM-NHeH$--mL5Cfn9tI;_0o5PeU&wHXyY0u6CETIvv7vNav>w4&&w;B zexv2(&GjN=jS(TN_TT0V(EmH-s3yiQt;xx2njS_N5OC*1^DUngA$SzjkDh3vde zT7rPSPFf6EUnkKE5`CRi4ms&{(p&`fb<#}8&g-P92+2*kz|hx8$3fQDNkr`f5`eesSjj*oz&Cl@av>*Mu%S~ zb%Cs}lR6lEex1~sbmVnXK4j;0Qd0z+*GZWOIIok^5O7{6g%Hr!Nj}K>I_Vd@jndai zKS1`!`E}AR$oe|zOT+nf(x;I1b<&5#<#kdW(>!hb3>+7V)jLff-) zv!E4#i=WTxg103h5AC@U_bxfavPxknt1nbexSlKb9ldc5swh5x;044RIrT>h!tePK z<>v7`g})6;&a>k7UTBu|`Sbt7-kX3|RbBo6=MFi!`zE;w38PFAMR7s|tvKV1Gg__q zs%=0}oDnBPO{k($rAjPSRH|{P#TF~hSSM7hRBekxl{!>vQBi5dYFkv)|99=RzWd(f zz4rO@*z!Z(_936A(9>X=t`# zV1^dS{1PZLzr>RHB~WI5i6!$(pv?RdOXiobHfDZ_CG$(5QM=49*$!V;H^ddS@)%5N z|JZtLUtBB42)te4pkoI6*Pr<3U2qvA9sY^Y!8ii589UaRt{9@WSEFl1`ijvnJ+*e5 z`fsha|ARl-tkH=N8vRhN{Mlb?AEqC?joPm42I}2}a9mD*$LMi5qO$&)20FuNR3Xas z6&;l8JL5EpMY+C$txUFj)v+ko2ReoHLmb|LP9+uP`aq|VigJCR(@90SKF}GY>*Kr& zbS9}N*H>g-)~%jJD$4a0+`cwaQLYcRw~&f*eW0^RMY%rEIi#XoALv|CQLeA(s9Ya6 zm9{&NwxV1gT6l<5l)v}@rOqrb$s6>WcN z^v@WfxDVHMtI=*aE{pVMMu*4^I@r!us-6UK2TuZtWs1d+@f;>yDjUy1TNq>eUN%EEL_7&9d)YmNOX7wNOX(XGJ+OD8`1AEad((E)Sm`Cw zLZD|FJ*6F;Z*)Uxw+~yWs?-+#1~-W!{f^NZ*^*#;qtT4C(3dSNSBmZH2b?<#9mMls zYaiTwqUcjxVq@_ns7!sKqr@fg0$C&Jpt>9`H?Y0m)9%~*BeCg<50y^!bdb^he^=UH z#v(=fpwU^4pw4>Nbnr)NJ56Ycbe7S7$Sw)=9itCow!26Nf2=L+B5O;th4YPmC>tH< zLZeqo3*o7t;UC(9coGz;DQCyq$QTFP+st<3cJyPT`}h_Pv~k$wpW5z0vY)f;*@^#B zy1(={(EE%&(~hQAs_jWKz7=d?f1?LW9|FDF=$W!@e7bIW)94UsAw_4sXCWpr!Via@XYT-)7EXcyWJ_(JK3cJvXWGo;;MYn}x0 zbJB;dY~j5xwS^|>Z=jPSJ!|)uqq-~m+cl+hZ)u?$=_sS0NDJNUy{c)B(MqA2W9^7~ z(&!AK;n+Dct?gdb?l`;E=zs&Hg(=p;hemt*eN6g%Mq5}YG)sDS*3-&Ujy(yE0CwGO zvMXcd@y8rjq+^Um6;ou;D#xHJxXOIi+h1jd;lVl%-P&`%}5C(Dr81 zC)1^L8?${vwj$Vm%cyt~6sdU<#NtU%q~=Kwizh+c)%tt0c@o6pNl>KbNf3)CL6Mp# zK`fpGakqPQE82S!WIK2g>?Wq-Nl<(bG9QDuAD)i`ok6+`&lQ2r48cB3iP%v~2t?z%vk zyDpa8b%D0eUFSLoHUAjz1hM3K@8Mkh+Ufj(q(fY|n@?E<5t#5T}pjb1Km z+laO=8of-$EYR1CO71$hF>Ot#IetUh4RpELekAPoTd0xATL^+;x@6T^As8*Ts^%F3@3?yAHp{yjtowZGgG!DkXPapv+xYIZEc$ zQq8t9=7JktN}0PZ9*Jj*V9VTfvE;4`l)3BTw{UIDr0v{d?z(s-ZeW2jcU`6AuFGW1 zmbvRHPeJawK$*KP-dxs}`OWrzaG1L;mfUrj%qvQnyDpa8bwz5q>te}W7g}KMx>$18 z1-i&`*Ts^%F7$!9>te}Wm&sZmn7b~P+;xF6cU>&G>jFL0a@WPT<9Q%Z=B|q+cU_=| zTJE}7a@S?Dwr$K^7fbHCOa-asu8SpiU50r#n7b~P+;xF6cU>&G>oR&sR{~}3x>$181$181=>D$o$HXh z?jJZ`;;wQo_W8T+eP*?bD#T|l?%*?bGfw78@tKRO?0BO$T^!3}3F#E`v5OBYrBg{I zbUN5hBgMuP>2%V~WkP}N&LHhB&!D6;NpHl_66h?_=F)cCNT0!o#?`hJw~#KB{*uln z-J{fY4yoj>3$}AfKa=NLwr~&WMmRPDokw~So-qP_h;%pUFI$*TI!;<(g!lr|kuo}@ z3rV-eIU4A*q_@apDs2~$UXRDPKwl(XE)R~hT}(Qnw1wA5#b++qE+PH7JlZoJeJSZ( zvNqCXq(79|9n$5bci_AWbOmXvj5=*UHX2p5p^0<{Ve@x!w8kQAo*rONm=rdTpTZcY z2V{2e*TH2$*!<{i@yRp31OFnE@(_9SilPVcb{6j^3sUykfJ@?wO6kbosC~ToP}rs$ zwC(ZV8|+(&7m01!UNpzQaRN>{wEHum{O~aT%oCM%mliU#tvX5RDsRhnZ#24(*jC#1 zjbHz#xP_wK8lgF(6G?X|rISf_m3C=6m2}%uI-T^DQaY1#YiZZn+S*7bi7n}D(o;+6 zT+*ebbROxUrR~lqZ4#QdwJjvQ00%(6Vsjn!MMk5F8>Hc?EPjG%YNOrc&HCgsw!#8C z|G5NoaFH%Gd(a_8x-7K415V#`dITZ2FFxRU)2?g++RaQe;QMFVdS;;4+8T@r?ScJ|JuCCTrZ(#)M0viYjTQ z_X{}b&_ffYzpxSZr{bJVQ}CWk;_Y$C4|I&##}ZZ==s41ka6L-*vGF^J^dNk&Nca7Y z9s8tr;yIv5Z&GRd_y{>=8^@XL<3^9d`JHaG7S4E6X;dLTv6UTsV*epqB0jN|d@q=6 z-m>xavWrQlkiISxkp1k*V=C!w()0#Kb9<=2ZB!xIFsnLb!`uPWA+cn`tV&JNelr_p zEZH!t(w8c2pAECBLpIFYr4`ABS(Tlo_RNMEzlmppK$#6QmTZ_ojF;IkW66eDRq;!0 zp_mQxeK{)o{|W~Lau!)$t+V@Kji2FOk?#M9_1l)jlVsnJ*1fCQx#Cylc-qKpn@IPQ zqlR=Y=|x@P|uVYj3uvUpv>zTOJ2`Fnb$Lxyqlx@sqfv#EA=+RJR*Iacm$dpbfAogf5)ph$uWwt3^zi8|g-#+J$O`(WqiPPVad0w{X3}oTPoluigku9MI!UI3LKb z{#WDlF4AS@3$O`J*CKt_==$h$k-lfNFE*}7-#6Mz9v3&|+LjybA`ef2{?+IrdAtqu zZ$@8{4GHuEqmN+2igbn1S{y<}`k~P!I6C9a*oVIxeHIs;BK=5dRPkOVp02h>2&%ouqV}Gy;e&;# zy~m>V9w^n`V^Mn#lxpv>sJ(}^QSChzwf8h%e5v*xi`siSK2*nnYVWbAy{GvyOSSh{ z)ZWv*Y}=^z9*f$0Iy**NpxS#ZYVYZa?<=L+dn{`2!8dFoy$?j~J<#)vs@i+D>Pa+& z2b&d|nr*#Ju=gblBAYcABQA;G!C4mQ^out~XJNZ7&YUn;J)g-Ym*LzCbOGr?jB)V# zS!gt>cxzu=ays9MfAPZ5bCGZNCh5mw?A2;M*mFrd6I)Z=U_Khd?o|8uZ0yx)PRi^- zI!|m#7d&jX545v=S}A-DaJXdMWL$`GgUMWupCVKCrZ_9lfM=9_0V1!QP{Nj~ZT$Z3 zXn@~}8lSwvw@^6&KM(NM=^jQElJ?ehNPGK)tW470y0pDjG3{-e-n#Vl zdJr(}Z7gYTUHV?7OnVzk+FO@?-fS)HZ7gYTL%U3S8%x?-m-&a<7SrBJmeiUKSyDej zpJU0ATEp41_E}Oh9kQghZU%eFlA2l14s>QojU`KJX1xkb$lw`>SyC${OKPxXmeg3X zqy}4NNsT2-YM{)L8efF{nCWS^wDwt2YdT~}eN1{MSyF3)(^5E0MZeqiIN4vc8;{Tg z!8>dLd&!dOYI|wFxg_37Xx(;3k5v13w$M&T8NJZxS<-Yp=`%*>$v$r&ZRm;X3)Xgp z?3>P{M;g6E+UoM<$BRUVMf0BlV2h4*Q|eYh~P0b|lWf!sw^6eOy~xtI?x;3)Y8WR~oI7 z7ScwS7>#_E)S3=iQbo5gmMp0?DV`A)m>f%%)IgafHI^)?fig>KELlQmefFJ(^j&i20E8ivZMw&k5sax2Ffg{@s83$m2LGx+7871CeTHsk|j0J z#iWuYHBe?rjU`KJ4IfFC(N?mg2D*Y&vZMyOl2o#!1{zte%viFd2AU(4EU7g$wiR`x zk|j0J?xd0>HPA*<$&woA08+`4T2rug2a!sa)If)lN|w|>hmlH_)If)mN|w}`T5ER% zsbonFbR=mXT)zWtB9&xdfsP}UEUAHxCzULzHFWWxNGe%U1D#ANSyBU?N-9}WYwE1O z(@7;uYM?VoB}k{H-fY`QB};0cvq>jOyR@B4Dp^tkoo7_@dRBLcc|3V*oQV?iSe-SO z7)C=@j)&8Ik-noblJS@$N^K*V-a-p|x1&9bF2jwlI{u4Z2cyA8-^b0pIySeQXpGT1 z9Piax`_>WNVYC*bSzW=N{n_XV7@;El)aY(FT8p%yyY_51oV7)|xzRUdZK2&gjY`a8 zk$%_c)$+g?vkzw*orG&dkxn<-Dm@Ffw;3HQeF*e%qj$Ay_f?|{aZD6#KQ=lY$9r|! zzKTUPc0V`~$8dG}GaKKHjNUIT@C#+cJJl0Mme4F|FW_R*pb-y1Q0 zFF7QmJ+{F{T-pKFw49<#cQ7kV)bR(qS*TR%TlnFbww$nP*9rQ=chAzlt!Tcc;*9n% zsygdzC3~Ewf1j3K?08OlSVJ&)k}iPFHs@<`=9T)lI@i)3)ZBf6g7eKnHqr+w7B<}- zr-=qC7B<}(Werp;9JL+F8mL$}YHO4=P_Zy>OO%JHN_*kNO;OQ6#lqPBC~Kf%VMrs& z8mL(4(i7zns93nPE2ewV1>b-qu&~+ zSQxw#k5=LP_c0SrJhTmVqwxnC~Kf%VaR0RK2WjHcrMBzP_eMA2`!>oNs8~4SK$JC5u~4-S%IK}A zv=_d;hhHFpiiO%;WPt`M7B1Od7WhEL!gufxia^CoE_)lc%HKdA#yMOs|73wHDsjvA zSndW5f*UhYXaB@10czeb)1FH(nsRN%|9dQcB$o;CEh)QDt`a+QVP|jB*;XWv(_LiM zQ5XB8T@p8wc81LXc$~K1%Z!GCc337|J<$H+Hl*7n-wu^`^(!5Q#GcZ-m%;s(&aa18 zM7kdi({52pfAdB7jsImuyA_F#xCe1}X;6+1Ta|c(>yq|EC?3b2b7 zD)d*1+qWs+pCwD#a@0vCFU2j>o7Zg|^~m(dc9MN1pLKKyzDz})$nCAzs@TZmfD!A9 zA1j&1@R)-qpI*H|7u$6C+GrNRP)1lL=yWf0kmP%oc3eAy1JHLyzJ6KvDwW80B_&ZV z>LlAF-;`{%ovuY${tn1PnF&<`|F4`f@;jMCR6E1ImohOJ%w$i< zoS+A3|L@>-3uUgTWZ{^wP*xyQMjmASzdY?^&d7sInT0ZqP_nSSiSMAiMtckH@m>UK z%+<(LNy!Sd4_VuGneXrm^@?B%)!a;En!|&*3XtPd_{cV*SjhgWunu2<1%c4;0NIN^nu{^RP*}52jK_U-#DE7 z!(kE#E+goNBKap7h~!{M&V{x2daxAp3icSCT&$9dRdTUPE>>&r#VR>ElcO^^I+Oc! za-UAFgvpgKxe_K2;Qd97Bs^B@wY)!$_T_YFBjtZVm6+D~O zHYj-J$|-ohhxpjMDtKO}_Ehk6s^EE-QYv`52))bHn=KVQ^Qz!!lnS1CRq&+k4VB%{ z+sGY=c=JsCGukc{JoBpHX_N|{P8B?jQo+-yf~Qd`c;;2X(h;?NZ zJY87@PghpK)0I{5bY&GhU0DTBw>}Q!|E1vRRKasU-RfDE9nqCl@N}x+X|}h}Ruw#r zQo+-yf~Qd`csf<^G)e_eS60E(H6eXNMaFEY;OSJs)96F&gDQ9$olmL?o<enu4cO z1y52_@N}x+Nooq7P8B>!O~KQtf+wjdcsf<^B&C99ZY=KY9Thw`9)=MrtKjL%DtNlG z3ZAa4f~PC1;OWXLc)GF*p02Edrz@-A>B=g2y0QwMuB?KmE3e?0`@9hkMjaG9PnL00 z1<%9u$fAO$Qw2|>LBTUuPQmklUD1jvc-q~93Z70CJV{N#)2V_dsVR6mRq!M=1y836 zo}{MW=~Tg!)D%3ODtMBbf~QjjPf}CxbgJMenu4cO1y52_@N}x+Nooq7P8B>!O~KQtf+wjdcsf<^BsB$3 zrwX3j3RCcOs^CdmQ}A@E;7Mu%VmxiqGyXkw??RXD%j};^t3}0+)iJO81X1SET8AS)#d)QuGK+{IsNS{KM@j!=- zFx#u~o}5ctyGux)k+o%vHXUuYXCc2=E@SO3IbLa$+gy5E`QrxZ^L$HBxhJxV<!%3@gOawZDw1r@Sw z)YNdDs^OBF8m?0{TvAiRb*hF-YHGMn)o{1dtq5wkxzAkBC>%}MAm&-N+}}iL_{{&Qbg8?GFhM$ zk#!;>o9`$h>qJC0P>RSp5s?j)BC<|IWCNv$tP>I0Kq(^YL_{`FipV+ zo9}058AW8Bh{y&?5m|SYtc|u5k#!;>8z@C&oruT=N)cHnBC>%}MAkhjeW2JYMP!|b z$OcLgS@(P?rHHH(5!ql%5m_f9vVl@W)`^I0zN3h&6A{@!DI)7cL^e>0$htR#ZnlFS z#}tuuA|hL)CL-%ZL^i((ZB0bhiHK~Gnux61TWmL_t%=Aw5s@uY6OnZyBAf3|TN9CW zA|hL)CL-%ZL^i(>ZB0bhiHK~Gnux3u5!w94v^5b~CnB;%Y9g{uL}c>=Xlo*}ZjQ7Y zC`Dv*QqFQ6TYM>BFhP1(y}75uB?b`zO0CBzO0C>QxVw%^hl$KtWy!$ z?<%E;tWyzLQWKGNDk5twP(;?Lh%DPR5m{GOMAoT@tl3gT)~SfBQHsbq6_KT_iO9O! zaSzXAX?vP#PPtQYM+%f8vQ9;0Z7V1u>r_OR)I?-mSrJ)RRz%j76_IsiMPwb0%Ay1; zMPyxB5n0!SC*mL%OA%RDRz%iehPx;iOA%RDUPLyxSWdYPBC=bdXHG<9^L@_Jy&ptm zbLB*2-#8SeWkqCNSrJ)RRz%jx2ecseN)cH+ot03E$hxv3vaYO%tSc)b>zYg3rHHI6 zDkWe95e;xLWPG#O;7d=0L}tw#wVPA$W2O zbj6Vy;9y4!LveMgihr&xOx$ZX>Cf<8ri$+*dzffx zl=~}AoT}=DXb62a*`U7PLGAdiSP*1VZHb#&N|#b*C|6DpwN+YCLDYxO(l<9-t5ZQ# zqZCASDu`+`5EMjpDu_x-LDZaVR7XM7$7GG7){x$m@60BZ8s*9fqF#15?8^$Gy0U_( zuB;%cD=UcV4#JS8`@W=GKHdaToeH9onjorELDXetYl5gw1yRj52%_f738H>3TT)gK z)s+=Qb+03pZ)HEb2T~B#sUWJ+Ac&ePCoKBZNUTwXML*SkQ*zX)u&7ZAi#in+HA-Po zr^2E}DJ<&B3X8h3!lJIMu&7gEQ9BBPuxRc9Oht4Q7HvHOTcX0E`Lp%ye!0!GsIaI} z3X3`w7Bxy?QK!P9Mky@nmf$eW^fgLhQK!P9Msp^p<5XDG=pa%R7BxE3Xp}1_EPB`f zU`te3l=B~^$>~&B)F_2ToeGN@rLd?|VNs(L7Ii8tYLvpFPK8B{Qdl(ag+&cgSk$So zs8I@wy0_&#?q`(3qE3ZH?NdL6MV$(Znk|Jz^C~QAGzg34ayb7w3X5)dBnC)@Mc30^ zO<_@|!lInGG_g>p!lHxKmcpX0ys&7loUrIoM`4XBENasalpJ*`EJ|v^qHYsRU&Wh{ zny{!-VNp^O7Ii8tN@~KQPK8BDO<2^auqdesi#in+B{gADr^2G7CM@bySd`R+MV$(Z zlA5rnQ(;k36BczUEJ{jY(VPg2<~s8Zi9y%EZCX)CcjoL4vF5;?p)1!b(qTG#``|Bt~ zTK_$msu1ZRb}=y_Ql~)rbt**q1Emxqbt*(^wiF_DDnx3w6e4vh zL~3J4AyTJ8q(&)3>Qso-D1}I!3X$4PnnI*bg-CfrHz87|LZrN*Pm^~DjDrf18l@1a zQz24Y8-+++Ss_xVLZodv4iqAFWrawc3Xxj76e4vhL^@Ynpb)A1Ls#s&ir*@w5UDFG zMC!^5k>(cSVXvbQ>9w-=RfyC)r6@$|REX3lg-BglAyQXXh}12_bLIaQBF&W(BJJ0N zey9+seF&ovsZ$|Ra|xjksZ$|RyYW+q)Tt1uQ3{bd6(XfAg-CNxV=lcazaO4cAw+7& z{2|hhsq#R}X?iY+a^-|eABBBhgiGzi7lljnB3w#l!lh1yOG!<*G(SOvO9?4lntK?E zK2@2a{?s1!lk3pQC|WWKD;qm{0wmpe=-U$aG9JYP9ZtFk2ThYsy}fhH?LB? zyd5pgc9Uy^Q>k9FrBts|sa~U$>U9_42brFBN(HIjT%kKU-9f7N80noz^%g4Z61*t9 zLyJ^zzJpZn>&L=gqUAR3o3AG|sa_{i zz4-=Glj?OM)tm23YEr#UqqM$IP)hYWk?IYUQoT;3dIP0YuM?@>Kq=MhM5;IczodF|J4(YHqeakq*SjHsop>-)$2s6H=i1ywv_61BGsEu*>OavUMEt$ zVQrM^bt2UpD5ZLxNcHCFQ4yqibEELmQ|KVoyXQD;iAePpcsH4EQoT;3dIP0YuM?@> zKq=MhM5;GXO7%LC>J5}qy-uWh3u!y2f>dvAz+m7GQoX-F5xoxfk>16@ffQoVsj^ez#p z-avDtBGp@{v9;Baid1i)-AP5NH_%2>k?IX}0I5jz77EtxAX1U)4Rk1}Nc9Ffj8vq0 z107B(QoV&*Yj*^xNc9Ffl2oL618pJ|sop@xk&0ArpyNqJs<+U|);5t;qpCA0n+Ur3*->%d;MBpCx@lo<-`cg%?Q|m(tfrtFWJg?NZVn zLOWRt%Z);mb<~8Yqww+3r(!f!_}DxNDSVt);bT%0K6Wa6Olrc%PKA$2P59WU@bSSq z78E{qDtt^2J`+B6Dtvs_S^jQC;bW)5$86VxkDUr1lbZ0cQ{iJ$6Fzn-d`xP>$4-Th zNlp0JsqitW2_HKZJ|;EcW2eH$q$YgqT5-Tu$D}5F>{R%e)P#?n3Llf2@Uc_jV^R}7 zb}D>qUvepY>{R&pcpG06K6Yh=k6l^eV^>!A*p(MPt{yvjY?OLUPV;o@h??sWiIK|t zdv&^Xc+GY@lvIb+tUoMNGp+Ml3RPnLzWg@RI=7|plig9hQ>(LE3QzA@thTijUfsS} zo!L@7cI@fFczR1=b7|@&{q5A2!c<(oQeS9wa!cWLX=k-WS#9w7R0X(7GL} z){SM&g^y)L|InpNnrr?H1y|doOzYz2LN&UZYSG1unhU$ikFC=Sn+qdw%}PC~OXoKi z{zsNtbzXDf;-SUg&TTHtD5=hFu7=ceuOWCIPq&V&hSIb5;z_q2Rr9^w@T=I1xIzWG zxn>L5no6s;HP@bNF`fs{+6%vihV57@G~S?~`)N^Bdyc`p!KiKHw6xHRN$Mt{pcV)Btq?fbxGG?`dMRz$ zIa@E%$+d$;b~&!!P1Zfw%P!YHDmDWp!X;OKk1v0Y7IO6>om@L~CCYKVNGI10{0QZI z{VQU#^WRYJT>mVdscMJ3>up3jxpt4G-bSR8YbU>f@~-t`#b(4S-d?1WYxn+x*fdPW z8f&{OLV13D!!>>Yo^(RPozjL53&%&cmO==%8s{aAjP?OpN#Z<#6?Dm=z z&f4g)xM76c^-?&aql2>zCt+l3QUg)hDUQ0xNh)EG(T{79Kyt^L|=m+}|k#~J>SrlLH5vBAu6T)x& zFDu%uNWyzOh}V+_z4)^JOoDlIN&6v`U=h!?YmF+)!0nOn1?xz=^~i*&{z`HCHoM|* zELqByb=$-f*)PQ2mrpz573_ZwG3K6`p4l!#aAe4Au?`8um_3nOZgDMQBabLXv=iFC zOg(zc!4x+NZ_q^z#L=NRI=*rF+u(01fwkY7Gn>l0WXW9`aU9X0U( z%BdhnyL=GwV2okECGwFYn8}FB_YDuy{@=mv7Roej$wIcIE0Eb*zfey>o=!4d>#-hH z?H9^~PszgeCcc9*>F6!6=cIo!0pdsP%Szj@b7-Nz=6Yq8tE4-+-mLvbrmFlxJ@sv& znwyEtP+;x(q4Bc=rM&Gog3=_^npZ{+qWf z*w0B)h6Xv_;W2>suZ~F>9{N5;C`roTZ(ysFlp%cq#_ek-Wq4CsS%;G{48H{1mn3EA zE~j6Tl;IN{ha@S3jzf}^p@*CoUq30s<#Lr-t)vW_%lX(L!GTONCJ7GAaX(3LfXQ4O zBWpo|gJ&;8KavCo`mVCpCO8-{4SVT7kl>(PSoKJJ3rWJN<$O%Ssx8<$39I&RY*E=T z>G(<f;5ND% z{a6cP;JzdMn2K+)|372ko`C%ti-B7@6Dvz%;N;mnDjQFB@3k19B%Vyh$E_3bWN%@I zu1-AJh1bD;t&JzUTYC2u@nq#>#C$T`?{ghV{3)Dl$p?oY*A0G=e$9wr^S{F8JG|*42zNlHl zfo`?>;%1Ep`kB=cYUAU9?zH-{<{BRmG~4PcnrnPS&}~*<*<9m8f_`rG$t`_+OwbKh zpW0I6gM#K-eR@lcj|#fS>N8tvd|1%!R&Q&m@o_phnjTHKYRfpI3K%uLwI-u}`*M<8NL>nejKj_gv#|o<^DRH%|(;_?!7C zm&D&Zh-!$xktlV>-`t6Eh`*VG3gd5nhBD)CZbF&yH$U-ZjlY?RGUIQ4gfioAE=M`U z-~7NY(D<7vvVie7EwaGkZzlP&#^0PNMizfF9%aVgoQQIWzd06_5PvfY6~y24N}V(m z=C7uHjIbU0gP#%ajpl%7jeiyEF6Z@q=56RmBE=`8^iA{n9`|$f`Tv&J_sHAv_>_2k zCtlx3g!F$wif_^{al9v9-~Yzz`>s1MLW$S6zDTTw6yHi2x3BH>y?8EKS%s!ZRE%5q&`~e)@ ziP!g9?)Ba0SJ+GcC9m%TAI6m_5z~?>rbJ9j-&7K>Z$A#@y}nmHiX$cQ`qn#G;`OcL zu-1Bg&z+Au$2UyY>Yvi*)$;moL}s+Q%E$08#IHB}X-{0tqdz^4T31=X1jk(B?k=TM z&E8#!G(Ev~I_WQvPc6`yq(3aBZKU0XmDtWEy#k+k>N1iJ3iG0KN!`9BbROw8JC)G+ zq%G1eTUbciS^Ar`b{CNzEG>{OCUtw3&?Tg2?^r^Ykv=H2!rEOyI%3xnx{~y~QX2Kw zk$1lzT4I|c&6c)XM|!brMQm&9PWq~BF=?aGsH~*ch$pb0*Qj^@dJC||b;7%Unrz7$ z_3mHrB-WUC_v>9_HN5*jmT_AR@BZ_C4g0mgyFdFB`mq*x_iruzSPk#~8)3i3y!#(t zh?OPY{kUnv58}p6k(syhFZkDGhSe1OEZ&Y!qjvIUSdbQ93EW4y#NE)2{!Q)Or_xCJ zM`ru`THlJhRA`2Dy*i~cq=i7o8vU84bFGEBMsJW7swKlI`Y`B+UA2W3Vw?TF+RnYk z=!@;>8$H$bJ!v7>_FrFVMLXLQH&XhJ&cmyND)wt_Tt(Gy(Yw`%tGG?< z*V?#>AG&#`eejONc}vkPi?N5h54@3`BtCaT=Otyx>BcD zeP(mbK+I63##p_rxn|2@sGnf<+08Y5wnP1LtIut&*wQzm>*0{ zw>lz2{)XJ)sSB)*$dIM7!tYxhks%{Q{^dtjM`XxmyQ6-k)hD;qJSodBv^pX~21tKq zSRIieRZ>6F>WB=fl@T0ebwq|dC%-?|>WBD zmchO%GB}&(rL7cYM->?q+jWxIu=fPSi!#1(oMFMu=YL!dzlr2JSJOl>?76^3wOV+ zV1~4Gu`MO6z1G0xW`&L6vi<*}KYS2BtbN&*6GnC4S*nY3U+CXA#q8`3FskipiznIN zS{B}la3JBoS{C}I4D4iu8|-f#3)@%-!fA`KDUaJy!T{>bs&@CZHkdMimDSPu+s3A$ zsZMWHV37s(+ym(n2KL;6G6Q>ljxqy#eu^>!d)iPAfj!ru!oZ%ZP-b9HE6NP)nTB#n zV9zC}GO*_YltW<8`KU0kXClfB>^a?Y4eU7uWd`;f@3{u{j6s=!J>Nr_fj$3&G6Q=K z^JNX}8R6|Uut$Ut8Q8NI$_(t;)!S%bkG#U<>ou@Pw5A!@GuYc}V2^ll^&6St@e4C5XEIFN$y@U>)uW3`|MkKX!=wvx z=;mKD8@Ajl^$fmIrRHb$-aU#KYSg{PPT*PTze0+lTQ_eZA78Sq^UjTP{UJXT_Z^6T zq10@e(@n3VmUy}U8!z{nf5HeQUhX)UFb-eg<-WNr>yQa|(4TSKCO(n+dYkw}>NusFNVM6DZsBl8(Hk3J`a|_Cx z(76HSk_nw_QRRfrk5LX2I@3|%gwACsb3*50&vioQ`zUil=RD7KLg#FhIiWKFWlrdv zj4~&5#`&^N=p5tibwcO6D04#R2$VUYbEvn`37vzyjZWz7i!vv4_Vo5Tp)*WuEK|!4 zD2EB1Z=n(F^aVT>_ zCo9}0biTw@res3rQ&hu*&OcD$gw6_-!-UTJsBl8(ZIn5o^JkPfq4SzA>x9nBD04#R zd6YS!^IMd|gw8_0KqqvbkOj=t@`x<337rR|j8rQd%Y5}`nfoxU&H0WY4SEEpX1$h8 znFQx&nQnegfAOR^H>5Mx)$JoR;4;w*7y0YyZhu4Vd|bBEoy! zX7Bpo@*3y>(vhXM!%620tu>MRaiqPag+P~UKiuKoRz5&rZR08~*5~q}xXGsLNJkiT zxX`ETM7CLMuQPg$3}u6y5TLUkGkRNB(9R;|3_8bXRCW$%%m)~YWDe-x%mK|>fe}jP zfL41BXzPz~(k632`gEAg0qHob1#>{Je2nusnFG>$&03*`RBjGv>c4RHNald_tV!m8 zbR5=-IiR*Y9Dc6T3t&m77VZ_N-l9v$rIXuOV0AoV#~}v%9Yb` zxnLz)`G(CTEtEd5)=bh4*q7<_2wZ<$rs>ux{yMb%C#d}zj~MCH!#Yx2;^xSxl1}_k z?cGiCJncPo@Ps>kM|+;0i^hud5~Wd2R*~MU0^dUM4v^6~<`ZO%@|85bDc=EXNtCOS zW_rKS+4t~*%l)yp^{1$vS|3%X6q;p9(l6Je;_C@bm3vXg51I>~aI+f5I zX^ZUHjcuRQ8I5vI8s1=x-zSZiwECl_?<#D`J1Hz!-|V@>UFu5c0JC@9q#v{$MA}7; zFw&u<$H-Qb4kJAoDk6anH<}+es0c^Uw3i$fY+xiQCSId-KkIH2=@rs!zxB`5mpjsl z(lOdj?tP`-==`{GFVV}kY)8Mm*PpuJI!+Vv!_E<%-T zg7%^>QM*7+?ffBU`+dkIZi$>G`O!)jeM={FT@Sg!R83I2VmqUwJ^g{w5q~s#tIX{< zv#sm?1Ai2_FFiHe@%I~@FGq~CqifJVFI8J{lu1_`9ZGsk_YyjcbW>@e+AhMwNe`E8 ztKp@2*UPlsIdVFYPMl`+kZvV(`pZToGj!;~?0(a zp3x{*ZhHP++54+8J^xaaN~Y)axhc|Q>+AWb_H^qw&9&ZO)h11~-r1@nHPd<*tB%k_ z>wT>{T=T4VwdycUv);{D#eY@PtarCM(xAVv56;vcR-bKY*K4f~XIY^jZGGDHo>qr5u1~w(XmvR2w#tWtURH-QuTQ()+v;%k^=a2LR);gNPqbcXbvO(A zOzWMj4rgMYYTa3VQFGml!rpQ_kG8TI&~4MHsP>L}h40&6x@x|Hhab9X{sCpq>@Px@ zGyBh=%$faPqa0@TA47#R`wyYanf?1w=FI+GD3{Fa-;OG0_GhCUX7+DJg){rtqs*E8 zYdn`LLG9ofD06223eRHR8b7ub}lsU71 zoVU@L{n6e=XZDXqnKSz%y}i!tORNfK_76lk%WR5-JrK{?Fq ze}S6`XZAlqnKSzzqs*E84}4i?_TNL9Gy89$%$fZqD2JK-SN#H=*?&nEaAyBGSzt5! z&q_IJOpQGW&gREux85O&IHSMY)_N$XW*xdCX7>H*ahyN=v#ra{k9*G;ildTX3Bbjr zVDb5J*}Ut2jpgDy{&Z}w#rn=ap%Ql?T*8Op={Hk<*BNLQxAwD*MtC+4woMl+%}+Re zP?0XV(&#bcjxW-t8?1!`af`~-Kcnp~`GwhzI%#~7PM%}73viPQwvD$Njd1e}biUF2 zgbC*# z?2q>-Hwf#hk1y&hYit|&EkDQ$WR0%^)n`u9R=C9NgNLg6%oL+b_VM=aQE4Q7fWAq# zO`M|id7Rhv>6_HH@gk*BF2Z|Gef~AP!eWg-*JptGJl41u&b|67wrxw?A?@gHFX1T^ zzx=MyYTCY1ht7gthK;JPA)THAXY}I*K93f4Q+3@Ow32`;t8Hn5n_BljMQdaY*vO>o_EN;Csko8QBnPlAKG)TEphkD(+O!4>F+%)?Bt)-+em+com)SQEgWp$ckUD0 zVLPd96Y1|fwHB6;w#d_BSlbcSLMtB318pPyou_PpbgDdK?PPsu8f7hY>B&A+TQMIqeoZbVHf}9Bs4dd z{TqsS#@bC^hp+95RvtrxxfEaFZHb$LeVt3$96)rC+Pl4QVCK?B+en|n(|e%9Mwso@ z=v^*t?JgmGM%I=w+H|zpo`t9PT!u3l$19C;hojGN_ik8-=NtPVH4LXn;$@>pfmuhqv+6`L|!#4i-sB_A$0$kvZ$4L-P!M2Gsjq7Qk<4DiO^*_+@r2i~k+eD*LZqa(US8nke zKczPRM=F8)bZ-pOeVA*@_vN7464wU9Ko^jHSxOg@UX5FBuzi+vt5Uj%bWdra(bo1N zX-Yarx|p<+^o;a1(vwSVmykYHN|%!Uu(X9`q#H{g*0(+^Cw*D^+t26<(gVeo^kdSi zWNoA?Noz{!D$<402iit6Oni7uX}ej{N2L!NSRZnvM~E$HHR*D7K`Hq`gaRHza*S=w>z>Gk|ocv=Hbl+u{>t95$itEk+-a76P4P zbZ@cUl(zR6oha=F`jF89V%wj#3yh8u+d!W+dbzA^Big=b^fDQE- zL)r~=xzUfL-2t@y*ytQ-H_%l|qujH&TxD{b;4uQ1lxOuW`e~y)J&uYpyv1`te)Q-f zoWI!a#HSn72Dm#=+80#8G1Hif1?-3j>}pf4kbMU6JmkB zIMQtYh`UjshmJLRJ09!;eepD-r^=XxcAJeRS{;6GM!Cgu%5A$8CllVbHeS!uP1nbr ztE(*NbC&LXE{SsG{D~KDfb%QyC&r@zMltax)^S)X{E5qX0#DlzNB1|(6Zm=Q^J;kl z_rx=P<%V1Im-Bk^wmF>NQM5;YwDMomd>DxvLzhf<|E4#ld;MG0TxB#8R6T|Y&{L%aCjj+abLaSov#@LcIs#P(5 z0M?i|#_Cn@D;#6rlSkUk@4>$~JMCR&CB`j(*65RP*R?laE^+6|vo7gWzWcl&&(NgP zNlz@bok==OR!G}6qfzb_oTK&qAHa!$8Qb1l_&%SuDf)5pnNfY8gU|8qI$YomfuDGh z9;D{^Gclb}q~BNSZjukzp@qARUXQCoeP6cwmeB^hmKSNafjV>_;k+!;eT<$epR+>? z=PJ#QJ@YuMZGxWK(d}k?k$h+mw9}Tp-TX1_Y|m8cHj{RP?H|o{jM(;NyE_fio>j^u zaG*CDy$aI^MOvYLHSW1~w#O@tawBDUww#E6kuvV3MZVo1ZH5zPUzq^i!bXTo+=;lL z6>07{?Z-c4e+1j@jbdk((36awBipxyZOM}Bjs8_y7)Y9aUfXRJ+dz*sdW-Zd(5H=l zA=Bi64tzmd*h;o~Fx$P-=t<&qFl4&!r6vC~I$8G8K+;EE^esfWg)q)mJ?TG)H?7di za?i~%LTiCM%gxe{wLqTb1?k6Ect@X$k*jZf8ao1~_F0|%gZ->6aB9mT-J6HBEpVI5 zE)Vn-HHRrq?Lc?#;u~=<$j%M)CZlg*zt#8VsU3G!+imctNQWBzQjY#$dxFt1{uE>j zvy?`;8FJihGYwY*%z%Ha*>MU3vEkQAD_dJO04{N#$>ASpje1_Wo8%G`=tf5SNbdsO zMJaqST&!`8Dcj825-UrR%wSLAo5(?OLvy#|W{2mqtiGX+7=!^D zbNVq+K5fx|T;i6CIq5R9&yV)D@15;$XwxgS6}MdOpy|{^PwR3<5AhTkX2j2Ldacn% zaG472)@@{TcX>F^4mC(AYj2>xQK3iy~2aH~5^ekz*p7a@`^JJeikT&$xYr_>X zcAZI&GkQInX_s^&>EWfe z=aG&orIShTk+rdfDWtwoSC&h4&T{Y&jQn1Px+ z-m2F5v+x-#z+c!dJ+u++rk9!NT%-R}O55% zSCH-~?PeAiGX1g*_u_c|T4i#=Q*}ke%Xlr!qcJvdaQPo+aCPdYhZM~&; z(eLz@+GATBaEY#jUW5`|2_1*>x)OcHZWX1jvAC%D&3(oq(b~0Eg+@cu?zl2t9m1w+ zCT@xPbyi>8+~?R)$3&@_R!7*?D?4KOU#vc_x#oxRd)DVR_c`u3NI+yS!=asNo!%^x z3C&qK;WDj=;d&LWKL|SL&0k{&927%hH+4t-i8Z6c>JIwN=fc zxUj%#h$s7(bnIfQPid(+Z9CNeWF1BP+37o={wC|qeI^`_)@E3JGUPBe!}1?n9r0`T z%7(pe^_eYwCSp^*Z`C$b@$2bUMReRbSbBj~p%ifrmbO|I+7Oel^g>@nj=VmTu<%N& z4X-=i;?s^Ar13nXwo65Qr*(jRKkK4Pf48On?K`FGSAe@{@xAV9K~Oufr-Cc(Z|&T^ z3JL@CZ{I$fYT;b_t8xa|d-$)89UQ#18eJ8DjSy$_%lST$&-oZV4(3v3nI|hS~2GuA$GT*%n-X9P-ckTwZ8l=-h^t0{@B}V zh~0FQ8De)C$_%l)*xP7`-S@qXhS;5lGDGan_VyZLH$iMHdEUt=hY-7QsDu!^V^C?# zG+cofz1rM&Q3)Y-N1(zGyF*cCh@E6vV2GW}t!L{s#BNWN8Dclga}BZE0cD2ReM`87 z*lmS!Nr>I%s5WNmFTx91?M54;!VtTDD2EWc-l#Cdt~<&Mv1>q?A$A2{)(|^~GDGaL zC^N+FOPM-J*Pl>{wSD_jRPqfPV)qYOz!19?vcN*@-k0+FZdV*&r({LGBlqCLFaPn4 z^IqM_1O_BB#mf(*z3{-~{w7W!F)yAk^t>@+T;fnlv&suB6 zMrE9t#ID-*Xs&(o#<+CuT-E5*L78Vwbt+|0$juN0S-%|v|tN^qIBbg7w(Q=bQF zm^Lh4eI>1E*GtAp=1#@6>3`XjuCIf=xLuXlXKhvT$)W`7suDoypRxN)~AV%X};gY+(vnzi3JhOhSZ+UwcYsm&I?N-!C~hp!T>{EG0^ zIlIcNOA@|{os*w1=o=Njdc$tmb?a{UYB_$?|PS%<^tF4`B{mxRxCm(wo^pZi3|Aqk(;aY(}FFvE_=sIMJ9 z_l#U+Rx5n2T#!@CerV+z7Ub0b0Q5OYC5%sqxW29xK~Ci&fxa($=@@+aS3O1fU}`Z! zt{=YJ2Regv8NQ4LI@4%WHWFyx1MyJ5E<^&ocrXSji3HLI(IgT`$03OX(s5X$kwDqQ za7jrbf&8OM5((sQtw|)1KM%`C0&R`+WpyHf-aH)VR}u-NPOwQNkdDJz5eZZ-9_G-I zIJ(zpJj}JS#;+X@Q+)(lS)=hVFUcC$iFlad|AQ@Aqwz44kHi|2co@AFtVTRc?kL<# z)^I#bxuApT=*QX+bkO~1^kWSN9hCEgzX<*KuXw_jbM)TsyI9#b%+dR0^m*-d^gg%= zy-OUu_2qMoI(qjUg^~ZJIeM4%AU^UKY)RrltjAE|L9FBOZ#;ZH!lzI#g@p0do)}`h# zypz@8LF_$-x3)Swh`q<~HdcoRvG*9>#p)k>kKvuI2LEAr44-YaRn0Z4wnsMMuCsUTr!G<_kAQk&5P?+>Ed%{LsI-}Y%Jc=k)Z42s@I_){zQB`F4!*$Wq7r<8&q5{m0-uIT@C7~z75V}n zhcbPEN25$%;G?~b`T~zcnZCf^_FR2|4@8;1!21X{U*J7ZF7XB41=ZjSyge%P1>Oeb z;0rtm75V~ihBAGDMLdnZ!0Y?6`U3YtnZCf?P^K?%J<7osxW+F~U*Mc9pf7Mn7ML&a z7vf1AL2hI8_$YNn#f7-C(NA&wxV`Zs9C@>D{xSU(ukfcV@KW1lM)Rkhyj5{+D~fb*k*;J5FO{|%4b)xlmI?I{JlO3y(*Cl=q;;eZmD28{ zDcLsKHj*}%+72MSxReee-9@&IEes{yw6xt}q#FzM7c2C4IO$E&0_h0S=VaSRN0R=o z)V7KA3F#Sa$C3V|bZz5FFDY$zBIyT0V>_ZIlP-|8kxnJORn|s2o%G&P+nJ=w-`B>=q1C;?u1UqKQwpjzi+p zt>dspeYzK4fJ;i^(~Vn7{*=V0+uvFfpKgC1miOt-U5Go^YN$KhcM;C7#HYK)A6bb{ zw~oVF>(f2pVjSIT)TjFtS>xCC>0TnOtWlrtpI?GCt`k1py{2MI)~HYSp+CSH6Q6Fq z7OaL(_iGrpwZW%*%%#|WYe6FU<vRvxC-1JAhLx?oKHdH9hmb?6t>O^GuOmzIkqZ&A9!0yL z(;M`KdIJ_36&U$-!V7#!s;_x)Uo?^tIupv$L?QReO8M)c0XeEww9Xn;ck+;sbW9e>@uylm~-~4w!Yad zH9aK#^Gd73|60;F-(gkwUrWB`xxVWCuTi_xYQyW^H+SVGbNT$@N;o&yjZptj!oHUu zs$hGw>f7nCuK*j;;&0zk3xe8HzNg@a_P2KKF$yjitAA@**z7n1EQ~TBH@4tc-4~i` z^s8PEW%^ZjL79Hlbtp5(auv$KuR2DBe$^?I=~ul<9JD*nltjW^{)KXhU-jQnrC;@5 zQ4W69%TS?T^ffVGzv`z^reF1wDATWczAvj^^@HAC z{i^RnnSRxGqD;T)Io?M7s(Z634U-jWAm-tm5 zf@<)qJ^&T^RS!ow_*L(Y3jL~gMwx!q+o4Rq>aBfQ{i?S_nSRxqqD;SPNo5)QsvG?R z^{ehF3+PwfRTh|Ebtfr{U-faLqg1bW_+C*&f9e}A(E~d*>(F1*uez6a^2@d^oMd@m z3FZU%Jg@}wCYATAzUOK@pC*3Q*d5sS2;yoXd&uEZRU%S=w|l+}Z6xGnrBg9UUw=Mr}(W_JReV)pLCYzdu8 z+N;!d8tJs^65HvdKTVa;8Ke-QDz0rN=}Y}VGx9b9KeJh+HBi>9NZVXf8|kh>X?qLl z;K~v@oAhWrb_d%zr2T43=v>l=rN22_+dZUBrR~lm?I$D2K0HJ^LB=6#TQQ&X?NYjc z^ekB$Z5NX63`fI2pCz4-dAJJyu7=TBM4E=YWuPyT{vEqL(8Z*GLS$Z`uaVA^wQ+ox zklrMeYg^IVnNzcVJX`m}fH!Xk{Ia zBe-!kwl9ezP`~lRAdOg=+2;&@cWe6YKa_5RdtQ;=W_06r^kbv@_!b`5`(x8C|I`)^ zg5qIimhGPSFQxnA7F(qE8GWW5O|4Yhlc4ihS-}?eH+r!2A<(Ohp1A>N9c|w&;s|ulCvgNi4*#(@f_LO9^R?p$uDcDbe8b`hcKrqVoWv2V<#7Z*k-hX^ ziX%974qjr{g*bv0cVLi`I0AhTP2vc29FjN!9fvggTE-6VI0p6ICID(uWe@PsH zABXaB1S4?1tWF%k7x&`)O5zBTI08)LzLvgXEsrA@e; zID)^+8rO+9f-&>3C2KT}VAcazV-iQO+HnLM{tEY!wIDbA9Q0#th$GngLG)uWW;Ls` z+v_yxu%3%_RA*wApt`|6M-98v=-K!-Qk^*fLgu&{??F0GY)Kb9Y_<=yvwd1AKC9q6 zV%AN@w<>ta+vlho(T_D2M{w9fSlQYeN03{Bp~>`m8Dr`)^WV(+N2!m{=e0K~;N(Zp zyCf<=AO6>9RKT{6V&vC}sDQH{!6|he{1Tz+yRz?MEYjs8iY-e>w1?*sTMg{C|bw&jY^nMpU zD&R*0ad$l1)`zG7pTd2-)e#kN`te9Uf0oseUjB9@+)RDj>Pwn6ir^ZnBUimd5$tGH ziz3*`R~bdHv(<*z{n@}Rzs9AzM? zz0yjDUTo`T;Zg(Q&k+I^2E(O>0SjB8%z%XfC^KMT1C$xC&9G|s=m!r&pg?BvHfQ2_v zX28PhC^KMTG0F^Bc)^!7VBvS(UIP|>gE9ja7NE?4g-5-O1}yx_+i1YTy(lwaVXn8= zfQ4U(jRh>+igE~8xDk~QurLdi5U_AHDj{IuN>muI@I#aturL*61}t3YZ8Tt^8D$16 zoa4C$ES!Nd0~SsdZUGA?pj;BLFc#Giu+W4G0~U@%IRq?x2Neb^9E>sp7WPM(0SkNk zvIZ>dhB5;dc0!o}3qw&30SiO?0u5LgC<_>{u!$_NfQ1dE9Q8`QvMUa;$Kwge{LMgx z4`=Ivotkyc#|&6_+@JN?*4YeLAZTO20>R9$2v``q5D&shzyfy1H!fh|2fxMBQWCI` z1T5s3bUO)HNCFlxCzB+C&qTl7%1>dP_dDF)*4==Gat_?r{~ou6#DN#I~Nc7a6@+XangYqdSNjdZ0a5X$$9yYk8o@8l5e! z@SWMhokoX9A3EFjpr%zux0bC4^vch*-Q9$Cq3r-m`97i@O&qxKKJWg6iQs3;Rpx6u za3A$DTKR@KaHs!>J|_;`_%w(&-_P+U?sABJx4n7W2b3O`E~P__?uEIfxGQb9Gx{W^ z9*cB)qi<$P=?+Fu6Weav>6hP|cT^hXKF5?|dDDlsItfgJ|Nwt>ch5aO*g%Q3vinFTo`xap1-+C2`%}k4&@!V zJN+4VuGL5c|L9+EekBgv>QD98g<}4Th{os9k}0>R@SHk_uX${ zjq8L1cmKDsC2Q1y`{;MD#>9bJuLY}-2>vaM+uGp3eeyEwzqKF{{6C~0Yk>pz=y$PS z)>I<+uJ2)GYp(-$`9$!;(P>Qt|AKcN#ADXKPXzxqez5ksd^ase?-G}9eO+CnF5jE} z6(he+xO|`R0k$M@`PO47arxG9_%|-!y(^buo;lMBpJ;LU?qgNBOb^`Y|6}jlk3uE_9hj7eb|rOQjf5x=|6Il9;Kco1}ZwWiF_MRO413!k`FYBIF|( zgrN|+Q5b|Igb*sf_p{dXtU2R%_`c?q&g(Q*e>BhYUe8&3@3q&yt@VCC3sWqO*$1Us zQNUZXzkw;>_1WK(?_PBV;v2D#MDi+8{4S<+BaysH6#oJHGdWTGcJ@IbpH7tR580o~ ziQ>Oue*q_o-^i43P|C-(NBj@W;RdB#QN+`D`=F33ig*(H%a|gb%6=JB#J}g?mvEx_ zR$N}HDdHb-F;m1pR<2OQ%h~IevX?2{pD?9#@AsgHA3aC&O9gDWaIOZPc_wTj zk)IA*NaUx&77}@D*oH*j9FCC4kAp2F@s(0WzrpsUY7+TRu!Tgv2ey#NzlJR&@-LLFN#viXcugYT4qHg%TVM-` z{9P5JN#t*;7)>HCgDoWTmsPwbk*}c`CXqi2+mOgt!Z9TB$KeA(0ou z783cLu!Tf^yNb~y@*>zmBA=^pO(MSzwvfoLA)HC%d9XuBi`g`UtIJW;GlFdC7ma&Kyhkl;)pf z%>mp~zC1unu5nK*B?eV@!#Nik7?jh{)_LaH|cw7Vf>0r@SXWP zs^2T}J@rD}zxbYN6_3`p-&6K`%6?DT?FoE1M&BW+Xl)UC?qW2HWTgfzbo3Z;FS;?+7FlU+k4Dd> z8tMp|&FHUGL!EZK%F}X2;|cYCprvhDuP}Nmp=RZ#fib$?+o@iIKEPT*LQ_ zHc(PrLErW28p;Uu3Hpz%v(uaIGF6gkh8q<8sRnAXgMv{sC>T!i*f$kt>Ch#Q{%`}1 zf7G-Cj?3+UqneM5{sj2rYT-&aZ2F0CL!1xQ#uhD?UJpcvAL8_vQPS&BnPrCd@3c{#eCWSYj8#7M9rgu!SYo z9k#H<&Vg-MVrRk;mRJYa!V)_Lwy?xn!46@God{Q0V#mTZEU{zY2urL!Y+;GjQMhJ_ zrNS1Ln5%Hj67$0rmY5f|u*42x@={o0zbad^#P-6DOVuo~?_di{Y!_@{iG8kOG)t^p z#b}n;HrT=v+pOX>OY9wrVV2l>*oGzc8XUtCdkKzVi9HX;u*6ou5ti7Ku!SY|7;IsQ zJ)~kZOKb^jVTs+NaLp3C1GcclZX=voVhdo0u*7bJo8e8Jg{v-j>})u~61y68pb9igtREFfs?pw5z$~#IWMfui zU{`dp4e{^ckIZH~w@r6x&*IzK{>7|DTn26&c2>jAYS>u~JFC&$&T8b;$9*~0SC3w< zB>Cj8xJUlWW;NPfTqx1;H z%u{d$+vU;F*ipSaTDZ~IDW_KJ(bsb^kDuzJWf=qBj9q$#=&x|dfgbpvNR6QzAKt2@ zBrqJQ+YQ=;;{(}HHKxY&>*%4_W`edz2}Ntisj)i8-wNAGjhj`IwpiT%RNVg@XW(hr z;x<63-qE@iDG7AP{9>wiCZpxuReXTfmrRY}#lwqpXKM6aAEEc@*o;{kb^H$QsHq8* zWdvJ%_)ayd`cOM;u`90f)I_P9O9B^ELOX9(@qx<-O_JCTQn0hA??xO{sw?RDo*G?P z2~C)-(fbKame~7BHTnzfTu{&sziV_DDo;%j^xabsgspYNO(wO5w9u%r8m&*~#GpT1 ztI;5#sS-PFp+Kj19b1~T<_&8!npT5QY0ZTib^N_intC4XCX#&&EK{++ zv`1^Urq=JywMa=|FEoS(Egi1o1COIoQ@j69pQ+4=j1oN{^?X5B4n^O@R{Byu>iIs$ z?sZf@3Oqse8uVC?M&H5@D$xFno<@DBm(*|rqrX6ro7$6mc;;$G<1o>l+EbRL7jzTt zZ;#XUk_BtaIF=IKRG=FeT}pkk2iLoHE2C?vbp$PA)bWQ)3vl%5XiYo&fhNbluuE_C z?T8k#nhxFP{~|3wI8DbwYPUn4{g~1TwN&HTj}Onn@!8oAJNrSS-FEgvy#Z#~rMGlD z{A05pjXUEmQ>EFDqda(pICCxDxbQ~aeV%&fJ?$KPo@D1_JE<$|7x zH`vs(xrVG!vNigWk$os1$LIihcM~*YJfl}DG>cnD(5Y0z8N3hWQ#lsH2tausGNv&) zU!hz>v7mW$F3y;*>&>`^W51*QJ%ffBa98TXsN?TMjn?5Ir0W1-sFPkwD-V4l@GE^Q zw0}U?A|-*@RCoI~7#$RkmtFkxhf@nR?uuIMyjm2^t98NkpH}N7i0Y6+=qatzJ&3cE zFu1jomiC)IsZx+IxJ?CE%Fzi!h5)Q_hPwEjr*#zxLx)y?g#zZ}RDjMiIx_HiW9)(a zzEIGm*dv2Ft2p)*imfAiCFl-F?9yuT$5APx;n#k<{!J9z#H2uv7u-dqk;Z- z?wi;`LA!;b#e#m0R|>C;{FVy34{tjLUCU^~m@yL_ua|GIf}&Ch5FtmC4tlN{cO+? zLH7)7tiL_pq)Zw<}G`VJqKJy@IZOZjeSVLs6oiW1ZIHH2PpX#qxD}&I2iECGM{#0O87jg)*KeWr;VIhZk+?f0CUU%#1m=XIJ2>9)*TXx0e3KSUsg}ai{3-{= zaTlVN!^Uswj|W37FD+q!+4X*f)Ev&0w8cYS_3mS;C8Dd|J=70dtQM=@)x%QZkzMuf z!i%v*%W2d1j=xaq?}Cghq4`QBCM&$i$WxP{8OBya^@uPadhJG$7(oN}Fd zS$LZEV-CHZ1bFyaN*w=myceWqynz#eOM7xnb&Dw&h)bKgbOY(qTo7nZr`(_)=x~JM z(l+QBwNxd6_vqvr^gc#E$9YR_AeVMrZ5?|G{#2mt82y8Kzlj~i=umYDN)3xO>iBP^ zes{`T+zr4H+N+a1e~$wR+)1^Z%vr^xB=9?Re}lT3#T2-YZZQTumeF+DJAxZ+Q=ke4VPiRi$2*B0KCe;|*n(y@ zXg7`zY@nAwiR~lkr?}f1w7;M~hsI_J`WxEU#EuYjGxaU0cdVeRXqN@eWi(;T1Se6( zCJHOS5Ok(gFo|AqC3cR)j+{WTZKkM)&Rj;pYQno~Lf}!zuhBrhx~gBby$lT$y^DkT z%h7J$`diR*@GktR=I*_NeU9FxK6_?i@9a{as&@slsmrO!Gb5gnD@KQa!PhJ{VW! zqj^ihuIMD`XGq+j89&S6g$TW!lbg2h$~y5P4bvtL}0K7J&A-I{$Y zwwjAwJBHm7xF~JOF0ZB9NV&9@>Qoe-#9p`bY4~Mh_WGnxLs={K`lo!znkko+Cyx$` zaT@;iC$Qm#ADxRPahzXv>%R@(`zzoRiMX#^hYApU{WA@G&3}VacW7W8|3q!UVewWz z-aJISH5Imqw{E#laVkcOw>+>#yhX#lHB+^C>lfG}-ueNy5pR71$B4If!ZG5l z&)^vG)<$E#4}HE#j@`2xsxu)38ItTPxri z@z!!UBHnrswh?dL2S>zPcfl6%)*{#<-nvEETD&z6wurZ`hb`hQrQ(Q59Tkt(zpy|R zXz|uG*haiHi3(V}HJ0pS0%xIv1^pjmq{9E)^IP8kc*eF)P;@ow3iu-b)nH_alFRWB zJqz&SH}8van&jk6pa`w#T9hBx;H>uQCmL7);I6YnfH?r(p!Qda*zz&0eNHF%h-kdt{29+YLDQ7B#V1vw6mM{Df8&X!*^I4jD1B z0?oRIYd9ZG<4s+s>n(qTW3xwNCRxzJh#0r^hDpFen

    -}p zD$^w+ea;E>(jr5_EG@ zpx&O7)D^Q>P!|J-u`&iwEGR8`Y+{!P+6H$RgDw+v5XPYlx?Ipk7`!oPiJ;HZ{;IbJ zw9ZOFvqI}#C1@jRN!j1kf{v$l@bOWU3i?GTx>nH1v^9w>6Z8xW;u>_lpd>bnjpceb z2pWSy8iQ^W^aq@FgKib{Biwrox?Rv!v^8npazXDSRJOK5(1${6*eU4SxSyCBb_=>6 zgG#Y#(R;My9zkEA^CswCL8s%XYS4XxHlcndvHJzBePIad@Ti;P52u3sj{18Mx(e=ehpd7;FdnUGRgn5q?~qlH4JV>CtqKw!Dfkyw1^J&zXd$bD z)cvgrD#*L2-40m=nKv1=RAUw7XVY+eRt1Sy89W>8%2v7^Yz|1>4t8ZL%rRkg zSQR8b2p#8I^ogpeAe&R`#ovZEF3hKYt5>$ESBRc9Wx@~?FiAp60s-6#3|hwVfgnZ( z47x$kL7}l*1>J^kUlUs{Xcz3hL3ax3U^K;`dj#!6%p2LWeS-R^1~q_*uV?2weI$YZ z(VhwF7jz))gP_@2-Kde#)Ps2txxSBu}AR=Mu8iteG~W`X9(Jw zj!n>Jg0{fejX_%px`0|;V%rJYgxV~jExH!YMW&#S(Rq{m<~f4;sp|>ajnVMx+!wD# z@3!h(b)^Rm;7?qw3-s9E{ikUabO4;>5VRemU2#6*YD;W;Mqj~su0T65`YFzH1$sK8 z<0!UHd)=$<@2FA7A5NXS_!`_js;4@49}ewssdHbv7R^>2)VV#cLnB#ruKq%|>RjCp zR-LQcA)@Ns={L|9f>r0zH=9-G()U5Ys&mzK==ir1x%9Lh_;v>q{W#5iegxM`Rn)ly zZo>6t)w%i$+^TbRJ4A&#H=IUw9eV5G)2Kd6?2?SNT5Pdfe|_IJ4_mAjTF?0TI1*yk zCHd-3QD3#r^PI!rOU&9Q`IrUdOw#(Ez$duPB#GjpSkPk?%HPF%7wKD3lWNq9Js{jKDq z{5tl_^W8JBGoG8+7is1^_LVdf+RPEG%`8^h%(1MW+z)TeP{(r>`_N`~I0>~CvJY)$ zCiz#hKSyaXr?CfRCbXD$u(zPV{iP#fbJ-Vd=C!;hqRpJizGyRVWS_K|IIubFmK3;8 z(Sc23pTwCczFxTyXS%ncwLO#Bg*p?3H?Y^oZFUw4r?A^UWf99Ve|Eaj*>;*0L!SB6 zpTLHt-(!RLSI$ulAN-**5d7kI4fyx#zrowtc!PgJKWFJ>5jYGYy_^eMq?gyh7U|_R zutj>A2ir(5bK!{easq6TUXFn+(#w&sL!_5O;fnN<(%l*9{4`23w?;ZIrF0mn~GhmR>f4Ez--zutj>Au41(G z@@N&KrI$5fi}aExIwHMHpcq~^FBZ0uUOI4$^zwHMcV&3f=Hu=e^wSzjMtb=@9Fbn` zhAq;|FJX)H@>3O~rI#PV7U|_yg=^{Md$2`%`4-_Uy?h;Zi1czT+zfB(EbLtH*caf4 z^zwhOjr8&E*q!MS8gywn#4*!Zz#X-K+|<^ztSukahFs zPytIXuO-{@skANM;|>;kB7{fYkpE-q5R&1A67z-j=!Q@EpP{RU6_{On>r2S9_|tVU zPS~aN-d=*6sTED4&5pRG^j=zuQ&)XOli`-~+VKEh9j%B3w|o2xD`Kg?3@v0uEcz4S zFGMWimgjisA+(z%0MdiVi4Fqb0h~jh+m(2)-UaW@QhS#EFwW9pTEpT?)LkuDa^oID zBUzT5z6Ds8oNfoplGE)FQI_1jD{xD(EIB+f4_#sJGfq|!jdakiR;U< z;St1@S8`ZKsgP98kkNl52O ze_6R8FdYPCgU;dg32=;KBD>1ZJO+(`QoVWLmC z%;fv99}#1#EPoaoi(xdqc zEP2_aBs{(8r{@uG=}r0@Jfifb7uKNVk2rc$HYg;?aV5A(Aa?>^L4#hvk$i&dJ6V=- zkdnYWT!#iN>@!2pyMP+94F(C+KfvCRbiyf)BeuD6w-BdE;;Z71kAbiWrgQ_yQ@&jjrz=pTdzx!yj4uBNRC z+F#Igx+M#mCFrxXzk-esv<+qu3_4cO4peUq-de7px8rGV&_Y4yQ>#nt96=pA;uNl7 zfuOaimk7E@&yQD8j7wJbOF8VN$h$-U#54F6s}>Tpj$)H?SdxaJe$}Zf*wt1 z4X$A~qv01`nDi=I)3O!ODbdI5!V9_`s=!wK9R24ou@&7ryW%2xPci4fRxC&#mOaGr zY-As#yob&~`EK^X*#kFmonriflSd0Re9z7~#0}iUPPg>Yj%PnRz1(El##%oSh`dD$ z^4;%<$|rtdo*UHuAF6!MjFc4S9=+T}bC2#V1AVXMpTOWmpDt||r~d|DO47#gp#GaO zosHX%*1*o!Vw~W72D{hRpp)`27q4!pkz;6cYZ!ch!Qi_LEMNe1ulC349~?MN{|#Q( zQX9=%>%S?PYz#bI1EWxNupfiT?KJ2#yo!sjK1(AFuVvs027(J2xQGF|lv1R9+V$2y zJ4ieJ2{)R64KFxXmp0<4hQk<0Vjwt;fuGOk9YW>IYJ48zL18tnf-S7ZCt(Y#@iExK zYJ3Q`VKpv+Bdo@IU<<494%otKybX2;t8oEbVKv?e+prpE!x2{F)v$%tI78uWvB$wS zQ(z0LalFD`$D=0L=1SPYY8(MuSdBwq3#;)mWouUB02QxUjs0K?tFbq1VKw$pF`Cs# zqUwZH&1%eqEv&}VRlHtM;#7)ZR%2_}hSk^{j$t((2gk4)8^JNG#s+YN)p!(aVKt_~ z7FJ`jiqWjb0Bm73`V_8Njep=JKv<2x!4_8IPq0H+jeFo4R^!)jgw^;3Y{P2&1dgy8 zx5E}z;}+P$YJ6AOn$`FwY+*H)!4_8I%dicrag8d_tj1@lKv<0{seoCHk1KmI-qu{t z!xWTC3sktCrLa9|+4P3tDTe)GTv{J`PM}wwuzPREB#DYfK zK93KW3N)S3S~v-DX~#~+m5Z;NhK%mSmqJDCNt{LQV%!xf&{m9grP!LXwbqQDf{mK| zcExQNZGbQC3bd_86UJUO(TO|yG~S1kId&<&YfbF^g1%BIL*6MI`!XGc$)VyABbmSU&d!BXsWI~+EOUAV+rzRkE2Yg5Lp zbQW?-$}Pv=nC{2H6?o#{;m!1rC${1iWGO><-$tw0#9F!?q9U=DA7iLVDIGD^j3m>X z0;b-t(I#BtmH8jxL|JBneszf`Ghx8TX!#?JnGkky^8bEl_$>`xWJ{iMD(-ivz=|%<=(u=R-Hg5@ZYCx}nj-|yhGd4TW79}x7eQ0LvI(GWe z%-9@u`qRwV_3T{gKHXW>p=ZX5^W8gXCTvQ8GL7I3&bte5a*mTeY3fAe*$7ao5?@jA z&U|-G#m{_23%<;E^J#|7{O*;n`FGPoez)MAyp+p$#^Yu=dH!(1U zqZ;1JKsE!xrx@tT0PSVULUxY1L{~n7DlhpH7+lLh5=Y^O@`LkvFnt&Oup^fX80^Eq zEdzD+DG#zyK0*Uq@U!4^4E}Mc27_;0rj0i^$_eges~vC7%yn(R+;%3RlK*k-Ql88|Z6^#p90>v|Nn%ylh;9WvK-KU|sXx*N8c>-sMond`b0w#;== zvI}1-b+KTZ8(_;^*DQtWxvoOkGS@X7w#;=+hAnel+KU4TmjrU4voE zTo*048<(o*y85daJ=b+1Y??Q zj+yIf1xMz(PJ}IUUB|+fxvpbWjGpVN4_oHC>L^^#b)~|Vxh|J*&fwvP9WvMDg=^-z z4q~oM=DL1`ZRWc6!jZYI?_kSZ*DlyH*Y&xw^;}muY?YtalsllX|cCMWelr2}Pe~bX_IXvros4M%Rvyl^S|6+L!jhpbHtD)EH=r#D2MYcB+KtGHRE2)~#cgc!q`=pD~BL#B!63-dG;Pb>T@vP_h>=Mt4 zhBpj-;?S3PKKLtomR;gm_bj`_vu=kdSmJrf@95o@eyRz#Q9(Zqx5RV7e%w8(=MvA` zaA=2%S-a!_nyor8Yg>A}mRTzab}X}2k5pJ@t!{_I#;jeB`n~?+p|%cqGuLaG%Vj>V zOuahCEdGblZjom3hg;(L13G_ISmOD@B<#0c;#m!_M|6qj zNiI%Q54<<0w%|1f%QtV(-R=s^dsLwQcQpDFayeF@9T-Knf)I2xqf=uD9e;+0UOvk2 zX7n4Xp{1ZP@9KK!7C;Gwbo0T7)sNq_m!lu3&Tu zcmzq_^R)8&96?u6te|V3=h$Z}#lE3Y#~*Hq=ktTORI9@h&!sq!$S(2RA_YwsDJ{<@ zw6Q4G@|1&u<*mO3-4PigdCBI28aO3Ukux=%(&$&@fwW2^O{j_N63;KEq2-S>rIG(h za4*t)4>wUnq@}vu*4M;UX_t6*oKdx?A)*+fXoj!hul4=seegMQqcU6pq6EOu1d#V+jh za(8w@;Ad*9kfgauw2`ma?VmD&WE!WJ#o zeF~>@5^Qr9Y|&yZQn=P)-2z**So2_u7VCQ0qQ#o2Y^}vAQ1M!eH4V0Cu_nP5E!J2S zqqSI9s2Huq8U|amSlKFGYq2h+7}jF_2e#2-T>!^uvCe~Iv{>EX7%kS>a72rB25iw{ zwTCTQtdmuY)?%FmTeMgwC|ql?n!*+>RtDj$#i|E8M2l4$uF+!EfFoM0B-loa6%R+W zSTV3gi**3spQ6Rur);go`VqEhvA%^ZTCA^N8!grjRiL$4A5(#7u_z_3byh>1y6T%7auXifvGMzGfl~6Md5SjF zx)EOMZtjp6+*7W6DaC3cCRZ7{ZG&}D*>gw~+T1#N_pF@u%} z`aJEgN}i0?St)2%XuYchMQc{nuv*aZ)DAvAic&$p2u0TlI+?a6v1Njufl)4lt{1cv zV}Y?|wGu&NklNCq8wLFVr`@1i1^p;41l=y^D%zT~Z@HlN5h`2TA?QP)HS84hZDd|F zHS892KXNR_#&J9B5%dK*Z-VX>bUM1HLH7yTg!-Ap?iaN7g(0Zp)jiwshZ8j3PyM|I z>Q0s8%h=`9FSEnZLI!OjXttlwen{ekv)@e67SI4!pta*v4UWGR4m&k&7ETLCd~)-gUuP(O7&LAx>P`2T~=#T``#Ln0U};8imwos8aX1&!)T4@9k? zvCk>EdsI(B<8mC@;Sw}XIu*@U9R!Vkv_~V^r9$)r3gDb$&ty=yVn1EU0iqOwBir)_fx=mM5`aPqALs92Pi5)u@u|zbX zjqR1#P&A9tK!3cCn%F`?yM>~~f_{#71h33UlnS~Jb8QA)%V@%wF%uoHw=G_4u@7Yi z9TI}Bml~SW)`Z)f!ZXrg_kPJS+w5S_!SoXi;blecCeG6K^^d^`6P-!8D(Q-jAp#7ED9fICL zXH(Fff@a|b(4e~o{Rp#w2Hhhl2tsenVHj}mxjE=Y(=r0xs@PYs2e8F*SK+=}7G*=W6rO4arTS7>8VtZ}W4K?XN)JWZc?%QwzeBmK9ZM~{IV$CW`1DF}=Q zlhTz><{ca!7=>X56T4H;d#PrrVYi^PK#)QA2$~ZbyI0UBF=J?A_X#=%^i+fH7jzKF zqGr#WP5M9r?Li85?{5a9 zjz1QMksN=un#L;WsHb9I#R(1E04Q0`hm<(}a3bfS=OaEUM9#?=?Mn8S;{SkmL;V)& z0dXtsT}SkoWFbFGiQ^9^icao>c)R?f9uAJ^@{3!k<&QW~bT%0D$#Esv6SO5xhCw$7+JJ6# z61!E<=Amf0pu-^>NKTU06to5%g`j%`?GTFY6ZAJaOA_laaV2mMZt@283)%m3W(oQ%?XRFC1Z{)q1A~qgv;);!gSVC|=Lr3M67)jahg6O&7PJ9fwSq1abQ;wwXo;Y0Y0m^*#i$cD zc|zb~ygJ$B36AriYKSOEp5T8F=ig1Og&vOE*SoXSOW98#`vfk%vx`}E?=B=$1v?lF?psNIpr&q>c=2Z21xmwWF zP;{-J3+R!V#dJ_>@b~nl=>$ z?j7i3HWh_#hbp9^Sc?90m{L)s56d3ncwSI13AFAord^&_vl=l)z0yaH8R~dGWw$@A zN!&DvF3^~wZnP$GDVJQDa)47Z9C#U1F8J4YAaX%8DAkUD!6q+hpq!(iyRZ3*hW^KY zd*Fw`ll}xcDN?-YRUJN#!ZvZ3fKG~7S#RmEhiNzV@^1tTUiGFfy@&r|H>7O+W?l3d z{jh**5iq!IlP*o zC9%a#DqbhHm_sp~*y38)Cb3069Fy2$Djbv8Vj>)q*n-#`lGtJtY)Nd916vYXWT_aP z*kT}TNo;Yk!gXSczOW^+MK8iRvBkNtLlRqbhHDaAbb=#^E!x30i7nc~k;E1)VM}6* z<6%o;izdp}i7gt!mc$lyVM}6*TCh!GixgF$6I&!wfh4wwqXJ%J*rV*lc*}J?`|&Q} zq+XA=P1o}aY)@J?N?i|0x?hY->qAdY&o^-T`qF6qXxFn7PNz7>r#>EgV9Go8MD$^= z`rn6i8TaC?#B*xWmq>vjX);dU(H&a>ym-q}q|3mK>r{1f@)d0z7uN*$DseZ!Rmn6? zRUaq5qOx(EOhbTD04kY=0ISA@Pn9t>8#iK`DubFmVSFpF(9)QN=9DqBY&jTL&DQ9L zjx%~NPTjvNRmPJJN<}hqAqNy_G4aXL3s*Haq zRmS!aXib|cLw}GSa;l7jBhi{RRR+Bw;~hB%!|ZWQx8YqEvkjy4tI4TXpoMIz4Bg+V zkSb#awc8=5%D8kiYN^JlGF}>s|n<_(nn+`^wIP_E*zfD5VvZ*q3&$6j9bUQ>rs*K_(=-oC|h8~5A z+Ef|Sb8+{mo~bgn;Lr|Ns*GaLv8qL?j1%(ENH$f5{zA8@GITrGR2jM*BAP1Wl0y1I zu&FXI)jGze%1~oEHdThY4#TI)xB=HoRZ?ZNyB61%O_ia)4{WLo-44;4Dx>EtNtMwn zDQv2Yo=IU-Wf-_}stf~HPLkg1d^ z!=RN?Wf=7DQ)N7l9ue788Q0Cm7OO?7j9=+UBAP0r^&D)`rpnN_*(#*Ucoyvz4XHA| zqVrdUR2hSBz<%3Q8T8&7*;E-bZ^VhRsWQ}s7Cu!*(p&c5cI=(ate{`(ZDs}C4mPubZik3wRv5AnZwEHB z0tA7Js3D>tvqIQh0B7BS8>Y<#pkE1WE&$yQRmcUf0{!PO0Y zc0LRK5cZ3wyCZ1P`l0MEo9;f^6@Cu;CDYThFVBi~S9G?gTnt|6zY?p8GWUm#|-+=PpCm1<#r6@62=Wq57`o z?H5l=&l!#M-39FL$%Bu?-G%J$%X1&3{@x>rQZ%5yOm&;Lgm3!G)byOm_=);|H&Fi=!27=}-yJ~Z zd0bwS@7AUEx{du+`R)R0&unh*(tP(zI{sU^ye!}SfUd_N*H=8%y@`G={-UYr!zl&5 z9ADv7HvpF7d5r7ZkneV&&9T^3ePz9=j6H`@~;xV zz@1M=+E4kkaC)Ib|J;L_K>Mryfy{V}rcW9_6?ZK@3kwR|1nRZYi%O@Ue468F&*h5> z+_OV_*`CSilj-*zxV*T)eV2|+eqT)G`2FczzO2BF4XuB}r1U9tZ0)%%N($2HCUylM z%Bq5Nx@V16ZcI^TI^ChJWUpJWXt&N&GWZ+)Hz-EiZy9L&oi-N!$iN;p`t4(&$FJJh zzn_8H2egrYkby;PeCq0q4k_zWw9z0<|II?G)ZoVqdKh$qZ`Ret&zz|L1_!s$#tkPk zu!N0$muMhm#z1WxKUDwyAJu$2gJ}#pDbv~NdZiBggu?D+unmJw${TD=nXJS7_aSTt zgV_u^!T2eE zqm3E&@{fzzSW=>a75H((l?={dF!(kD;~7BJnXB{}1~;g}RR#c(9W&7H6%#m()oCB;pViqR=<=E9Z~H`gg#r?|NWwxqbpBb-y*I+*}S@QruhuTTqyrVngManlpFq`2t{+oZTT zOBLu8Hyx=!Qrw(I1)Soh4cSOWIal!(N|PFEzW-&9zWii2k}3i6RZv1^dMgBZEhK~{SlX2rfeBb-M=fh%&JE) zjB$$>H%<@T2Pva&3};$dLAO&uXA1hgF9e+xub^ac?lOm*TP7E4ZP?s0^E3y==9W?4rfbkA z4n4Qb#jDY?Y;GCdvuth|-40QZTW0_B=-oEAOqA!A*|G+AkLsCQrtJ&pfJ1OS_+;RB zfvl6$2*V-aG^KWoEyOMzXnO^cT9# zEu-7P=9baz5YgN+PrpiE2sXD2eY4rzGHOi6=9W>{VffrKU*LMFN^Y53U&r-jbIa)O z1Djh$w?p*imU(cUsMbnyY&*)pV1bpMQ)jk-o%kaG`Gx6Z()lz zw~W5cRw1`ct+(-*o;-N8laS8KKNsF|w0<3*j?Qk-IfA}dKLlMM=oO){iv-QA7ZO{{ zsN)}mz8^?>Pxbwheuebz<#fS*R3*2}nhn@*n_GsOF0#30%HP3>vbkl{g%&=y%!qf< zLcazuxaA8>QtZR5J^BV8_!8V9gD#leTwkuIfkSBq!b}h!e1<7 z)bW1~nOIWI75E>6X7x6x8urkBL_uzuXWzr6S{-uBoVXDUdS@JXUMIJks7`G0-c$6+ z`W@F{1?rxv(ff!KW@3+JG#$6s3iM2kVzHb{KlQ1H)Gq9EbmWTpfu4&;Ux_CkPTzpKYVU?_!QR>2FZy*NqPbsM zZbi!37gZQ)^>C_o6|vG>Ncl? zZig!5beM(ybGULk)FqAfJ8D?VTU1iu#*>m+e5jFYv=8z3_3zjQ*^02>)4Q=7U!ol{X&c28hxq%*7*=3&(|4DW6%kff31sGv1X1{pBG}K zOi_KF4_j29-C>LB^BmZs`aBc1QGIrRBdX6+V2kRr6>L#`o(MZc^?58@QGFf*+o(S4 z!x7bI9oVA!OjWp6eY&tk_32l*R(*P5i|X?rURgx-`73NueePAZR(*a4J1$kLK6k+u z)#vB1MfFLqK5?m9^|?*OXw~Ot*rNJ;N5yN^=X#1sE5sanu-0p^jq39yI7apPJRGC? zTm{FdKA(gms?Wz@i|X?s*rNJeqGGh_^B&lu`n*HoTJ?DwY*BqKAe>d7H^L54ea?n! zRG(MF5!L4m*hcj^1&*jb$HNxY=asNU^*KV>TJ<>uwx~WYgDtAh0kDngv!5!^s!x(| z7}aMFDqz)T7qX%H97ZVyngm~h>QmI7$DV|5F8uQ>e!i~HX)=<^seky2_DzXvu#K`` zGzn_e=bkCyRiEoV!KH6ipVW#8<68}NylLC?*OgPI*&hkx$BqTc!}6K0Gnx~MW-%H# zI~Bb|VhaWB6p9uLx`75^WoxB^j>BbRP-mUq8otl)g71sHP6hdK6N{+0tw!zYRg3vdmo{(tiatN=tCGlG3ZV~-^O^EsbROE`xAl2 zaSeL}eSy@vg6VUt)_^^+}Kae?axw@+-8aRekDDphH%D_SuEjw5rcE>YI28!L2W@ z={DS_kZpmphRpdIEo4=ny1!LH_4yXH+aaqyAKQ&usLzvblx{6x)gSp;*v03gsFy26L=ceX4KMmFN?PuKMitGkTU)eWIh`&C{wrbvs0X z>hp(R(7UbbQ;$L&z@NBU7fiyKd%%1DY0ql(qL@&$9iv?_$P-svV%szN3WkX*&<>1Z zkT(Q9ozZa=Tc`bGy|s=Sb^Kj0dYo44rDN0=+EaSc`OST}dsI)==kdRy10E*T=MSj6 zTBtr}96%#k)u;YKx2jLw4p#N4+aaQ=&*hHKsy9_OI1{# zH+XSgJD&l?Sf%dp9Ze1`ZRE5)n|LDq_XPM zz?D^>9TZ*Y|5JS$xU%Zgz?D^>2Cl67JYDLptok%?W!0yFE2};YTv_#L;L55`16Njk z8o09R)4-Kgp9Ze1`s}EvR)1D~8nlw?)1ZH^`V7Y5h8I!Q=lit9YN7h<8IL20sOs}t zKelL9pZYdi1=VL_0FUV?P<@_`{iurSb7cbd+p0ck6gIM|&&`QAQC9V-F0}Bf&&!g~ zLQ$al{DSr)3RItuySP-VgX;5`WHe|*RiE>)#fYgsI|s3`BTV&Kj(v_^)#oKOuyUOAt>T^2!&tX!1 zrf280bv&o3VJ&YFRGwvLVVFyNs63S_^b{_~O1(<;sfWR!63utJ>-ay`#n5`XSjo6G zR`!^sXnt5xwgPuto3MRN-~hY-t}(SA$r&U!Zmu=Tj7Y_bv|sPcfA3Q=v`;Q7QJgBY|*<; zSGLx>PKGUd*Kx2#?>ZW`(Yp>;1zPVqm79+*PrYxhmg_D=QQYp zEIx?Pir=#QxCWPyxL$zL{J5|SzrNJ~&jBj|Mt3~oB)~7GqB@s3sENrpUS%*RZ9^O<8`|ol181UL*8(D?$7l31VUsw2+k`;>{Mc-zrED`%=3d zvIMbpQ`Az8C5Q`;$MIPSqLm5-NDtCb*9JFGcqC5Y4xPx}5L3F3!zm#LBju{quC z<8Q-r5eZ4Z)hT`_HOJ?G6^dy_iWQ3Kc8CI@SiP3$-Bu{3zg40_D0TpEi$1q2#vcP- zSt)M{ou$L1rpi1Ccc!r;othp_^e>C+1x6yvl0m!il6!$>=(`5(!ze0fTY>hM*a=9T zV`8%eje$bWpd$pGk`RK974+xOt>rT6_&3zWh)|2yRnoOmUO?cDHfSWPrqUl9R!ybb z!K$frJ494X_1h`9rPwv}Xw=%Sp{M(wRa2>U2(P9(;Z!`iYSSYveez^X3n9zkaeAcy z$KRM_>cJIi;IS}fzIt)o+78#3Ra5DgXsf2u?GO!WDt|p%)vQU2ru6b!$V;8|Xpi0< zQRz(04%lL~kj~7eBZ;VVX3go?qLt3*YpM#;nXVmiPmTiV%w+7xUrA@mXept@SHR-H z02!}6b&%qtK%X$X6ZRv*`h;*xEB%Q5h#1NK!85S2BaCF<=fgvglIa zIfV|+Rj)8*ycAPH_7%v|F0spY==8~fE%@B`*5%j|K__GI+Mo-*;MiGsllInYGey-q zcBe)if4HTUUdY7WS(3ee>5eGL{`#}f@<$rUKJ1!3ub+)0vFvT#hb((rw?laLwwrqr zZc0s3=uYS@+L@m|EE{yfrtI&@*KFn36itQ1nmHgMCZ-T^)?2hM-`$2Ky*z2`@6UHT zP;E)<7Z$i%$gj=*%mQLA)?#l?LHZSrrzd-J3*3*pBi7Gb2CsV@5e$!Ee^G&3&;@=Y z_KOSB$B#s98SF1BNYBNt1=%fui_!+{t|~~MiqaJ25{Y^$3e(x^mOc$-HQ4KuJ`H6J z+3TND|71;re2D3g)4TYbhVB0ZHoUr>j=F`T8hYCQ1&}8Z8#?F+0fN_d(!f&w8*F=q z28Q!b(CGNb6zi#Y&d z0(J<+dO2J}v3?MaP^|BRZ79}v!4Zn}BG^K)z6G{Wtmi3PQ>?FtEfnjSu!Uk>0NYTk zr>O!>v7ST)La`o81x&HNf^0B~d(+i>aY}nIt%XT^??Bz3J&Us@38VO8b)or+woYS4 zu>c#Ug=Z9J^uQaZWfY_Jk2pqgT2Gw1e;1?Jdp_R8ETh;miZLX0;bvs>CREkZIoU`(XS^gNVw_MP5v^6iIS%WxsGH8N+FV|Z>QlpMP z91D8-0DQt&7POvRv@B@d4pG2@K4u`UCCh@=-T4M3e>X48bkMvY>GtS{AfjQ-WB{`&R3A2+x9Uh3lm%Ea;DN zaD7=8w0?=UENI;hQOknvGYq{uqAcjT!?DF`!GfMkM-ovM^x6^FqGdtrYpMz?=w2gn zPmTf>^bG7rRanrzQHYNM7W7=&k0@Y4|BC&H7z_H*E3mO6j0N3uG)`31vY=nN5_@M^ z(E6h4}E*tU1zGRq&n_M>?1N1&Sj*nZ2@n;z+Mx z@74l0{Y0=TujVZ;(wyh9?1BQus|Z-@2-C$KyXGc4cx+igS&fc z;Q2oKZ}5b^+8D)k(7-4dt+E$I%qo43cJUjI5il6ISpRr5M;ZR~T+D?EfBHJu!k@kd zw(zI(U<-db7q;O~Pk5Je9f4UEB;ZOHe zxaLoHg)RK)vlOoR(;Z<8fBH1o!k=yfTlmv0l&$&G%~ZVRPdA1w{ONSq!k<1`#c2L? zO%fo=HHzhlE>ss2%V96-(MtP?mY#srj{;^#y;Zc(w%d0>Z&hY zI$T29p;Ph7X6d7ULmyo{4J~97((0GFDkP-+l-li(>7y@AM=jNuKH97h$7kuI^lY~D zQJat!?^iYRnF@9M-vj_Bm7$`5x4eD}wGZ8BpGP7+Sz;o`OG#i(D7u5=ov`@^|3|e%lxZ{b z25hlfFl~OOBZ(-}rsZ60(K2oHHTV}yn{avio~Cw-0>;W2H(@^_%vgB?-!q8`J#lM7 zrrcAmQ+Jdxv>$Wm9v0xVbW-B@!{zzghWNw6aCsgHdlS9wRKng&UMXzoiJc>{BPUQSr|p}|sN*lkK6vYI!CAxdD4Znlj`=uy z(LkpOn-K1UBJ7=|Lg;S#1x&AJ`!Fzvlr%~jQr2pNB%d|?5{x=C@oJt3f?DNMw#Ns|AKvR zEWm>Za%?YmPkX$K9bmRXANO1=TkH9Q-ToG;oY(qDg4M*q(SHl*%!3@|!H<$uDgl;e%uFwsxgl*^sBj5<#U z17Hi?pr67u-JmyYp&RsoEp&q}u!U}rsccO*I9KLqw$Kfls~Al;I8McA zxAjMt?-QZoghHmgC9HASO!8UY*m*EKA zU=3`c8$1hJ=msm5t?34j!xp;1!?1;JuoSkT8x*SoO*goc3WRQOI~6e9ponbH4esiO zF4m>SFf2qZG=rqOb*J_$esm31#KxuDMO}ctqMbA1n&9dbw;ZmzO?0WD$p~9#gwNf! zeIdO?jGcgEWetAmDAwR-Qs&*%C$!=}a|)vlzOGDc)@+R?jGxe|0xiFp(IF#7R-jq; za1H0Wz@l|fr%|;G-3RB>_ZyYoAnsit3W<9 z=Wzq(Ae7O<=L|X&=r&GqP$uYD>{!|l+zhWXniGmywR*FEdl63Ezl)6U{eSV&WXTBlNTGX2P6F=z zO}F7y9T`0Msy+Trw2&nu=+~(#kP)t;b~|J;Lhr?>r5ckFo+`%iSu%npBhVzgB_rVd z4DDc7$JOodkC736r@PD{lM(K{54Bh_f}Svm+Wb2Q=q&vMWQ1^wcV71Z>b7ZX^t+Hv zTcg{-rmfNK5Yeo#|o3M~u$2 z?n!LS(wX$kPH&n54m^rUKS(QldfE1Zm1v+NETd6_r_ke~fr1rwUDt(AWAAK6BRw7v z(Tqmf&!FY2MMk50pT&__8lUb%md2;sAv}%Gy$sNW zxi5AK@fYN|?U882GnwNzhbSe7_$Vd5b_7}mYbVY;MFD4)5BiLVt zlue*5Ud?VvzI!HC@9@lIe^tJFHto)A_Dl2K*J)weS?rhPyUnRwnrF`p&>BHFoWtcC z^4$Q{_aDW7@)m8+*9+Tbv0tvzG>v0#M}GQMS0bO(82O25Km~ zoCfL=J zyI~u4XxPGT z9IkN9ZX66-*o}i=3%jvDY+*NEsBFz{JYU6Yc4K$g!freVwy+z|R56;}*g?f;cH=3q zh27Xn#cOusi4?=^#$#a{cH=Q{47;&DoD6T;e5{fa^w)u7*o~=hgx%=E7Ivc_wy+z$ zDn_##4}uyg?8aYV`%*Q#aW7FOQ=bN1KiKv=!kOK;3w8**@pHHt-qcydpggu5j<6fI z!8Yv1&2WU>_zrAgH?D^*?8euWt=Ww)!4`Jo^RR{8xC*vmH$JHfG`sOJDiC(#LsY=* z#wBEf-FSL?bg{c?%<1Sj!fKrIwC=&4#q+*HGNmuzA%gjff3f!L;!^r-u>3`|cEb2p zM-+c?{);$u)tA4x3GV{2@%zC21Urw{87)|gw?(`5Y%+SQ6KI56RIIn>BwXbIZ;7nL z`aB4*v3`0i09`3)76hLrc9o!wpxH9$YC*??w;b!^dP@cUA{1RK=w#ZO#Fhzq1_)jT zT`y=Uw6L+UT<-=!V?e(&=te<*z-c$=RzW`kciN!;hP7w!eFgp9@^$})ulxS1Xd%nj z)!$83;OjPg4efTweBD2&mTJt`%~_A*vwU64*R_1z_*xzGn}+4k7G zF2-J*K>TfZE<&#T?TKoJ@$9$pIbhdu)w8X39ar5BQLv8dR~yi~EstD(vqdeByvsW{ zONY)QPaK|el~Xh3Oneq%=9O2)PJS16rs}Yc>!M9)Buj|b9~+hsuiL>A;&nSjln`IG z6}J>ih{tQ7CB$1o{Qpge--qj^Dunn4w&D7+gn0cDZ3*$Z9ioB|U$3#_#5ZZtlwL3k zPxFK5=Qu}gNAEstwDA6zC8^~-N@eOU?N>+}bS|SU-ids2wLC4)@X+-qC4sLJfX1Am z(LXre@qdkv^;&U7$h?C5co*qfHc&0^#iGF1N5@M^;8R}+8h5dd51faQxLUD1xwBi) zsZ>MkU|qu=MjijNlprNztxkHkTMrW4@gcTYE$Hk?AK^$MN@qXkV{FmV+4a4k3Uv1M zXtzky*$1KT2a?`XeZPdS!Togp9-}YQq|Q_Hq^Y?&!_nVomLon2=)KxPjx<_p;o}J#no0E9V zF0`f{p^ zTdwj5f50BN@M8ue_C@wr7Nk#dJdd$P0yOvXuA*zMzHo&$F?yZuvIadOqw_k(zhf5l%TM{(~zfhjNFqe~mytN-4F zsNlQB+DT^62@YWE9s@SK!8GTGcccEm68%d7Q#=o7VAnGJ_ZzCeH9On*uM=GQ zkS-p)T>niO&c@3n8fc2zgL!`fos@N4JZyyy>qTLo{0VeYa=CcTlR9i6?d!ZhfejyK zpb;rV>nCjatBkT;4#;eFVmP}m4t6bf&{7KOqau#H0D6*!_$D1|Kw zh38<4LV;$wLKF%s;EF#6z+p93Wd8APIvlXn?T`BwL)PYY*8p& z4_g!pGhvHDp+MPMp)gIwYlXrj*rHGv3tJQlSEv}RP#C6Sv_c^pwkQ-XRqZ1t>$)7O_anmz+O&%o&v2Z6)2v=;PhsXMV~vnFTHT7iQVIABKM z-ym?f=4bSGD{#Ow5&yy_B>&$pXdx?b(BD#35I8vd&~Aq;a44r*sj4~}6*yRd zgB3VffrAq$YXWk`KfgNqT)N9tN#Nk%>(TG~77sKUlE6%S zI{H1lbdA$nulf?`ibd`GF^m=q`WmK&4Vu}9V;5p@+#kdBmJ7O$w&rCtYY@jy##o)- zD+}<9lr?6W)876`l(E%`wW5sgH1{N6MH!kq5e1@*{FqoP$~g5|{hb-LqKpsdEFC6M z#{FL0nW}>*qeVO#$%-=c2a*+K=ytH84BZY96=e)cz%9j!GVmH`MHyC<@qddlZo>6a z6;a0NF0L;t%Fr*-R+ORJA! zQO5fz*rF9>=zBpGL>bvN@GO};c(jv{PW%ZB|H|qEf$5YyUC=qaCPCo6|Hs~U$460h zVb5ka$!^HHA)!MGQUobdU+IKiL;*!bK@450NUup$P{cxls3@orQ9)5bQ9%(AQ4v%S zQL#q_jev+s2~zx?bI&=Gg>QMkk6$FeCGX^q>^aXpb7$sGyL0Dx&IO;uMza(h5gfZf z(Nu6a?ARPZEpI4MMmDzNY(yF5;}IVQqKqeKJHkMeaX|u(<9N&C&gZN&Den8sphnEt zAZ!<7zP(`kd31O;<=wdwiN$U3=_~_U-cX{94YacJEQNkrDIBP<e-*b|3!&gYQBI_Gvc1M9s1gvpkxT>?Gw-PxHLNdpItv|Q!I zpPk|Vgi`2#9Z%o3+}TKpf2b+^Z{mq=>CQ%q{ZW*1|J(Rg&>F*^?*F4hP3Op^4;;gz6BZngGB25S$xpm+mgRa{G}QGcI20l<@2Wz4gQCCVi3Et4^H!U zYJt*&;vbmiznuI$@%K&hUq!wzf#dV0B_ZGauTr{eS`xOboRsBG^Upwk#`S$XrK9z^ zb0hXZ7Yh1m3EE#pcL|y_%5t@lCBK>G?@jykr)=}KY5v2s&C#;Wo2Dg=qc*K5{)!C$ zaVpm~&6(=|i8%M#rU$0@BfwX6eJ5>tV6y)Ps{dPQ^YxRH#!;JAkov&UKSay_BI{p0 zIqnG|hW{$;*w2z8t>lkS1LIy?!%XxOuk&wY#Yii#?KIGeyKyZSzxXEq)~2u-0zNCC z6}MTeymcIQ6@~3R4YcCAujk^&-{#+`6gEo0M+CIuUKeZU1`d0I!oEHYwBkx^22 z_;(+|l53v^+CWc<3iOZ&1#p}LGo|o~kGQIU8Y={dQ)B2>j_6bgEBi!B6$~iQR-(YO z*0S$E=LIuq!8uZ{V4%VdE?py0*rwma?EVE8#o5MJ3~Z*F#%QU40R{Gc$x)~&PRhp0 zZ=4z>zvq}HiKyv*DOJ!0_I<}u$!jD+fq(+@Dvwj6wVUbMPt)4gN~wZ2 zP)4GXe~}0U0t@qbJARAcdd%7`m++6|H%^UvJ8;x}bo%E@se(2zNTQOfcsTt30-9?? zPl-?y5pc>#N~Rk*fPBpHrMMJm9yr6Q%_s8l2lwn{}xz*eb9l(AVV;)1PGk>hxb zs#N3%Y+EYwrzv2m$Zu4jQjt6=5UI#dWLu@FdoTxGjL2Hha7I}vuEFC5TCU}#`nItw z)qH%nhEIRjq91xe`|&M3B$t<>B|X{sXV893se<=aNBe=sKku|3Bdg-j{cmYM`d)BTvz-$Wda~uuswBC4ffB zWE=++eG3m48$GD#G;E5E<||qi>`EIwu4tL7f>28aBQ0+=Bol~Au50q~dn8|^h%(C4v(HoD^$L2t$wmW}pG7jyvz z2;Cmpw;gi?T}5k)RP;$fyV90vs@@%I1+5c|?o@Oq1l(>-ru>bf&jq8oie5?EtFgNj zeFOeU3v2Nk^=eF_`R7u53Zq$%4k-36*FQvY96 z!Cakp;d(h6?Z>69aeX=35AH-e+7E7ru+@Gn!`WK2+K=C9jfK{J^iM@CMXLR%)dp)U z7TS+TX-|q&`|(*@tkKba@V($Hv>!LL!?Pp|v>*3kJI+S?QMx_i!$AA-C~Zd=Xg^{) z;5eQG?Z<^FE%3Q{bE)^*QjaCI+EbA8Sk?1 zRhMB;96bx4Lyn$>+aa``#k&vMin6XZpooBgRh|u1yWuG?VG8grkg?!~ss%9qc2Vf4V=0QktuuIRmqi1o^XP_}2#e zSu^}q8lilPEWcoe|5ci>VT1TNGyIo#4Dy%G@VBMnf=b1%%m%8Gw#`3g1AC$Tq z6L=eaPXG*D+s~TrzY<*n*ZWcq1^|Pk=`%$^ZADHUz zMC;e`ZBzY&(d@RrVyb^$@cOc*`p3}rYy5#Jq!0SYbQ#>)8)qa9hi*o^O*2US^K5DA zH@LGuoRKu^D4)KdEg3C--VFcuPy(viMN{MqUL;ncFj#Lr6ir_z};T>J&;{%`0=jSxR4J!xEh z%k_>N$ffE2?sVYQUxDHV1@7u}|7Ua@w0M2GzX5H{XR`RFbbn)7`wsCj@5k?ST$ah& zcBcC$V-(RoZ*tT9&8XeAzPxmQO**f}OZ)-UPyX%V=coIhryirmXJ+`PQTb#khpucU z`FDyBU0B=T`k@QkK;;vp9J;V?seGpR(1mpkj)$gdJGI^vDTgMiGwtte<73{EB#7KL zl*&hU3K!u7e=};kx8R!cJ{Bu$-K zP})1L`4vn`-!A0z7FR-h((g1du|woGAh%PGh6YbOEjxtL(QW{tsFX0r;m%4}YQtumWuVXMsMDPyzDCdb6H%w{2MmDxN9 zTV*!cCWd7;_m~)#+1v?RWj42&c$V4Bpcs+aOonaCY{tQ{Wj3SX*fN`8aBP{)AUGO?Y_5l`GMlSS49jeKz*d>fWd>)NO-I-&vuQ)P$ZT4`4wBhi3fGp|G=QTrn>w&< znN1BiDzm8qTV*y$uvKPL-q7!aAN~I0X%{(mJMu6kK(?} zA99b!GsN^|)Td_%-SgGQGsN^|)X&KfTgyBAU|-n2_Q+5c4{>V&^yHZlcEhYXwlF)OrEb zc;FoYwu;eVqX25WrNAFzw0l<~CW!IA{9=Ngm{hc1=`t98b^U|CG6`18xYip`UKj4UV`GsxULM^dD1Q&;}`h z4XQeB992PkT78JGTm)>yP-r6H4K`1(473RZ`5&Y%Lu1H5y} z|F=N}e>>b@TrKpb&avn|SUZz5rW0h|6GW z2XTps;SS>CCWbqRkHFRr;sYk0JBV2nBOS!MVcQ+VJK)$I#9QIm9mMHy><;22INCuR z3tKygqhMdl4=j#O|3MHD02+YjYtZbYc4tA+;(VO}uSC>g_Wm&q%^S0=o|C+{oe)Va4uD-qJ3h>rOV$ z*PZ=g9y(IlbRv8tySr&>RALDx~(EV@e?LQoX zE}^5h#|QyB?2g`^+o2fi?e`DG`R?fL6X<*ot+%f}3@zm7?fK>CEcEsxsoe^#x9>I_ zwG?B${Y#^u`~n`T}ErzBWN0JuZ?C}<;@w@Ern3s-ZCymJ5dc~740wRQL3SA z6Q+lxEf+MJQ1@4|Z|@2^pHTaZ>J!2BK6uJ`_OzfiE~gqK6?fVnf+m_{tmt+(*Km&J zTFj@rOriDm%Wp<4=b7HV{aC!hIC^_C?C#99D05DRf!==4I9yAP-k#sD!a{G~!DU$q zr8nS_19IRil}vy4-SN1445)7dgdWvGBs5Hp}b0k~elfdc`SL>g>-Pq1F)Dq;o= zDgZMT96Y!Hv|i&#-)=mf?d3BSeF|G-qtQ*Vb3lE?KWy`M@)bgId&UpOb zlw;Sjw$Cz+UU>pez;l#JU=Qj(?>>!gtAGKzIv;>=;B&8+=}E>A zzce=If6DDxqmxR2?*(U(N?Ccw8uLCgQ^&l|UYC$4(4($9iOxTZyy21$cLlO^^)jsVkXI>zjnnm$8~g zs=cDCDOS;qZ%XW%Q(`wWiZ7qk9==RuXF@t6$F1(p z+?BpSn__t2M~~@xJ%eoyU)g=-+1NTqcF!-X{qcPz#!nnp(*8avqiCyW%tz6gigpf0 zXDd1bBSSG4NDcQ0YI$2w#gXeFT)~AS9OAvy^5>iE-n$UrPRmEOO`$!>>ESgWq_*6J zJ?VpwUFALUVN6SWdnnvShjF~GtU-yrh<47oO;9&RE6cm2?UpJUFsNjnTdrt%Y(ROP z+@khesbLYtMo4TuMPH(|X;!@z3ng|xMoevVm7tdQWmJ*ic^2Q@uw_s28L(pxJ*w(i z2@y1VA#Ji0#7xAfZ^RY`Q=aDNn28wmwZZ4)BiE(qPpTZg8g*bWk#Z=)2e=;TDc_-fJql^CtD zf;Kt@J<6yRQmFCLy*Le>><`?UPWA_GhtSy{{B!!?-m~AZlp*v^_qS{XKe;U3lHA!) zt8z|A-I0;>LFHEmI%q6(ebJm$;qd-V^TnH@`Ej`>nYUrtdusjEmpK zrGI_FzvU4XIB*(h#dY4v#n=46zo`^f^~+O1D{jiqj6~$|?@$W+N5H>+VbDsBmE!%s zF%szZD;rbfH!yq;0}sh>;1@A^?~_GFit)YFfkPO#S9Z0IFxgvVVVC0$@Dd6JjtFqy zA5zt#KiT+BegkT3I4BW253y0y zD(@)++m`o~groAFSlBA>iH5E6o(R}M@}3j8>8rdaAGR&;`3sK9d-lUtdC#u~r!zj# zU>9ta_k3?~miK%GTjf2U!B%E$DY?b${g{|_Q*Gvq{dtNdz zEbn<9w#s{!ns}D?JV`Mk?|BTiE$?|4jxFz*5671G%!Omid*;AVdCx4^D(}gJt@55} zCWhrb6Je{o=N5yryk{hAmG=xKT;x3iU2uJ2Y%@#AZ-XP1J@HI2ZtWBKk zIcDsbF+l%hH1z{P2M42Rg8JIUXc1w}^>!k7{|Hph4{>36!z6q@$_|+o4El?+c&9Eyba|o3{aH20hbjaA@!5It)#F--7GqY-sOO zUcmL`(BAp|z@fc!JA^ImeJ{?|qNTmpe-UdeH0}LKs-;M2@1s^=jm3iY{yptUk<#AF zzJxV8w0FK2oCWRuakN`F(B8Mw@jDCJ`?W74J`8B@-_v%40qwopDjdh6qP<(MU}fhS z?fo%qbNJHU%e{uJb7=4UvRb6H_aCX{iv{g{!)okFF{Zt5SwoL1hxTp_dz~60Txsvi z*5WjDXzzS0b7=3}4ridfk0+w~bwVY_RC3pLfj>h01?m3XP2dj~KPTOPa|`%=#K&B6 zr7=T?>q_xgr28Kt+Wb}GuQs&!E@g=%?9Rqia)uUvx%eA}7Jr5Km|E^DqRDp`|3gEQ z?3ka(cbkF;Ea#RI|KQ0Uv2WePnA_`N%zrXI2#pz|N5?dmB$==>kheqS#> z==>g{z>g9ilhA$98vaP}LD6qSl=;5mV*;U6qSOx&AC&xe$iG^AQ1ZW_`DJbrAC&w- zv_02|4@&-TM2;OQJ}CKa+VgA02POXns&AO_iIQKRn!UHUo#RFcTzA1% z;`(t=K0NVETt5O^iR*tFoQdnd!B*mW9&9D9{{&l!>)#ohiR)jQcqXpzfUU&!t+182 zzS+buas6Er!^HLVu$8#}hKXn5`l}Qp#PyZ1ZQ?rR?zD;P&%jA>C(Om9ivjOqI5u(p zQ8-FmUjSQ)>-WP};`)6ihKcKU!B*lr(PBLDOkB@^t;F@IgbQ(f0_-5-`WUz=?)X_y z1P7{*fTP6qA+T-YdVe@dT<;58iR;(GR^s}V#%ALB<*=2w-Wj$M*W1CiiR-OQ0Tb7o zQh^fJ8&QD}*DoO(#PzjwrQKRK9T&V3)-x|v;(CL>KwO{gG7&;tpBCl42sZ(e*l&=p_e`e%6HM@Hv^^bg5$#C?V|GV*T*UpttW6tMjw)1W3 z_E7T^l==#d7Bq7Pk~fs6ihQMms_c`k3N#gc{~L3@y|c zkL%@Zgc=v-;`(xg8vK6X2sOAJ!d9p;A7^XP3N^l>H5OW^(d`G+QlvtSGCyLC#X_ht zoA#tgg&OOB!Wtc+2Hy+LLa5OhgphC$YD~s)Fb&D;^ef$0_Ld6*+bf z)nLa~tITNRDX}A}Gx`gmky`J{T8wrhH0m!|+mU*V4m7BwF+XrZ&{t?}n#C#gq@e4A zYiKX16>?^XDtS1}=b1$2ZESP+N@SYv!PYqv86GAmQi)9DUbOsqCy@y?bHWAt=ppJz zNUY_iA;MKcvTr|5I7dRlm%1Y%;dVHKgv898uuh~4kV;f;i+;{y;zKH7W=dEgepUv} zl<=qsHz1VQOu~w1#a{#=1PM?c7azijx7xtZ5r0VrOGq}0e_SLa>SG=T%&hQ+ltN}< zWFZfUEM&RNtgu+N1+oh>bHZEVLv~?iPFQPvl3kdI6W$cJbKF9aTZ|RH_`L@pyI6f1 znEYK5v&s8PRNTNS4D_tSzm2e1pi|XTK`S}$5=I97hkpar>a)>Begl^_V4#ou2A&dQ zOe0xjrWjXC9W2WqfO5SeLQW#IP>oQ`oA@_}Ij=F5?4=5naYc z*tRa?EjYF=<8?T;F5?wAwk~4@9Mxq!2U~R+Ps3JS#uFxnbs39bt1jaqgR?GU9&FWR z+)KFVGG@aL(q-HZ*VbjE!%}$yW!wx~bs589t1e@(v00aq23vI*ePF9D z;~LnuE~BR@U|mKxDo|ZUCn^wKMq9F>%easfSD%+XiXYWuJkW+uYuBQKrK7CG$4JBV zxw#rW*{jc>%cy(^9Sld8fyNGNT}CHljgR-d0{*zqowA4L#k(Je&es*(iFhTYYl&|t z)C@LSRpNd9y@d8^VJ5Yzp=cdUY*2ufiIUF=^{}q^s9B|GjXAylV}^es4`YpA1B!Q# z44 z1Z(UVi-Hnbx0Lv3AV=#dDDZHOgkG-$1$QP9oBUnYCeUeV8Jd%HHDXks^R zlGp<{r3%n(f<8s(W>=|q<4!?0QtK$XNzl-uiFb~oH676enjC*2XSX=^H(JOMP4GE? z7NUtZ$Ixzt7EM$>j#`SbXyQ&Q$`MT@9CAbxcrK((KI(`js2$dwbVL)>4ljGm*OJ1| zZt)S_WzI@8Q4V_e_|_ZI<3eA(%YCNL`$I$&h--uUTk$RakbbX}_+F#liK1zWZZ+ry zEqS_JMIXh%jZf7YdUD;qS1C5NCC8>I`msT!hFnE6sJCdZ?K-I;8yjh(If{O4P_04H z+0@5sDcg|NUuqafu`OjAaui)>P^lqhfW)q#K5sjzA!nGNk5GTCoz#%4XlwLfrJ^$Vt=RYZAG~da2ECu)bh5ZMr*drP3MHwa*ZLpU*yJ_g+8Pe-}Gs& zMN52lP~A;83wlE|I=lGuUW?_U%9TYo8Si9?^Gq!tc~FZZAuw$b9&i2k12TN5V& z-d8^4dEQP$)Airqa=YY{vKGV)7*GJN-^tOwaTvNO2;I#nmdAvLE}8If{U3r32u7{H zG?pejbm=sR2Q@YrO%v4D8)usxo2h8$U^GY3-8hlmI^M8e(R^HEHo8&Jn9-xhTW+0N zdy|bi#8tYO9pb9jycb#CDtN(<3arEpnG$f!#UsPAo{Pct;t)|yTlgFzDz`(}5>XRN z;2GRK2~(q8*bpZ#p8T1YdYP^BSaJ|LQ_*h|36;^S*@`a1*P7@mf_mF?4VHHe%{-g3 z(Oe&?`)ZlC_=VPZ-Vr*-Q2(X&ZVU;c|ME*?vnR=Z?1@9KT z%)nD(Cot-(MfKXTUrOvCicQvfTh`+(i>6l{8+}612kGU*Mk9G{X5S~L#13QB^7f|Y zxn#0AVRJv<6 z56))Xn-225=@53rw~%US)MUK5$h5?Fj1HfT`uY2qZ!ujWHdfquTmF5Sho_`bm5c2|(t z6^c%z9=)PjKTGT^?1{Ug@JYwyF=`R*3 zS7js(N9;f1u7HcutO{aT1Z;-hYG=cm8dPBeFaDCpU+COx$jP zXN4kCxk)!{G`_m4F|s)W55z}V$?c?6K^y2UQOVCsM5+LROPccX3Yf~LN$I^Ux$tAE ze8Fj8-~|D0ktl4HE-*{J$9GS_f-g$Bg30d)aFawOFKxx^xl(}*rvuNl=7`1;5qSGF zz_f}oSO!)tV6aebA!V>!2U{5|y5$g9BgHpt2Z4?|7y@{fO!q=yVPE^{U93EZ{AqEY8-VtJ!;LsH1-?3ps=s zKHtuQ5OXE9TcHUt4U$nyF($+;sDk}-vWVg>7taPKizv5)lSP!c2FKCSWbSbWn+ikXD(3ZPyJRmSJW zmt7mZNl{-gnx$x^V05*jxp<*;CriD#iXOsuavSyj#>5=o@nE!`q9uvLqc!vv)bfTR z#MG;YJ#h#ze1@L|A!b>9+#wx83=Mw76e%HQO9Py+4k5;zu%QVt^BdySEDnU2s75%{ zMM{WSLTd~QLd@V{_?ldy9DS-L&dcBt_}E-g+|EfuCtI$v@Q9m;y zX(WO#HlML0vU_owm2%~1%D0H@-bte@S7j;dTl08R{v7UdXXmE}*0XlrJf`KLiTrW}J8&ts#2QHpit$<8& z3v4BmjD)RZlA*AbOfmqrO(wYkj*>}w!&WlMRj`#zas})lGD#P>N+xL!+a{B=hNEPX zX0Vk^(%9fkCaDKo$t1N5&SaA6u$4?w8Mcy1D#2DVNm*kvnIzuCb2=11Y$cO;VJn%$ zZDN>AauP(8n0O|W9EGi9l7p~I#WR`YcZv}*$sX7?nPfK{n@o}m$0n0}4aX*vd=5v+ zB%i=mGRcRql}z%!iD5Fy2G~j_dDGxbCRq(z$s{imE@YAyU@PxjJjQHf27T&6${fXb;szybg_ zwlx5=PqF~i=@10WK0`{C@y&3zcNio%U}4Q52{rHQpq6;rIP<>p*r7A;E4M>2nfEnR z3Zc*Gd@s@zLY-S-jYZ0sdayOt=rE@KCC1dQRJ5kUnBo!ZLNlhK+M+cb#?*gdOtor< z7IGLCpV`?U~TcH_KgW98(V$7J@+!_1lFs2;F6s3%DQV8MY)=44c$;Yr9wZp%b zLMW~a?lOgDOjW%M!wL>#ioXjvj4AVOIvnT3*)gVSV^qc${Q!Dg5S{MlZ()dzdxaB%qyKLZDMPenXuYp=q8q&vL7yt&V|=!-k#!~r9%m?TMGr6s;; zkRRIUY>D@MS}6$4QglRc>;gqoX@y#Ej-ZzJ21?l#`>9EfxT04k(N3(9*p4&J`MU(= zrzg|feFL`J;SN*N6)kr-`bHcmhdXR8w9wq)ZD^rl!5wa!hC_YMxWnD)mf?FIw-YEo zJQd8{=rGn8&Qltfaa)$(R}*>feeT>HcbIXW_Xp6OXt3qiyxCgd8w+WQUuSsUCE>o| zu|PF8Pthl+W<~cY`Zh8u+UNmA2M5O z6iF-n(Zrp^#}tpEZE>pGHFy<$pX&8|&hs|KD!QC%@JJ1@hos&?v=^S9lTE#Gie7C{ zjV*mA51P&M1l16y=xS_}KT={#DS9F8g`(vYJxT4WXeCA4ClD&zn<8lFjE;i`;<)-NI>p>C)+!nwjBZpki|(-+`=O%m&^;hdYS^LZ&S3N#MN1$bt{uBe z(ei|rlp6L4YI3aBEl+#l&Xydjt&A%>7|Yd8T%=eX zJ_h2Gj^Z!nl&kH<#gxEilHcy4K9Q8Gtxd6=ay3=lZh`$G1Q~pFH*6=E{c5zhjsfpY z(2?9Jr3%_WvP30Mx<-~4AaL|Fz*K&jl-|&XquWsBH=PCs(gkQEQP?V7AVxU<)rVoh z94S{Yd3Il}_P750o4oimAnw5%xa74o{{4qGV8v-*+`)caI^ahB-HND^-{aCS|E%OO zg3pp#k}nWrqWlI0W51JiZQEqK0$U|c0cspo;w-LSpu~9;wkmNJz*Z&B{jgPub02J5 ziE|emRpQKqtxB8>*s8>t3Oh)NGXbtDamK*5l{h2ds1j!gY*pg)H#nWvfd+kHs}kp0 zgR>InO4zEzxg54CaXQ0RB~CkIvl6G3iDxBFQ`oA+X#`u9IG30hR^nW2Vpxe&4Yn$A zQcOH6aVk=bC~?Zbwv{*~;n+%?SU9#4CmN2e#EF2TN}Ll=0jUxvAGRuS{(|j^XC=;l z*s8?&)!?ke*#%pbINuX4N}R7?2PttrgKH~sw!u*)&KB6V66ZZQs>FF4wkmPf!d4~D zYsO|J&P%XWiSs;cRpKm#Z7Xq}GzF~0d5j8FiSsZOh!SVMu@_Nq(lwWY;_srqo@)+l zS3-ZfkGp2Uemg3m2i*=`nQ(e~5=K(b%{2`U$mo?^-I^nOX!#oLElUMF<>v8a=2|r9 zR>}yAl(0k14GPm5XKCH~yS)IhNi`ea0TLowgCK@wE7%=fmVu*M=~zGscb z8Xe~Qzr=k1?q;;6!+htia-o^;)-7mFhxv}XBHd00b@+7=Y#n}m2zJo$>pZwR{CY2Jd-!!W936hW9kvd?rW>4xU#Gy< z;n(p7=i%3zVe9bgaM(KhIvBPNzor?RhhO`ccpiSe2DT2r_Jpm&uiZ=x55IOYF+BX* z7Pbz*wlwiP{Mv+KWcalqYU__Zn=d-ydOjt;+8fUU!?rD5yvYn+MU z;nxzdb@(;P;5_{5f~~`^$7x7chF_1s4jO*_6Rth{`WqY_e$9hz55N8dM~7d(gRR4_ zU&7Ym*B!>@;n%IOb@+8NY#n}m7q&h8x}FLy&gg`5XJq*|;8eJ{X=gYi%D)O{Qvwgn zuB1hDV0JkzA_KF}kZqNwGlK3$k+txV%VT+5#L$|jKm`MDDoce{1jb=I(&}=`|BMt! zI{w;kg^t56U!w_?a>`RR7-#J-36&Jc0Z=*B)WH!80Q}H82*?6pGA!lDVD4-HCc}~f znf*i0(e?T5xL-OMmT;uPI>XXn5Dq+%9z!^=KB{jXnIFu=p&Mv<+&+GDR6J%7ft4Ao zH?RSB2%p8+t&Mg*Drf^tPwR1a8IN zbn+X5{y}H5rx|ZUR!^P-)R&JDD36P-9;_`(W-ay&jRG1W=zKvfZ>XeFYwpBD(Mc-B z!v;=LDQ<^ioK&jTY2wXn(Lo;v;&sFw;WUP_z!N+yb;r zlo<%Ny!9}a6dyGUk16!3d-GhnkJ1{8^wZkjyRb&*(;9BiI1YuLhOg<}XiewS+Q0N^ ztj@I3SQJ7Vw^_9Ww^8 zf6B@ZYHTo?CaAABURdndOhr2fqdAK1#$c0MN4wW6nh%Ykjcyb)X7uRsmRq&in{0Go z5c;9kP@C4~zMN@~+Z62wilp1!kI^13FCV#%r=S%C%?_@iM?*m`gXX57-qwOX8%wBs z)9TSp(A!YG+auf1OVRP*s@v#}-V*yIZsIoDYp|ftqkCwhJ8lv5W@T9^rUt ziSHM5J8d-Uj@o>=UW$&Z{p!BHQXNL~Knk(Zjf!rfmw)YOZxS>#soM7(E|KCus-A`& zIcKD5?_fHFzDx|C_}nS(`^>md9yQ%~u<2wf48>!{24TB6*0;AED$q$YQr?|wYKR$& z#clBEECX8JP+7VbEyv0RjT>miMBK@*G@8H5w-5aS8_kofUNHkq>>j>NW<_!$Bi}yC zZWQ5~Y;K-$UO}%kXcD7Y0YTT{MaQl;uDYP@Dgbr2;n>xJTHa7ux<h0UR+EXPieh2h~@g)1cI z*Bj+&#(8)4sto_7v=6VBr%^q3_JP6vBjhia#aCzeCsP)lx5QtY;r|2acwAe}J|a1p z|1i1R#O>@K*b(k0(gJyd1LH+nwO4-Q)c9-xD@gjUg67J9KRXKAz-oy~J|Pjy6v&v* zi`c;22N}3WA_7keaI*mPh5#8(#KRm{Y9aqVLK~2L8W?CSK>i~fg$29G0)a;v+D!|N zJPl0#N`Su>aa7>X(*W8At)lCT9Q4GisH&ILt6*}A$GEhPL?vHyI?(lTj)>EUL8k$6 zTNZP~G5H<-isUD=0@pstMQ=ULzkwZMlzc{jT4LNMzj3c?$uf@k47CM%FJxM6Ks_Tz5_c*BDfB&N(9%ywk3kA z;HX6KMc674eAeJ}iwZP&3bslFa}3TB!G*9@BKRO|l?Z0TR*B#}#%77&ohF_og15m| ziQo*_DiNG)Vpt+L&cv`pa5QX{2o5vxED;<;F(MJX5wq(DnfwJ44$>I3`2e@Kl7SRLt*SS*i%U@om1K zT#MFkl?-hu73usk3D~OAb4~pm&Cr%oku$U{I75cEv9F`UQlv7HU9`p`m60@9i#0kj zl7C4?lKCcD(~*(zYf_;zv@KqT)^ubf_)3kxkQv&(dJ8S&$Vm8nJBti$``^asnL|3} zac+lnY+lJ5ZNRm36rG&-rcbvtLQt!vz?6qfj=n+3fg8UxmJMTW}I_SHM6#UXd{ zAV*k`JF8GaxWGy;eO}0nm}}1Y+3(@{cW5bm{X4W2Zild-rG(1Rw*GzGQygjqAEh%; zD?(8entnjv$eavqcvcl{hPFPNal$$j1#`llAw%1}Xd=$gRfIa zI6hgkVBq*DY#lg02-_Yw{vD1E9Pfdx1IN2z>%eg??4W_;ui@&z@#nDZf#Xl$=)m!Z zuyx@0eS`DB@dnsBaQvphdEj_8Y#lg$8MY1_zW`eYj+Ysm2acDRcpf-@9JUS|KLT3^ zjvp{FJaC+4VtC;AZrD0-e20nWf#X{#Mh1?j!?p*GC&94?j>p2W2aZR>Gz4jMRa4%Z$y{tp}-IIa)d z9yqQIM+c5Cf~^C`7sA$o<3wZgz;QX)np-XbwhkO$0NWlo_E7=nmaA6L1E(YBmaAIv zAB;h3Zn?i<+e6BS;OLO@0kXl@&bNdA^6j9^PPCBo?cl$DJ2;W@f2W}8OYK(Z6jUv~L@mWQ z1=Zqjv42hqDttJ=xbxK);xw|;#r8YIXyauq@o>ZG9JnXp;J&D{~oVd&evcbUw6I+n{StGb8%)B z`fG6B52(fY8XQJngFi%n&+V-V5ueYkxjxG6rt80dP-YJ&2?+HwoFpKu2HY`6QiH65 z%+wtzX-{@MDQH(Zr6OtA5N)<&t)O*+(VdF!L`reDCSCkS(dUBETt%-$jdtuVMIZ45 zp?Qj?VL}2scAui(LC$TX2NcCnsO659?LDaI-MC0?G+$6Fty+ud?4Llz61QJ;^(LPKOph!B=Ivd{BzpCpCUd~zk>&lvs^cc zpOxVsMD-089|GfKs&9z+5EyTz`UZ->G{c`o^$ik#1?n4yuiyQ}Uk!f*zK#ztKJjiA zleTw`xSjoT$(<`MlWV3aoyI?-5(;muNIKz&?7lU>KyQ`4nY-NCdFg?F-skW2KjiUm zd=(T0F0NRsfVENvwz5`A!dAIsENo@1M8mdOD-m#%wQ>TK7iF#F6aA&s0wjzHl>Q5L z5Nl;WTxG5N3fpF_?1H1LmG5CIYvn70QTDbwXvQ~N%F07TS zUMmj?GlGWiXnf=r-zo>)O^UdJDa&D{5`wwL$ZRo8js6 z7v6OUhpza}Ul@u^a}2v&v}Br5hq1;YCDXid1Z#B2H2)Hr#&;C0>5yqK28j-Ap@q1$ z{zhv$WSaj%rWtq)E##1C_$Ky7Hln?-(RnAh-nN96*4P>{b6by7 zP=`!|w?~k{{xvd9V;Al+g(lNc^JT(lr&K!XBsy+p?h90;&vE6xM+DpwIRXix!|so>zj1)%jBNBVZ76J;-- zspwPKA{(_Xv2JU*O&g`cXf#L&SvTcsjeqw&Cv zYs+JhAEEA;F~h7O80@iQ(-e)s zu&IsSr0ArWAasnPhlAHPSy0OxisW}9mii?Q$qxhGqn(+)%($2{)0Y{qu|ksko(tf5 zaY%mL%Wz13+z#PD^1HBFJG}UwtoU(f^LTH)tRh{^-{N$wVz>h*40ixes!S=eyrF1; z-Q)1kEK*uv`I1=Uc}ENMMp;&DTnp1Fu-;^H^WC&3Ez#kN^;BSjqn7x7L9Zazvt_&) zo3JL7;t}B=@Dm%+fMatMt!_~1;v5h(G!gNgc&yPOBJx#u1|s4O__FMa{nSgBh0fje z7q#12%<46=6z=*CagpvQMVm6~zS1~QUBUQ`uhVmixp;Cv-^Pb~1ja-QQ12&<9*Pb| zn+Xa!R8Z_lL8l-EVtk#k{5F&On4rH=4RsZb*v|E)Q>={+5p)S{nT>81^dvgv1!&z* zxrX|nOvN|Qdglo`5?ti?hFP)=hXu_9OFF);qU&~;8bZ(NRi+GDsEB9v+T9iRtx_xT zA1^)?`FK1=+p!bJB-SGX)LPjglQ4=Et5fK}Ezo6FG;L#id#th@4+yN6sCQGt}&29m}EV&c*Cvp;)84u(GgVje1|EfdiE(qPpTNg920mXKZ*nAtM zSVbo%a{s3m?O%z}8Y^g{Q_!P~S|uj-A8W-%=OohBSs#}%HEgMblW02K96U{=ZzUx$ zV{RH2g#N zUKBKd?qO`CZrKll4#(4|0R3Cgws<@gpb6!8%i2;m)2^Ympx@KlY_x-*Jv_l^KS3X& zTXK|c!z4kcVvMN(%@Q=5w#<%QCTIiN1{>WV=u4;6`?a8(@T4k;Jt$}v#;#%`L?CGS z%k#dCa1%O=AL~|iL0_X9bRtP>j-c=K2|_)JRx&lndF)kmj4voQR!}Rce}ByBbgUv# z7v0$)ci&EQYxO}EAK4!M@ruMdMfo(uHwY@9>R;9*D1O^i|6%eq{=h_kg|^1$`nOF? z8a51h(>#@E>)hEOe-9fEzpVIc$p=BTlJSWQUX94GtHo{ae=HSlMR7YL80^+p#O>zy zv_WZ-xIK`h5miNs+Y3n=L3NE4w>PphVp+eqed7j5a<@tQnJoTyVzd>QC}4j9t+=lC9B(CEMel6?c>1&mQOU{#4$D%C9ixitjtg z<+oD#RaD;FlphwniR*GSq-8xp61mo{V^6ytDPyR~4l>!F#3$RcCY?vD&8U1Dm1mlA#Xq}%%de;MSyVp9 zln;z!d{6@a-jDL+NdjIWVBl^6nh1amk51+V5*zdHhqPct0iy*BTq3|9jW`MmzSNY> zgU$JOA8pZG+M@Ypi-xpdd}%BGjZHz(!&Ls5DOdcrR4%Vgt60${^+fxo-(f=^M!uTjNoO~oU-GQPAM|GrD* zZ&Ueurd;t|S8(}mD&Ioo+f2FQDLuJ7vNDQ3qw=pz`3qMv{^HgA+Y05$8w7kNnQIR{h2sCWiGJubLRvZ>)r^ z`ikp|7Q?pn8;`=V^&1P|q_`92lC;HpKO9@XaUUGjZ`=i2^&2x`t9~QH z#ISy2Ds0tnOfWd>H^#tL{l*BwMZYlwc94FfKU`bC(HD;DH?Do=~1qxy}@VXJgOFyIc zSmGn-2$Ggg5Bxok>j`kECu@*~6&yOseygrIa0Tvc97puDv@{bg^~==Hm+ZIdA4re# zUep?URq7=EgU>UZKP^|Ni8n4sgM@44ywf20FUFz!-_jsuV3&(lgY*Zju}C#Yt!rbA zjs^)s2Dne%AB!$b0?kj1ai*L(>ljU-!R>^__#Or{!39q4HA!m6k3DyVqLVRqd`ibb2Id0fWKUV7IHL5e7>E92B}Uxv|FJyNdEe$r5J0F z#x=(NISG9+7>s+oqe0?!a5PBV4)gJ)sz9&Q>mNox!S|i$GOA+QBWN0Frff9RDsRrH zZYhMSW~z*f(N0uDSw;H`dX#D?D_=U&mJ1q9sQar4roWQ*uAuV?wUa^jiQsx4qnDe>xq-l*Kf`&M}uUP z2?w`Y)bZj|14XbEWlI(;UrK9JC(4wxavL zqxHbRqNDZT_d!SNVcNk8sr7iM4XzhQ>%sjcN9)1u5Dr?8kjf2TTRZ}bRJrjft+7}r zH#)S#o)oEaV@7+d(NS*jHFXB%#uB`!#aCN{PqScrCe|^rhdbb&>?kql6}D)V809+Q zgmsh{<|GWQ#K@r*3IioZjn3GPFi>Lrf$b<3N{oSB(4glmrFJMK#x|_6$dnkPyJGjk zA%AyBCB~%7&=igm15D6v)DYpS#JKtjoJ5WigWswhB?h-cXeEZf38@z{5_!&dq|JY= zDg5WeUyjhba(JcLUf;?5+dbCU)0=qr~niu$9=I1Y3#S z*vV_=0VvHLJ=PdpR5|A4K;?tQS8*!>G^ zC3gR4Y$kSpYvP&My%V+)yFZ1k#O{wx3=_LQFfmN*-UwTX-EWzACU(D0F+%Kq1-4D> zUIE7@c0UKlCU!p!$0l|^0Y{15i(o6U`ytp$?4D<0nAm+UY$bNjHaHWzZ-=eK?sUS1 z*gXYy5V3naT$|W^GaMy$4~K0Ny9dKjVs{#BC3g3Lt;FtYjLpREp0JhJ-3_)9yF0R02? z{cjOt7hso*mKYmz4c1ts#Ms`~VvPN~-dSBF1jES-Lq+$OYVyr`qg&-ttvZUd6h_Mbamd=NN zjTpO~?lOfY#{N72uO|*M)=#gNK8F}eN;>;>EF?RbP)Z!3h!<-oV{9s)F#giE8 z8-f#XAg*kWi-vP?^Uc~mix0YqFr6|910(LKKiLqG|(G(6b7L2(`)DYoHj2%4%C((3# zhILYuTRw*v%cBctAjX;$;=3fx=lXPiG-Vc5KPTOPfYN-%CNpt1H{JhhNBDsx_Vd#H zqsWgFA1TfMB)_Ei`RV>+XW5aq(AV80K!-WL|s~T<~$ri3^&qNn2hL_5;;r#v#MjWwb?S$laQ7l?mt^1u+;}<)O@gj+nYBd zE_?Ci*d5ENy@Y=&P6N01DFMp}7+52KB>-5N6;|>BzSaEOix#Xa;9svX7-%cNw*p|n zx7KsnWAF3ty|mz`0?rmN@QVOr1;B#GKH&xS?Bw6~Xu-gCc6JCDs3O2R0kB}l?>VO0 z&;0ujEqJ|v0RaP}1vt8!qp;xc18fdF!oN+XW5IL*FBdQ{Pk>7Wz=9i2@B+_ym@;|` zE%>>B4+t38BS3}#U`*c;$qU>gTvK68_o`dKnC=c+8Pi>1D`UC?Y-LQR!nPUH&EY6x z`aiIhFw%$WX&VuUfh3AW9ceg}@tm|h3RW=yYvV>6~#!BNKai?Ee3{VZ%{Oh08}m@%CL zTN%>}4bF_|2VpB?I-77|Oy2`Lh%tR9T$?d{8ysa!&wy<+rYFNu#`HMY%9tJvTN%^C zjLnScL9mrEeIsmTOkWS%W=vmg3Yan7g9?-}eHj%9W4a^RU`)SAjOkIy)p5h5yRkB* z-$=az3!-Szju)6QJ<8m)JlT231`H0gy1A4bxWL^B7q?C=-A2Jd(VHkbD17}HQV9>f z6+I(|F-=F{d}B=az72=&e~U4_8M|DxjOiA)V~s`1n4U8eYjhaX{}N+*=Pb0Q!MA&l=`|Nn zJM_ajadwPp(xLmJA3%=_(;w}xWm@y_Je*mR#tlRPjilh**Akx(w*nj8B=NogX3)0L zZHnFy9J^D|uQ4^K9h<9Y2W-BL<|%4_ulq zWAUm=I6s=GDrf3aC>tLc+ z8?C2k7PY#@Hc_-HwOI^}#NymZRrCcqZc&1^SJX?Vo}!%vwY=A2by4NYmO!C9Cvl!R zyBE#J+3hg-OI_W&8|alQ_ERstX{_j#iI*13%A$6A!9@jaCz-bxEwQ|zQeyj_z&+ey{!`Nx zE%U$DVjL)k`EO3}(9HjrsfEIT`QQ9WY)2R{|2<1^sTK$3|3vIa(K7#kqNXb<=Krlv zVfVt8`9J4rG=;4%? zDf)?ul4;SZro3b|Ugc2vbuULzO)9Tz%Et=+mi#7Bc|$4+JXW0-y+>%yLe?L8NdZ}Z z0Bj}e-vC?5`n_Q*S^p~7Hd+4)I7-&<0$a)Y?O`ifzcuV2vVJqTO4e@-+a~MRgQH~q zTCkO@U)|vJF(}ZWGHfO5S28%0^~=ImvVJ^lCF}cPD_P%bY$ofwO+1tJPu9h&Nc>mS zM6Hg(ROY$faOHt|f>&!re4>wgW~ChLC=$0qB40>>une+b7W z>%R|2$@&{$D_Q?d*h%U-dChISQtz`WrgbP{!ao9m*{YT*1Wc>%= zC|N%XwoTT*8;+9o?|`jj{aaxxS%12*nXEqvwvzS7!d9~WDA+bx|0YwwWc`6upk)1i zR3K#i>&UhuE}MYm=-{7j{d)y9T%+iQdo=k_bH1sm5TMpSj5QXiB;e6iSfe8e_?ILBdtX6oI+6f>*(kIm!2cRr z(~$&#C4|3_l7J4Y(L#z=$=dr5H;BK6w-S=STvW0)F*{mKE*p zG9JBLpF6GJAx0Zl3r3d-deJH9K|#Bi8aAMVOf|GV%r$gRMhzaVcf=7!yHyTGUlnxA zDX1%-V@D<-Hd1ToCTLIE1{-}y&LgL;LxE=nr zB0sOh&NuquMb(wC#IdOK9fKb%yvKvr~L%lXF8ot!)KXH_b zDfRk_cW?rpqa^BOH=@~!1NFMo`*ifPB50wqqxbNvx+CKR8k=%8^=tciPwld7PxK z6m$~4x5T|2g`gU6rOPOxit)JjhEe_=C zzpx`kOTJFqj;1Rr^7ToqEPTn=(L2x-4*A-$o-z#)uH@_8pW`HQ$k+TH?vSs!9nL_$ zHfh&ADSzV2qB}b`J!#l5@bcQH5Jk|PJrilnM-Q@G9mSt5Y0k@te~%Tg;TvCJ#N8}E3iwJRZ&QYQ9Hq@{!qY3 z0Ry=L{63wd@gS{&O$}T;wO#?OcLHps^^Sq9wB8Z0mDW21woU8p4@YUeePJuD_gdIW z>%9_o5UuxexJv8o4BMvlwu7Uz-d3=c*4xzJbl3t78o^dt?rS-wO-sP3v6>M`^uJ!nSF>kHJw|@58W_);k}z(t76_n`ymsU@NV67Hp;U zX2P~Db_!wBqlMSb6%;o6QAMp*tO{ED6gU1 z_BWR%D7RA$tFmKK^6s;2%jFyQqHCWzyNYxb|H4CrG$g|b^KjvL_8?CWO3AjnQ zSffM0{g(*1BY!|^Is{z4MhZ>9z3)e~rbEERAP@dR5^&%92`%IhaQS>Y3j%KJF0@;r z3Ap>HmSRl6z4BM=pF_Z9nyW*=<#t$86<<5uN+W(p$hztD4KzZDy}$p>vCD}tY@^MN zF?xhz<281cpmz|Opy)P1n^y})D<0<>rV%yRjvXTCQlc`K(i&C@+K{%Pl#IQn9T&6_ z?S+lbJHhp~CA750){yMmJx)O#0xn)OK_LIv2)GOAE>ma%?()5OJ#h%Q{7uav;Nqpt zvi`(5QFsFG0(|*&2)LZ(JbVeb|-~8ELE%fzLjHl^C1^K`~;k=_5=8Bgi4(a$EQu0xtI(!Vn@Fheu4XH`jNVGC2=i8mx8^aN zuw5MjF137U0`5v`p)ereHa(8*2m=Cc#0gxg#esl39y?OB1l%8}>57Vgn{g7m7q;1t zXa9qya0s}TRo(K2arWaO5nhLY+o2r4hdTsZZioLyz#Sz7Tx2tjZi&~G(c&LS_je%z z?I@wS=BE26bu#5ld)=1q|FwOP4}z^B@a72tcWrvoa3b#QQ(p+Xd&FOz&V=3l#*fHO zO&T?7l;u*ly?<79vv#HjXMw&8zV01{f*XC?pBCGpn?gYrKfua*3ifb|3ntPvna08qM^FMMzP0>1EU*vc2a z9k%j?(_t%LcnWNrFFYQO@`Z1Pt$bl*qPF?MgJB2ph11|FU$_r!n=gC~9OVo5gsptx zZU$$*a3|Qx7jA2C<_oukt$g7ou$3>|5VrD#>l&N+!Zl4i^M$LzR=#jDY~>4AFfq&* zE^T6%FB}J3`NAbkJoAO4C`Qr%yI|XV;o~41+kD|8aBRNtpKxrx@NaOGFPsNk`NBWJ zR=)6eCWiUKU&2H8!VcmKuZL^%h2MaqeBoDN+kD}baFj2+ z9Jca>pMkA>;l;*gzVM^4l`p&iw(^DVhi&tP?=uC=7ru)MlrKD!3WP75K{oipW7^>q zt57*j_`=E)&e_Npg=^8J4VW)np)#E#p6sQZqF3Dm{Yl}Z10M!s-OAD;3KUl<4AyyFX3iov1#-{K43hg~jOzOeOw*n1QBsEVb1 z_{@GLGa*Ar!WsyxfPff4K~Mq$B8x^vMMVue2qK$`3rVh`BBCUS3W^#P5fl}#8}3oj zi|fVhihJa$prE3n;)4F4>Z{mu#p}FWBWgLGdXc5g@TeQ?M zTS`TTNxP#PF4%xx|pR=&J#aVI84Cwf)2R!t1D&*=@e?yY(?V@%X|#(CIpMFW(qAFz5lv&Zs-%^FVUVBx)9jOv=%<9R_(j%1L%l!25_6EN2j;7T9dwgLy7CpW&j|V-zu*nCRZ%0`{-d4pnt;VE<0XgX~%M z?+281dy4%#yFDJS*0O&u-WOTnv40(>RR_w5+PeMjm`*4}-uAmZs`l8woDaXn{%zfy z`L(4b%=T^FoY}qGgv~qNaPR`<*b#T)Ol;5FUG!BY>8*(ke30naB6s3U(CiKo{l1d) z8wwEKTl6(0=|N%>_YwV3VFOPvIyK+Do7)xAp?a%B`IZS@+_d1v!OV3(m9U)=q(JxwR9aD7SVDWaZYL zYH;S(o(NgFwZ|HqxwVCmm0LR)vT|z&Kvr&TA0sojwwJMIZta1Pm0Oz+S-G_xjSX{a z+Zr3@*0zMK+}ftbp1HLR$ws)fS&%KaHUo;~)}}$R+}aQn%dK^wD7W?};^}7g!o)~M zr|%#uxArT@!A$1XZiTGe+D!&$ZtX_M%B_8maN*W&fSkgueGRJR*1ilyxwX$hw%ppa zP?THy1Z3sbJ`7p8wf7sDxwWeyE4TIz$jYr<4%u>RZ!!VQtzAk1%B{VI0)$(;kYsRc zw-um>UEch?L%7Tk+={+OYbORZtdl!q6N!$%r<-Rs=5s-YO$)Dp@LhhY84rS zTdVp8vQ9ByKRcW|x`#;tl|bUwGS~KU;o7=2@6CHvSA0`Ft}VTxwCSD4IDvZa@btN} zE@GPSwCPi)0;Q%Jlj;S}2|6|vEfO?(XeP2=ZA%qBI2El>bbW$s1;nn?jaczIZBkx(R?c@V+$34omefU7!(c{|wL0nt6 z2XfQn+H!Anx4E|M4?=EwT-)E^+K%dp9P+rfT;6^M*LDTv+ir7h=k`J@dokDcivm2K z$F*e^rN_19eDJuooDUw?)-Z6>ri`2HM8Y%*Bo^?Z5Tg@Ek58gw1a;gwL{N^50qqw9 zi=T2$yWO=9TAAJE+73Jv!xN8d%k#S)*VZsYuRxjjeO%iPD8|vqRp@cyJfSam&$TuK zP_oXRF&Y8JyO<1#M$r^lbe-5oGvJ@H=z2v@PPN^j=sVFA+bTu-Bl$Ll&5Am~6m*-S zg>_TV9f}4i23OMSe92D|eV9_FsH^Bnlm9k1ECX^3q z+hCjI&SjLpX>zwbMfay?Q?#w39Rn$7XGO~>*VVSWqOB;;(xinfQ1mW(Z`yA5Q`DuR zr|3XIYvzEzco<5z#{oArz4mgzZySi#WAEgE2M$L89E~qd&?kkv?A43-b+o{)brxNu z=w?)0i!K(_iH#q7hLh#*4Ob*Qo_*N|`6A-pD>&dk521dE#{q}K+v9+QGWm)62akDyMUGgw%-;Zwjl-zZ==0W-c6pqT)cbAB(}sM(nVFa?m& z{w~PMIbR7`Ip@nDE9d-1$d+?{EfnRPUjDg{++Ops``j`LFp&&iRj!gPF`Z{}!@x&bJXRobxS^Q#j|JK((Cn z51}aM{9VYFbN(h2<($6?Svlt~K~~QBIwLdZ{AtL_Ie#3oa?T%uY&qxmnE>XT-%SC^ zIlr9(gmZo?$>5y7b~uXI-&*AM2cuqlx2n{N-rkcaO%a`|qNOHy?v;)gVlKW%|)35Dg~p zvmk$5$7iOXtrQ&z?@FeBSTD2vS6f9p!t;_ubHXMD$L);SJG;yvYyG;{6Y65c8qlwp}&jr@*q@n-S`kfqVFG}Tm zdg(;ukVg~e^7cDu;{T+4+ijZo-KQazy_hDReFmP-qlq&u*rSPaK6o^7&IgYs{s+;- zPoh?4w`t;MOvCWRqlxnrutyU&Y{mOgCVn4HyrwOgPnv;PJUTA(KX>9MoPG3}W;A@u zfwIB%vHn!FyPyLwzzyf9Z4W`8!N@s@9wg{S(5{ncPeG@VZT%ih^1h`PqmJ7jjD>pH zPhrUkdcyZNF}=S7W}^P@opjuVc(gx@j(gIX$hN&=(s!{^ys)I zADkNLxINB8eevkH++XtOxSS8QmX3P|O6#7b`^*yvtr!QqvLX& z`VVy6n&`EQOVB2J^jh+E?^$~7!=)&&9=+BS*qZ6JegB3Wss;4g=P4bvfL?p$1*ocf z1HCqMAu{y3Fs7or%7Jec+q-eg^%Lr05>4kB>gdgwDovto1Z|40W)khqDCVrN9y=H< z#U+?K4_sk<2-9)LJw~rh%)#T;+AWzIUWBahwq$mk2TexQR(kFCm!J@N^jaQOd-Ph) zhu@;tUfzPYWUeSqe+PRP`^rQwElyw62l|^WXfujHd1Y~WK5e#opXlp~)8pXF`4+Y0 zaL{j8kbafu8)Qr8+YJpbQ2r5g+S2(t(KnM0`t6OPZzUbOyDk-dTQP6xe7)$~DI9d$ z8${nx+!R+Y7VYQRO;6k3@mL@gr~`YO42QAyJoxcExRqs64+>UE-5U|Z_` z$fJ)%$2Qk9KpFL2B|5gXp0WQJ$M=@#YoO!uCyYuA;u-k}{Z`b0O=omR{)>ivskD}VVx$jV>-J7mjW zz7vY_msdbm{_-u5mA`xgA%3pqr0))T3hGfS-7}HUHvT5tPtS<`Nb1hdE za6MB7^Tn3Q{46n<&m^16urW!Xe0aFkSxK!h-0lLzV5+FUe1g-&H;8KZyDd*gGpv#1 z9L|-)xAd(tL^cwQ)4MIX!Kc`a$}L18RJ1kkwq$i+IDLO?>+RcOnsa}7QTlyWj!{S&g*w&x*hZF>f?t!$^khQkm139I(t%9nx?Ka4^ zw%rUxYuojZwYDubIAwT7{*{omwq0Rxu5Fh>*4j1)vevc|$XeUZH8R(>GmSmhwyBV{ zwoQVpwQZcS;o3IR*l=wd0a#$09#FKl zb%m_8Z9m9b+u9o&u5GO$Yi-LjIM=qukhQku5H7W?F65Nj7KdtUTLg;MHa}!r+jgS5 zYHiyAS!>%jkhQjbVPvjtpF-B!_7P;QZ683kwe4*az_slS3eeiNo&uz{y+AUm#3n2g z@O|C#&VwCCtHM5mv`WMlNtO6os>Hx>tC6S!sun=S*O}E|`I?e&`cUfP1X@w0^OqN< z4hSc7NDYZvls=!-u&7#NwjPkIF`FQ3joAoUYs`C)wZ?3KToBIe0VYqzq}QNm zjd>Ze)|lrYYmHe8Iin`3n6Qbx!lNHW9Axrt}z!v)*4d`S!>KW#)fOmOk=|}<_ySMV@@;nTw}(PjntST z$hO9u1jW{v;M4q0nV7lU(+=>%D8OgqA* z#3Gcga7UBz_Ia&5cYjGkvq}F6*iS4nE*1*~kW%bHMvVxisskm+G%rR9loy00bhU;C$_Iue zurTa&^mU`Ydbd_z<43dNy`wZs%vTfEWFbY_sJO0I#)63ioM$uUJr}5Rdjf&?Lb8<3C*~bW0;_5 zF2!Kct(*?`cw_s3*oH2yLth!UJCf&5C|W3J6z#Y_@v_)nCny?v$4|?S=_yt`Dd@@^ zpjlhkc9oz`q^2daub|P7@{(D1KNE{CrgaPA_$*{LW3=FGUSjJyNL?UPY>O^tG&+4o z=OkLSP|(p6CMMCM8zqJ#kn@4e?i_E`9b!9jG7d0Ov~-o&t_2sVW!b(Mmz1 z)2Aa1^(5Y+yChx%N^+gMEjSjYf|fpFQ94;^ASX;uR*Le;N~cOT=m|)CvXY=hg1UUN zlAxuE^2thqRw&9RE6Lp|73GtaG!1&PQlzGnmDZw6MQO?GS(0KoaVa{6z$+N+JUZV@ zx4E}xqjo7e@CQNjQ38VjDQiUwy0GmvSW=oq-xl;&gG#(bt-G@AiTDWy1)cSXpkJY* z6bw?0M!76Iil_YC9q?`2jac%H{ z@h&ad3pKfopHD;F5qQ2NdIQ_L0hFI4dZVCk1yj)qK{Ju&P_D*%lc0B!twnDZv?txo zqPGaTsag!n1icG+oV2}F&<|1BLrwK=%LT2E>X<}t6SNEJeiB{5s1u@~&B zTw1ahY9XzHF6MJjqBJWyN0#`xe##+5=PJ5^o?6lQiq@s)Gk$b>tBS57TSXTsdJx@B z(Zz~>k{ZKOMen2>Qriket0;#|haPuZrf3f3kfJLT&8Hkvbd{pCWY40j1$81j^Dq={ z+_ou|tcqKh;5~-&jh5_%8{N(&OGDhlTBo38%h=wDypjtz?;OMhnz)=)&<{=AF^%Hg0C2zYtSDAZT&T)ttl^jiasLf(mbG1NnO!V->~g`LVb!Z74%zr zB^KQz=p!vt(fsWkLvOm9Ut^dp=vVa67F{RkLW;q*lJ&mj7--2}5E zR71B5dbo+9K6PNCfui2ualA*;dk$*KCVkK75K6B_9}x6~YN&4q+fJtZ4QUKR1U-t< zV9{#?J(HeIdmBX?1?@sHShV909Ph!^&{=}glD%+1pWj(YB z==Wrssp-8)(2EJJr|5b?d(u-_wDHdz!+Df4i;fd?1?6Ox#&D0IT__D%@@*>mShnrphc8kiJCy zL37PJR`k^X$FPpjprZE%4H~7BUBY@6fu8IVrITGOYEO2F(8(_0T~2n{My(7j*|Vip z&wO!zrW&JV*crgBk`tlxHzK>7zcCj*hA5rC5s64IUr*+6>HH0g>iHXPMn(#%=Wn=l z{)V;H^EX^Nf5W1B{)XEhUo4C2`5W+QrJ#ELhD+yfSX(`R!=>{#BCd`~{#EUM>kxOD!8 zMfLm*m(JgaMCB>;{0*1R->|5jzu`8d{8d{$f5WBoHzLtVow;Sye4z6;tgW8E;nMjV zku2;4jpmKKd5uY2+@%Z7^eiY;bA8U#On+{xrmW3~5fHP1)YfM%FSaT^Nl{J!7U5YB*og&{b@2 zrGA^naEqeP_*2ntV*4~bg|$6E(4DDi&;@@kE%-~?%7QBB)zxDfiOkR774x z+yS>8ybw5~;zb^4K8zwCHH*n)1gZhiQKOuIPr%9zZ0*iC1W_nj@UaY5M~=i`)u+S9 zuLK>HiaOt@Egb{w(;@73wM|8f1a*faan`m}(SfOGg`ywhod*0}P^i&=sZ?|aN}EO3 z3L2de)EGL@-2!F&p4KaR3f^fTa1*12KG*a}-2v6mMuL{7#!%Qr z(7~v?$#{DUdOP_!G-ZWD1igS>Tu{<5OwpO}tXTB*;bQw1ijGBxjTQ7x*t^H3qH`6!h}s&pov$d}z@lY}cA!zF+AdPG z0rJhFixs^IZ{MOz6)jGUw?feukVB!kJjF6a*HL;EU7={dRNGaG(qb}eyIRqoXvD8E z+^1-pdMW4{MJFRut?i?V_NDY{43&ybpcv96y=xU6PPwD#Iz_vp&RO(DMVHYxLT%S8 zdIK6ni@vVtR%*4?c7vjYsWH5(C@m(lwpEJWPTy79dXZYBj}-ko-A&QWie66c6GgWw zdN=BkMYkzhPPwkO+XZzZ6^O#$?qT*(tz^Hu=(LmAiIrzoAGmcVspG8{yzUSCpL)=vqx1zJYVte;yB*L#_-?Cm* z*b&2O{3Ov!7d8CuAcMn!~65s zQ$pNx=@}JGe9HFjJM>=Kh;5OggDLkFU9D&viZ@$w)RAoh-Hmv877Z#|2knMMT}81_ z%<=Cdr63`w6L|=?3*;HMbD&@=pMIBz403rPV-7!whPVOTG0;@d31aU?Q_(U-o1~&^ z6s+2=bR4r=Tx+_qID_B8pCiwF@~TlYB>fsjRx{ADK~YcPad9R z6WUyVb9r(Nap$3ZwrE?ickAPFi*{Btn`)=V&|T5d^!^krQ1oA2{OpvLXumg=mLtig}CG=C_0N0rnaRr7>Q1pFd>P~6%<1{)IT?YohFe% z&STugxR)~MVC0n>Y{RcwL)=YhqTQfOy*OuP;b{SUh#%K~2-{XD+Qy(#JhutzM5a>P z-{E827eghP1lZCX5ztD{P&*x_=%m3l)OcHTvrHwlqk)b^KNYk&(wsy;6SNt9UajpG zL7SjLgxc%fwhEd}pB0OKF6est@LTi?LEokavFMkAK8^=VqT2+`KoLr!UkO@;(iz%U z)9|&Rw9+$)e#58}p@UZ2I^7+rq=+q(^MnLk#{=(Zk$jxy*~j26Bn=yJRhe^hJ}4K6iz zbbmFd*iL;=&`K(!>I~@o-8{BkO=UkWrM$bMqmdsLEl{*Q#SoWI=0HV{r)Nvo4{0Cj zd*X>#Q3Y0X)_g&armCuF*_(p?LQR0CVMUAi9787AYFB)aty;2eSg1 z6IqAs3C5m5MCfYBF`+ln+vmlXGLxtw?xwmh4+>g#aR>9^cMn7fx6^o)P5AI0cQfjU zMb|324tX5ZA>ukgoyf<7aL=rJ@E614#_LVI?eI}{H{h+hI&r8W?qWQRTkku5@`8KV z-aQ*{)zx8QKSkG&t)gom6WfQX**?!GrqC!qc3DAqHFXZ?fDUnN>dM0C^c3tP$>%MH zA_8@R<~_3jH^N<8^n=E1`x(VxZCf{IG*r!YQX57$5gO8X@7b5pA%uoYc?23= z!|7Nj_R*1(;6-U9wktl8p4ppeDYmN>JqyLy#!&W&*j|KE5NIql1-ijoJDGQ_AJPEebI;{(RvLy zWqqlKVPohZ=m&H+i}n|k76m5JQv|(+nu@Td;cP+ACC8mb%LFZ_lv#A8p!t*ri#{dj z->b#@j-cz%MkQ^x3tE;5)GrxQf!EKpR6`P$veqR4x@uIxr(XZ4j;*!s?2W_z9#AWp#B)ydA0gv%01v z-k;RYq=Hf#1A%rwx~0E-NNnO1tW4|3T48)+H`sMzZCDK4+I*IW$FL}mPE)`&Bhp(Q zeIz8u=_9{&o)qDXlR2Oh%3a2LyMT4R6yV|G+3NfDu*x`w6$R7x5#YpQIUs$C2+QO$ zT%^Y1N3c!C+Y+Wg`qu&+B^H$2I`buYmyhAgW>GR%>;k6uJ%vNhE0P2(5aESU3?L?r zVXSc7s0mMBF3~FJBxoT{TKhv$hq4m`>XCd1NF=Sd+khmfP>bxAN zp}H5M45c-!3%N2gaYhVEr#O^gViw(GPy}*TB1SjQCu&GAL2oxLe<%J164TK$P0QZ_ zIhdej1!?);Kn^GR(!KM)fSi?hf^0f{3fWEEXXKBJ{3&{IY280C_Oz@Zt<&3((-Lo! z%^`0<&Pu$9%A3|@y|JNX1!?_WFgCQTAg%OS$bAxH$)@m0V^7Np(hhr+Z0eRGwQ1Q8 zLaxlLyToL`-=P$Q>)u96=ABUT0(I%wjx=`#6r}GW%J8ALKn^ChP`=K)0diWR7iCzd zC6EJ&m31KZTx4u$SwUKp1(5xT2hk{{&7Nm)T2_#D?nRKD!~>M4T}lbhoJrT_od=ng z71V8o_9m^*Sy1x=iHqnSZKgq4SNBTFzm}6Bm$puPLN~c^Jd{A9j3UFV0OC!wr3V~! zGGsTAOE*7txRGgDLE5RuKn^C_(F2`u1mv{3-%zBn!yzNJr%^5+)6WFZvVydX-W1>_ ze5720={7v}X_VaKI+5(uqgLTq{Li94m6@2u2t|;ZfE!$ir!|~IB3))vu#e3zOzJuF zAlG;N3-Hsxr2rElwbtf}AnWwCF*nEyI1PPtOJX=0i$Hap*o=(x_2%z5TtwxQx-B&Z zQjE#L#k&LQ85gzSy(MTA_vGjt%g%? zm#<>DdYm*Bn-Z!qbC%KEqzSC=m^n?FCp4F%ciS}3G-wJ7eI%1{(pw`(s33exj*W(C zM$eY#Bqtuc6H@GOpr z#?7kJfo49QGBj9DOmfi7$M?fq2$q9MZfNEb2qHPr%q5U$;i0UK6#tLpOBjJ!&<*jOg^ve<^A;t^E5hqgMAh5T8+xT3wHd zYt7W^bGjpkJZd!$aeoK3`U%Rn-KJLG*aNZb#nkH1A$UHIT8%*%^1-84b3S;~YR(6b zTHTQ9#qLw92U9Dv+tliR7a%PjwHkgGN=)e?!-@$L@j5j z)COwx$Mlx|Bx-f`0JKaVwHgH=TH`q#u1Brr@ry^THm&y415r{uYBhhsJZd%PL#?1z z*F@CqhRLiykEmM{z4ceR<6n;6S`&MdcmaEsy}5EQ?&z^Mxla8K_U2oZZ~uY4SrdP6 z>El_Oi&j79w>Xi;TN`9*d0g;Xkv;&ZZga zK>1=}kv+~TNM(ja_9Ckwl^GV+!$?SB-QTeEio zGjIMFK-cLnkv5sZ);Ck+BX3wE$=(%ErRB6~-=W+AuIRI2U)pqKZB^H+_&$I%-pxHA%_#peWL{! z%6;1mS-EfjHa5(Cqvf<|3Ff}h>H+1xy>9H8`}R+=5$@abkS+J^87P+fRtd#&-yVTt zxo;0ZQSRG4kd^zk3bJzFZZkH_eY+X5a^J2uICI|?LsstFm4plT?Fz^#+_y`iTJGB% zD9U{+fo!>N=R#5L+nJD+`!*G_a^EHynYnM{AS?H6BxL2jjeu;qZ^xSe=Dr;+i%WoN*jt_BIzZpPEj?(G&9+ zFeqz(IR;E~EFAbRW567GB5JP3fT>5twPps)d9+r+W594X`gbs3o}_%+Z3fIuBM{48 z%z%lE#PfL!7>@yiB~L}(=7Q*ZA|JfX1vwx7u+0UBQY*9D4498cqkH5rVA!|qF<>|! zY5@c0vau-L9s{P9GhjB+Tl$k2F!d**W%3v>H01UeFg#N6HWxJcQ1j-3&rCu|@fa}t z1@jm%oDa2v0aMfFf-$TS-~G)6XHzVDbaTNw>5jA?$p6pH1?iHI0&OQTA@|R;@)wH?b4O8(X-sXbrTCRznmY9YNIvD--J+`^v!0EV|M^EDq z-tVBN&7yq!5A?K}HWxf=ChWb<1$jvNU$?p7FZA|n0XgyFGm(y3Ku-Jy>G)H~i8XC5 zc>39RXm4{tvv$(`(>50jr8!P4@;B^_6b-EI#RpqlPdPsiP)rXN&=4n56OFXzEZEnE z%?KlPF#i;DEAclPSTFl^PNLNH0vje4l07&z#EFc=o>sB&YJ5hpwW2(I^c>iKSQi0i z&l}8RXo!0Srk5?cPVC)>u#cBT*DHEnGzHzDC^43-ZIz;7sVkN3pRYV0se7AJr#sDRh?_~zsOVhXM0#*4TBhhb*(tV*6+HoCoR}U)Q=#a; z=}8n_q3GwSwyPCgL-DHZ8bvRlY*w^V(OwioOrCn3qMh(EEV^FNTq>q&yFt+osc4m= zZg>2G&Bff$bbrmhl1ez{Skx+C7y&**# zDB2%9JB#KidJerIwQZ~D$2majNW7gDoj`e}Xm>?Fr@E?Wfua{s$`l=_=&yukNW6uL zK1z2}bhx5TsX8fIr07GGUPUJ;nvc!fEIL)ugD74-sCJg3S7)T4rHU?~Tvywx zc_QkfQjy0%Rx0wTkd=yjB4ni^9}C%1kqeZYX+~x$a>&>-71@ET zROFuwjhVOM{LavunTq@sWThf+g{)NMO~#(7$Q#K;xAz{D zy)zGWf0yHoyUfAs-)+WWy^9dbUd%W==TbbM$2de675U)pxyAY5?YYJI;O)6({6@RK z=hhR{%KR?IVNC~;HlBxA{s7KUO|!})Dee-C+ji^7y4NU{Jvyr#xD0pv9ka?Wk^NpV ztK54&o@9^CDn~EJ9shE($~Dc!w!Z>T;?2d@%748 z$a&BE?Rmd3WBETE@kQS6k>cQeV_japg>>})cHJstc>;h(t z7a&8d(pTKf=0DuRzxWQ-(I`6I%GhK?mEKRTP|&HP($*Dhb|sk|Cf6wF)KTdJ@m;=1 z2@9>{YZOdxD8Tb#Wxe$0V}4h?^k+j>Fa31L>ZLy&vU=$!K(=0bnjBUy{V9;uOMe1n z_0kW6oZ_WF5~_OX2SK)8`u;;%UjaqE^w&XFFa6b!)k|M)WcJd}hpb+D zI+k3$^cO(3Ui#T4fW7pyC_ugRGblj3^ixQ7TKF!9d){}mZzO(b@>D(a{yVsU`!Dezjty|yI``gWpkV3ENtcYSqC;N@_kwpJOv#fd_ z7;#}bozI{33;u$MdRoMcIQIeJFG72h`7;m3WyDdH5Vs%>^UPt47M#uYt^)?9wJo}s z(a7`}os($QLP1C4i0-8AjS|BVVBGmLPv>~6?hxCNlcy%p(p6%+7U{OOd3OrxfNN>d zNb$^rbHc>u2!w)->D4(e?T;M9sjYZFkbfpJuT0=zL@B-VQ#kMF;*MXg*$lAn-2R7A@$)w$~tON%U<&e>JGYThzKM z+n$J@Kv2+Gj|ln|_>+Mk6-1P%vZK1O?G6;VfEl9`x=GOSC{Y2^ZUJ2+s1v5yzd-r` zLm3&h>V#iJ+(qQ*dHX7~f! z`JJv;^c0Nz{DBZ0hj@F1KG(D=E)oBdXd^+(Q)4LXBIsZ+oRjhP7W8&%eKci-Lj=8m zUR+SpFig>zIVtGt!^QS36rH5)SV8YZ&9Ug~(*!+(^329tEGX?5;19~Py*^J+Iy1u` zQuG!<2T{sG)ComCy#A=59aGT_if*8)9~Rqp6}=-Bty1)8O0U{}r0BKuHWl5hXc20& zMYk$S`=?rTo1zC(yb(#mc115kHM8grL7gz23EVZ1)1u2@ zq8G9R4e4Y2mNwFp&_rJ_*pZ(^L)=Zs(O^)%DbAT$T)IERPtf0=Z7URQV^GP_ZGt-C zbx2+y_6!P5G*GmS^X@bhc{9}$2ukCvA?_vwS+wlp4%~7QL)Nxqm9iei-HaDv(Y1=M zLyp?^be*71_+#`Mf?4+liZ*MMnNc2XJGiA?_p8=rlT) z6R}S0BO@oFp;p@!A8{=1n`tSws}((qnmCQ2>=UsCfza_cmI5<%Gow!QtdY~5V5B0K zQs=y!V^Vi8Qf#I}g28f5hlWI^qC1sDH?h4t<^+0uYU>aW1Th>|4Q(js=6zuszFFeU z7j#Q|LZuVnj1e?}!W9fYEVg$Gnt_@V4C&oI6!bJSwMq0xLHnX~CDD2fIAu5rJ_YR{ z=m&H+8*hI>X)!<&Jw?!KsKACb4QC5_E;25OmI+!;DYLdK12ich?=+oE@)1pB|o0u4+5vevE zVr}DM>!e{iWQytBOu$uXw8{K*qprh4$ILeKGmQQ={H1BM(fk~v9*FL9oHig2wO@k2 z+3^9WsiF3ZAZNv!5I$l7{&tEFM8ZQO=0UEXo`wewO(5rYK{(z6ITe~z3dI*+hB^}( zdmiLqybs=DsLNT9v+69y+Ye<=gG}=Y@hed6L-Qs>4W(a*1cVxnhg_K%KLbr{sM9DY z!T2n?$)J-VXT@W5^Zelkr~C-z)1sU}d^#Q`lz)W5X+9y8e>miDyf585zaQkR_!DH) zsW)UdexH#KGBV94gt~V#_B5Xm>eLx>TAVT{bjZGtv*N`14|Qo{Y-m0q)UUa*q4|VR zDP>Qe_*k+jtZ(dTJ|T2iCfTHyqIQI`(;-)8rY|uW;6f<~r{6|OW&lcFAbkNT?k_0Z zNFQZsXy^}A(&JkwU*~OyoEGmz8P@4b$btAuG+?2gpBWpPPY5;n7_vY9ApVACR~ek< z6GG>{1KEi`KzZ8bUxe40N!RAR0-5F$(p#bEhx)t-H7^jqi0;wmA5hk%UrG7b@+rur zt>d52O)h*4N+4cFk&RjdIT3G54>;;x$ZnjbXhNsnWn`L92%WkTaxmVG9_WN+kkit? zp-5vlLPly&qg+0Qx-MzyG@lU4xQYVYxQ~=e$|xXy8YTC*%Sd)IsD0iK{}c45GSdmT zp$JkFaDyxHv~_(HJ`DdeDLjk+3QXVZdIUS!^25j)Km(|+nsPy2z{xPB8Eu4#0YF_-E2*<1h}_XUKJ`e99+ErJ zS48r|;8`3YeW^tc1pO^gSUF+zF*A68|0ZurnMaLiN+{wYls*vE?dUUL?EH!wLu%;^ zfX6kD^{xU=Lnr9lG6)UCfxcJpqkf9gdym8`0lf6xws_HSP#kFd71vOcK>i9=2UD4w zLK%~2O=Pc7@G_^Wy^D(Fe}sQ0363*3>2s@6~Of8)JaP3fow z-izFpd(eCF2yRw;y%#^VM(T*nne<4AU&HfWi08obUYP!>@m};c-TT29JR0xCp+>y} zgHrZh^fUSpjFye};#i}u#L!f{7Z+ocsNRdeL00btu_V=dK`cr2UJy&tdM}73soo2s zNvijPSd!|!AeLl`_kxI$>b)SMr1f49O;WuVM3YqSg<(m?&3G@r0JwTD3`;W3-V0($ zs`r9elIp!6mZW+wh$ZR9*?U1O$#9c>M{?**|W)q6oK$#9sQfGi?jEFSd!|! zAeLlSoV^#sl2q>nu_Uecf@qS~dqF%&>%AbJB+|s*3*t$t_kw7W>b)SAqb)>5$vAs2h$X4s3&WBW?**|WQ@j^Ml(gOpVoIv_f@qS~dqFfw^l%S3!diJcrtZHdptYsjS#L&gMM@pHN<@q z!|!mNLvS%rSM1%U=s{Vut)jzIZ3ik^Noa=nXeTI|OEFkCv6N(lJ8ifZhoH&A0HsLx!m*9eMy)O8R^sabP(3SAsg|jBH?J7ZQb|iG_;5ich zqBMx+9rtQSLTgl+NV866@KSaCb@ixj9NG!>gN|s6G?~k#TSMFtsQgLv2DWztaN8!) z8wGs}Uw|Z9At-sWBe@#yO@iJ@widlv(4KTRi{2vWrfM-P6Z9_B@}%vpf_{j~9%-s~ zTP|pQc#4zgZGzIlrb%=KqnJ=k1Kj!@Gr?LagrTcKzb`^WZ1RvtyKI>9B;^}znBq3Nj7wI#)`- zXxTDGo$xE<)XF;tabau0MKmGiI5**AWHwB3n@IbuA#S}^2(su0Z13)*F>J2dwhxGZN&qsqy_ben3 z-^cQ%>}%}?j5bVheJePZG{jv?cT}`#rGYO?lx{ z^btXq<^hdL>WYr~hHd8)>Qi*7px@FfvFIj2&A`#3`7|#9v)*(!zs4|I(68vBExJz7 zg)My~`byATdSZ*d8sHe#5vtsiA~~WhGG*Lk?03=y4}?Bx zk+h7FiKE9S(J_KL;W_j&B4dygv@$>CnpS2gwKB9}iY=`g;{HrEM$51>fLkRej83c* zja*faVyToZCqF(AjXxdH7L7Bf~A7=BxHy`m?l+HO$v9XJ84ZIz<^ z@x`*}W<{M~3c5|vLVTmG?G8nQ6oadyk}sJwUQKptcQ!Qs&Z>c{EM#mZ#|c^lXZ@Rh0Hsv}k8Vi8N)=?uxdeJWG>uT%hP( z^xm{|_fyoRqNnIUL7njNxLu?{eROZ4fr{LPxQ*jn)&oVDj%bV2lir_(xQ`*tNwg{3 zyV;04QtuGXi;8A~ZpKq5ZTI7Cr`_X_LrJu=paaM@OYgS7pxuz1NVcN+g686>lV}%4 zBU8_q=|mbHDQV~`wzpEhO=Gx4(P#XrXg9Hanx4Yi9w6w>)HK|3prFTAi=n%q11M!V zlHOZ-2>J}=nMDs0bR%WFMSBW5jcn^5#ZPgIZW3K1;d&FjCE9Mu(hQY~z59gg`sikQ zglnn`5pT+p#~2>r+T?>1Zb?-?;~q2WFZC}#1$3NedIO^)+9EM&jWxs#Q=6dZ9I*Ocinqp4q38=fpvEtb zzR@y8*HL;EU7={dRNGaG(h+UecD15E(THDTxKGhG)aEL>M$yU0REs{UXkSXN+Eyw$ zfnrFPTwkl`aLOG;*D2Z+Uvi7SsOU2KMyTz2MQ_MTL0?yNE4A8cyFt;y)EM4Xl#Xb# zwpEJWPT$o!lHQLL{X5-F(anlpPVN&$w<>x!3Zg}~DOygsuD06+b;1>hBHZp__ED{5 zzf7zEUk=(#FWgr8BvlgP{sVa&ZhH)We>My1gnQzJg#Cpmp3y+j@;Uf*oY@o$ZKfCY zjb?idaaU2?iq86q?cJM^h_H@w%X*22c{L(SqL(lVUp6IV-w<{50#1Ny*`0JpA~1*B zYyVS1oN$by$$bskh!kFMg{hTi_klfarWekY{MHcnAXH+DjuCs8W)LhoLD6qe!op1@ zhRKQ^Nj~sq=kt?KRrDUB!&&rZPKSE}y@b3g#P%scN01LZPhyz4kx}fE+Y+g6)e(aW zcx?`J%{b;$dJ@`9&sC3?hPXG-7)jANV(-33euft096&9etLRFKx}~6QKaSf8Z=tu_ z`e9@&#wNmmzZbR5Y^E1(UBT(r5cgbqMnw~!3XlFBdM|Cnwn)*zl>3UVRB(V(Jr&~8}NRkVc4(mqlO5`xye7-sgNc#^*yorJap47VJEEQtp4E-5!P z?uLGN6519p++4>J65`H7`)tv+iq@wbRol*rW>f7{w7a6C>HR5Mpy=rs5m|Jgppglk zlVG8mHlZq|5e!%K6RO88B)LV3E}&>z$jZbCiq4{hscoqYMj{g?Oh}@01+96tP@+FF z=uhL@OhxMu>F_b?J%&oM7INSK+>wrGi?o}2jHzrI;$B0Iw?#M0ipq90(6Q*Jf;Pwd zO`@L(+KfK0)^>}aP3S|~UhlS5&}{mwSoCv2*VBjJqF)I5Ha&<%zZCRwJXjLlCTIqV zP!j!0&?=P9$iA9}uLY$e+LGuuj5^_ykv-A)YvvQQdRQq6gyYPje4``UqVd(kOulJ| z6Q=DK!;LGRg7-yA?PTB ze$Qy(TY@gfI|)a{Hqqcxb4T}AgNp6c2L-L9GO7do&fm>rTiV<|9G6nwUD46V4~rHk z+MZ&F%O`W7qQ}#-rR#^Z4=wh?6R*ljL1)bul(t{Awq^G= zC5ACbik$+U<(IW$jZ@L{1$Am%llKd~{aV0kyZCUVV*}pm|M8lc-lNOKASli8t-b68L3|kd_5>?%z z+Y}v}YP((0dr@z!?G8n!pp~`g&x)Q5H;PS}^M;TPdZ5)x({;5$Mf;+B+ZbF$|3UEv zrE?ZnbQQ%AlzfPPEAft@rw9(`QlC)tSc9r#i%D8+P=n_W2hf3(Old>cMbX_?u0Ongyg2Y}T> zk-8CRPt$amr6KN6d_pX`O!pDU!FS7|D-^w+@ z!e|#FJZ+NW8)UQ}F*+5(=RSy337;(>E8&y8^-B0`09gs2^&l7Ma@9Iel<*matc1@X zWF>t5irSw-`1}#75J2xBQxRiX=BfX&&MGv;qxKLO8C6b*f8PqZezoQPjUn);qz8w&xFqkvXSMg z*Fm;~&#R$W!e==YOZc1*#S%U*fue-Z3m_}ub2elpe9kg9O!%AuSqYz049 zIfif{e4Yw9h46VIR7?0g7K#!+3n5#==U^yG_#6OP37@noSqY!LjLd}310gHnGas@N zK089TgwM7nfS0SbqyQy+5*t#Nt2Q+9QtDFpvdAcYDShyL8IXPHBk60K)95d|XHKY+cnFC!o2SY}q zhbn-^?Btj~j@NT8>4u#g^HbseJ9K6z$NY2?Vkg(MyVZ{4Fe2D{cekpE*4bz{S{IMj zS{+#guQmP3xR>B4VL)@R9^hAu#P7w9fZW!J~P!PBh}k z2andt`S4IHWQIrUbm<&CkJbsQ&hFAWTb+uG{9Uxpn&@-S7aH0Bv5Rcb{3`1e@cukxSwSw1F z6H6vzEZ)+e#*(RNd(ywr9rq~V;GuE2qenR4lK3A82Q_W$+GjlStrkonKS$}P1yjfe zOhC*2XKd?Q(-d;#G~CRaLN;HKzuXjZO_Q|8PC_a6CTY2gyW5krSDlXB^d@P&Nm`5) z{)c^qn`Wa+@2l@$1NVHOytJ66XAM?H!PHnszy4ou<7BvQE=3H8M}rUSsTensy;% zou<7UvQE>^H8wm=d!e!6X<9n)PN!+lG4?!7JCkf=n)VFHcAA#9(zVmHW1-k-+9D`+ zn)W0pI!${VWSyox3bIbq4ly=7O?wz*ou=(;aGs_;7_v^&b|+k>X}ds9nWpUo)lSp4 zgQC;4tsvWJ+GbF6nzj*Souou+*Yik+r?9SWvt&HSsG7km!&gQwH#V>-=G z(`k!*m6%S`X|yAs)#Cmi{CsYS**T zobC-y)Q#uhK|Cj_=R`&KzIH7Ros0?8cyM2g4zS3qXB1>k$9d{pROy$|$BFCYg@(8< zrJ`-c-VKAm5I4gNJYQ!;J5tn&c2zWizLiD0E7~^|?WO4X6oal9C{T1h*(%yk(GzKa zqv$|EYhEMP^*lV^9(CDHJ|B1VT(-a4WxJj7troa!&!3HS)B>07r%1;hbJ;#zf}43R zTWS&Ay~1Vd{tZv!xorO+m+im{k(-{&)^pkZ&o0~gV;tYj<{%~P=WyaQ@{Bp;wtdxj zmrBs5YPzj6yi1=LJy8B=N&SguIlh~Gp(fPI!u)WRJ>k3o;r;;U`eIxRn11=bO5epNFh2{7lIvbvP#7@S?oC6Lvn zd@f{lDW3^hUCL99%r50g#-3fu;~=X`c_d_YDUUEV>{347*sx3aXvpeP9%}5_r96;q z#HD;FWb0Bs1d4Sj_kdzu%3YyYm-2p4)TP`WvbvO8Lspk^p0QzQep`vbvN%GBUfAKY*+* z<+mZLOZg4R)}>7Q8t6fFFHnH4{CSoF#HIWs$;~IDMqJ~26!M+pS34~xQ{B48_aO9M zadtQV9kRNc?}V)G<`s~wyZII<*4=yq6kQcWb8F;UjAM;QwyEjYwuOdToNbzs$4R3#9vrxlRHKpiI@}JG(dnB09v!F++3`FQg5$hvguCD=5)%Dc} zvUPp+f}*ak10k#HE8pPk`l6Es)b-WY;OzQp30YlVO(Co6t081{ePtP$U0)f-o?TyQ zkk$1Sf~>AD$Jnsz>nA_iu z>oq9q`g$3%y1t%+tgf%M#)e&APe4}J*TV*9Hs$@0)%8W2I;!jI4#+94ujNp!>+2>c z>iSv=*}A^2fugRjg^<I?+n$Ci`ofPsi znV^?RBZYiSUqfkQd`w@Hi>ZBksK&+gHF=nhfVz)4`(CgOPmj$e~I(K^Dq5D{-x#A%Ivm(X~;6f;`x_qxqm79*1g2P^z?0>f5|)jhX*mW zi{M?8f2rFFG>(7TE*UlXmrh@aJ9_@5-|{cDHS2nR*u}k6gkiigt4@Nf?xo`(t9$7v z$m(7i0@=Ek4uhiZrM{5Wy>u{SbuV>?oZ?=hQ@qu^)Csb6FSUcB?xj|c)xFfr;52>@ z-m;Mb|-AnHqduCSA$rkEfdL6QLFZ~mWbuT>+#k!ZCfnwcDl~B~Z^ax~iFFgQR z-Anfv8+I?Pf~@YP+YHX`rJEtEd+B<@g;}*2a*BKDN~qSobOjW3FI@`Rx|ilaQTI{_ zWOXl{3t8PuXBwH^OH(1MdubA6buW#BY~4#EO#r)>Mo@sdmyV|ZaW5TBvbvX!8IE%1 z>w~}QR{H*VbuV>z46Y%ww$?Bdr^7Ww4kklmx}DrcW}U4e7;PlgEVDIgqkZv)YvLI# zyAx^h{6N3q2YUA|k-?NvD8h*If8#Q>N z27F;_-K=y?%R=9}4~^qqyexF^{dm4Tx-9g<2XIGkRP&n{UvK(G2R(kRD2#lO|voJc7 zJC|5RkWOaCa16ds&Ul^Gk z9-kU}c6fXQSsfl9Kvsvx+s1|+9&Z>Mc6h9ZtPYPCj6FL%o+TUM(>w{;Iy@eQVjUi6 z!IBP-zeBMO4-B%c!vp?8>+rY*vN}9&FgEP)SOQrc9*Ydl4vz(p)!{LZaB+BC1Ubdw zQ3};MJg`B7b$DQZnWV#G8WeSSOoprukMWSz;W5g{?C>}lvN}BAp0Ey&V<20H#}Oug z9Ug~MfI2+-QGhr+dXwCNmXZYzf=EldI+~Sa!A?+n{pV#~$)#V;KB(~lr)?|T!ADG= zI{vMwowU8&4yhAo_bWtfI6LZeB+pdZVCZ>-CnNd8C3rDF4maA|l5lu2HLt$W z(6JX|N%#h%5)Uv?zN0uq&9aXeg2D1_#o>@CFDBvu*4%}tFp1`dL!?}6E=+{OhFaxY zV$=rVu%TA@RvI-g95&P{-$PLStb2UseU`5%amVlP_*P5&kCw2R zzr4Vmc$N*or^6+JmzpiJW`$}$JbKd$oHx(O?|PKnw8wE%C${z_4vN1lzlRS+TJHBz zjS9wBP&VK1qblW(Z)Jt5R3u(N*>t~;>Qp%X2`f~q_Ddg6IMt{oP91s@dbkYw6T}0C zQBXsX7%C10jnJS#`S7rlpm$K$@lU`{rb}T)G^ck`wwg!+0Umq+pSLV2n3krXW7TpZ3GhZ0j|UQ4jN z3OXLK%6F3q-T;-#NR{t?qpyc<3Q3g|zjXu{14?1Ayb?MJCkIm@$~J+|;p#xS8_qVj zde>-)u$GJ+#qJm?47^KdpnOhAPL$q;>f4LeaxO|u=M>Ab5*M8gwOb^mBY;}rVhy_D z6(#Ou)zDoz@w+(E>g4=H8KpMMN^;6m^%W&KgHqG5s>Ge?_*(h7^sX++se|$$JDJjg zcrA_v?%4{X@G4s9&Z1=V#;5-B;qJLfWkl3@l}l$Q`7OuV-Lx-}NlvuaYaDcr{H~&) z?I!54cQ|O|yZrkP3Zf626Fps^CGT+%EozQkybI_=-~NDu$5!!g6sx7vXg3=tdheGE zEvD01@vjvH(ed3*^suiO>hLxH_NJf!T4yKv$@dJswu669q##bxh+h~w{8#>^Me7oD zaD+?eJ}&>3C4)8zw0{~0-H{AZC@022&r*;}$*7-BmAtK=Zj4pH{4eejSm^JMUQl}a ztj;7CK;AcQnuJ1sPt!d*l-_xv{{SdW<9ASjDD>|SC6^nEBmEtq_~U=4kR$#3Kxr4B zNY@w`P@?f~`I>rA>c+cwFlKe2xbed%(3zTN@7uoC+C{4LB9P0lNiZq5p{qLGW`&ss%7-HC*n`jEr$AE zg+*pOi97?PBY>IV={~;5SK_Nr@_d#hNFJ$Fz zD9zI+P9IGnw?oN|kKmA7p)`!&%}NE7`t`5GNVs|$t9r+K@GTa=A{d{{$~-6?(#NA@ z4DnwCxpVwA3K;A!g%V32hk(I;>iDInk0X)#eQEKt*oOLj{&+jO@nHXCD1rE?96~+6 zHt~m8p{}1VeGFRI!G7xcwT`dnOQ`R6VEiId2KkSH(u~`9YKGoR53_SwAmYP}lgcJxwjfz0{s{D3;C(HLdC8r|bL(S1INZoz7w)vJ`m`-1kiFlNX z0Lj5}{T5W1UC!*cK-D$H;fAIxRs^mw_NxJy>QWK7!vHJr@xbSzBJhM!D~iK8^C=1b zAh)_3igTW&d{n)vIHy-?_-6Z^*jAh~FV%8KaZaaH%hHmZhf~AxUBP$6XO2w|lrJjD z=|Ux^D2NU>aPE!UXu1;;?0nwe<+RU7Ee%ui}5J(+(t;M{$$ zA^$Fqq2z5AaD#wO?5IW@yi9(hk2hi9nOy#TpRRjTz)As~*kjE&_~ktQjjHfQa|Uwa zxNeh#t{2dWZ5OF&3pR_X@Q4CiM96I^7J02$I8T0KD$H!n0Z|pcZ^OVsx_6m`DkyDv zFj%cEZ!q)hGce4l1{TEW%y?fk_%}41A#Puz!ShX%Y8uXH@N6g%ZX>H*i#GBBzIHk+ zI&m8r4W14q%*{tNb8SA{-~?Dm^Wg?ZL+KFD9EwD^!Be1gLo&ZJK1~)hiN`RXK zH+UozUwj&sL^n7H%D!B4seVXpMz@?Xsv?3_Xbq=&BG?+mURBKWIuSeX+bxGRJ1JF=aAais2FTetvOF> z4f^*J(MGCzA5?73p(^S_ik8w}(k{|K6$;&R5bOD-?xCv`EtMwcmv)@$50JD*OLhSp zO=-)a{}!v*oLvC4soXI*zgoGGzB)aiG>q8d#&qB5AqCRrvr`g6^O@!QhVr&7Sb-nS z)2=-=ZwJ63+i=SM#)4$z9~!)7=#k)(6Ae&Cy=PLV7QuCb8oarf`s%7}wKv>m zC5#UyQ}mk1QuOCjR5ad`=>{5HW*cD)1E!pwaw`l3rlj6_8T2!g7h+60BdMW}Pp)tq z?V8j+K5qA_l+cuddu|FmJbL`TToe{|;NOeuqTtTh1&qxVAR<=i>FEW_I`f6=>B74t zT)|k+P8@oQ{Kk$D^GoFyG2NHXHtnTUHb%*4^simOM*AGdRspe!4c-N?b?3F}b%z_% zYT&lsTzja1OVfn!JY!VK1w&tfF)HYS*`|)lx?r}`LnT}=+rgo7Zm{IAd3_QxHS~@n z@CKWO4n5Lwv}Rs=Fh8wtY27St{hEb%@Jj6)2B^(K6kwbwR6Co6S{W66Eo%Lmg}NIR z&J?Nv?aZ}-aug+M{ZvI!nwS!b(IXXL4MkI#qTOf9D;h~tKH1J+-jBwd?L*Z2@s}sd znYNU}qyLaMcBMSiPbjdBs&)Yz{USh?SjDn>aTX|G-*5ZSBFJ{o#IJ}RU$Pl_YT8E1 z0(l$u!!uYh`S83+jblNmF@7}5-zm_n?|@!8eRv8a>k$iOeL;u{y zDIlK>N}gnmel{qHyX4l`Bm_rLmTv(9KZs1vonNtx?H+gM2$W(q!6~;+|tK@Rz6beZT3; zFX43YFyD*^ip3AD4m#Z8T$)-;xAkr?cB+Zfl-+BW(7%VI8KPX0f@*tBlvRSEU~z$_ z{F1k(AA8C(f$(k{QzaA?FVPuw2)?+^`K?h~#8v(|ni_JI&yokYZpl%&#BolVhT2OF zOyGa*Dn|_eT`ut79+}q8P;vd%&cu1Cl1jK2WJJ(+16oC&ZvYw`ZERjShZ{=Y(kIab z@w^U6JVBJ4*WoE5JFyciN3X`r?7VJ_(WwdljJ}d@gK2VT0QfESphQJ;If2xF(UDt$ za&%nA&qT{Bp=j;#8Ge(RI_kP)Vj9Lr-Igii;HVRLSokDLySak=;9^u1elZ1}5baM^ z_}5_s|3=R%Vqx4!{!KGJ-nS;h#`acX#>KmlJg4cg8L;zhB*$rs*kwZ@e@K4Q=5gaX zsCHdJ>@9kx55Gltlh~_9-UhjG>;)rlf!ru&=88Tf@2Ed^Hs#QVA3~1DjMw2q@{NXK z#t~fgCgfOb8rf963OOw{93KK-)k~0D$6O3oWdVq^8zOvGt*!nTy5eqvtaL+8{GJA-=7aT6!THuzJCeiyqNLazJCGa zR_u{|e>UXYnDN2BKMQjGG~5m6WT3-SK+iz&_jpt;eE^l#{TKcczO zaJrd){fMSUdHVIEiy@lX*N^ZEWb8hpd=BL7bTbkA5iQ?&)6G2WN3?q9OYcF6{RoRS zRy^IzuYS}DGNxCloKmUJq%!E6Mg2D_^i;G36=FTl@2wX`9(zut`{wgL4r}_Xb(o9* z{(0sP!m>kFpCOnF^_lvGtYy)N&mc$pXQ8{BMGv8dv2>Vaf;U0kOvC7t z(KCAum86(=CSpRqL%oa5IkR`ur7N|rWSTRSPMX7Y+^oW!p$K)5P=B>p6x%$W6P`7J zkMH3Ts`4Q0Tk&lmo?6}q@eEaqx2H4L^4^a?r?^=rsp-830e?J$?pRH42?AB)FVk;o zdhb9W9>0rzQ`37J0vE)Gu^LUuofO~00h*F4h_~hdP03Y>7tyuU@Y3wt$?;su=o(&{ zeQOh^feQjO(H4uJ%P}<3mJ@GE25NX|qOEPboDI-KTl@GU9H5D|4qrDVi#$j0)A&mAuXo9H!b5Od7 z(!=$DcbEwux3dG)X?;aIm3_v8k{;YyCePPDRZ}J@R)NghN&98W@7dw^mK4EZgch`DtoGZXhP0# z%(SsK7RIwXCEGeML5oVF%!F3o&bQ|3X?zS_bO#H|g*=k%=eItb*)P3Li)*M~rW+thX5Us3Bzo4U^X0|N25 z=^L)|9z-CETh6uKuMnsbk9UW)wcgJWXdE})z_s2_5wN+jT7%Xju0kNa>eZM5UE_Tka-=G1k~Q8ZAg0Al*KCdVQ3Sl)xUKOnLI9212;3p6U`@?! z1}n^{k`-p=ZKzJAnp2pWx1rxs{aK;br;1JxwUw(7r2nR23|h7QQBQTMs22DNanSm| z?@BHUp84%^kjt2vTp+91m|di*8bL&u;Zqi>y#>B5CWzq^HINH@SC}BiPo|bOzvVg` zS@O697on=AI$VNOb7LAQ;rbP_aOCD+EjD_{3z7fb5 zOs#2^+A~&jIbU4#DL%f9N%GL~6`&RCDABznFLH57xjibv*H*BRE}|9sxB|f0`8inX zJ7RdoHBvLzS`boac19Wv}|$;oN$wE}{+?{(0ul#>_L_GQ=Zn zy3cgWUiDFgS;eGV_Nwm@6Qmo8TlT8&ZzhOUOuA)n%S}P^iCotZ@){G(8d@Xw0IgdN zCg$IfobIYQos{L9C3ki{!-+f#=L+o<_>n*>cKUM?E!Q#uYPr2Cz>tD3BtkRzoyszp zF0#TCK^H10U-I{j1TtNtp-6{kpKm0xS@SjfW6j_9YaoA32W6k+@Z9Tz)K}W)qbNKS z2vX;0pYID3o{F#;@2Gw;0i(co=+KxT-7t+lfS`%>)a1@lPG52?3HhlgW7CRsEVG+Z z&13sx&&;L3^Fld0p)jvpc4a_I-DN!)(Z zw^Dud!B99jL|vR4_{TgnE9u4Qp%SA%m_IQGB~O3QnR;d#=$c)RQmfZkAgSfyp2yEN z^OTOG@~=PbmOUX6q&{Ny6_gM?>FkNw?~-XXGFK9oy)dDL`oVO_)MSsGQAL&~=x<=M zcBS?b&!J+WN$h$=hGX_7`*t#@KWd`+Jq8)Tzwu#uDQ65WI^;jW7%p^maQAJ07XwQ* z}y}Y9b{gG-@>$)^&0WZ5AQ6h9g4DMHs$Tz<_lu zw528)|3W)O_^?bss~1_=BFE5~8yJ+lEE->m(Ek+%v0WnkB*!+@&`e*6%d}#OvR`H0 zZWPtmL@8=2Q3EMzpo#iIkbfipY7#{aF;O*NV>I9mKCVVoZkq})bpPud+fuY}q2GwO z=I-8oJ_21%SpgJs#s{lh8TR7GhEm(@Z%_#pJKE_@ZVaiqpr@&GuM*?HzXoY5o zbn?6MyQ|(~q2L2PK0ztCt^$np*v7G0+xa+;td|P(3baDiM2d+qD0SMwzt|$j&~+a% zIO89D4Bak*U#dNx@W}L!Lg&oSy{=6EFa%`2BGW$z0hzDJ^!G<()Ye@g^%;v4AsjQE=%Z~{+0MEvy;h{la`V#Hq?fkxaV zi}=OjAuPEsOTGs0J~8EuWQfJY@PWlM=N(roTr|y&?6i zIv(np(euG7f_Z*{PMVOad!|xGwF%5Zwo<#G?bDky-GYCf`D1FKox+&AjjWYYOOIBn zO@Jb}>xLSpO<3DEY}ZhfLEY z$c@l$)$#yKh>N{^pkQM{*zNMS5gu>wF1C=7+oKosKWKp z$pqAWbRcndT?(JSU6~cCmqm)Us)FkH{G$D zO=`?+Gxe@Ch}mWnB1JQ$$6bwC=I){8&n~5!NrOGJn^QJvuu!k8DE5n7NY-vX^{+@} zmEkaIg@y=PF2~qG5u81&+pG?BuQR%T7xaZ3L)|}TofqU7%KL)BU2=@Q`z3=qU-5A) zS-XL(#Ycg7?hE8%^Gm(BS=qTSPz`~)@iS?9_r5?50=47DcmKXX1_HTpv%qs-0DC{I zT5+?&GdJKzpk~}G@yrbzM%7oN<`tYRNspY9AMZ|?GdJ)fG}^|^O3vKCcL+3$lV2`k z4j|Ab{tP``a|8PjXcae$JaYrP5y*?1)totjPY|dcUq+{x6WD=3wfG+T&78nC1ggZp zR`SDt;Iw#sy1qGqH=xlVZk*)j1U4d2KW-LV<^*0splbXMGIVcX9RjW6 zS99Qb1X{*_Mstanl?XKBhT`79lL+L*S5va?4LpWG<9Hpqu6qLyBhWm)l49-&l$zg| zR^y()JOmoWKRM6D+>1cd_$D-y4{MK$G|>l$rMgCLwTK z-1xoT6Bv&`^SD`>xhF6d0j$l?GeHGS9urJ(CmI4HMLj3e8TSS5#kus+==wDR_~)5# z*W+h>gVFd*(hSkKE~EH}p~kAP;m6SWP_8 z)jgXiX@>$Q;>zhlG+E;gYVtV;s)PvlKn_m zXnqA~#db;bPjajSwJMYG$BOyCYs|UhEhlZN%P5zk~Ch8Nk4^o8NCukqM(FD;a$TK@8+XwFf zpjqy`tJr+02_KqZ2D><4APAnH2MV@7wt z^gcaopYDK!jVUQ>=TmLAK3I-}ma(ZPb;s|4=kIHdvWCL7K1h;rzssV{mFvxo^Us3+ z9W5!X4<0tr@PW<3Rj&``v>eenwy-fF+{@x8_<*L{#RR;<}!M*ZdZ zHAP)*qV5m#eV!lTW3?uD4xX+6t=Oatj{YH&kEfB^yb92Yt&DQ?$JuU?~P&iG^nXvOZYCuge9$IVcKqbXUa+mumOGd}J~M19zj z(Z$E{F{3GrAFKc|ciftzYq#ZNds6FB0a~&5+Hv&r?fKZ7)V`_!rBw*qlsJbHNdMx- zyQh`i$WN;aG;nKW*M;1?D#j_T>{<}(aV^x!u8M%4`-83QYy_k~*vgI|kjwQ`E89W9 z%Zo5AZ65-GxY^j*(*6~dPt&;Z=V@vGfIxcO>{M%MA4H%@+<5M^w7)_i#LF`+?avX& zikpp{E$vScaN@>cr-fZ^0>)#fh5aD{(YV>z+0uRwfew4!rqLZ)#0_97WS(M)aEL;h5aHKmPikpp?P3;~Cc&gGy z%%=8*kQ;J8wV8b`0!`vuDEUq8vk*9u+XJeyr4545H8ZNWg_(T{BT!7K?iPj#1#VlY z4i<*h2L3J8#D@9ImVf#w)j)#KDkVC+QO|R9p_81oIB09r;Z%Nt(CW0r9Yd=#$sx(~ zaPkIIpJkGB*Z`cW8F&L9GE97o&K^Th;E1d64^hN|R zC#JDw__?XdRyd&!d^_ppu5fZq2%QL2rz@P6CKQEV%3$2!uAvdgKD;sHCWJA&ui@hH z*{4xbG(2@YFWj7O^g}-92#+A&tdjBB^^Z@|pF2Lg-T4T2332yh(fI5}RDksNQC;{M zLRqF-OU7rnO#ao<@oq75rB&l|`j0}{(v+2r&o<72YGd6w<0ecoU7nrD_oSSfrl8a?>c3UyVSQ z6lFj|=#q{`&T0^~7j47VAS$n`>H0K`D(kwWb6}KpT_QV^XEk%KcT+~IYUuBCmV0OQ z4mtU_3jC`j$50Ou%H}r?TQM}irpsB&&hE) z)T-p;S+-*DpT)ntxeFh+nG;ojR_vv&9Q}bDzaa}}84I^`DnMk>K#tBW;$x`ZAQpPdF*a0$_+XA$ zM}}rrfL3h3MCT1%NWl}htkD-Yov>qYgVi9&KP+`SL(RfRQNuvZz z5#bd%#-1L{F&nPqiK}PS;5Cmk9 z(K|E{0h?!@dx!cWAT!UsLsudYt4YhEYW3$wzkd7tz6Gc8@Z*S6VfbO3%6f%*;%C8{ z=1nl?Ctuis7izX7TSq`Ezoyv?a0DzrjmH7KLKnl9zb0+*IPz!Fe4eiE6}kW#IW^}~ zr{f44=j3yrzgOrSXn5mh2SBe-X9Tc7dLGn!g-%02W_PG7B|W#2@zR^4-jw#{lu;K- z*eYezZ4x$BM*`G&Ql`B_>Oz?rq$=E7q-xmcn7syx?tMavdfuW-ZQFBR09{qF;nr=J=NzrT^%|f@ZVR~&7q+Yz~gs3`(G1_dRWkefx zqA^QrlsSXYc59G)X3$&FAbG8!UDTj@t!Ws|W|A^_Qze-~BYI8JZ?TYi4RxFNw^&N0 zevk$d8;Gb4?~pzMmrU*JXmleq)H8O?B+i`&ZsX&3C!tNcwF1mtBB1zI*2-O15qu!v z=UZ4Kbf5yj+12f;L;4ZVFW+6tF=8$%^zY_V{rO@6U6*s4qoxF#^e;e-H-0+ zYPqL0X_pk7j36v&EV@m&X&Qp#H{CQ1q7!vZ(obh2n52xNW4@58+L-Ax_f*3N-To!0Hx_DJF@82q;8%k2^Qb*Qa;z#Nzk$moW|Ae z5wKh~PEcWzy2~BeQi-Zinhz(CSzCXM>fpNJGmyzzfOW^SXMXEbxgggKb3w-HITh8q zVTxdnOsv4w4WDU(n?NZkkKyl`Es&P?&p(VIsupGS&V?kPhFMC zFr+$h(ecbD>O+3{jeyru`X8ZRRJ#KluP?u{7)Q$rJRkQf#@2Obd;}3$WENkl(HLiaI%vk_OdZj8YGeM) zrA?IfOxEqHw2WxxaJEpSG?y&6bU&xVxy{tB7-t24as+1Rv!U)molP~)n z*4G#(A5p&S&za_5&-#vq)VaEaw=oTk9Mw8O%kL7}4{JUN-3P_O1rf5~Yh_Yb{p-|_{Y$Qx|i|OiPt+iIT3ItxTyIgekQ)@!2f|>667&P1hYc0Gg$<8q-79bi_=S#LE%fUE(FL4_lJ4zU_YewszS0f#ZWUr9}!AlK2FdjOi?IMa|RoJi0UQeE0!AM(@f;h0m`9zQV(g2(~Huqbh79> z^lc!QPF1>{u3B}cE6t+ot1os-lrAM*rL&cuOlMO%SLyC#3-gsOqa0G*Ql;gTLk;9? zij>RTdEr_(PCi$9DQ-%FwieojbYpt9HbUFeRaa5kR%kBj z*aU6IG&KC$(N?hftCEJ}MfYLKO|`I4>C2QuE^RNmFVR)F^aP>wdDR3xQ7CO-OVAEN zyHLvFlHP?U34NLJ%%vv_-ANhm(vCt$kZ#r2_$n55VrtpHP-PM6f&zoO;%#oD_t0JH zM>URHi%5)94_ynF&Q`h?rO>5wgDi5k|GA$J9^sGi_6WwB^ zU6X04(oa!l`0Z7wugjDkLTPsC2BD#0!$w;^pFG1GUD_{+ZdMB|=xjd8`z=bzkdY8dap>+)`gk%eSBe6k(A}l^+aS2+Yv<0;-YInBMYREU2&Qe4kz&!F_GZkf^|vJjD{ zc7xKMlsif{Dm@;z$)%f>E}}L_b+;(}D=I{nZdJOUS_ReJuCyT8!VaZp(Y;XJa;1+^ zSJCX{K>qGhx`NK8bg$Aosneu%ztSi19J%y>(ph*CU3yTcWtYN)x9Q)wXZk4j#s(uB zoQY`Xy-j2kTP`uqtH@(-lkd3(+bh(vucDrsz1Or6<+r_S%6y&b)TW@ZaRR~Pctj>K zPDdIhD=ixFv(b0jp$2j3fnN1d*a14={yD+wY+-DdbD4fcc9pJKeI?Vs&<`BZwc3ne z`Uvi|qtA5b#gh%S>@QGco$y8&LOWQirAfm8O7kG(l%r3B#yC@x=`PW??2h=I*INLa zAzz``-bPkl!CmlrT5!5G##xGta_QKwIW5itWWU!VBbSm+GKOCcqX{~Nsby30z0Cur zFJbw(ez9xdj8*B3&2-*DV(>0aGL!p`xi^KAW|-@Ii}bHW`Sa$=lc6!r$*8_u+F$gY zDJa)2EmHa|?v1y$SQw)8LS(78&RKlr!<9aTHY!0Ea5|jJsrcnxCb};Q?Tx(l=81*T zJDFN`Pb32S0r4=Qt?p5be6Xyl=tYOoou5!Ly$zR1x+TWBfpSV|^fT6XKBT+bNOTL8o=5jk>2jrw$Zi$6FV^Q` zVJC`!OZ`eSQ180bQ96-IWMe5yQK6RoJWl7!+hA&o{QcZ_%*AeX=RxX$)LzS1qA`vS zXLM;8fx|chkOO^3tfzR?+uA= zwA$_FjLu<0MR)jfLd!BqS4wf~@29cuaw_p*p&gX=L%zE-UuiS45SHe)tJ2Hp+9KKr zpH4k?T=7zRY?Y3kF7zULK$Vtk6G|V8bkDY=-gLH*O}bkBFIPH+@+{b!b7!T}_o+hB z?nk!=>j=>@hrM@ zgVK%2V|OBSquX729VVQ#^Ke^G?Mf-!KIvlS`qI__+%gml$Z-Yf;`DUk7g8WR~`#1~}P(z9Zqe zBsVKxVw`Qr6_<8Zx`q0Qs#~CRCvL1udn)}tS+`K>kI^LEBBk$92C3cQN>@>mm5vn} z8aB#uyi(N^CtwnttOl;b{daYzs_vjsq`QIhce+r^oc3h@SMxs|0HCPa|T8D z<%}9*+2u&HuhuqXFFHOuxf_z#5c+RXccF%4sKz*}4c*FT-6;B@K7%p!qq<9WNoQso z+8bXD(Os@|4C)4#mh2YYTk+8QYRc6Nmu{A2@1bfot)Z!@OJ(A$A5s^(K2gys5A|=I zfJ;Q#N`pgbce-k9(@wt!hCDqv)HQ8TKR7K%X!6B3tL*CZ&~b>of+F#i-K*0Ejm9st zS+@Woc%qu6Ht(z+2*Jy&HOKWt2%fWTIMh20dRc5_)z#tjdAI=l`#_G7y(07`|2h2o zs1Y3YLi0JGFtU~0;_%N|z{eY*6|VXq2cDE;xNRwezsoVA!X5#G@UzT)xK~zK(ZPrK zH&%w)K2cHf@{5_ z0*suygky^z=i}7I_~|nO|1QUNfSKRXnIeHlS+BMnFQBL&O(Gr=)ZsB!39lBRr`XO= zVT6Fp!}LQd()0=bMcLDQtcRpVW(!;<$5nJDe;d-@CFmD9zC%$ViVEMgoJ}-;ijNT$ zItw^Vx*0~dT+p}`tQ)Q(=U*yED`Vm_9F;4X82Ma)?^%wx07=cvA#;(F1zjx1K@?Su zqQdV9y7oE#Rrnhb?p(znqQYVUi|NO?=Er9|&pIEo|6A86QVOEBxjQ9C%-# zmGP5EXN$3L!xvelg8<4eJ<~_RA}W0UDk}$@Aql@otite!4II1kWj=W%Qq?^tJjJa$58Q(mC}5$g${VG?;1a z-ZeTmqjQkfd5h7hM%P)q3G(St`V3oI!7E089C}%4XRjxntYV}vt;!n6xG)Eek>C3q z0-mh{1OcS=R?7VI7ee+&_fZ~C`zz$MXeY|NR^$%i zi$02`JgwtwqqCXvtJX}&-sp4a)u)Z0X86Q3$T!>y*@`|(`P;6Tc=l-eZQhNLyMN@j zvdpL0PalI|o-cYUouknR1U6>fO?g>=2;}01(cN^CTLvQFiKY;^m8C1wbxLNU)-Tak?AFXLXF)7EsVV$^RLg#T6Oj~}tdh1_78!;2Hn^I26*zl{89jH2&I zPChiu*^K#nHGV|pjaJnvjigh5u8}dL5|a~=drCDrwN*t9mPryVupOb;%moH}<@e;% z!NA3o6ms7%z;Du@=8+`QkbxBwoKi=V4u&Kt$Hd;%Jrn{a>m zA&tvf!ThD-NcWV6w5%uCB%g+7-tGo* z5gbQR*#2_^y9D+_2rhy1Z>ZD_t|cxZ0J|uLJV!a&)i~&SSFHka9{B=dokJDeWe@OaC97 z(kgQ@TS~Ui#gOCw(#dQ`M^wKlCo^g|hozj%s6uv9PG+Xwt=!3M+NmfhDJQdtDJhp? z_QZ7tOF5Y#PvB1WKjmarnS0o&m~;7~xra@rG#{0FSY<9tualME?6TA;A6EWjE=!d; z`UFqM8UJvOK9%{goO%YXWPTJigzImT@?$YIM5*h?vN03W9~C?XUZH$D20RA7r*s^J z$3QU9hZnNMf4{46D#jfeP~kL{wNQpcU+7UV{s> zG8DZbQJL2gw!(VKi)nW>0To_Xpu*{IvqnUPi{4|9aW?G#MPe0(6?`WVVHJLPN6w@| zzpY|Ng%iYZ_!EiQ{;p_bNK9inW{x&jfn@7>Uz3=K3bnWMZx>Q3Ka*I6F7S$0xWhJ9 zA124J3X2q|@PU8~6}}aaSuBZ)=xL%Ku+czQq~Rnvl|mOBe4n+#Q$?dpjv1RJ=2JOl z-l~_aMyALaA}YKj6Wwd*l#3)*VOT-O59O39oVi1Q3XclNP~j0V96ls5trVOi!bCY{ zs4!PR<}^vRtPuC|VxnJGh%be#E5zL)>k4r<$hty&He`2&_zVPeh4>W6x3O@x|SA~}v9bOfF1hTFQ zFEE@}g&%;dtHN`M%c}6*kds!0XCUaV3g3=^t_n|v?5+w=L_k-CZ-A_;!q-98RpH@A z=2hXrkabn~YRI}OOmFaVSA~0<2woMwj3RVZ_#%psRpIkVwsP{!l2cAFY2|4g3b4=b zKMO0&Nt6nR-_w!WjTLeH)kUTock#pInLlYTro}3Ii^7oesW*{&ivn`+kG6bt)dfiN zf7kNS%@^WP{0(1iQKgLSdj? zg7#G1QShmAbqkexvy*6%((B>h=IRbt`hD`*#tOCUE!b!oZuuIv7s3Pn?&@atKI1ZE z(zDqA7p_Ac1I){6j8h6lmu?V!=WsIJsB{i?nYy~0m9|NyTa=zf7V_k5Ta|h!aZ0x< z&7zbk-Jx`7vTnK3SCi>3rFSM<*sHWLrJ=5*VZTz^D;usS^nlU}NLT4WrT5a=lpazV zNv1z5-AHLrU28Vyywg9~u3za3lm>lei=*^%(p4H(`gSsnDt#!~LQLrzN|{=yrj$N5 z9oE;o)KYp2=_<`rnwzZKKO0pwOjc*QGx*t^746r(S{QGPS~tN+`9$oO+E3)h3D8m~5vtArjKis;k6U64EXeZOfx7Kp)8@&owata1F5&y$nzi5oJ2YvjAUtYm! zT^BR;k@E=-5#6U+sELADxk~ftgsNLAwDLXdJ^SGP{mv`W zpPq|C7kdhSF*vQc#pGQ%rDJnEP>pfsQhCl<%jG%$Db{zc&jHmJ#C2A>igcB3 zSSz~EAEEm?)5`b8pWhd$`cLeQzmV=!Xc_JzF51uC0Z%`K`j6^og}w4dD2;LUle*Hq zq95vObT@ETlmys{v!9BF_d}*pN9aX{9unP5q3f^_&b1qDB=k(`lK5(3&ln2gDxsEL z+2ZqqNb@nV_-ube7g&9$d93m-=PRi`0IBOh#Xqw-Uya5%ZHPv@3hgCVzJzGjV4=4N z9YdzGm2MKcitb5{(wv%Hh0dhBiz)3TbPCyx&lbB2h2BSYt0}Eti!D4v7BVFF^A-vn zMQ77yezejFWLN1}rI#h^-lVioGA&lRlFp_UCM)flOs6UxPiNEjqfHlT*`3I6pbQs- zuI)4)ApVnb{v^`(NF$>$PFq7?;=CvmeTQDa67~qSrpw^-0Hwq80qf@9DfE8IH;=rm zplqhlA1N7nw$fQbdm9UqhWxvPrjrG)&~l+xtucK@S`oW6m#)P6d)(ZQ`bD^so2bh0 z%S&K1CPZIKP0&58@ASVsS=XZ1h{3|yN6_j*_cn)a@Eg89tF6#|&4|+8M?8O4f1y!0 z`$zmTP_Uj9nu!)a63~==BJ>*U)lASIg`Sa>OmnJn%CMy{NwU2%ln4~1?-3z5)0D6|BVixIE9 z8Pkf$eID#1nl_YkvXRi|$bz>WZVTnFmcW;KB~ic9TE>FtI!cFQ*RyLOEY!Te;ssLm z&Z0L>zyYR=L%qZA%aVM-KV-dMg)8axANUthVT3GVeuQ$DF;-#~X6zPliD-o{|Ac?P zUyflFZrv$oiv5#?WpWIw&_!(E?BXCW3>T{o0)rr{gFt`C>L73xWOWd@0mcxV1k^#`HOT59umQ3<2)tl)*g@cL zkkvup8N=B@fL{5e4g!x87YBjGkdqt)9zxJ{5SWjEIta{x>^cbCg@8H;+zDA71g1h( z2Z39R%nkw*AghDGILPWCFdDM!AaJdTUn>nw zgm|MnUz~EPJX!sSUBoqk4X~=i7vokeRCzXT$6xiqxcDosbv*N*D#5oB2jQcQ8|k_A z&)RrnFz{j%(&v4^Wj6*Zb=9bPEt=t!s|NDy59g|pHXLdG?{d{>F#=Ur%2gwWifiRN zefy6@4yAVba;N(!cKW7`M!uzX`eG^v`Ebm-YFtfs=|AMEaolyNPX7$98qbeKCZ$|8 zxC%(QYH&WJTs1f!epgqG&u&DOl5*8Rlag}PNV#gzJmCM?RipY%Xt|EURpZqOC|RkO z2VkNV`Id6k;CwhHTs10tdBBYmahLvJ-sTbU7b|UycuWw zQ#h$SLs#;_>sc@Zi6izDF-GVml7;sYg1?e~zoK$X|jCB0YPAacsQL5qx zAD*Ff9L4J!>fMU__@8iUsqBLfXWoXBr9SvT{UFP(%nHPeq%P(4!?Oy%tJlx_l=FWIub=AEaV06QA1*^FuOH5bfA974f%^H}BR(}h$T8x4 z%In}i?&jmQC|DUw1@0CYez^jGKal?5pL_X-_u_})6?-_aRiG8o=o>%hm^aCO+s`;K zL}2*53IO)?2lww|-5Ph|hp)>I?hu&q&k7){V2o&>S&OKN7xuHM0c7ekQCKc8!z+S< zu!4CKL8jEio-f$cax#@6f$eh4XjTD)6}%)7WJ*nBiYLNPWa@-3*{&rp6tYDu; zAluc%Ir1xUm%16=aOy5~6J&Llx*oE+OO1rA?ovY`yY5m&2&lVMKgjAX^%uzME_FHN zBzLJE2&%i(g^*o$sdEufcd4@=tGiUb;p{He5wf~VonSb-OSOTl?ourvtGiTV$m%Xt z&&cd9MW2Vz_o-BatnN}dkkwr(!|1TPRLJPCyObZYx=S5KPgdQfeuS*MpedvbsxcgRJgSZyOzUmwE%Tx=U>|oZY2ff~@XR>xhfH z)bo&&+@)3`=(=E@exF1$<_poan`4UW?bG9MnXPE^qt!99Cc|E zr9G2%yDBXsnkk;6MM`tYf=ljQ<6@ru% z%@cYbWu*Bq80eDqLLbGeO}#PtehBDNp_RWq=CQkJFq3+F4D#_QzYF}y0|7sL z*zw@J(FRWoUIcd!LjPS(P;Kr-Ca0XB{tr%2gXSQIQch6ZpFRpFs7%z|DJQ67{e3lq z=`Q_tCn$Rc)v}Rx_=}gh$k##Vk?lbyf<6O^>Q`edyRy$CY$E-m_&mZ-^KrJ6M+7zP zsm~*D{gnDVg2{)%F3H*_HW8EG(rq1tU%;K;J|}8&rPVyIcY~;-oGu z8u2sJcGv^y(gVHf%a|2Oa)R^~MOYZy_0Xpt zaaN6SmQt=N9s4z>#aTdOc#n+BOFGFIemRUL=oF@wP09B*4`6F3hEF~&OLx#2Y2&K5 zna(>%jAf^jncR0UTY+>;nql(#k_FJe7B55b=1RV3jB_&01}N<>`VP&jxU@*=x41Xn z+G1gd(hHHL-a7O_OI-PIrB8*E=>krNb2$~iJo?Bb>AonmH_bBSiG|TSnOb&bFHV_9 zSMr;EQX&gs zq&DFng2AW5suJoN|IF{dy-qc`OcRhkff7n=X zc_uX?G;CY~b{1`C6Xu=$KKcSL?p{}+q5k~==_|Yh2e9E#5g2`f7py?pSe(cw)5Hb0 z@?4x{KSa-Y4SJC~UZT=|iYf3VkK%q#qDnlCKHCc;8sn5x#gaxJ?FHR(0_!`~sVzyT zPxpczI7w(7fLy>!c zKdqsusY_+zY!6Zwx;|0SDi8HYFQb7U5;TD?mmDd;a^0B&fhQ?y9~?mKS^wRf#KNK9IF67)E|8L z9Y;l56c@`E?!7*XNIZ&~YQm=EujScULI40bOk(4?n%!aIv2{R$9W5P7Z>X<-ZC2<`SiV;x9gc~8NW5O87>XX>jPWOYpFX*iX#w6+&RR>y=33}?rLb0Di@LTAY8m~a|obxb(f z$n2QV-stmtTv|g`$AspP)iI%w(P77gx<-c`6KX+L$AqdzpFi}HO*--(mk4BBm_zk~ z*FnG|AARvbcAXS{MQ6ZuQuqM@*Gb_Z0_vpj6=Zc%_#Co2DST>l*h!%rvN|bzXgE75 zya!pG6y72(P70eLCpjs+ilFPH@FD{0q_7sU>!h#>0d-P%8nQYmJONpq6dpA)J1H!J ztWF9KLRKe*`ysnd3ip@@c2X#z2z64pgCfL9;Wm=3JP(yzPgT!l$X4}EIOszF|2*?& zylq)^jh6zyx+O4c@7@WO`zg#>f%ByN6wr&atczAs3!m~+Kvw+G{1i6e*8RSI3eP=* zT>9_wQ+WSbWOB++0V7HLvj(Z^Hb>XI__u6Pk|>2{_Fe{%INMN1AYnzC>=-Pr*P#aJWapX zt79f?#>rBC3fv}C=BMxkGU#ah6uP|u{bSZoVJ1>{bbbom#T%iZ&MUNt*i?eu>a=T= z6zp23jAGA{*v{nC&`kn8*pK0C@nh&&=Xh!`TwAFsz`(udEeT zVS@q{dW#*H9w*`m+IWk9bIJ5w6<~O=fZn2oALMLJ1%W zd1`xZN6?DS$i%s)O@^#~6B8l3eiJt!pnenAK~}$s;fAx{#9+wkH*vM$>^IQ|vieQ* zhOB-QmqAv)iHnTPeiP>#efFE^3R(Ro&V;Of6Q>#-_M14#=&;|!@sQPT;y9zveiO|| zNBkxlLU#Qo@(@tJiJFjIzlk^kuHQr!05sDC#39J) zH}S3E>^JcxWc8c)jJWts?1G%+H}NrouHVE52&mu0yO3SKi7g1I-^3=!>NoKUWc8a^ zZ)EnHSOZ!8CZ2<=eiJJoyM7bPOa%K)ETIVXn^;H@;y3YElJO}Qs-hO7UNYZi@tIGt z;7eY49(tLI=Q__KJVok9F}yZEQl9x=9)>@~K`hllgG!P48cW?OSO5f|NdGMQ97|>I zf%w-uNKeYY!uVILc$a!6DgO$Tpg)>_MT7THjDOenKvdm^T>A6+SG3-Mx{H*yh4+9uS`SUVtGit3 zT1u}&bue;grP9J=yQ`Geqny+_85s{E1BO!TC4-MD)SQO#he2Le!UMupd^HkC1Qc zQED?i@3b^^g~m9OQQKtd_in8VS>Ne`vYe@3wk=iqDz@>sG`~P}=b$T@=@q->N;lEj zd_oJa6x}g+$})ZW#oR$mE&DR0IasYKB167X*=V==A4qe`2MXDUd^l!(psIe1)cuEi zpw1@SmHR-|--NM{bL$q*)345M58U)J#j&EvjVV= zPH;XNwW$B_VSX$m##xVC=WQxzShwtB{mNev^Cek13fHUJ|3sQou2)n+rCt%kRe9e?`pZPf=1*u2-hUO1&cHSbs%K_HMKWzxfq0uaK2LnCn$|57PXbU9TpPmEYC% z>IpjIQMg`>-3$Fch3nNix{}}Qde!s9U-$e@(#6?bEf!u9GIDi z$Y)px!29h^;W^_`Un3oRF?w<*D>TMQ$2_-7{i5$Izzj&#C(|R2(jv_4xiqRY6Z7X$ zU)=K0>OwW8_u~j=@Xq^z}2%l;UP1JNvUpa}W$?gU-3sN4Zjc45^z20Q% zBbqKb)Z{0omR;HFfG(pnABCIU2I&88Zg!u3i<70??701pqX=b%n&JV(8#K4_7b2eh z4k0-2Wcj4nYJ@Wqk4~1p2Wyw8JJ;ZGaCP@8eTXcph5bs`V-nw`2b2y-);*~7Y1ALC z?jfbau+ZhwpOs#T2g*&E^{#yC;v_6DX4!HTex+xiJi8VgrLU4*zr3(AtaK?^(6=Im zzZ1Lt=_>s9@BUAwdm99eeXX)F=VoK}LRVb~d^jFGXrL~lH z&LJx4%@bPr`<-^duBac}5nMZ~toIOk&} z*rkg^-ywH4mo8EI0F_a-uvF>dWV&4GyJR6l&bCr%jMAWVl~S9Ysmy%Ni#1BKlj#Pf zB~)jr?q;Pg(K8hl3tN?LPo_JRrsICNy1SHCCz>S|_6xP_%HBve4!L<0p1%FAwLJ60 zL${#LyQmx8VAnd`QBAqQe0qD?LhLJH^P|NI&thXYH&bQgZIbhKS z9D9=hn9?5{78Fq-Et7RS!BV(y1_yqUqZO$w(M{>Kark!~ols$pz_35cv3>zCr9YS= zD5An$*{r*aPWZXR-XhS7EEegh7_0536Dpi1Fg!hnW2Xy%Dg8la6^@G37vZmQ2DN{N zmcpq5t*}P_EF==G%KCRySD+Qv=&OZ@v-BP`|LQEg6S6u>e*{^brME*? zXX$q!yUx;oM?jsWUxTd9(iL5MU$m}3}i_vEX=?Re4L3$iyb&wuybl5@q zTBE}b($_#%2k8MupB<#h(MuhqdqH*`q%TE49i+QMb{(XcYlpN)X)Abkb`>L7gz zWOb1409hTR+Zi2pkZuK89i*EY&JNNIAghCP9pd63T?2BGgLD-HT?gqX0_q^04%u~( z4kDlq(jLg_ApJ9%HFc2wmyy{)`WwjVApHeob&%c**>#Zqr-@((X>u}i9i-o<2yu|! zYUKIUh4#EjO3~Y?gX?)6vL~w#HMX9YA+HZ+6;PYyDMO&UKWhkeS3Ro{I3;MsXq-*1 z1=R*Yu&To0nh1@kjl=cT=5JNjqcNayz_uJKMrDr%rY<-a0s3FvSb~br8|Z`PB1Vvg znyOjVLxhHzqUv>j!tUPG!8Yd&=ugbqsMlw}VcUw4TR3&hjGp?5Q=iO$wnt#Z|@`eXhnl^B<0QD*qZ^2cIY9h0Tsw$Fdi8W#7hY?#HeB^ZG>pf`M5e(!~tZN~9}1 z1(*E)!{2H%Z2O$1=*8pJ=9~HUKW8I5Q;tcfc~g!_sdqvh`A(?HzVC6fgJwMiywn@{6}W2D|_F1EDaZZEX>E% z?l)kV<$2dbT@>G}j-eH(dQB1DAJKFvcn*|-#j0L zfII5zkAOSsy9xoMiQd+pcK#Iz=&0`!$U5pPfUKjw^NbFU`no{YQQzr?^Qf;AWF7UL zNL)sJZ6PO(`dT8G=ZoHoXFsh`69jbBS0A!F>Z5Oe>!`0fWF7UzAnT|v)5tvPOM|SV zz5rw$^t^2h#%JyRz7rC_S4?^2w9o_Gn%esX#+p+E( zWJZF1AoQ@IVz;p2@vM6V4t~GTv8#oCjlQ7YzntxsbZ^hPhcHLvH$xBH(vm$w2ciu7 zO=|`^Tc{PF4g7%$8~EdR2yEKGA5bgBvMt)C4g4AYbpyX$7y0i}-N0|t2L6C=JzuqM;J0Z5e?YIVRPE9RepgpF@Y}S3-=(^N z-=+=xF4YbEHf`W{>2kG88~6hiH}KoEuH)+J27a41@Vitu@Y}S3Kj4?EC{w$%f#0RN zf#0SL{4UiE{5Eaicd2gRw`l`^ARuX-pJcu43n(sk(qp&jXLE?p>e&k+_D34H?jme74z=qJdbU~N6yVxd*3!E@;& zLfc_fl%PwPq7p>bSNtHzaG13#-N0{G`aux8(hq{zm3|P!uJnT-cBLN#u`B%`h+XLi zLF`ID2x3?IK@hvr4}#d0eh|d2d;@==vd!>uROU8shL?My+vM%Fc=m!hN^9SR9ENU{ z9HN`J6qeQzx|d3et9#t@ta~YHrUY#*vh=D=f~8q`O3$;S;TW)IPUEM;Z-s~h=r1W~!0r9#gp-Dax0Qs`K+>(Vtsn~-ip)!iVp59zvev(OoI zwnnPERp=d*XD;0#bQ0NZth&2|eoS^xZk=)Xv<`}UFmkTcJ8OacPM>4nU*U>o0!nurIbD;>RQ;Vv=D8d zOZO}N2U<>-9#DD;+0|_h2bI#-&t2+%Gbpf~9=Q{D;bFpRX5$*TD9gG8H`9(&MD3b< z_cg`}RQ8P_A0E(Pr7ya$gVc>lml8W!zDZwc)Kjob@%v_QjHDk?7l@WLl!MRx(|sv>X}Zts{2Jm41f-mP?&4 zI34!S$+Wf7EK0Ik=qVIaM3@P|+N|l~TWh)Q{1;uxDR|n`{Kb4F8e{LltxEIDbgp$> z%+yErCpe^e=dy08(nf~LvwA?N6&Oy1w8f`5FE+5qG~aQxaYo+5u0ueb7Mw$+tf|ty zGFjM^29YlPOlV!IpQ@i_xR>3Vz&@Y72>y#7pOQ9dog}4^J z61ol-mY@fOX5y|U=+{EasUB#qY4}Dc?_pQ^EmJG-Id!vIti)fue^2_?&mrG}WBLw3 zOCX~$jj?Z}{+H6J+8=+1`gKZ6lnzeTovSpTPN=%2Lao3&w2QvX~Hk!Ton>$!#rAsYCZf+*5bpTvn?k)4eDaF5RGX zV+>S#dmDvXfltrH!w`E4e~~{mw-^go<-zuL)G%o}8Llz*TzbxOWO_0GDb}~IN0pYQ zJ-*ILSCOvL4QoaB`6F~+XNqYu%8v?uP@9pC;Al+h|H!$g{!zh^No*JUOxgR+gaN;5 zzC05r$FE1?=Pq1aVxSeckV;T!8A=x}+RxpT;<`}pKdPVQc&oMNuC~P3`$=8tUZKIh zMz;y;mIT;}y`PG#_cW$aN9aX{_7>etq3clnx^|hJ6*tq`w3*h`)y=dvZKidpZl<+qGp$Q?Gp$XVXHm} z>r&lJYtv?0m+EF(`^s!kO_^?{wP`bLy7n@4Gp$XVXAH%gn`v#@Oq=e1NN$I0rnPA^Z9-SJx7xIs)}^|c)?S5Tl&&qUZl<;C(N!qb&9pXc zrgf=qrnNhx#HR-&z3yh(zyzw9GCuB3g|I-@*KWoQwa=gky%LSF0_42st+fqB7`+eK zU|FXD^vQY8TSID8jj>l7x`MBKqv!|w48~Yeb(ieojh^;41?H&gs!58GDm4nO>Wy~(!&!|+DqsZvKwzJb{7i0kL*@cTE7-sc!(@y z$m5r{Q0OQ+oAy;lE1f`gm5x<NlYE(ZO{X*_e$uMu+oB+~at??+?owubiMyeJcWo7%7c#on8M*-=#O<9%=U?XEj> z@62SDEF>9XfRKa`AR+8a2wT_#VF?JBghUe75VnA3QFcVg2nuM#uqdEx0tzbXD5wDe z1BxKxkBA^qP$Hm4^wagw*Q>T}*YM zSEyBF9qUn8B)XG7uIQ)PZUuc>daqLS9ah86wz5ajV|@u)hsmE%w3#Io6L0ky@#85au&)D{b8Tr3<=TP&P?A&=T(;f#xgo2{K~ zv2e!4!U46#!WkC}H@7aA7P7^{85au&)D{b8Tr3<=TP&P$v2b&nmSBs8GcFbms4W)G zxL7!#wpcjhV&UciT0&SX?9Q3BFlx$H4(D?bJvq=n-G3N^*8DI>;6!I6mXj_3(V~XF zD*D-+qw~5E~fi0)Rj6?5OF zlGW^&ik^hWqK3Ys=oIwfHMC=})C{|J{GjsuFoS}q@qEU&9&EuxcFc{&>N8K;m z;%27j)+WA&r*pQhfw!r0RQ?nWE)0AOH723EeBUS}2R-hSYnC+4IRT{ZPL5k#L*6uZ zo2bz7q|fYK(lmEMNUcO_Vn{7pQr>qu%FzSYFD^g3C6eu&lg2%7FKz;Dyp5Mw?AfdQ zX=-X}YSaArmKXP|TU;)0Wtnl$>x;{W1>Lx3?c(z1LbBL%VEL{+O}E%Htz5|E9bUeS zsR0xHDQ7g{n)HOnmKUR+lbV&|1CLt<4Yc&axmY{jMSo!2vu1JmMl@+*FniOuXW9A9 z=WU8M!@tUo^7pgjARCzRZZLBn6()~Tz=Yj4S75OU=ZsdsgtNye@Z~K;*max&^Hq4$ z027vMsTwA1JYIq0RA|{+fadlIBHW>W_dxZl{|7fUYTlckJpB9Vw(@r#uj~m0f1qGg z`HM=oZ6|6iGq)GvtsUfVWvv1)D}Z9GwQkf_tGuAX$9L9)UfD&2*Y}XW%_jVMPXWq! ziduXi6V%bb$}mpG@b4+r!nxj2am_wj(!hO1n4y1nM@iLR;hL*!`SUwoePxAa{%)!$ zSJM4N`1Jt_ysg5?2P$B~qJsozHsK(0v+(alR{46(H8239Rjc9-5%nU8UieoTsKOA{ zAU4m+)W6Lys<7*ka@2w2akA(zNf;P_!KzhRKV3B5JX}wf9w94!)oYC@rH&+h)1P>fM zM-m2B#wqZeYT-c3`#&d&?hkoj`aww;SlLK{M^y^}Hn{ktGIkaQ+pgAqIw&6txwCZ` z@nesIJic{mmGWVb2emb|L!QS7@srcm9WjnoPM(THq4gHbQYv%zgq*Z;8AN5`Zjd_% zT!*o_(zPRGEbT#_B+s~3 zEa;DxSt?!6K*ohR+=RHe8i_*N^}aEF2pLOvZe^nV`$%B@$5l-D z82hLn7ot=SdI&j5>uYS|%O8N;)Vd2BZ~VQG=6wyW8e zn_L8W*=DW3=1DGChD6-DoQ2K)5ahPj(Y)B%=RkI?!+G)#oZ)3IM5%n>6v#>I7+%oP zCqiy&dy9oO&xVZJGK@oI`f)yk3sEYqGnnC8xe%pt$#iD4p2X@Nd9armexSLqAC+1e zexSLq7sNu_EIb0u1#poOexQxv2bv2zA#rHZ#_$8ph4Dxr{6M?sb38pEiaPkyDZg0v zTgq@ru}f}8lZg^PNjj+%b?Kj|ixU(&YV|Ntq&GHKT2YTDUDm@z`2`FlA~V(l$v_s4 z1!#hwXn3)P^K>Mpdi~hrnAFNyIg1v2kgw!q%OGbpcfg2Ps?9l(FQK;`7KP$SJjR1D z_n@(s^ADfZws|xp9+Gq+9nmZ9K^8!OQ&2h8KdjE2v#X9jV^K~;=ZA+;CqKEN-@_|( zMO_C%=6_8ODRdo=6#si@04oNHmhlADB5K` zPzaa9cBN@nU@ec+eAVcqNHd}VQX*}F{?J%{E!WqRMnDgDX}Prjc_8t>oeI+cD2La{ zq{$(dDd(}{ee=+SD|c$;WX0M4ACp3-ZZ@AO0}BM@{+5AoF>s@Xg=|o z@~UX2sH+OCCLTz0zx5PpQjjD6>t8LGwg#^#FOXH2AGh*CO0(#F=eMT7d^&lD`E?}6 zha1F};wOj)q9M_c(vWHXu5nuGb>sT$G5Gcr!_P*A?&;`sS_)srA6pf1(gla1bigbA z&+$<-bdqTB7;FZ4emc~D0JIbY=siEJyMCguBgUl0`U$pUx^U|acKt-X)eLX**8T5V z&G7OrFaR}HGhljxc4(|-kaqYtUd@p1hWp%D&CtQ;z5Z&3-7o`htY#2e=DS(V@bYA| zTVpkY^dKATYKF;s;4c05S2NUIolu^F>BGOn>V&KJMk6&=Cx{QKu{uH8p|Lta+TlH2 zo$&BfbSaJ137D&-+*UKJ3}~!QfJuw%xEiYyhIpoou1s}o+u)B4|EopAJlDCIp}ov_J4IOD&?>V!}7O5W4e2~Ql1Gd5Ny$eZ~t zRwo>B2zvJScy&U(RRF(0JvM|@0CT6I9vi?afTvN9_iPow#fRc#|6;2Ej)K>zu?j%U zNdI!H0P3;&|A-s9;j;QqKLWLDu=?fO{yk;&?|39y{@;Stf5}m}k_M|^`mFyptN#Z_ zqct0>et9DstbS>S`mFw8b3lPV)Kg_h$sdN)HU-dCKt8_%sk4xtpy>yf4g;6{KutZg zw6fbgA-jA<|5m=Q!XFrr3I8f4+;@@y%_eMdx&RLx1B&WXnrmS5?k7v`?x)D#k3y?* zXFo8iSoYJZR_Q%e^p8GG{#NFy(5j~c32+w`(`BObaV(5znWbPs!OGbRj5=FOx<-Xw z{o8!Td6Lt7zWm(?fee9mn>F|Yq%~D z|0dcYCOoYG@|p)}#b+r0=pA0wgnnSlHx$@KwJNuLM9Ti7{;imBo;h9JpUHJWw z16}w?B#bV6C}g7xALwyD%asZHLN>ba6pssCcvr|q7v2G~(S;ei&*;MAy)1O$FA*AcpKm)8-xa2sT!3paaxp$n(fQMzyeGJ^06Mfh(Z)dq_2pOFX@ z;Wv>86ye_?VHDxDkc}ezE67F>W+<1WRVczggKQMxpLkp-!ass+6ye8+D@FKwkaHB_ zUL*rW_!~$VMfj_b14a1DNEk)<4#-9kz7?`jgm3b)P=r4V*(k!-K{kr;)sO>4_)4E4 z6yeL5VHDvDnV}TnrCt__@cC3yityQxjUv1Na-ayGj6|Rae-H^!g#G#{|FcnHXUx6} zXA~CVkJ0-oH_7W!SaHh5p!s=L-xU&`>p7#c;uY=uleO6y5R_-)deea&t_w{*bw+5?EdXNp4 z)i-cH?$SSr)%POG{O1#LJXsA^pKxXxtUhUn4VKmSO+2mteOBLAXQ7n$l-2ha zp7Gy;)pzpQxRUpj)pzqbIAep=_pVueTbzrYeFI?i-G_Q?2&}%H7oi>-0ITmd)Z;y4 z^&NX2PWCUx>f7=|sBFYS~6da-@$vHE_98@l1L`u1Lo+BH~x@@@5=vie$=pymH9 zSbfJW#g#Nzeg8wOzR!LHt=VAp$s5^V^+`L_XY~#5V0hZ=l-76M(&0NGKwjZmO|SGc zzmF=B?;6tlqQYnTvHl*`G&q4^{av7``~aeLP-8HU|13U_Pn)Zx1$G)Tx@y=2&V=S78kG-m_%b`R7N4FKh0M z=A}wOd-P%<-+b|-;JAIRA6U6hfjd+SJ(9cI)mqYFDtzo(1zu3$r`(Oi|7*Tii;R?7bSaB| zq90f}@^f;)%QxDA%EtQ|LLwR)?|#U}#=9G`vGKkL+1PlWhaA{=E0HiZ-e({i8}Cz) zjg8j>ImgDk0!d@zT>?3<@ji-#vGEo|Ha6ZOk59zKRwkSY+1Pled0g0d^C24>Zw_Q* z<9z_KvGI=avas=v@cP2Wn+Dm~cn3f>HXb*WOIwAFw};meHr_6fjg7}`Q#xCPjW>Zh z%EsFga$w_)Mk28BHbEk=@w$-+Y`h^z7#pt>va#`6AsZX7$?FIkuLRlHc#+42jrUhD z0ga9KC&dc=s?v*?3>_vas>KKqY14-3Zy(cq~rZR{L?k zOF@F@;%VK@=RRvq1IX69e;2a#?%#xLz59cZL+^ec64txl1=)J{+aX);ehcJW@BTR?t#{{+k)e10 zBofxUFNbWs`^P-am#s44V#wCJf5hX`yMGw6_3r0Fw%&aqWb55e^|JKt^Sr+F?k7OD z-u-yU*1I3=b)D^l(Tkl>bu3YN`a;|s(2L^=DyT5~k_3nR! z9D4V4NLcUw8f5F;e+}7s_g{KhdiNI~TkrlXWb56Zh8%kLCwzwV?yHz#z5Bz=(BA!9 zl%wv#0Cu)NFU-Lo>z1#%&AR8hZ0J`$j)$SahDN(?&}`_D2<}-eubRRLDU-M4w&0Mi zMz20@JTi)$<#P{W%}E!|KM5$~?gE`*=%O4r)4=m`;5-A*&w&dJoU>?tt;tTA+IUU#jc>FK9#Q>)p!8aknduD23?nlCpRFSkBh zOEl4TaE5NiD>k&v(1-HqU_%SMHq#wp=#sqdXhSc~qgxy5x6`l^b})2ozTC-%ZcenM z^_^7-Qry2Sbug%aIhCZ3sooVR9teNS~GxW3hvn??6l6<*~41I%Wsx@0?=p#Iv zp_dx^1)j~&<%WJGuX~-LNgiEk=;8SiZZmXgUiThDClbxHW)B+L!fP|M*U;U06^5=d z^wGTTYD4#A9ZdH*Ll@$~32=Ak&7)2oQK(&u*=OEA(peUegw^I>1DC$_1wkNg|@$p2*@5;Ly( zpZSnjy%FXbTR!TWvsbrmed$`1-5{Qd$*(~?m3C+lPo*8+Q{rj+XV9@Vh^Oe{8^lxD zZar%dPyKsXpLjY2@5_HiJpEc5KDS3a;{3s$DVLuCc@|1BtSOL!&!G)i2(?c~$X z;;q~Os9jg09`Ci;v);Dt18+b*HUMhZ1*peA!~9xz+xG20hm&o<)UJ9=pl49$4VMXY z$c?C7g9#*)t@o4(G~_0<{J$C#sO~<~N8f@gX>eEM8ESA>q#f#WSDFu1AKAy%gRl(G zPUY-=pn1k##HNzw8G9bGdB&cBY@V^zkj*ppL&(81_I)JGGxiyuNtGW>7~xW78oA&)C68 z1kc!hNCeN=UPuJb*zQP}XKW|P<{6s=**s%gdmZ6DYysIkW1~DSp0W2qHqRLMpEb|e zAjmn-SUZxzGgd{yJYx=W@QlSsm}l(oaIKkV>@SebGxoNZ#WVH>Wb=&u7P5K9UV$7u zV{3edc*cIt4D*crlo{$7dx~-teHymGIJ#yFC>0nOtEJd-coZ$chNtEqd-_4~lf8vN zLO$S7oRl6zek}q~L&8ldtcGu~Q2051`?p+S#eFatmVW0I_@X5}>ldY^J#YsK1!g5Z z>lUTy!c(!$x~A76|5dO5b)UZm>8ZF~1#jI=dY)U9W}AbmR_y#ce#>$1NfP(rZ1_8! zcr9PRfiMkQPI+<#>g{g{I{94DFGtu_x7e<_GcOj@Ej)AU8oK5aik>iUehr=ZIW6H} zd=?hlD=>%RY-_%xy0cDMP(zp9p}LPCcva9Hai^kDIfwQt>J~0U9Xhn!nRjWq9@Oea zcL#7TR25zJjev4z#$i&iXqBN0P_d4Qf=+%^(YbkarlRFN+fgyoU1sR6d32?rtNE>L zXX`ce3|_FI(GTTpQAUe=+|MFUHi0`KisSvY#%if|a|q5BaO)E$_^8fBZoijZtP-rEw^eD*UPcnu;BC1u96Nrv4bWm``9 zSX^HXy+QQLF`Bc6eooP!q2+4mN=4ZLC&R7W8x_5ix&ggO(Vcm=fZnX=t9?qiMbW#^ z#x>o~EBeb8pxt)1FDN<){bUWjRZ+(7tD(0EiiZO4ce2^f2l9PhrtkB2(U|3RY@w0t zIMAY&Q+_||Z0LomUoNtR487FQ=XlkIUSa3}Uf(8K?s7x#psu0U7`hYBX6SW>{yJa6 z3PbN?3z_apL)Wl{Hr2D;V(37&kfFC3I)N=@=pBZ>fX6PN_b3`=N1@M41`onX%kj$L zpTKFNXda(4#`|~?X;n8`&fsP}V;bzZ{iUkFG zpA+r!nY*C~LnptY!^o^z7;*|aZmdfRmK=uAb+GY0c)s=Lh4 zsd;pzp|9W>C>HfS>os&ep3Z;d+o-O{CwB>3m_jo)5#rQ{p zPAiDTy-ZGX4c$o5o_q<@CMtRW?oqAW-4*>JXDhK@#k2zz{U~o-qIEdj(6i8G2J|O0 zRQG3i)B<|=Tt)9h=Md1JoT2FHY_m}A5=F&tpx0*GP?hiJ*-D1qth)QNW+kofPaaZq z%RKs=q0e!ukgDzrhJGoJt}*m5*4K1jHk6ddfUY%kCVGm1zHTTZs|IwPp}Vr&OzZHr zp&!F*8PN5LM%gCpDq6qn$L?jttNiHyG*le}P$bP-ish73_92E|sQTq2^5~_8vLgt( zR~U-Q)zIaJj^kKiQ%rZYp*NsI4Cqe`eVx6$={{%Zw0sFK7|H+?L3fRzU*tI4qV;{* z(0h3{L)RMmaZZ8^ecjNz@g4hUYX}>wEV#7eC{l){B9a_s1}S z&ng`aG^e~X&KS^nXZ+3UmnUMR3Fx}_Z{o+R@(yVEG<`=(ShUang1*6W4Snbv#|e5o z?p^9sH`?Y5L2t!fO6`5#>kAipG|GO5hb^tF;%qNoGi*y=hsRmx`MAc^o@2`?Uz$f> zR{bbDWm6b2lP%uIQbz1t_GHLAa7)ThZh{Q|X^9!6<&>YuqoY;7oH91QjUQVZx+RM? zbX!B)M&{5R44slkcQN$CEWvv8$%bA*T|@UW^cY-1K&L7iW%c}#=intPi$Ai8uPR*M zWc5^*V>|-IZbj!ATF#@(4IP$8A2f6g?qP9bEn$tJf5eRmsQaDFH_Cs@qgxv~fW5kv zFhkKOTfmoU+$;Wmuheg(yD)JoGhjwC#^#ImO?55bih!=wFRd{c1#0MT6n!5asT%rQ zMK@-@6-szb(P8YN#@g9lSG0@oYe0XeD2s-bTQ8fDymDsKKB9{+MYbDgyOc6cevT*MJ4`sr*rq0ZrJc2#)O9zzjn(*ZnlJYv!%{w@9>Qpi2@SS{N7c*1h)`}2k;Ba zJs4%*ETRta4t}_vte@I%<2u~j*$bo8x&g~6KZ{lg=v37&uVxoxy3-7O3Eh4`XBhgY zyzWdx-@&6CbmtlRQ#O#5yTH%~S!F{PDe6u;GfJ(`Sylsc=!I6m+3Y|~_fpfHejp_^-QjCne66F#67PsZrsQclu*t1vjUwH6H(VW`+#t5X|C#eIQ zA7!4VrZo@caqW_Kc=P9HAT^e$xM%I+=3c5+&-7J#d2us6B!z*JyJm6oD|nO&P3QaE z<%^p)V@XXtQvVM(7nt0Hty}Dw(d>LCZAuvO_8RVA&FX9FQhD`uPJE;2D|oV65=|Hw zwftU@t-d5`Ehc8H>z6peRqlaX1L=mM`+>}{R=gfs`rc9 z%}{Ht-Yp3OTMYP^X0+U^!q)eQX3I5S7U9WzQ}UwYriVOryr2N)tgoLyjt^H@IlzA~KC8AX(J#8o2O?P-Z~>krH)H^0xOPrQN8`pmes?^jWzHZ$VDmrtsVoeh;~`?c3BD{~O4z?S3!6?B(B} z^Km=8==JHda^s(e+|>3wb#{9Oa%bD)=pNj})n11_E4SAVy$*d=ZrS%C@6k4wI@7-6 z_35*6`#waS0n1Qx*YyD8-u404`v$ldiOK1JTbXFT6NwS=fUB5rw;_T0dC%HGH$zU^ zUSqpn4vq@yv9;eUBozI>oYWphN zbmB7N?Pu}W5g&p~pVfd(F<`qr&Os8+vx|6+QD-2rYQWWOzfDeoylk_!U-KjvoQOo+ zww#5*G=g&5M)Lw^9|zgBadDaZzzi?bXXQRH9dgn(hELGZ2SaWe@D>Yg-VZWrdlFlD z`d&VRJ}cJ>j|Tp<6_~hWCuX#r#OfY7o^lksBajjW>N1X?4jRU)19{)$njpeif$W+yn7o7Ct3aoHlvE zhxn6DwhVTFxdTSTQVq_BdEIY&AVcUl7&5G(9Y%>hH2 zc@SnS#gTYxV%LuBT9DGQVLN?p171p z^EJ%)69e{-nJXLy&BCn0L-=E-diLvf%J<{tC>OZ7%h|Y&rm3P!^gB#fw)V$zBbej1 zq~@0({RL9fiK5wq^xk;#n?5G#dyxJm(#;t8KZw{A=sLGT3X6N^`qT%RIyoxL^Qj*o zRbh1tyW&J5T?Ps3M0hol~&<@)JjRRd2Y$pOBR?_%pLV+II1MBVOO|_bv>%E z5`U~E4t>a4WGnQyZMX?X6?oOppf+u|3z9lx8>Da>BvqXt*LjS;mfM-ab-v%Ho?r^s zd7Mw}!H3Zm&f|Hf6lUU&o$oKVB5AdA_1ZO0#0Nv$Y0T#xdjdzaoocC% zY>(90OtC#C?T8d=C8;xZLTV0Etm88~OD(qbrCbIhUh`RVQO+cPGk;5?o+~Ux1qwq8 zN8^v3|7QqOXkcsWYb{g=i~d zed>K29R?Jx=d~Pt27c`l4qs!JvM0u@b{u{*TkS{CZ$Cp5d+v|KLQQ;#i8-jy(R|={ zKL9ISBsK&lIx zT9cLM48&(+;c?cs6L;;y6n2BrXV4@z_G~8Ies@3g={KJ}X17jgK279-V^Ij6Q7vTk z*`2tl&oie3<9;XZ>Cc%+kH^UK_%xKWfXRoD>|Au(gsAXCCjamO zO;33ZRZn?0J>@l2J>}i>l-E%8ly}opUhKBBeL=k6-Sm{#Q1z5|(^FnU%~L*{p7LTp zPx--Rv=BYz#gV+#Xd&~IchgfIQ1g^`^BW?d<|*%{r#ztMDetDIyts*$Yo79MdddT8 zp7L&b$^&Yi@@{&{18Sb~ZhFcCYM%0LddiEN>e|ttJDYmSyXh$}b{VRk@@{&{Yp8n4H>Rh&IOJ8WgZjj~=_wDo<|*%{r@V%$ zr@Z@NUWJ|QX7!YJ(^FnU)l=S0Pk9YhPkA>z<;8(oU-OiA(^FnU)l=S0Pk9YhPkHwl z)E)F2xr*Q^A5L#uv7fi?Cfv}C>1``E+taQ7j&6F}0&3p2ZhG4SYTmZ)i+Fujf_dAz z*-Zx2ylvg|wguF@ZQb;?1=PH4-SoBv)VyuoOY-HewEEK9R;<|5Z798M0X1)1H@$5E zHE&xty=?(CZ(BFLZ2>iJTlXm5XFHpD+q&s(3#fV9x~H(drrT>ZqqnVC)%u#Zt()Gq zfSR|ho8Gp7nzyZ+-nM|6x2>Drwt$+qt()GqfSR|ho8Gp7nzyZ+-nM|QvHH^6R&3Gw znzyZ+-nM|6x2>Drwt$+qt()GqfSR|ho8Gp725;MNdNPXrJQ>g9G3%x$qd3OiXZ2)s z)00s{)sxXpPeu(@PewOA88uWr8Qt_`)KK+gbkmbj9BXG&PewOA88uWr8Qt_`)KK+g zbkmbjL)DYfO;1J*RZm7YJsCArJsI8fWE8isI;bb3o1TmsYMzWPOf4%qHC)1}<>X87 z^uffsWZ(xeZRlc(Gsc0uk(bOv0yD-TOq_Hw5>Oe=#IjSFKv_eXdipd>(lG7Qf>$o- z!X#J|s~5}su7f4JE%zMu+DqGQw0q~7r%j9sdk}m4bo)Nsc>#*?Uq+QHeWmVMEFX?n zFvEW$X~y!!k^d;>w8(!zUd1_=|A6#3=S3d>Lcm8vg_KRi&ij2gKpV!4kuky(%@~gB zkA66wpD@BiegpF|e(O)xZ~P(E>Clg&qdtZfod}`9L}n}arl|cSh0&XER{IEAuYb!G zR-BHxap?tQ8IySZxoK(Ah+2BxxoNuKOgwo7swO>ak^hU0nSYK?uR;1Hf4rohJ2%aq z!wHMyZW)Oga=fxr{LT?ZG50hIE{igk5Kv92yD*3~7&y1JxTcs(RJ5KeJ4nuXS?}QXaq`AUG|}?%RY2<*@vz!`_R>8AG*5iLsyr5=<2c$U0wE}tIIxgb=ilmKKrog z)DupPicKRiBf{FN&$uCcm@dbI?OHa)Tqx1^&T`x>{Hii^mFl~b`0ZrqYC|6znM0p5 z^x-_Z#?YnjgKm?aZLOg<Jp-b}UFhjq=a!q%Hp|7&OhHh%;39PT7qYYh?N5>hu@n+D?bOyS$p?ARM5zuW7 z-Je%sx;q&9IhO0Rgk20>kk_4T=*L-KD`77~m-E^TooeX5`8phE=#_bNnxU`rY|hE> zak!z!cvNe4_(OV!4$Y%844ubTFLTO{UjJA_TSw&3nTkf)8Soes$LtE90IaBrE<;!8 zR1km~+k(bIXh(TcvHXp}`PVw;~M6XW9H%Od`} zhgZEL+iq*F+2e?*IZ@^?ol4twDNsN9rZE0TVix3f#X)JZRP-&!y^0YwO|Dh+>`oY2 zp!-YwNsG4%y3){59@Vy6r)X4{HF^xX3MZ^lopczV)X~bIq_MxC%m6*bnVtIHa7by=hCKVgkB22R@fW&Gkf z8oC;z0?auuTsg+TNfZ5)v>bOGpQ8?aXD5GI^xe4~Kx2K<_cHWB>KgjUH&yp*eRLla zv_2d4S=6IUHtGZNEE*fNOg5@v%0_jpj>keL%CkOh+ zeo!Yan$b4W%ywhpPYW7P-Pr_$s4Sh$^DQLGE+A&ya2HsB&9jEAvJX2b`4#wXb)=^bZ=L50_zaa?<#t4pK^b$=qfymHQl!r zU5?3nT5QFwL&q0Yhsd>^924DK(NT&%z!JO_in^C8`tbX6XkzFvUxIdT&QNkmLkSf{ zqwFDe%i}Zj!6^3)>B2AL^V7@WVM{H=a=G zuKJ^BoQCOhtAX$ z%dx1l9E&>3v#7Hii#p4(sI!S9Ff<8^I_t}#&T=g3EYG6OaxCgB$D+=1Eb1)BqRw(G z>MX~i&T=g3EXShG#=nefc5P%)XE_#imSa(8ITm%6V^L>07Il_mQD-?8b(UjMXL%NN z)^#sVtSss*&!W!qEb1)BqRw(G>MX~i&T=g3EXShGaxCgB$D+jSjzyj2SkzgLMV;kX)LD*2o#k27S&l`W^Z`ak?n_)fJd~@XQV0DqF!fMeQdkRMBpQLMMLvw_IVxz4-VqajI9~ zp>Um^pO!e+)8rZ^G1=4Pb4YSJS>Rzw&uS)ltRz=4$zvtidw!ZeyCqM(8rq}@dUt8D zUkst|qYp+5ph0_}yf^@$-AqE+;bAr$vxMy|!TgpVN< z58Fn{Hg~CyAyh;2F@$PpK88>Y&BqXmhg-S%7(z8PA48~y=3@xe(0mM`8k&zG6nER% z)LmMpyR?SpV+hsIKJL=EA2V_U8s5hcibrZY8#B_!5DKU{ zyENX!?w>{Kp}RDo#*8e}T^dkhMwaO=4X80A%XF6p)R>WFx=Ukoff_TiOm}HOjTu>{ zyELH2j4ab#8qmOu^f83ue$2@4%1n-l%OUrbZ23>QPmgz37q4^j>H8dYX zsD|ca2-VPh454_S*4LPkWx7jiXg-Ee4b8_8iU;j0SJBs9TI?4?Xe4faneNhBFJjSx z=q?RtpBO>`t;G-`>oV@gx?By5gpY9&TLgw>%Chq@P6BGIOCRGTpvJl^)5{o8^D?67 z9BN+1GQEsJ*I1WjdKm+1tjjXJi~%*)WqC=yT=O!P>1B+~mv@U@1-*;`HP&UBUdDjl zVY>7(2J{|7>17P4u`bJ_I&!Fa8O!uC23=!amZz}3R^yK#g@-=DQWpHC8UYjB$(hgD)FOFJnNB zby=pDF`%!TF1?HaU1uo0i~$X-OYaGY`>`$^s_WxN#AB?l$j6VUq51d`H8dYTqK4+< zN7T@K{D^q0oh=_fqK4+Nwj~`J(^YJ5UXg+>KyoJ>vA3vgo_VEN1`^Aso zN>(2~qL}Ek$vgo*enddc6HulnAoL)8;ztCuPyC3YpFpBNuEmdFJcweyco6@AdLSM| zG2T!5J+r{Og*hxA52BcuW-cB?4Gb3e0_Uu4kK&Zr^X|B1c;B#^Fe5mYVBB-v(x$)C zN~k*1REO)`)P{M>(&qV?t9J7Nk9)3J+I%Kb?Q-;ONMYjIA*p+oHe;DkmD5&QOq z9r3R+vR#B{^>3xrA;8!5Z>6_WfUCRYZnJO2#Ct{vy;Uf1$%`;Ula!UMed21XM7i!hUMed21XR42~;$ohua*=x?=9wxNxhGUMed21XR2J}o``v-%0=#pm}gqJ$URZ>OqGk=6EV-U zaFKhW=9wxNxhGcTi9I6vV8 z?<5XOnP%`CEO!9RCH`#eLVXD^&UB$OV48!S$6%Zp7!OPa`7_GFeTzVB1J-laHt59E z#;_T>81lExw%~@y3wYPZ@%~RljT$xvwnELk;H9K6fn9T9SN!&Gxx$L|xFL1-tKEz~ zHHrL89gH@;`ZbnK#_L;bAC5Ne07y1~_$7wTYgl04u7FBg9YLTBaiTtdnMSjk9#UmbcE%I|Fk)QQ! z7Wp|lgBKj?V3D8wUX1-CKMzK4g1s2y_$;llT5VEKa=$`Av)W`ra#z%>HkpvzKQFpw zwaJ9!Zm3#qG9kHZ31+p)gygQMS#2^}ZQ|scQm$ETGFojytSqzIWc5kzrFxNH&%!19 zP2Fpy=vP}-m*kq&C%L*4L2^y{kz990l~a&hlfpo$wvk*jl3W97B-e~2*MJ(y6$MPJ zp+<7eNOBFjMsm$aat)}FTr-kf18OAKj3n2929j&3UP#(gF*e9ncKU!@NZQngq*c&D z(qJhlEskeMT6;P*ByB1oY3&WskhJM6AAHu{5DoE~&d#G2k~Wi&wDyLmZYCjV z6}6DG*(;cHe&5zJ_efBow5g778+x zP>_mRD9B7gK`LsYAhR{B-NuSqD9B7gK`LsYATtRCsi=j5%m%QHt%MK?vP2qTsUMB- zc3uf-gry`y--7lq8ev8nVJXqUF;Z$^MjBzMxUuLOjW8pPuw);ffks%W7esLzbXI;# zxH!>K$UcHIp~ER^AHi8&I$Tzl4wu!Z!<9&fEA^wpeU0@X9j;`9j?v*V(&0)STgf#V z9WEmst`zIrV|2KTbhv;T9WEmsE}(lE9j;U_IO8ZZGq!|__OGF*n`=#XuIM@ zs%x5*WhCp> zP$lbSB(x*t>t!VC)lentWhCp>P$lbSBt!VCm5QI&HdC@*MzUV$7>ul! zk*pU`BkN@(>jl)vdKt-j0S#omvX5BV{8gMT?pe8{36>jgz$o_2YhoZ9Z{{uboYK6P zx|>t|B%F?Ctg>Fgb%Uql>BK*8sY!E|nlxvrNpqH(G-s(v^Ol-4XQ@eZmYOtYsY&}< zYSNsgCe2%F(wwCx%~@*FoTVnsS!&Xpr6$c;YSNsgCe2xD(wwCx%~@*FoTVnsS!&Xp zr6$c;YSNsgCe2xD(wwCx&0A{Hyrm}1TWZq0r6$c;YSNsgCe2xD(wwCx%~@*FoTVns zS!&Xpr6%obsY¥lxvrN%NMPG-s*dv>5CwZ>dRhmYOtYsY!E|nlxvrN%NMPG-s(v z^Ol-4XQ@feQsX}}TG6u%;7_Og_A}VDc_oh8?No}o^iR~mPMq^_JxmlifzFjyj0ENw z?BSyPD$H*-55%1;9Nn3yv;P#l%byemAj$Y<$nXci0OYH|LDT~<<#{oNSD2ph^jJ|pt z8N6Q6aIeVD&jYxAUki3m9sql0Ad8ZlfyvVD2cqMOE}KxZVNHV-hBhp-U43aA>6;F` z65}T?sbRxnEA+ErHIj`cX*?GHKi-O@a4R~T!a?)#`*|4ct=pNfk#swS6+}z>W4cs0 zh%Nk!1xTKV4o#9>XCOHXGuOgFcR=wVCby(H*eAb(WLhu0TnV$!Ov20k5QpJ=(@0dA zgqJf^NmQAHmorpJRGEaAGgL`bnS_@!R7q5sgqJf^NmQAHmorpJRGEaAGgL`bnS_@! zR7q5sgqJf^NmQAHmorpJRGEaAGt@{_X}$1ryJ8H;B)lA(9W7)es!YPmDQYCDOv1}4 zY9y+RB&y;_MU6z2kwjJOPol~syqxM9i7F$BD(D)CDwFVXs%s>wOv1}4Y9y+RB&uS6 z5>+PQM3vSHFV_PDgeN)``xBiq2`{Jj`a-3pWD;IZQ6oBK5?)SG zBRb*5L7lB!BRXXgUQTt5=#&knjm&h7=#)u#In_0yQ%0gw&^4k{CgJ5&_ZF+KgqKs) zh)$V=ms8Y;PML(4Q`Cq~nS_^9)QCWoT5f_$|Ss;qDFMeB)puWf#{Uh3orL5{F#}Am(yq7mON)% z@?0Eas1lvBG3<2%szj$u!poVi5}h&$FK4I{oiYh8XQ&dLG6^qds1ltr2`^`;5}h&$ zFK4I{oiYh8XQ&dLG6^qds1ltrE_p6)VW<+FG6^qds1cpYu)G}2#+5rKq{hUT z?}B8hN@`3zgm&fL_6n&n@%RZyBo$I);{CUT+*u(tCY~_bkB>k^W+yc!-tDi* z?W~X*6HojT6{+-RqDV6A$|eKA(}#qY9}p@x(6>Zy_}%9&saNZU#^#H74F; z1(GA;3aK&isB4i}RV6hh-sBUImu*%dH735`<4D96Qe)!TmqKoh28l;d*EaqX2k>{oko};=m?9buhYRs@DPS?AcVegHerZK~oZH7188TMNkGa55&**dl{!`61#U}xA{ zV8+pyVaukI|HTY@56%ycI9q1eQ-iJ6Seun&c7 zGwcH)+YEbO$Tq{C0y)gEcSXWx*gHVB88*#XHp3ndIXA-|gQU%{H-#K#*c&5ZGwh8Z z+YGzQh1Umw15($2+HK>P5OgRb$F9r?-uHNLVVUpb&N z^L*u`eRC<-_{xra<$(6#D+ja>Upb)0S9atpCmmX@@s*ti_0o*53~(+~6*ayx&^bW2 zmx>u**^#fDbR4YBpnPRCSq|;PR}Q*;_{st8!&eSx>%&(LXk>illra=a{Z^SLh{%Lh z=A{@5)Om~YtISLBVgdW`l>^#`uUv{hCAxk1$~834R}Llg;VYLCJzF2Xaw)k>%I(8f zF7;n!?)l1r!xC1R*Zq7Oh44#_&$s{T^X>Q%jnB9LmxE*+`o}c;WQXque=oaN|7j#% zrgP6c0U31du^-f&tc+P$Kvx;s3ax;yHuSi>?sJCz9457(yT;JHVN40=T0l?ixSCW05H8a#1`hM2I&^AM7=h4B2&d;MG4Bf9iSHfsR&wxQG zl)JT|8^dTF&>ajNl}9HVx;@ddUd2>HD_uEsnxRA3zNS0F&>v%WzO?*p?Zpfo#&$5> z!MaO%_A$0^lb&saq1*7<3>|GKZJhz#+EDKA9nc*N-IQ(Cgev&a($Kqj-;AWam!S@i zUO=ZR8r5~&t=P0-R@kr{cU(tP>KFZBJ?|2uAJj&-{5qO|TJ!_IjwYZX`a#O&Cy5&a zQxaxekBbp%^l0=qSw}E`AJeTG{5{LDQQdot!L-nKdwGO~(52hRCc*GG>%9SkT$C!y_$ws1YIoV5??*ueGQuMQf&}U}WE4*&# zc`TtcPD)s(Xq0l1S2E%eR1%|-F6EkmNwi<&m2~eS`j+Dtc?Go3BCmkzSaK8w7P zjkVl9i@XBbXOUMx`z-PbXjtS`m&UMsOI%5V#(>ES+Mzy;Aw3RHKw9~+e**5&C$X39 zb{G2av~r+40hSY`T-sLZ7vE*-c<3|Idnr+aMW3Z@0qwK2EzFTDzKdVl7Ep`t;+M7s z8$yWhlFns4`o(wo3hIIQE~WTbeN*BqF`);xFo)%rl$8*G#J__ha`9bipe-p&xuh%^ z_ACm+>-|!K5jKjZZ-t9~3gm=jBmLyC9KWP2+30*J+Ln|#E-4GTeU_93-9Ago0@`Ou zSu(Uo$_-1($V54dqw1dLqJ9h_b1_qI`4j@cT*B1W=OI?5cdDOCr#k4T&ky&{MR`7t z_#}01g-&_?Sx8>W(D}#(&t(x4D}4f*pJ3{&4>9W`Bx!DnhAl=Cp|#Iu zVm=asPCgmQg-k|Go>_Y;<*y>E>Dm2p15T%z^DNNK2LBwLPhr80xI{dW{5N>A8uUMm z-p&mA=R!7v{zAxR&_5Nj8T98to@|tv6Ob^2{_&8_pno)EGw2@4EmEHn?ZkPkMqLf3EM$7gZ?%i7lZyd$Y#*r9I_eoM?yA(KKUK4A_jdQxIhj1 z9l*_?-{OxI%1jxuQDzdaBa|6#L~jQDcOZ9G#GwC2$Y#)A2RRt@Uqd1o^nZ;+FzEjh z3Dij_GcO=v2K{Ftn?e6+$Y#)g!t01Ze-&gi=s)anG3b8_vKjQhMqCa0_e0JZ^zTM8 z81%o0gc=h0S0Z7Qna@BrgZ`%=n?b+F%VN;K07S^B z>inkbqsR}p-0?*{o_(%|i}I^54YwYMeJ!wPNov7&RPc@bNhezdZMwMwxM+#hpz+0* zz(q?0_$}o12FYq4ewTO!93c(B`Hmu9u^4rbCBzAjPj^KfgCX<3raKEAW0B&2ExNPG zFD2qsK@op)4oE&-k}}zWYg#Uk-L3~>`K{HAb!X?9%hgp=>~B2U85OBDv@m^VbQp!L z_KG5N%h(SRy^SNE{ zGBq4E^10S<)JQvQ0FIiycf_pIBJfcit*vSa@70{C)*$#HG_ZN38P}!J2&oM zb=4sgsvUyrYKsHayP{=t?%RnT}(=q^Z@U&ErFi%Sc**q;pkMn|J zi3X>Olh*@DD&lE*3$l4yeh=9^Ex&`dIcr4eFbv8**5UwQ~-y}gnxRh*A zvjhd>NkzDa_DaNJHj5k8*4K|5}epdcKylO`E=IcBF#5){Ob*-a7@gk!dA zlAs{}frr>N$+*igJ8hD2_btdxO%fF3_mDfAgyFz3J8hD2_hqjm<1WYSJ(?sa2*>Qs zCK-31p-%HM*076Xc5i#L1O?%sJvnWbpdcKzN5st%6y!TdpiaVY;JBSMNl*}u+f7Xp z6okWe+$2FkIBF+NGVXHFE;dO}kefX&<1WYSs7Zo?aLi6B5)_1EHW?1h5)_2P_K3LY zB6M5vD305!nk6U*$L(dCHAzsAWk|$L5)_03cU#kFUf^tw+OCPDk@y1~v|W>oyQe@- zn#SO@j*mVOa#OPe1>u;D+DcFm4%$u4GVXHF#?b{nHkV9iMw0{uIoQi9*w+^PsNHlK zd&0tAzzfZ@_#PKHXn!Ydp2pXqz(M=ar1=!~YlZPh?3!Xjb^Kk0_o0P9(LXW^BjPjo z0<|&&xCi*=w0X^fg(HcMLk;5SuepJLk0WK^zffPKKW@)@N73^B13#;$QB8^mc)64{ zqjs!R?I$S=K!JtABK-0QD|XtpQfy(x7&LhaL!4|e8~032(<6Au*iGTS$M#;xMNmAh0YZ4GR5w)KC+QA>e^Ou?C3eQ9m{WyXNQ93H#-arm{1x@kMR zxNXqO`itA0&64yyxHK&-JPqp1NP6x;^0c#2Va>j6Y5j};F3u|#Kc)Z0^K0i|-{w6B z^3tcs#ZHq;pDL*t?Vr&0zEG>yit~5err=TqBWZ`pb=qOC!{l;1c@y`*!M+&^SmZE? zmw&=k{Qd~AUHSp~*c4U}^-XXBYZ>>>!zw$SE>PKRz3jqK6)rV*UiKhBMxTsK&V!*6)M_LTRlu;LWBnM!w#q5{Q>F2EFstNe@oR{0-;0X~ym$`JDnt@3xWE19CU%HPSZWO_DR9?2s=@?5K$z#c|DN?qDL3Rv- zTthVsvSS$J8meKC9m62kPz{6Z7zQ~RZslqiWXCYbHB`eOJBC57p&AC+F${7I)iB78 zVUTO6hCy}=gPe5R*)$BYV;JNbs$q~F zYga;7cUMAJe^)}kn!4C;HTBO>Y&L5aJ~?$uTyuHuJMiT~||QvYDR^EVgD@HuJNA#nv~=W_~uX7%H3j*}!7xE4Zg&+df-O?dopk zm(|_OFC$4OSSoEbwc~2)VtkC;CtFSJWHY~$1+~@GPB!y1R990w+00K%u+`K~HuJM` zbv3oCyP2Pp&HPl?R#Q9K%ui8UP3>eeKhxFK)b397ip9iqbv3m+oo!~Qt)_O@p${u2 zdKI>s+R0{qhU#i+w?78qU@f)P)UNJkey;9jey;9jevaRw!NP2-sa@U8{9N75{2W(P zhZ1ZxwcC~DnvL34Q@i?``FWB~()wj|j~GuhWcMX)cwgq9hDB)>4%~9Qy)vK{lH4&Q zc|a{BxnoH3fLcg$ZTY{?&=t{j3$B^WS z1^%{>vitP50kx3ijv>hd zYOARo111J^jn#}H$rH1`TS#)pkmLcikmQab$pdO3$sI$I2h>86JBB0=Xe}gpy{#t? z#jS88yA-=GkiN=RB|F)AQc+u#>}2anMQv5GldUHewN=S(4d11W6}45#PPU#@)K(=s z*?Lk@Tb1l&>q$lXu1ZeEy@H88yeh9sxWmgZTso3nk})<*&>Y9$>NQk@t2+i)ub~=T z-7&a&4b|Z4j=|Mys0LSe46dGxwXF}QjS)!^!m!PRT1 z23L0su3kemxVoc>H`&7KpuyE0$u2e2f~)&g#&N$@#sl!uIFeW5L_e5pm9dizG!?Z~ z#;)!Lny&5!ny&r^ntqjW+;5ff64WE(#+6sgb7&h^X56^aFkNNrWaCOhb(L}EH?B0W z&nn|$zg5P3UL98%7dN6AfS>oe{@BUJm0y-h*ec^pHm+1%TV?EI<4V=FRmM&>u2j@k z8M}-4G7McM<qr!?+)dtUh!oUbtFMW0+b zue{meO#aCym(8m@$Z9O&MPH5D`%Mi?5@WwgcUnne>{sbdD@ly~D&1)%iLu{8cUnnf z>{sbdD@ly~D&1)%iLqa$JFO%!_FL&rD}@;QRl3tk5@WxG?zED|*ss!^R+1R|Rl3tk z5@WwgcUnne?E6umBr*1@bf=Xh#(tIVw35WwuhN}Xk{J6{y3v0tS-tt2t_t8}N8B*uP~?zED`*ss!^R+1R|Rl3tk5@WwgcUnne?6=UJ zR?-;zEp(@qG{$}l-DxF_vEM>>T1jKvEM>>T1jK< zSLseGNsRp}-DxF>vF|rEEJ=+0D&1)%iLqa$JFO%!_N#QKl_bV~3*BiYjj>;)JFO%! z_FL#qD`|}VD&1)%iLqa$JFV0=#(rCYPwnOSKY%}-@@u{^$)!cCow?Z5Q2s<6Jc{2V zsxONCkjNFoO%18Xch!ld(-vn-S?n}&+IkV`5JTZ5`Z2qcAuI5^x!Pl=9fIx%{|YOTEpZRM zU3Li1{UG|Ax^~$&H^L~SW&O@fYM1ppGpSwH z@64ojS-&%r+GS;DCbi4@ote}w>vv{SyR6@tN$s+>Gn3k7$IvjUc3Ih(N$s+JXC}4F z`kk57F6(z@QoF3*nMv)kerG1N%le&})Gq6HW>UMX-{?h=JJl}hcV<$%EPcLBYM1ppGpSwH@64ojS-&%r+GS;DCbi4T&P-~T?L|o=VztZ4 z&P-~T^*b}EUDof+q;^@qGn3k7{mx8km%Rxk71b{5cV<$%tlybQ?XrGnCbi4j&P-~T zz06lc?XrGnCbi4T&P-~T^*b}EUDof+q;^@qGn3k7{mx8km-Ra{sa@9Z%%pZ%*_lc0 zvVLbKwad!ROlp_)J2Rg zdIqjBNe;Rc$wOhQmgFHw4))>ysCx-B7Y}15-=1$H>G%HW&k8(YJi4)eU+dGp199ES zSKuWn$0L3#p>&_YB|FB2O+7^xPA<%sfTKzFpE+$6S!4or29y*192 zrWe7L0Uzv)?R*Eh?^ZY?mt&{#NGZi~+YqOwj_F1k?ArxT(x zM0dnRf`VWR7fRan0v-gM@r$yj&nLIW8TWyoC2h8{HOC#+2c7cM4)nE=JDzC8bf0fS zWr2PS7nN2GU3h??*Y-i1E*A7=qAjL-Q?HEH z&%v{aau4Nmbih@r`*!4?BX=*$4d|$Xpikm}8ahMK?fDEHXeC^(=$|nVr3Y{%#Zx=^ zAw^U8snP@VD)ut;8P<3ITcr+3hgSx5HpIYwoNl-Q{cY zEL)CyfX}j_GgaUH$fG|M-Ia!Z76WEF*-AK6%64C+?&Q+m{(YKh=#w7R64n^HlzmG$ z+tFG=4=NeZm4-g)Q7ggFOZi6bs&$w-OG`MDy1Qx}RvP*(k7@}cW~=T!>>>Bm5?0Pv z^wWHM_tX;B7`i+9yL3-2VdhD8HjaB%hc#y?${^+e9kEc+k9c&nwvM6av4q{U4r|U+ z-Kp%wcGEhHIA77LJgOzEG;}fV#coGQxg$QRx^J+)yK$a_zHOSKs1+M+r`s_@!X}2h z$`;bs;+b%N;mES%X;O;ixQki#j-OEUxC~t*>u?l~@6Y$%bqAEv@GD?=MLTS;eue9K zm;ML*3JfyW-%h$OLUcFmq!MJVVJFpg*dXkr3)o-vV|^~&1#d#bx`=@h?eL#i7jHpc zy4lw-G+?wCzNOb)51xB=>e*4+{aqavG{YSR%2f?LMAWmhXHUqX9}(2u#L0Uo;XXxg z;52<>EB6&eJNUg3&_Uy+%5U+bAfWpxdOD}{0sWAm+1#^F#Mz$L`rfI!7x7~wpaZt@ z?Q%H4HqsaeLUO+ar{^i!N{1hlk`=swj)cfO!eI)lx# z)gt_2W0;?=_PQHkv8mgi!}^xmLYCu5rv0{WPuf9K>cpyPimC2Yg1o?zvELeW$B;Wcr++@&>t zQgj*b(s)C^^%Gx0RF{x^6IVf*-*q~*B3@-Yf%RjfGfCcdfx9i?bDYZuVn z1%JNN%%?_J=eD6aP5p6QwHo(l`^1z2voTt!3z zix<4Fh=_`cg1QRoA|RlM2#Tn%yRTPN)LkWt5#xHtI~t4_(QG2d^^S^>sEMek7}21j zqS5$&PMvdVdd4x|mp3sXyuKt6C(+;>PWhWaadtwDQPWHqK zZk+6i72G)46Dv47*%K?cak3{?aO12;tl*Vcf|ZIDT%GKR72G)46Dv47*%K?cak3{? zaO1E?tl;KcsaV0kMZI0If^UW#6D#;<^s9&!{A0+aVg>&Iav)albw>UGy&yj1O{353 z(lgU|6Qmo()L2fA)EBFIQRC{6t zzXu6x1z&;$>c{Nln<2-<3T~Y2i51*9*%K@HLZid%WLg!e75ox|GduYL$c|XS8weLG zIQi-!XFp;EpN%BE{V_ZFG$dNZ3O)^TLvOKyPe#HOEBFbJ+ldwYSjd4`!E21n?Bvmq zV`2qA1aeZW;0HiPZJC`s%w#Y-8ABJ9#O!2pp^_9U_yCeYyB&;AGUgeoY}62L8+r>J zm&}9)Z_Os+lIbo)tqtW;X=G;0{TAV5CNp?UO3M^V*<=ID%I2U$w1j1T@^=xb7Oj?z zrtq9umP}gQelOECNIZ;-I<0!ca99=VBex@m@9D1vT%gN5AAvc_xU4kKI(*UMliqbBIbN<2TsLr*!pficf zn6^i;%b336DkfMx8hUUfcqMuw{h$SxG1LmCDGGlI4e<|mhFD|(9Y7yf@V9Gkt*akmJu|^ zu}nX%SdL?veWV$(9m@!s<5)(}9LF+(<~Wv-tK~SB(K`HH$1<}#{B*R1Tq8Bkax620 z!5qgjg624uxtMix9Lp%0?N~-i$Z;$qXpUo<8@b$nonx7w`gjBJ0fq;->>S53ie@{O zQ8e4JjH20&WfaYJETd?)V;M!W9m^=1?N~<9Y{xQ+W;>QqG~2O^qJP)1%yR)+sIZ0A zf#xDVbbz5h^5QvB$tJ-_RWWZqE0M?Zd7y>mhDz|v!XF7A&~kyf06qBifX24py*htgQD#eJt2&C zRFtBUS=~xS4}h)7qJ0%T4KEOj4pg)PD}IX(QM6AOtyXjwLKAWqBNRty0lJbZ?6GRg|KVS#+SHjnwL@J4Df5 z)MiO~&C|BOqW9A8rjDnFDH@c7&=G>>-vFaC#IgY<&wq}?BSo_v9zDTrk>l`4b+a8F zDVpu@NYQMEM~Y@UJW@2<;nDA<4%rTmL^sFbk)qiSj}+aRw-jXqOwnwIM~Y@UJW_O5 zDS;gxDVpu@NYQMEM{D>l{=UPb$@DAz3pT)3;W(+c!&5k!hDyQfgMYo}vDf)(@ zr-XIaD*9v?U8m?};S$y>x-HeAyVPNWqOVeYdkDHw(L+dA(M^h8O;=NNv!ZRn=r@YC zQXN#+S;#FPObnMBQ}owV2X(I&D0(#MDwzQ1@zG z6g`i06|GdXYgo6JqHhwq{cGHh`zktwO0ei+x#N?m9kx^5TLgWKO0ekNg6>bc{Zx0E zpmV5Pi>?r~FX{GH-IaolCtZs^E9m8PwLYr5TF^_W%`EzcpyyJ#+p6w5LEofuExJL_ ztKs=G#;TT$nCNY4-;r%+jcT< zuEX%W1nnuKTiJGIUZgqskHme4U9SSwLnsb~BXPX?+9IePHCPDvZbz&UF)tg_fJwgN z?1w%cTo)iC(uaGu<^&&Lf7*}k%;C1efvP+tO=UqVolH-7i)t4eHUvFW3&;njm+KE`I@*`Q#9Mfnxfe*))dWlv8HIY zi#0{FU92gZ?P5*QY!_>aX1iEZG~30RqS-Fi6wP+Arl@tX_Gg;(^k0U*a0w~1-F+}5 z`?F7&hH+We!I~4CL$e`8=j-hFWtwFv+NkKsVckWFR?`Jlw^>lfJC+vt>6h`iB;2L) zM4X0t1QgdWo<5sc?ujB=)~&uw(4SGeMWkP}Tp{S!R1LjabCaOsObJql>MI3JQ3+8& z*9z(+#>1;gWDn|`Zd2pDWaCkI0#dZ3a+@~JO`cE5y*YQ$yku=Cch%hFx+9Uh7w0z5 zOHQXcr}?`}=O#{o%j(FUoV#RR@>2S)&r3|2h9IV6IN4B#B!ZY8Av>v}Jdv&<;1UJbkfv#Byu1|rE(F#Jn3yyj!E;XMOElt&vr&OVIk||E zsK6*rHrFN7m@GxM=VZg&Jlf8pMda1oLpL$98-F z5ZIGAxtfwt7N41G>XN%reTQ)F8+FNQO76ZmvtdNv&-9B3?z>$}?x;ek)j~p*|U*uMFqp{QBep z^b;P)$;SEwb;un!wFoKHYhO+^*C!v`AF6{nxuiZpy>kGkmLdh!VVqiCpZw|WP~DM| z(WdGI_0*qmVnl-a>3*CTmF!E8$4;E8NgXM)l;`9#{ecK?96K667mmC41dS_xI46Fo zpaOrAjMU7dS))-j5MVWO979je;Ljteq-}G+Hcyf;=M>aa#KYffY3lnQGU zP@(%w(NN($0n#e0pUoOCQ*~~aTm@5ePv_jnMGN81*<)3E}8>6sS73h1^G;=FsPBgmsa6wAy{r! zqmYh4Kg04YA|JFtKg04YA|JHDIK%QRA|JHDIK%R6OFn3WDa`ULA|JHD$%f@wL_TPP zNrvTFv=3&SM^IMdX7v81Mn)poo0X z1_Ra^nOL3yvpkE4oHNb-+VtEF~QzwfRkq_G7=tCeU z+kQrcrVfCN+7in%7(L8n5X&=Y%Ph|#VtED^VOoHnf_%^hM-Cua{i1FY8I0r`+rrB% z{fU;)UywcBzywL$CPHH;{WsaA{8z&%axP7cO6hA(nS3~9^bN9Gi#{vT3@21f6d?&o zkJe`fj`ClDi9BKe*VG9vYFV8KD2%r^7p>Ne8*PU90$kLZYS7}>0B}*;!HF1*HbPAq z9h@@Cr%g$t1DCZO-Hx#`Y80~S@+H7BH3->mwQO!UvS11#l{e*+>BqpRTp1NkRv)8K z=9CSGO#da_F;Z586#bVfbxfM8os>aLp|lz{8gVX@7K)PQmZ6oP0bKs8RW;vUu9;=h zjvT6~4RQpznkTv5F&t*(q3mnMIu zPP9ZancV;KsnE*EsCc2j`4nj}@%;;ZACXJbdctIK=hW)b#Fslew*hX8x^g$brq%HT z#UdZlZ0V^(F#%GF-p()3V+?fhjt9WNVW@gf8P;CYqHpUw@5RG0{q^plzi#c%zr;Gn zaUMsR!6<@a)GNUw(462fgHlmICyIU$3G2r0<5GgCK}WJ~AZUIsB){wr=s?4=%4W7w&)v*E~l$$`>s{=CPMXU>lD2s zT*7)qUr2;X*r4b)cbMothTi5x>&E zfSPtW%_`aqroV2>-ej0{oGL1Ns>u*ygyuNqlP8_(q@sIcaEAeDsBd}~1$FmlOm$Lb zHGmhKW&~3)P+D|^s0V$q9J8Th5g#fdYbh|ywW9K}|ETzsv@GI?!=|ws=kyizp z6TFY-E*+CC59bU%Iv%`*r!^gw&9r7k`xsQFg&PHRynJpjM(>I%vKtIqbmK`c?959$ z*q!<7XxvP_Z3fipkvyP&h<5X*&jBvYtQr3Fvohc?(GH$Dj7HbF{F{yt)SoyJ;6ec> zal!r+V7D+fO0hY(ko%~B7x3!Q$-DDD3s$9n_s;vf2ci>}-jBa{PjqH)6@R6A(23M^ zjBG&k29ca#5%up9nQB+x&-%fcnD?b!c{vSJbUEoNy7E!ceKW|H_V}4j+HdYkjpRJfUKiG2TPB!GHxrW|S9AOs zQ>KQ{_tkg9(P3SugLzj6`)8r$g06>+BJDlToyMsW^uvCH%7HOwqM+@t97)H7`sdsy zXj_a;>A2SHEkRF$xif=)E$C3JS~F-#N3IzRgdw!Qpl{OEY`OahItr`z40@cP*H9Po zv<_zqdN!sI8MIN*CaRg$y+hC{s)I#;E9e7R<-RUxE8Y(o-A#fv76Xk6Nz`d0d(bCi zJ)MreC+*uu(1)l5U7tF-JO23C5E@goizz|Ik3i8GiI8quP{(_pdRnr#8HAc;R@Zqi zbnY|Jp=1nE+@Clw11=F|hqgf9psTq}%j?Y+=m(ryR-ZHnP+y`0sOX)w-OXz8+nP-%~0<_`Gbsl ztZ6Y6Ud6~0d6@>3Xw%5#cBUdUki?oA&#h1HL+SVV>kH1UPt3r@SCe5d+B7OL1N*g- zs7e1>c8iX?dNk_lIJEDYivN@o3OebZO7@etbIw`&U<>Mj95CHs5$BG%mOt;K!UpDm zPU0-N-rnklG&d z9R;2ArIP*In^^5EQtK;;!{sw|vIswwPbaPVn{MXs-A~^;UJ@6}XX+*qo|R80U3?4c zA9^c)en8*5Prx_iGxfO$Jw(e%tNul|@%OspXGm?3>~aB9RU#ZOpH3Uqzf*p`)JKxl zK>-!^Q9y<13aD_M01h767e(Ij7hIpKhN4?+$N|&)-Nm^zck}1(sMg2jfKIyYeVl#0 ze11e~J##=OeXk%tTgvLaan00@k~mI2Qz~34fRnyMq<8M;?;T6u8!m|%@|n6=gkQ?1 z(?<0>$~~qU9^eA*l+V=TB5agTv`lKWXt&B|T7|(6^0z;xrg}$m6-<39z(vbgE2TmQ z`5KB=iN4n;xe7YzMUwsKZ&>~<)lv#>4qoxdymogz7w#&fT{gNm?@u5TJ>N39e?i&`raOrm@1#Cb49pMKAkqI z|Mipnz0{r}OjbaJCIwV@OaT=>6o9(!&eDzUlFzgXB~SB(?x6nlJIPfr^^yRSpOWgR z@TCAKT9xNZNvUf^D0)V|q{4X$sPL`;X%#Mdj=wTwIBN4Z$yLw-Ges*k`B_$Ye~7N~jiNqu6{Fss)9ZC>5#&g_sy1R0|3*(Ltyd z6k?*<6RHKpn1~3~fjoT%lS}hzX@yP>2boT2P1yrCLyk38h+?5EDgAwV)6ao=`0) z#Dr2UD8xjmP%S9Lgi6|Ap;}Og38h-R02wzH&@?bgF(x8H zyLbY!rCqcjVQCi}VnS#azeJAGE=-6Cp5EDYX zFd-&{cEKSggmz&%b)0##!vJ`2>rT}vYXpIr70hLGHfXwhR-OUUyQz7f{G7+*4FULdH;pG^}c6d1g2_0TWLDu2r zAjmqr42K*VUiLv!hnGDd+u?}E^Nf}aBLRKc%uZ<2fxqby%nOqMVoQIU9kd?`G z7vVCb+y*(sc}8X?7x^?*CKvfa zRVLTzkS&vooXaL!QHBgDwIn;vvprA&XCJbziXXO@@i^4^NU!ED{px4@ zdDu0$!eEqE@WV!}V49-v=kGK`EaKE)_=>DWhtkfp`5o>|k;MyOtcq_W^G5Vj*gz6) z?e2+kw5xLuI;L0D4;c~CfkjqxocJW1r^tET8T`}0$mAq?k!YqS|(<<*fgGveNw8}dMUvXoCs?#d(6IlA(*k8F^ zbz0?Z?f}%hesFV*@3RkEZ!+wEuIW%f7Ygdc^D!`f8$%N@10!8xnn;~idE;<@u`Y^= z(<;xU>6AsqX_fZ}6q7;4X_Z%uI>)+d3F5TMyN7fwDo(4s-RWu;6{l6+`&lK3(<<*? zv~fmPoK|^n!DtrirdJcERbB^-KN(b^vuTcdrF9X9HOLgu+ zk1*Q#{F8Ve*)x1*MdvHJhVEL?1&VG%_or?(8Wp{pbQN8w=x%g1MHeahUbuwCirzyl zq`J+DuB8_0CH1{U(e~6riY`&Kidsm~yA{pPz=-E#U^Jsx?`YV)VKw>hPiG}9P;n0A4JX}Fy_z@&@^+%TE>l#T19@E& zVKm!0P^HvCoCA5cQfq1n>Kw>>f?CL;;vC3(obJM+;vC51=onImo5eYhcSKeR;vC2u zPBm++lL+oEqA%1)6nu3U7_eus;}y{ zC_05oXe0Gqsb~$gj-suK?uZR8i$1I9E%flJ?kYupj?Qh-)rxMQ$4Pb9C^{-!!W)X_ zWmI@~(tuYa^<(`|?%JX& z6>X)#G%Ed~RZz@AsUC?23}y+pQmC@csE66V@5R5K;^qXuVse7t`*2?r5K}bk1iylM zfiE)Ga!d^}P-Tl@~zsxq`Yqp{w)OC5pB+=!snJ-HMi@0yh3;|0|?~#iSb* z-GPceKv#1IV<7yi}pp#Zr@1`76m) z5F1&N-D`~$)LVoH zpN|!q;1NC_D>T6)d_Goaf=Bp#tk49H@a0&c2_E6|u|g9(!sla!CU}I;#|lmG2;Uwn zG{GZ$K2~UgNBDBA&;*b0`B94j=z zBYZwqXo5%ha;(qEaNO`Q$}`aWE;&-Oabs-iD&x&i5DX`nlb%8oT{KEumvB6%F|vHxQxl6UcTE|^HJ zL$|=cye5*a9neAr6A4-Y?eGtnNFK+6rC=iALn>RYiKGG(-+zILB(G)Rxy~3)^Pbfx zSQf;VQm`ywiH07y1y~m1pmG;13$n@b4_Fp1qjuW@EDK+w9{)DWLO(JR7|X)3xt4{* zYnXIK#?wrb7|CWGE~$|TA|XWPKmmDJiGyFUfD<(d1dVhe(>XOF@jIHXp2?|ki9H7) zbuy=hCGMfTJ2_RIc#ytVOLJg&MXO6(i!EwRHrJ*5t{Y7cm~)GKrd8PWUCv0WaJm9R z-s7C-Mjzx>=+le`eKMe6PHnB({^!PEVlb zj?)>3rJZ}A(76G^?R16FAM@8P_zQoQFB0K*8yQIdS%Ad?I8K+P_%_@-32@3Mtoa&U zc|S=UC!d|3HdjtJNctQ3OsmlJsa!{eXBGHRglA;WU(5zXiz^ee0ny@0#cV*dxB+4| zAX;1pF&hvouG$l`0ny?jVm2UJTujUcw4282uVXmMgTFtj)^8yH%gm<1#1q4TezQvrsE!+OQxMTBFb-3J*kKP$-Oq|B;a}7MV^~ z@yKp?bL(6CurGPe8d+Qi4q$vFvLa?H5?1edUVM%D0*zX+rj1B14W-v3{k2KcS7S|U zkv=u_{WVDMgWdE4Fe(?X3%hS%nTZij+sHj(J|ew zT5dQxW(EyM$IPJN=$IKa933-*hNEM;-SleV=$IKa933-*D$&p*qM_USb;Cbs5OUpB z_(6@UC$|UnKD4Oj1Vl8ns1gkWA{ts$iG~3Y4K1of!+?l}uG!y4xk@w)h-he0B^m}q zG_+#VM*(J^B_J$1Oh7L7qk(J?KW6&=%}SqFK=~Et(Y_)1q0?F)f-E z9Wxe4eY2utS~M#|k{v!Y{KG%Gr$MYEz~#u9QDSqFK=~V~G!B6bnbkw7OZ*F=I)&TBXLTr0AFy&5Dj`(X8m07R`!|8B5ADSFPnz zbj+A1XjXJgi)Kg1bUSvy!vLyG*DKK@9p^>xDU1s;w?xJY%?XGAn?b|TG2N1{xGj_b z8xR3DqZ^KnnL)$RG2K$VS~xnUTc&6@I%Wn96JXu)Bn*Xkj+(>KF|Do=U;`q+X3%hS z%nTZij+sHj(J?buI67tq4M)e!pyBA4Zih7Ag(bjxM1YOv5MXxzrP8<{ z%e>u$)EW>0)}l&)4Tu11QA>bLRMU^m% z3x!Z6%mzf5wYo}}4TvynQ6RMU^lc45j*N&6F@35MkCev?uhem3lQI z%vw|lvjGuiEvkgsfC#e|Rl;mQgjtI!VKyMbtVNYD8xUdEqDq(zh%jr>wOTXU)^e2u zyG~Ie%vw|lvjGuiEvkgsfC#e|Rl;mQgjtJP!mLLw$ow1^WW#YWP(V z94v!|gM($zaBwiUpI$8-94v!|gM($zaB#2;8V(MYLBqkpGH5tBn7h5!AsiemgDOSW z1m%k51m(Jy+Kqy8#nL0V^|OO=MRO>*I>STJfm5z!7Q5P z8qA`@vRs2jbEw7JQ^!5siMlhmWf0K8CPF^ z>~g+bmaDG}8g})SLBp=TGHBStRzl|jR!t1pXYx%#qbma8v|T32655EJ{;I~H5MsXNKQBB@cS?a90% zshZS+P~OPYd!f|0)Mu31ftC^og;kgS`t#8!&~bWv!JmIXcWm%1< zqo7^%IQ=Tf>aF7$$aK-!g~odYSe-_7qZn1(DAI6d0Mit7B^t^^h4tB^2n`4ZhDQQYTV2nFz zDSj?K1|n}z4jcxbFT(R_T^w(_>)7RiiytyaMnB^pjsSaf1lANF#?C(VJPZ_N~lTRTB z4ox^!xAsCt{h71iCQ;F~R|+}-o;NL8dxMm42v!}5V*0&twY7JN?)YglGHAoyqPr4f zsnxB#M^Fc=B#X8P>d%~sI+RGcwf9Q729#TK?z7+|s0!Nfh(+NDlA*<)mjuijc(Z1;TgUf$1%w@_V?+IpYH#b zv42DlO#R5KK*m&mJrl?a#(wT94LH75F!pm>{3FKxj(zYjorx&I)}&rA_Txo^5xQXP z=XUtd82caF7SA(zyT};jWgDPi?3XAc1t}zTBYc5u(;u?Jj)yv;h+!!k-#%(CqbLSC5##@=s}ogWXj!J&^u{XtTh`o zQqXhhhl`0_ZH%I)(>}bSt7}B}57;HJ=$J`@-h+F!=<3r1J(b!_OBhop=pvXa6EV5B z)t3mmjII_}^kzX1q?*O0C0DNybcZmyM$t7iCDpCTHx#`qjILGmaH_BBu2b}9^lK`* zUeQ|E?Jc@NQDP%obfcnsQn|j=cax%*V#mg!n+46kN3?kdJTHYkA}q2nCXrJWyO&eg zBSMqVnp1a!{>^(t(|W=D5!)WiHq5HTmE4*E_?4c=q&=y-wwe<>7e@Pve&E4=V59a8 zRCEU_TF2HM6>W#*l0}CoIy8*#q3B#HLC3XfMHi5+qQewD2Df0*5rR72!!%G-uEb;o zZ?6r!!+XYO(J6{1!f2zSUBc*cMc3l@OjJm@YZd(*{0NH% zA8|c`Z^Gz6MYo|UYY8=iI(c{VN(bUjD4@{4Z8vY^AY73g?qqh|3cGpc32y$oyLoe| z-Tr~yyjT_V=@^~exBJiR<}ILlYyrD@U!fj9#%`XsmgX&8UZr=r+wyh{a}dY52Cw?y zMH(PFk);yDv*rYk7<2;<8?B=6k3SjHQ`KFvj!Ow%#TI^|ljts0bT&=w6m5J@bT6P` zqqA(Y&sfi>lXtK2)tyi~3M-V^DU^UiCah2fE$lU#-_H5JyVux}wn!pw)BO5WE$uBn z>+Vj5`o?-M^+XNQPgD1Hn-6pJw-LbiP}`ZP^u%u&=LcBgKRq81IsTrQ|GM^TH|ojONoSO(fxFu)u| zm1qs-D5^wjFh@~~ULzeoiYn0>%u&=LcBgKRqDr&|a}-sgHJGEQ60N};MHP4Id=#}1 zp~1RS|KCSwpof`u^~`oy;R)$S1*WMt?|SlI-m|5*ut~>7 zjYdKP@ZPIz?b5K;SYzEjhGK$8WF_FFSq5Gw8B>0JrEM7C*ak`n4 zF=OOt%$m7gH!~QHk+V0e0Nl!D490kXt<$;r56{fr1F!k5cR#H^-F(gwha&6+7j`{l zg*X)}0DBanu(Pr*j~`<_ns>$b)V{ds!iuj0-WE#m2f-@ha zG9*wF#V1Vi{QUzw3%qpbfVulKH1ED6QUa5L&e^|fqjUH1$mF^E9XfZP=XIY$U!aEs zoX2@GmA^x#@~r^OR9=A=oP($6Gr5PtXN*7<3p}wB{9br4@*N6aasXP0T&vn6-GxKp zXe-QkGl#+{`b_TO+d~dQyA}92FyW&eDEdr>dGnt+e4F=xY=@C}Uz-RqWbD9?`rmLs zmLkOD9(aA?5Zp+C%upaRpbu|Z2VV1$8E!okgThuqX2@qc=r9WR_oJB(DCSP?f!;$8 z!xak@0Dk_-Gw$~f^!AtnHcDi9#K#9tLjQ{6&(x#gdd_wtzlhK@6Hkw3IC&4!`k=G@ zn@t@(9x6t~?vET^O7*TB+ltL`$JrGX=~V%~9CFB9jhbs#{vGPGFr6;gD>@eJ73eAd zAE#j}C(`pE28iW7%|k^ti?^-KVk0!f$HdFrflz$WD7f~Rh zpx=d9FsrfUe;})|WiDhjwwwuBjV&`FTVu;9NT{)83S>35On|J$mg69Yj4ekasm7Ku zkgc)hP$bmYav)?iw(Mtc8YF_MYRGDA+1=o5Y}pyI8e0ZIR%1(l$Ql)UTO)sf*=I1M zhtVgG!odKt7^tzOBV;wUlo%b}#w{{B9YNFy8WNDz*b+1Pe2n)yGTMl-a4OxvXO_0^tvH-F* zwp?T~E+qR(+jA*HjV<#iLyRqRNbWrikLKNxS&;9UywvG4jULszBNWMf4>rWmQ@btg zu2MdqNu{UGY{TxsM^X)6^auZRjf^2C$d{4X_?lX6hHt|UXk>BwvmB>8j@N%_#)DWB zBHEMf=1Z~NEJbqqiJ5G$Te_PhEy!X`*xK!O1PwM{MyQ||Xfa;5{TS$D0PtqI4S~xG zXzU3f+T`RtzxvrRSRfV7ucEIw{>*_}>iN|k{pnTVM4!eF3O9j!-_e;W+vv7=4rVgKx2BNp)4-ex4~MxQI%y=ox}e&q7-S?M3Bw(-KlXm+&!eE`uH^ zXdAi{t9zrME|pNBC2U|6_tyh(FxxDuoThH3l~NOMf5(x2WD>WM<^(J0ii!?w7j&fd z2p%$MJ?qw9@d=~z4SJ2By+38NH?>7X(MJSbTnRKGwW~esGuB-|XhhM)f__dviACQR z^pT!nv}zNVus2;TswK=5^b@*ii?#}SC6!=3;+A~QB^*Jz7ClbTov4j1dWWFfW}%w| zJ;0RkptQr@UvRmH((fG8nw|V5qa&%l7X785&t##I&8$0(+BdExj1=@Rs)I$Z5%dhY zw|1)gwxENl1dHzQ6_>kb7CJ}Jhp9ifdKZriT1VyDmi)`tT*BR1t-jk|8U2!Ui?t3H z2znu*C5o;Rba%Q7i+28oOE`yWX3-M`T|zBcswLbn=wPZtDXoI=eAa#==#F$37QOOY zE_W!QWvbivZ;X!0LLU=!A(d-&$9%`SFH#-aYYBfAw3g~?(FXVkp{Hde_3HLo-}Vtk z_oos%C^}xyuc(9$;^C?GZb5xQ-RGo7Jt62qLT%p}8|8AZ&gy5k3EKByDnXoc)qWyq zSMwVy`jX2fv=XY0ylQ_DGpIlP#A!}Sj{w%n$mma<|9?`{N0;uw{+W`eLH`m!Y4szaIZoaK;Y&z=OE?hj6=4aV4qEUx;jZek zgK4QXR6F8Dr{i=G7bXPFalG*!U~eh(aEM**e)df0zlHs@wEFkZoZxcUaV^>^`oY7c zA#|0Z=YW82b=N3Lu{JHbR#DnxOh<+Iwq8)j>stl5tbi;UC^`z9kaQclT02E&(J!QEM@9FGhR{ky&!S&Qb^9v%F8lzci=^Cv zicX<6Q*?-;AJMa_Xtko}Qq2?{q3Cynwv}>6DY}BLrf7|#-RN;rv{q5tZnfwXMXTZ= zbcUk4QMud5)#fOAH9Wgmv_a7;sMS?>zM>A@aXTrYQPK9)OB7wC=xC}#vFJ7{+LfMK zMVBagHkGUBQbh+-%@kcOs8eB1`A5tXibM4k=9IrCM-U-nTi%pys;R`@SYJtuOScyB z=Ih?F340oz-cIl2faPBbu$^dGLc_JVA0;#_gsg;yDZkd@G|*5FKN zcpb758h%f>5E@>D93nJ4i=-tqJc)!78h#7e5*i*wLJ1AaAuFNb7m$_EaIcY>&~OK2 zB{bXuSqTj{K(>SiatEiUM}A5fN@!@L3?VdJN-{GPXzSlF6e3Jw*g2E#ODurxoaj(| z^b0719d*-2l=%vuEsq;n&!^MQlL0(Kg`h@}uhBje=ZN!e!D%0Zi_3QE%@-rfy1`qD zv##@@{T9PoX4lBWHF$0YMi=5kxf7FiP!7dWi~E zViHKZ3vho05(pMEXoms`gxjG&0^xQjkU+}mx%lTvAV<!RscsLw>KDl{iLTZO9W8Orhd594U^> zk#uu?#nF_$P|{24E4HKb;gVijU$GyhPn7iXdZJs55{AXF%Bk31Jw#F?D)uJTg_0au zITd7#TO~EBa_V$=(>PR;V~D6RS`sytCp(cNBr&f13gKbgBcJIZ#k~Jp){#HQEdq^W zOb*y-wE%S;SgX^f9H2a1!Z}k!gBB6#E>h@cT{-h%Dtt%|*lC3TwW8JOjU1qSlK=;b zhACVNUDcfn|C9=E+l>QhY2)08XYFk`~}kd;95JIG3)`Hhj8K(hj}5@;TRtOS||AX@^>Jtl)af-Ip7CD7bV8A71> zImwP;#&nGwRD*u@P;~0ij-y1AU+m9MMP%_GpMlF&!#8`#jDWGG^=Idg3xCkhF*hl2 zLeSz{G$*9T9`IU}XdOzV-s&9&l~a9By&2u4{{Un}>GuXjS#$iEc!#wyzdX-JUj!Z12e(Y@*3w1gpw_6wI=t?0Id#-+X^6upT`P;``{FVej!TBGRmVcl9qAE%nB z?i59@4_|GDq8EkBoulYq2=%094T`R$t0_8P(c9>1iZ&|xU|4sNqOmaAtmv3<2}=~M z59=;fbTFa5)NHw;MRae9wkWzc-G!p9iar(AU8U&0R0q{vqv)Kl?pj5U4VSxK(fh(B zY*h5#aD6u`nt}_UHi6uQ^AqX6U8n>_13~@ClL5+D)=ohTB*yg=MzX$&VR%xkzhIz9 zflL{V$8bIP72GWI4c&tyohf3@Z0fPxC(6hB5fkg z3GT-^3yYpF`oSk4%UX24qFute3lv?D4(T>3dMh~iwuFU>c1L9_x=7LA_Xg_9nVQ9l zwn+jtODX)!&5G_rsOsLLXcYpES#*h_$KvdSMekO$N81p(RMAJNz5&gjakXWN)`rVn zu4oTxNv*>QMQ2hw#N;ko6n!s@u2gh3T}^dc72T_22z^%3mQtYh#F3&=oQJUJYDGWA z&u-B*iv9_6V~f6_=yJN6w(nX+Zz5E$wocJI!X>O%^aY%Gu_bI!^c$QZDe|NpHY)mS z`rQ=Wr06;5o)+D#XfNt#s{4(i?MH`D$K{@#-&xq{OVHm7Jkb(*_Ve>Z@4f>qRN#rS zvFRV+iGE7$_D}OfU%C^eY{fj$A@||_3OrE^rD%r&Pn6rCz!T+mDDXs$sp6mKiPq65 z^AGVv^EqYebw5fe@bSz#Vaw&?HPEm0&-3x}MvOV&0W1jr+Z;Zv>f|_WcX-OIY+J4> zHxrqcA4DVl5=-8;6|#`goS+$s7F{X&!FOS_Rncqkd|KUS6{S_WMOP`hAC*ukS6i)U zges@#8b!CEnko8*qNjv)*DCsC7+t66W#JOmE4nS!p}W*!gQBleeR~MHQPD$4SJ6$1 zUQJh1bhDyu!ss`Owo)Bb*ICFdA509F8&mYxREM5Yhd|MzNmtRdqA!Kfc8cB@E}>M> z6;v}Vp`)UuR6;MgS{FsnBV9!+73~_pqMjr9kn;^ta%8E#O6Ff#Pf0 z!SUA6Be%;sJWPmhvu!7X4#x8mw5N=253y?<&B;#*e(MqRtO6z2*t3HIC79b`i=_mQ zUV+~IqfvruV2y6)c7)XxuBXN{kj=rlmacdm+!wWre8+Ed%?Un$A+}w#?X$+v{hjDL z-iIZCy(U<6@l+ncrKBE3DKCRz&@R@U^))AW8yf@`^^Roy;9#t9+r?!DvO&?asD$`n zE@7jf`CZJtLRZ`>sLcC6hCBJUQkm<2jVl(Y%sdwS0G0XAG_mWy41cjXCNp}!1@DsC zCrrb;N4I=+u73{A_!OP5=*u*dRJ2jilf$};6s@KUs&2EOj+c)jeFf^VB~YY0{08;d z0w~hwqaHsBMfymF5H+NL|tOqVJzzbVsvp zW1LF~Hc;1yp2TRoK+wYtdXeb174)%msN8ma1l^Y=LGGQbyIfEwFRlCcsPh&<>-IjS z8!SJ?JXV!Q^PP-ZiP{aJ?%(!Td^egC3?j7MtAdV|J6}TRHeU;Ro}ja-=wd~m5p+5I zCMAlNbml4c71Va6ijEcZA}Y6i&*#hwukvO=ucdN3D%z7ny975<2}RQSl^O~mDIzEgxD7uWUrX`%O=!h^nU(tDVwIZp*0zn;b4=Om`f}6o> z`x0J~c6tIWzbolS`pRTla)K&@9?osiq9}!AY8w&MSs=^ZjZ}}wRMxG&OwgZEyG1ta zW?Hl53PHc7YUtIPn*<$aN{~8KUnyvcN{9-&R?z$bE{}N_r;yuk7Ao+Nr@(xFAqVWVYZViP=ZRLQ6+Z+_R*h?S zSK+e#1h`IwV}}c%!nFe62f;sy%lT{2ag>GsE6B>i ze-N^=@RveX7XDq3tG%LKu`CHrz6}Xw;ok^ZS@??~D+~V`$RQT~l}M@^%gZ2J7XExB zl!bpDWM$#k8Jv1iP<0k$W#ON0aCT#PDr9Bhp9EQ1_>&+j3!ivLK@qd?#~OWR;U5WE zS@?%RRu=w9qr)ux{f!Q@@P|TH7XF?_pIP`rNJm)sgCScM{s1H_3x7K#EDOIE5~ve- z1`Q6bKtfsgoggatSo%b;LO60Kvow1H{cj43;#>VAr}6pNLm*D zM@T3Oe?4T&!hZ(|W#JR$Nm=-RfUGS1)keM*i-h3#7a%JO|7pm|!hZs?W#PA&3})d! zLK(`!|0QJz3;%wS*=;2mjoFDLyS1d{cj@&Q4V>@b*fNRnfR^4yqs%F*fK2}--62vo z2r2q+kPc3VWEu4)nv)7rMvD}hcglCzJ0(py4G=SbOU0!n%{dLg9x}5tX_^zt%vLd% z{grBzNqID93tfiGi%BL;y!h%rs6z8Clj(*2N-6v$DT^i}-!J9+h^W&n#bid!-%@9L z<8r&RX?VV|Qpc$~6dlA_y$628$a(OfTokQ71}OUAG8xnEU&X`Fr0dZG%*!Aaf13I( z$%Q|KHYoIi!ej8i9|~fTUB+S(;!PFFflb(Ja1# zMYH${u5u8v_zD)y;wxA*i?3kOEWUz8v-k=Y&EhM#dHD)%4;o+ai)Qf^ESkkvuxJ)v z!J=7w1&e0!6)c*?S8$bAki}Q9Xck|=qFHxMuxV=?3%vZ>uVZMUfM|Hz|g$x?z zE4bULZkVr-LBo6nx3B7k`3f0S`3ecze|2;AUw5J>k@jERZu@X++WptW|6s6+1)VW) zVWj!%+1=4YF_DG-QpzxsA{v7vCpef;Gk^gdrs#zj)?zUkuSO`^OuCAWQZ#`}#p0q{ zqv)Nat7xsFrC8dmeHNGnZRg+qJJ>Dr!(53=qsd~mS=jcq8&)L=mOSVC#XOE_~{wlgEV!n&JP_Z(C1W32m)piYAJJlvc;k9l}em^}}-o3x$oh6J?dVbQET4~u5) zc~~@S&%>g2&m%!*cQ?oEJ}50_cQ^Vf*E`GXZqY2WyBmFPF>JeS4`KZ*!?xS*WI@A*ZFif?1q~av z-C{+LN1#u|$L$EYLn?`zh)?+ml6*RJ{)a%qw*a`6ElKn{}2jJ(ds zWV(tEdDG~V=_)?pHOR^2YoxQ+E09Z*&tk3_AH2%wkm)Ku47L*Jkm)Mk@H@!+Bqx#1 zsNWcUGF`>@UqL#l2GllQ_7LQj;?%XK0Uki2+DqL|iQ;>ZsB}|TP$Ix-FsdJ!uHpyd zggox&Lu%Jc;OGF?+k+Zxz_pOw*$qVQjeNIE7HFXuWU(acf8+s?HyTs3% zjD(wPq{2=(0dl)!U%J5)j)fc~yVAvvuQ4*2uHwg!h8#<7M>llzA&`@)JU0gzE! zGAqVM4>K8Lx{9~mn=*oAgc29+Mj6SIsJcfEAlY$a(H>}ZH;CPVn=>QAZfLq9`a^~* zH;DVFTpM~>&2+WLH%}&wkD<(L71Jt*sD@sK2K!J+ zd@-_!(Zy)QHkqYAs6qp^$@D^hr4;^>ltmro`=xvz;pU)`(B$cT({N{iXckQ49XBW6 ztg7#!>I%mq`(r8+>DLy2b;)|j!Mb$q+yi}S4qxyC(RBu$#^~)BYJHD7 zJuP=`JKvyA;v>9x{d6k|LY*akcWs-{AS4_@jopO34YH3!{A%^)RdkI&=(FbO$P0(H#|S zhn{TFA&L$SqkAYimr8J@Csr%EfOHidrsy%a1&fXl)Jc#J*F?KLFjc|G{3sLMe@pjA z#K%PJI)1J+CwLzNaUv!YWao@h^knG1g^xt^7p&W?Xdi=0?KTSPB#1cYrJlg!l5lG` zvRD5DJE0WvE$YSOEulF9%?d2qcwv7Y3w8lx)()60^0Wo(F*ma4N<~{S?AU?3)jpZ; z9*7#0-cNts>dvc7xi3*Yh&Y$fmxAU5i>N(HXySuWqWXT;56uRqRrK1|W&q^s!4 zM@9GHEZwIWbrMhGR{Z2aCbM#1j!+lXeOf{dl!d%gxiLYDmwnhUwiASR-*d2m)#Z6y-9V+QK zjd;oXlTMiF_}cw7CwLXDXwea(AFQIGQFTWt`Zl_fMQarOBCK1h=+}6sTiq#&{+=30 z%blU&2`1OeMl!$%GzlY}dGp0-pq3^SPFcITJM%U@UPu2ceXt|*4w}-ChVw|D5 zRF$9~_9Ij#NX|q-+tKe9+lPCQbDyAX>0ys+&E699B$~lk^lL$f;=38Nq$AgCC=D7` zx4)oo($y@wpPa6ZP7+So2X_My+hC{s)I#;E9e7R<-RUx zE4pPycaxxvm|!QO6qub_vW;-tPR8Syhz=ICkDw1x3EC$dHCa54nY2Y?igw`=@T8#J zKvCj6S>3dtP6>EE2MmU4w5g_a1g1TagE%#=1U^Y6XH3Z{;}KZ$KpG07O(RN9nL6F3 zs>=?L-KRU`v+N@g9y)LgJ+4mKdm?l_NPs6rm^_k!_5)=ZFibvYSHO%h3~kWi0Q_;p z0CFUrEH!`}23ZXtBO$8+WPiwN02vC|8bJ0$LJc58AgcjnFl04=41gRmfNY1P8bEqM zwg!+2B-8-X39=eM$_!2o7_VvvSq&g5gR=p|gRBOS2xK*Ye1oS#4Ip0{`2*y}hkOdz zOR@pvBgkq1Sr1taAnzC*Hh}!e=&%9g50KRWvfAjg0ptbJ5d+B6kgWma2_&omqy-6U z0C@xnYXG6h;c5W6AF>)i?uM)eklT$88$fP?tOk(l4bBFTMUd41auwlX0J$7;$N+LN zl9g%zIUfl%fYd{_29UFnPy@&rkktS(1F{-GPBtLas-i+R$*Htxm(1 zQH*AA>i9Hp3BuWYeR`G+?36oEn#7ntvB-*J@KoN~iZ_i~0@fVOV?t!{wSCd^^X*>m zifQM6-4Zn49$0yAbf~R(59}+tzpY~rj9!%Y%8Qa-jUtaLJ4Rkvzmm`PD*XNs?#QR~ zfj4Pi5>Lu!dCwf6Oo0a^1J6=>E#jn6T-0(Zs^_7c7%O1;-Z?JIxi?TaN;#K)9ADjb}Dezm#plWInXOHHhj;EpqAI^!B1uQ=_2Pm5$z>%Us z)zl(h6Rf=opN`?;9-*?gmBc&pS-x)$P^Q51l0ntfA}&9Yi}EnOl<$26C+Y<(ADaV| zohradqCrJz5d&)Y+lNt6uZu#3faPE20A;D8SUo8kD5_kGcwWZ6@^d8f*9u&d1C-q* zz@4IjVw_myZ#0yyJR3u)4xta9z?X|GetJ7hC5-WOB~9Xp7sR$xN!EIuFr`~b{o8G- z@j8v4J{d_e!n;kQyiTW&KLJTHsJl%gyw3T?;Y%HoKFnd?iqQwzvQWKiJt7+5X z2sf&epQhCVYpwsbhRthnjP~EUUvzKN{cRmDx^DMk1c~%A-5w`FD)8RxWBPHlX=Jy< zvEYpKHhFH-^7?LPkglc?9%K3$r)0i6s)DQywy2u&_b2hwb*?;RW$uaDfP<&+WFt{$%UvU<+W&h)gnjxY4Fz)WIf72OH6?4|On2 zj;z2$OB18I?Y(CxZwz;?6Q!Tlw0~GS)s$)6SzbbmmA{k&mc1vyXwkyE{BI&&Kbyb! z6~0*3=@d>V=(Ja9Xana|4usmn@*M@8_M^|`>?hCX&;3d5v>ecBzw-s0ed9&^Ii1uF z5OA@8PJ7MncQLE|l++%TYz3Y6{V(C{TNd!=3Q{{T2XxwZxQw$exq?66A+>%2ULc^; zUb8ziv04%jUD<7ttsv%#lKt&ftX95L4p4T00Pl+iW*1!|AJJPk7JV1bw?5YgU&kdx z7Vo|zR{B@d2*9jBnv7Idm76q?252@?IUL4j{Lti%{?qfOJ$^~X`yuZdoX5AE{vmuT1TvkNAi=7m`kr8znX4)yu_ z_K=;nlD^$>=dHVMZ>Ia(N@j&)v0E0IXnH2L0Jv{YkiI>p+gX@?+1whZd*oIc!|#ut zhOSPFO6}&SJ)_+{7>beJtVr*d?!Ng6 zvgDVdo0&eZmg#e2T{kn`e#H#2@H0`@%}lp7zjLpyz`uJpW4pRQSU~0(>dLQOgC``C%5Wme2P0 zi7@0b&e(G=EH$6W0m~+?;M`Ktq9s`iLwCw&*-gJ;Ao^SWY_Gz#0$hlq%SKD$68Xf& zfJo0j&fmL-)IQAtoife#R~NG__H#+RqKaj^KgDH@m$`v#_D@Bp*3JHjkae^Fc*wfhe+*>Z z>^}ms-RvKQgl_g91X(xxheOuQ{(T^aHv9KLQaAgF-DNlXtB}ym{v9CeW`AFUQ-_XM z^@OaO{oM@CoBidGb+f+|vTpXbg{+(XNh9-Sf86NvWwaef(GS_+}AP6=`>e;K|~f$JM;(kvg7;y7f!bFoy5LkINFOm$J-OrE$YFL zJjYwKa1t9G3&gC#No;P1!bxlxd(a>H{>x5c|C*k}f8Zqc4f{iXD`uh8kHGzH9cGxk zC$aql@CqUCv-ZUFf953iBUBG^fNIZ1Z}}&&cRC1tgItUjPGUO&xfr#ltAFg1*xymh zlZ#P%3c6CM%3JP9Y}%~6+8k|(HjU|4H5McHN=c5Q%}ULyshB?f^i1Bk3Xns7=F%k4 zZEC4&zy4WX@;vrDe@+{TwazyJ-YB3`wo;_7FR)sf3X242ufpxCSmQ|)RQ{giE)uXz zfpY}F1eQ;f&jpiI*H~vl)-~2l$hyWl1+uQOra-o9tO-cy8tXX7y2d&hvaYelKn|_3 z4n?F?DhSc44CYpni|b&a(xWL;zRfUIjQI{q9a zd5zW4=<^z@1hNvUiy-S7D`9kajTJLGOsM`2YcgG9eGR!Z$!o07X{{z}tc{TE8tX$O z>>BGmBl*8EgYz2eG03{cdYEuo zV=aRmT4UXZq+Mg(iG;4PZiQ^uSj|Z28tXd9y2iR1vaYe3jLd7S1(0=(brED;W1S1x zuCeBs3|?c+p$uJP&7urhV@)U7>1p=%vrcN`B*rsmp;k@{3?Ax%uj@GRz$e{%&W=Z- zTpSR;374h5;}$&dp%r+uhT5Yb724q6-w$GuQ*e4K68RW!&1Lea9{bo4=#M}b{{mc; z__nYRMoa&OzlcL}61%Fq8qd5pX~rxkT1+}vDQS*horZex8b+(nWPRW92o>Gh3mNrh z&Kj6O*Ip^;1Q66Rx;ID(hk&3IE&hbdU3-`4j-NIogErhPx+`(^!|GPvBdCMJRu*j$ z)SEdIbtsW?YwwkE4Jg%h?z7+|s0!Nfh(#v?jew7XW^h^+oq>v#bY!&pDM2TN(ONNY64XBcf(bX7ajm8-QVdK%rZqRumXH8_Hh>UqH@&kJr$uBM(B zeDb{D#^!Lj>UqK6j2`N$9|ZNh;FISC*9@9ycI$Z|-aEkiu3`ZG;()#!$Ru~sK7|dB zb-9?!)*OEv?k|J>oX@iQE}Aog-XQ27Fz3ji&4L!A&atjq?u~-pL%J5dNzmQtY8Jg& z(D$=SxJA%=(Z(6wTLpa!M{r}^^lG;W+5tD1L2nn74$)=MC5)m|;6P!l_v<)Pi&!c0 zJimkX@+oY1tOt!jXi?4a$5NdYJzw;F<5ft}`HHTgyH<38qTA5@^^|fO6}_8u6{lfB)VgwDA`9*X6`t#G&AL(*ZJ38V83`nsUKKV`HxwM9hHM+9A52{a+Kt3B*9)?GkoMA5~9 zeojA$Mc)_nk)C0+Y7>{RH(f2NCCn4_6S`@OwhDSBm0(B7lFzvW3LBn5j}vq!YGbQ= zhoIYLp_>Ffz?ATnw8P$CaJh%l?;QJo?7az~RaMzOe$L+eti8`U_uPSV?`6gd2m&e@ zXrHqnXkwx`>F(%c0*8l(gZg>_M+P*&i|vo$AB0g3e%kJ-QIbHd$Ks zVXp4f_;wZ@`hNP*SJ9b*{)ImDZNo-f)bTTx3K|m)hY9*^L9Zj~^Ue{0^Y`Pqe0Hax zqo&e_3F5;a1RZSCSkWJa&WBY*BSpU$S=5||zGy~JqYu6o?-vS3pt};2 zHax0JE2}Q?*U=$M(IHMg?x+*}p!@Rf%>>;LWo6&aFxYtNv1msZTXw7jgpODo}_ITPfs;a=E=&M>awHpL%qV~dGi!yWS) zZjb6U+%d1=_NZRN4G+ers9wVz^BQh1tJiSHyoTGOdJT8XYq&kC*Ko(YhTEh58txJo zf8&O0xGM-O=HhSMcnx>V#b1x=;%`jaaF6OW-0>$+K0T_}aL1$#_o!aO9g{XZZoGy& zCT+M!^&0M&wBa7rYq(?5hI{lWS*(sp8}3oPhC3#0xJUIG?wGXU@lfe*Ds6bYhVj*| zsb0e!lQ!I=y7(KDHr%6n4R=i1aF6OW+%ajxJ*wAm$D|GSs9wVzlQulo4y#_n9Un$n zMfDo)n6%*@)oZxpTe3drHQX_2!@aCt!yS`0Jl4*wUc((W$`yAZFoFN zW#t;~n6%+3s@HIr9z}H(B%@Ia;c}!$-AH^#H0oyN%tBC<$pdvTxE0icE7v;d`0QQb zg%@2fa|bhLVxFO>BmX}LdQ28Ayri<6XDIe_UBn50RM{*#L(q6SBIjinD!Nw|?N)R> znu#Egvb9ptSI~fY^dUhF7h8f*WLJ6g*bMrp`mhy43omgwZMC8wL^BbDA9v`qqPvGI z=610Z9U$o9tPj)13;F@n<*C2B3Hn)1QEAMk?IY-gOt?tmaFC*NFi!U9W79?UC#4K} z(D8!ajs8O_dzPSQP-ouX`GS%*Jc#7m9=k%&FEO-|qPGdUH)E#syN|69bn7g-R?)R+ z83XM`{an${WznY;J%I65*>#G3lBuca21RF}?epk!it=6?kG`NN#>0gmmX!aaq8~+P z!lSPUS}5__`nciR`je5EF*i%ZLq6|P-(Oo_8qVHF%e{7jXJK#+nnT>Tf&ywt8y@Km zjOr2_c1sk!Smfh_v*=}tUc&B<%3i4`1Muh~MYrOFg34Z}Xg}!Lqt`2X3v!D`Z&GxA z*57VLzYC4}tL1N3bQR;P7tY_M=;W;IQbkD{?q!!L`YK9-N57=#NaRhA-lyoPP^w2) zD7ri2t8rMV=q&o6SB*cU=yYmF(N&6Wi)8iaql(_nZmP$T+T6#W82Q*?u(S8=9H(dQJs2j$MAFDSa0T36XW3X1un z;TU;rzBNWAnC|(H1WiVva0Qza(uS9`KcX)2%^X}Qda=mIzu*MLFsYd?Q}i?Rdbps; zWaoFG^feasu7_;-nDCstN%0^ycBJ19hJ4eNCv}NKe7Q$wiF{nnqKgzAltu4T^eKE& zu$lP#l%jvaP{*Um?_50M*Rtp~incMz>cezFF^hy5-lX9c%7^(SyoEA}e&D`DZ=sBN z3uO|?M84ia8S@s(B>0V!)mtcI-a_f`)AYAcmd<4M+G;(*#eh&Q^Zh%NACrDN8m)sd zafv^{{Nd3J?n>ZzG>3B@eMZostXn+#te~5*1bg(if(~L?9iyQ=Cuj$Au19|-=xWw2 z9(`WWpYlUI`g=jYfe%a37X)o#-uJS95cDaQ;4L){FA7Tf?G$~vx6*Hyc#CM*aEoX&id4+= zDq$om9(s#t%v(e~s<(*7+-L5Gih7G^%v(e~s<(*7tDrl-2;pxLE%6r7q~R7(&YQ$* zp}#~IIP@0Ln74=~^_Qh&%6*|RZxKzjPo%eq#=J$;qk4;I%v(e~I$3WKE%Dq;*l;Uo z*oAbNgC!Z6fYDn)&Fl;&w}QsModgebs-{bB1vRtrx(D@E(9$hqP;BB~^KUqz)@-Y~ z3x?vOPumhu@OSwKT|yt4r1!neRh`a<7bqJYfENG!b29~&yfn^wy znfw0Y;Cj)8Qk;|c+@s3CVn}=*2X{GHWnhU(d>#kibf_}0#3VkCgP)76FtEfVKKK4A z14}%K-MH{|C+itlN}VWWl`_{)uuk{bN06)025gQvpLTqqqJ499h-agmRa718L_9tj z0eaaDirzw>)raR4eE?>8<=;@UV`kJCg^#Q6eD?IK#B;EnF zXGMF!k)pe!U*-Kx6#Z}d8?|g}vwV}HOX))dt+609{Ym^ij$aWCb+WCB9&AyS?QpjR z$Je4A@;MuNsaWWQs8v;pc8FSqD8_a&=D-po7&<5rcuYn}5YUIPsU14MS2qVrIfdUVm# zB6|sf4F*baI&*_V3ndc%#0`W$14aVlHq*Feii?L5{={Umk6TX=R0w}!GTFy%mkTO{ zKQWo?<35TC;ZIB^`?#*C5dOquvX9#p6~dpGO!jf-7sOv7{E5kAANNyK2!CQS*~iUN zoVsKgGA5IKto>po{E5kA?@=ZEi4V=nD&bE&GmGjr)0j;5-UlW8iOFQ|Q6>C|$z&gE z57;x=mq_>%HxT};qvpBIG%iYiO}ClG+-4dVf9Z6wQZ}E)WU}|D68^+5FdoHMoUAh0 z$7HgPi;`QE@Fymdy$?+Ze_}G(dsGR3VlvssfyB%c{**qAu2!W_2Z{=MhcewfZWKh1 z#E`V2+EFXkQDNhC{DdPlb5f!P$5JF?^B4$I2Q9oaGO$WCSDj_jCsWG7~*(}muV z9rKRt#K=czd zvSZ$nouYC_cFa4nQ&jHAj(JCRVl)nNM|R9RvQt#<$c}kOc8ba!*)i|PPEoldJLVnP zDJpkl$GjsuMdgm{coB*~638r--jN;ij_f4ZLG&wkWXHTC+mE#Lj_jCsWP4Qa$c}kO zwnz1j?3j0Cd(_{NUEZ)GGW7{;R>H;0=9j+*AB*QW>wWXf_n^Wkj&RnM^UKMRux{_H ztLB#nqJS57bk^1L%gg!hTxVT7zkCs`^PKgm`Q^)LZDVg4YmF<%;Co=YmtZSbOaV?A z?ZA~;$tML28T2gY+lKk&Bd5W7lC!=rzr6h(u%7I!ugotWGy&FAoOR)X@?o@|>a3S7 zs9>M_@bL&eT)b#O1smJ9aF**9luu{K1D)XY3o2)xv~8hin^7J;FP}}<6P@c_3(C!m z(Xr0DY(aTjDr2Ox-nXEI2|E*Wi;1n?sz;n62T{x5Qn+ zS}@YN{$dO9sdF1=SUypJ$Heg5!481e`u5(JIcN^O9xCA|SiA5T@nMcUM~Yz^c?~|P z@Ko8a`2+fNytpe^`{Gs3hpVpKIf`Dd z-nrf0Om4gCk-T$zY%{s-sz>t5?Y=GKwyVzKmD>|cGr8@mr;r%0*i3G_>hUDTi<-%8 zR~=7cy!KXd+f_SAjK`A*&E&SLUO{fWQVY55s{Kigx3W(&x$UZBN5B#_liRMkcNfg< z%~b|Ij@)=|(ycm<#CTydx$UasNQ@UX^CUub9C`6fGr8@m<18;;Gr8@mW4VJZX(qQ_ zb?o1eU6N*=M5u263e2YYYmDF6mtd|mliRMk>+>+TH}kZ2b^J3{h9?oKlh;`po-nunPDtEE7x%x$UZb9)_hWY$3N@ zHF*FQ#EB;ns#90M95s{Mu6o5+VXicj+paqH3owVxBQ$!%A? z;5Li%BtrH4n_(_AliRL3{s!W$lD$&g4dw?-%QSXAifAed`$sLOLbH|PSXg%9MenTDzJuRB{L}9K zDkGjS<~gB1z`ahPLWevG?R=SQaq^lIhUX^kob7!3eOh1NTFRyp6aUY#? z(I6boeOeZGaqS9o{;@kEF%aD9hs|T&8@8g(8z+}{C&F2&j7Z**(?#x0O?GP|hXHd1=Z!KRJMW!}YzBdIfipc; zMt!y)#yK5}lG~78aXQBWkrNh}^Eno9e&-!I;>gjnb#x!uo5&Yb#3hCM+nXTAnLPvQiAd0|sJJW0 zBvs^BaBSl$2K@}H5DqmQTogfej;-f`WJsqMcBm|X4ShDn4p+IbFrc^19 z*WOQPT!KE#rV|=&BUAh~PH23Wdi$qOXnbxb`0{`Fghty0eBY)M8W>4ZjqmWzM>gvOy0P`du<6B>V;2wygx(7;Rx^zd(cLgTRA5xaNkgvPK*$c_Ko zPH6PDFZ_T#@gbY`h1<&I*iHMwS)e!V3%5H*u(K7j@s0bu3z+TQw9nh^d5<^k^Twn< zO3c6QKJVGct^eA6-aGG&5dTl?^Zq=)SL#(je0lsSNFDKcH8|B*rpw`wjKCCv19f}NYHuU z(G9)->D`Vq4um#0?RK>N{Y|?a`#U}KzT0tN1~m~&=pgJvY_*5L!^MwI9fXaD1FbDseB{8H^rmKG6fItPZH~nxTYH)a+c4^b1>J{vDHY0#{9s!x8PCxi@aI*z5{FRVi+h=*e)lgFZ|Gnyr1t~ zCE(So<-J?QaGOXKswzJ~KH<}ltakj!$(zUA^CCQI3cm1T2Ns$Jh;vomtts%Q$3>)2 zQ-6;Y8F-|M`K>@zHSGM7du{BYP*C$4XHl>=On~Zo=TKF{k2W~q1K6TayHZ>iKkdNU z9b)+GZv^;;7#@_@3RU&sr01N-b@XVvXPjl*XXU-U#PIUGuN4wu&oRrTO|FFKKd z_>k(O;(Y(}4qQ#&6}(-*LiPJ%TJeGtdzoSi-YHxJv-MrNMWKJ^`r~ zZx*PkhI3mH4*uOb9XfwVTotVC_eU3*_Xkd@s)lCcfN$apYpcZdd!YkszZApMkpO=c z!|Npn6sqdM9c70k2)}lg_63YlW(MP?it51|C(Dij)6y)jcQE zcLkpkuu!cuIphJ-S=KrJKupE5-255d!>J3_p_B3RU%B5BZP~A5xvXg_9pQ+CA^1?+OkQuu$Do zOxteh#Ga&>fH0>p(_el^n1-b;lTN?b;9+GXuqyo}y#H0e!ZN#Jk(rv_~0@7{7 zqyqBWh{*+{+lWa8q}zx|1*F@EXTY4o($DEbg`uZx6F>Bj&}L?Xrz{EX=x%c(gUUZN!^fdAE&tGnjQ7@c@{08*zt~ z`EVzbtJTW5ZNycWbsMp<@@^Y(kutK4_%-ac_1lPFhQ)6qei0VGjre!4_-(`+V9{;F zzlK@25%U%g-A4QqE915iKL)dIBmRNK-8SOyz^vPdzfD}W5wCN6zD>oK8Q^9|JF{g|2P_ho=rQw-e9NK88e`vO?UD3MS(1A zY^PUmH#c@11Nlw+0{+eS1)RlH`seor^m^gptH;CdO&1=zlC$Z;L#KyL7alr2ylWR8 z_I82WmM5SjzKsjqeliOkp-mUK*-5ue3;VLc;oo9mzqc#F+D=C9-n3Nga_dHxik}?_ z9W`7Db_4YG?{Fp9&{Gh%fANxaZ&z_$jd;8(OU1oi2(>%T-~MmA5UPGU;=E~j+m3|( z*DY_CDwt1=KU}pdR&tyBBqV5j1)wIqplg}G=e@Zf;AAEKmc!M9wzjp)*_7WI2fdq0 zay_29^nRt&@6LeyrYoIXkMCc4rPEi>gy#R(UFkFv_Z>8uWyou|v`lj1!Dr!1e%S^G zd$;f%9+aPG6GzwFT zP4zG1=shlvm1|L-oP*f?l(8#wF&Ogd68B*_QS>ssT=fH4bdjPz>&VDnujpaOI87Dt zw_DL)^Gg)HOVQtDWtS;>AN^I?`xL#9YF2cmqC3-vs)V*m(XmJjkFHj9Fe?+4U90F; zS@bDIPsD{0O-*8Q*;kZ;Cr-7(es!>DmzNi^;i~YY8HRDQFIn{rs(#H{*E=Oq7xLokTFwqFGXJ` z+9Li=Q*;GGQ*^qbLs%s%Izv%%n0j=UqT_I}heyv;bVvHzCZV0H=*Mxhh({MHdJVO% zvX?1}D=m0!kjv|f6zya#QS^F64`dwrh-|l_gIQ`7y-U&a>93;86dli)DSDrvgNoBm`ES`VGM(E;9@6b2wO`2E;fk?`(Az>)4OhO` z0gIvZrZwWKVC}a8%o8cRsBXsy@9cN@;-|%3!P;^G_7N$(sBUKov>q=G<_eD9ibUF8 zUCtzDuP$ejvR9Wg?|@mCGrM8-%bBF?)#Xf5_UdvbDSLG}la#%gT)Jod;M}I zS$lOkldQeEoJrDNy%mY1y}F!vlEvL}=5a9Va^}%6>vHDdFza&W!Pe}SGpAX3x17lq zMVB*4#-PiY6RnI}&Samh((INqd7-i{XO6S-ZaH&n%E)r&D46|n=5Sd2a^?_N{BmZ0 zSp0HkJ1n}K*#fgJXI5a=<;;?mam$$nm~}bxRn){$vs=#mGt9c2`3K^%ocSEgndQvi z!0MMXe+7#!XZ`|aznr-i7G2K#G0eK0`F)smIr9-~cFUO$!K}-f--KD0Gw+AlFK2$) zI=JP`WpvQx%+Jw5mNW0PX1APq3x#Ak^Cp;eIr9@R`{m4QVe!kESHYsonT1i?;UfyW zPC!6KuGlvRCt_i9K5l5zMa?^Z@5`)Tf;P&akEbr?0krf%^X(2zMBWTRkH@2< z6rG-x-AmDxL|c|SA7&{!m_B&)ITsIesFi(GWJ~T6VzWJZb3v=3(*!Le(n0Gwk-bsS z8>o~z6@st0>j6PO(+RY_=-Tw9g1UQb!Um>clFG-t$0qDE#K|kuup!fM*k?P3D$}qj zAa-6>nTBIB4SQ6XhGQ}fdvt~{4I45Ihkf>S{wmXOOr~LvD$}qb)38UCY1oiy*rUoc z9Fu7{tc$}!|`me`h@klq6TFICeyG-m1)>a zLg&w;$~0`qH0)7j8aAt2iAre7G;GK;?9n{aaMZB7VRQ7x;$sU@c%{Txn1*984M*Ya z4pgRL^I41>JgQ8?hD^gzD0xkph7Fm9Q&gCS&FdBwf0b$2kZCxI1XZSCL#E*<`nrpQ zG7TFt4M#=Et;#fP$TS?;l8ro^XBsXwFb(esQN!I0r9t0!ek;?kITGKOqQW$6$TXay z!Zd8iG@PQsG;GK;TpFzY3e&J5({PFk)371aaEc1ku=!2S2VojEWExIog=yH3X}B~* zLldT9L#E*r6{cas4N)nYV;U|sFb%U2G2GqYX-<@B*pO-1qslaFcu%88m1)?JY1pI6 zG;GK;T(VcBBBhjR*pO-1qslaF$TaLxWg0eQ8uq9%4I45IdsLZ*4Vi{ZN~NYu!-h=5 z9#y7cL#APmD$}sxJvJWAGYy*trs0REH{N4o^m=q<8a8Aa_Gq4I*fcN=-;QeD@E#j8 zNXnEl4I45Ir>HOu8!`=>!73|E!-h=5DJo3EhD^gLDon$MOv7e~`XEfhhD^gLDon$M zOv5QEOv8pu!)7z}A;&aq8kmMFP^jTOHm3NzD}Tx~Y{)e1QDqu7WEwWb%Lm4Vi{hRG5a%O|(!ex)2h!AV<6W|ube>lVCzm19ut$|?*pO-1 zqslaFKG8peD$}qb)3BFSreQ;-;iQpi*pO-1qslaF$TaLxWg0eQ8usW@8ecLEdsLZ* z4Vi{Ls!YR%Ov4GU{pH|RnT8EF-X+5XRireTjN)371aut$|?*pO-1qslaF$TaLx zWg0eQ8uq9%4I45IC)yEKreQ;-VUH@)up!g1N0n*VkZIVX$~0`qH0)7j8a8AaPP8Me zOv8p7?>wqZ!-h=59#y7cL#E+m%TK!ksZ7I$Ov5QEOv8pu!^vos6{cZBrr{J7reQ;- z;be@;3e&J5({PFk)371aa56$=g=yH3X*flNY1oiyI2oz3!Zd8iG@PQsG;Fx>F4;n5 zg=yH3X*flNY1oiyI2om~!Zd8iG@PP2rs1%GY4~x>ti-rcDlE$6fiexpU>f$IG7TFt z4SRHgFb(5<%rg{q``SJj>$9}>V^Vk8jerLjZz*}reQ;-;V}G~%W29qY{)bmhTn0h zG7TF}Zl|a)4I45Idmof(*pO-1qpO8!*pO-1$3dBf4Vi|+NaCPO!-h=59#y7cL#APm zD$}sJ8+DFH9}}iwa|U(h{S~HR!yBc-NWM*(h7Fm9!;+%HG;GK;94h0NG7TFt4SQ6X zh7Fm9L+wT>)3Etm7FDKUa{%M3vdT1U$TaLxWg0eQ8uq9%4I6t#NqtbJVT18-A&jN2 zRireTlfnTC@Frr{Dk&2Xb?GUQh-_47=_r3R+qFQO>M+^AYo?%##N^=im8>``SJ zHe?$1s4@*3&aZk@nT9cUltGng*pO-1%PP~bA=9u&m1)?JY1pI6G;HQ){q5HHl4-c4 ze7?#wY{)e1QDqu7WE%FUG7XzUkcT{~Ov8pu!yZ+pVKWkW)1%5XY{)e1QDqu7yEDET zvy~cOG7XoMBTJcv4Vi{Ls!YR%Ov4^kreQ;-VUH@)up!g1N0n*VkZIVX$~0`qH0)7j z8aCXh>d~h(zGNCMDI=FM4I45IdsLZ*4Vi{Ls!YR%Ov4^kreQ;-VUMOv!`<*A7=1qi z1)<_+ZiKQ5g})%Tng!^=2BV~hsV?Tf;T(*5$>sSCB3~%&gaiqKX~>@CaK@b%<5?)2 zg5qHwMqGkoIL=L!h>KZDzZE_A4^H0Pibw=H`LSqcSxi_4&r0 z-PYe58Dd|CI7Syh#09yV-VFXR)QA{fcs1f%C>)Pw)0}}+2nI`Cpe|-d3?V#voX8ul zsd{vlqAx*d!4UD`R7LkgxePWN7ICiE=|5azmTpf~MTizh&xmJ=k(GHeQ^> zUV_H6wn@QhDmsWoNi#m9PPV}t*Dd++>i#m9GvT9l?L392E42*J9!%L zQUeY6`??U~O-RDhXq_n$8gN4z@Dvpqa6=mK6crk9LmKcD6&i3u8t@bq8gN4z@X{C! zO=!RkX~0udXuu6=z*AIczzu1@Q&ecc4QaqrRA|5rX~0udXuu6=z)M?d9E1kkaL<2= z=4il^hCTn^fZk%#fG0yFZ!FZIHfg{;sx;t+G~gap8gN4zaE~etxFHR=N4q@@_${nS z2Jq-Rc%ip*bhs;qA^k371z)*LDhF{fPqFH%T<_4;?{o5|KT;~F-sR92b`bO!iwfEO zw4VriC(=47i)?GZ%OQl&Ubm>op7~WlS2FLHMHk!r`3fh?T^&J_pxY~YEcEQr35t%P z4^7e(@1^LW{I;4l&=c7QMjV#1Vo>zlD+N7(Re++4)(HA{RxKKbyM|rqeCR`29TF^4 z^it}~JmIwSB}I98K%kxUeKr>#{>D~kkbK)TMfXQJ4Wg%=57QOp_%$eTEQcwT`yLb@ zjzd)ZE`oD|t6j_nX3_r?v|vf#qXrWA$!rgK;vD zlE8aZN#M;Y=s40^Bu@fgZXkhwl=0vtg=JlPRuXta68LhRGg|oa2|@yINCIEh;i{6r z8o5-KVr@P;JtDJmrJ zh9vNn@I>)JNZ<`g;44isF`^{!h9vNn=p#;6N#G4h;8Rpc;0;OOQ&dRc4N2frR7l_r zN#H9b^;byX4N2fD=Ccx-kiZ*~z*mekPf7xBNCNM<#gzo!kObcQkSBpp8c5(z9EoHm z349VrPk(}tz#EdldsIo_W0Jrp!2>RKN&;_40-pqH9jYYoh9vMw@F$TK5_m%rc<-;0 zz#EdlCt<&BU9lcH0CfufSXL!;_QQ-_XD(!2xFKhFQe5M7p`77{oZ%i-&TvD{@TB;> zlU2@eL(cG|C^<_x!wosZeQ3%VZpaz#QRNIb5+xh@-7qE(h_Lze4u zB=cU%a&5?RT`ph2`3iRos!cZZ%5rUZ@1vJZS+22FeiB8)#h_-! zR{8$UvSLBy9@(Ehb*Dp^#D&UCG2FVB0N)nFdk=O%b%GeWPIo}dR@f7NOaoY%CqN=n z@OqLMFT7a1{iIN5;5L$vv~c281C;D;QL~j ze~$yISBW87?SLm3*5?|)$~Ogg{s&G9VQnEVEPO`19`LL)T=#qTtU2CeQWtmB_CyJ- zjv;k%d(H74le&0p&G8*9Z#8Q4_JA(!+!;3ninFr)sC;ijH3%TSOt6m7MzK2 zyvKLLf{pc#_xLVY5I@Izd>hPB&G8=J40ENnGsZsEu{XdR)*SEgbymjh@V^G;pyqgw zuduk=;m-!XP;1eF%4jg?f>K+?QCDt?SDfj9~AGz<^I<~vN5)fO!b_QMOkcW#YR}*uBTr$kOAISS0o>@3> ze+A|Yk_BA+(Zaaz;=Uz^ci2ED7#AF8EbNzp6S=ccbi&VjVf#uc7XtSHTEO(BPnHwe zy~cfj&Lyuq?u)ay>NMvc_c%!mayZ9P?kxxB{p9YRjH~)wXypfS+l>_m1#-WzU)0J( z;v}#8c2FQ&giTiJD`nWk3nFo`xrbu{3#-;Rdte1RM7^A|cF}P`AV1k4IKwT0#tz|p zutN%oO9IZ7+IK6?&WSo}FNx>uaNFQl_OW=o^NG_lYG+2{#Ei9%bN+Ce#oCV(e>lV9 z1nghtUcSf42`4A8jX5VAycl)Exdsck3^y+4$l-X#@i=i5-e(sMN2C@v_HSta<_iS} zq8X9ABS(ntO{c#J&Yy~W28W6nkDd1}Um)3BLFIt0mtZ+!vvA}b#YYa=GCt-VIZDVl zy5LmAYLLBTE1{WWHwEO=B^Zu`teksW{)!`qGuF}RqBjwaVyuYMhx^-`AUpm&1L}!L z(CqtLN0;F30<+8PYOMTcu&d|9wM^MQn6+?QEk{ewo1 zmtHb*E&0faRU;=>ja(lWxm6muh1tk`U*gauVZ^(N;e2^QWiK*TgYT8sG&WEASgny* z4fe6JIuh$N(NUL+#!8LGGudd|DxR&@P|L;J8S04TBBh~eVis?r9!BIt6sv}~g$waM z4e`cIh=sz3F+vFowcXQ<^L}(8cs^ot$uO4ma?1=nJ+4SyTmi#Ls+U#G*F zhG_9!XPSuZv)a31-^sr2+^;{=gvY~mD=WPSwwbVvx3xyAci`3YhcbE`FWS4iiV=OsphjI{Zi(>d#UgJ`IukKf*~#(>nI~gJRB{npG^cz6UHdrKr@Sv4yy=At%C9m_ z%TDB#3(6z-M(nH$=a-M5^?lBI+5GZ7m_R6Q>#U3Bm$#$q_Rf0!{0ekZwQ65{`~33m zbQ|cbcfk!G_(5k|I=_4!9fv#XGC0mD6pwVaFU_yu3!0qezWL=QN^b6~E9O^DDHL~g zmX-4>rxuEbILkw@oOD{DIK)|3!3r-AcD6_7muJzJ#6@)V{Ia3dIP2Q^<;`f_&RL(D zU*2{QtnYQ!4f8AT=y+#&VSf2^ijH#DSLT<`rnSpi7cMBDOY3gVdf9^Vmb8v`)ifv);9!JdM^4XI-|SJp6E2`#bA>3o3I?L#(!>HCQ~M z+@G>zoo%n`gqK{^wD~LUc?|~FO~*8V9vJ?zld4@N5(*$2SGN%_zWEm?#2p|_2Q+{l zxLu^0&Jqa)YDbI9e0j2rRM&{*fmTCwrBvG-Y3AV zBGq(o15n#TT#l0`qfk>%pAxWk&EH)p56ZKqhMz0&vKU6b?j&n!*hK(rf^y%pE_Tn~ zBF43Y8^A)-b4||qPWk*=Yb_5jf~uQc+MHWe4G;8jz+O;V?PzgTuu%Q6I3Ly5i9Jf) z{;2`1*6PmnkaqVZzfIG78o-(Y%f$gQdvv%Lb{Fq!Cp7?wdy|HJu2k7g3q)FhngTob zbKW%_E{3DzmD>CUfT`9TmA-=(q1iRiEw;vHMcK+f5h_a~rqvf1NZBEvlVY_fZX3MR z3y^AK+3CagKVB#-M-?6xUe7c_UqSg|BczSbVf(xI?za@>4?RchCA3kp=1qS@DH~Np zR9wmmtN1PaZB%LTrWdiNr`IFk*PvG^N5CnAMz!Gx_+K2_a0Fb?h9lsDHXH$O?Xvzh z906A}e*}D}$mWlLE1Ew7zJtiVl_TJXIN9_F_~U(%!Qxl?us6FpAdIx)y8rpS=vb*Ea>lJ-s1kmto z_a!$eTEi5L->KZK=tQC_d%L3JP~1Fvm!e0tWzeOH4r|Gv%M|@O);;>DqAS~hmc-xHiUyq-^f5(W zM6!F?wTk`^YATQZT+#a&nk{ws;-?h7m8gccPSMX~eb}Jr570UFK0K%BYnVd|jl}l_ zMZd{(Q}mCDehAC(9(_g8&6&?s_BBO256qy2&~0HTlty4tBx*QBehZ>&50OWM&Ud*g zcZfVivxmr2G<%3VMYD&XIh8BZn!PJ#rY;FL1dq zcjPdY%^o>S(d?1Ks9i(L9yyFU6wMwvOwsI-!>Iq&5{K-OLob^ajDbgUXAC@=J7eI{+!+Ip=FS*+Gy)b7u@Znmc3Q z(cBpWkLJ!8crhVH~}+?5_1_v^KWcUF#{D-L;;g*y-)}t*o4%uDnDe8Bv_qJQSP>0?w#Gjy&VAO}oRrit0 zZt;E&ZP+b7%ApOr#d(tpd}!D$F0u`~#YMJZx45Kr!)|dw8+MBe+OS((s?Ubq;#$?_ zcZ+LPo8K)iXv1!C3GFTI7C*%4;VtbJ7k?Xei=W_pXxJ@&kwgEn-Qr|bFO^55V~kR@KWrKB6&*NM0}8I6~h-Js|#^jUp)PSFQYB0c(oqQ_@t z|ETB}QN4NDR}?)RV^NR3rsz=^JNuXw9+!*uc0i?BisdUJMR!M2=6y&M{crjkNej}X zD6gF_MdCx#pTyte_!UvNQ+%tU2U}ESJLGW0wU~|ZKC~)&A2eIiV+HMs4&zrS+E3BH zQ@@H1Qgm{isKmER(1N|Hx74Qt9+ksxxvFC`Og9)_)$31bs*9P50k}tR*Q-4`F$DMM zU5b8+A?l9VrHbB}MVBf1IDOEatzS~KopDg~K1E|{sO4U#%@vCF$)XP_x`;VYWgk`a zL29T~e0WUJwORD%it?&nFRNGen*K!F#E0iZwvgP+$xHjm!Q#ouUoaJ{w!M-&GH&}M z-=nRC!!)kaOeWbb{>_tT`FCQNGRQfU)$oD>YS?G6NT^|{02MX-Z8Ima4skA@B9;%y zv-~?Ts1&yRcRBejhPx-vvzH$p>MT#lvwZC^2iz*pa$gbG*RX+5kdJKcL=U1z^TeWH zp`xbMBb?ZWD7I283Kq)G3v%K}Csw{h41ZT(&=$_+WAZGkp?Q?NqJ~QqxI+v>MvKJG zV)(KGKNiD2V?^R)G5lJA;+D?ke0i3y7em`t^2&R}aF+rPi($8|MdBziJfXlJ#c&$= zr17t!hUMEh!0yc*EtVbRS^k_DRH{%>(-Y&}d#_^7uRM30vs@z2@#6cA|56P4TA`w*rXAh8SJ9)-ZSO40^QOf(2J?_JyV@;=TL0CSi0p|sHpS&eVy3N6br<1hCB-ub)LGP6ZNSB zw>k0vn8!A8|F=1ED$IRr-2ZK6?FI7$)5QJX<`ixoFE(-iw>h4h$D=0h|2E^fdAz-u z`@c;GH;;4wcN6!2n=80~yj0`5cNgDp;{I=(cY)bW zUYT*+JRUZ2|F;>(&EruM_kWvl+&gZXxc}RXvwO#zxc}RX<=*k6iTl6J*uSA3PMWy? z+id>|%%+L^zs=Z}SU)#$|F_xod6?Uqxc}RXf5ysi|F@aE&dPBAw^{fk%oCfq|JzLa zsg>vcZ}a}gDN|d>m~^a$85`TV|J!tM^Eh_QbN{#L^Dr#fcFq0YCV2oB#EJXA&D0ez zM@`)SZLat#%$25{sj#tMfH`ck^B6nbZDqLs+YGu3=AeoDzs&`=S)BX7&G|ROTxjC{ zZ!`V|;?3OuZMv?5nHzm;-2ZJRUIS}a*u?$cX5n`7p|oHTL&w>kPOYv%rMbM&b&M@`)SZ4NsT=1PtGzfJWRm=Rm< z|27Ar^8^R(|28et>5w#W|F^mHKsq#W|F=10Uu)(K@1^1t3N>Ah5K6_#Fcxbwk?f`7 zZZJP!YSY-AEA9x({!#5zXtq=w3kz;|m+j*%9ATBa5Pkmf+s7?D6i6H4uCUOjNWmRo zv4UY9Kg8qC8~gVJyfnU0D4gB9qi&u>Z}EIcT#aArDK<5?hwYJ#!L9mgheTkx0$~Ru z#+bD*jPJqXkk?~}a6-x<*obUB`#lgFv5I)cA-($=Cy0HtR*-{wi;r`54$-asIC+ty zb0=W`@rVmE%+k1orQ^oFm6l8OP(q(fY(*xm6Lqv6DXawK^yk z3NND02n&Oe0d(`}uaJ|ted9=}(!OxGs}lQu9+|E9EIaAp&+xa=y?B#d59NAf(6Amk z8v#YU)H)bM8IFfPc&T-Y%6eqPORZB>)*~ZcYMr989vSgc>tL|@E9;RFFSSll zS&xi(sdb9VdSt{)ty5IiBO_jFouaZH8SzryZ&JwN6o4kBoS!b&BeGWOzJk zl%Qcf^0N?)c&T+T>_<*Rx*i$vQfrUudSt{(*rU208SzqUkLr44#7nJ%;o`5ZM@GEV z+M~K28SzqUkLr44#7nI`s_T&vFSYilu17|^)H>K)Leurgh?iP>RM#UTUTW=8U5|`- zskKM_dSuA;$e`g0R383|cm--umo(A!$mr{+Yg1I#BO_jc8q|N{bgb)<5wAc^Wo11w z;uWYVD(jIEuRsmjH8fd|jCciV(4nZTM@GB?HAQ7TvcxM;gZ_VzILLZr#4Av}tgc5! zyaF{vWj!)_kYAyp=@qCEuRu*vS&xi(1!{`QdSt{aP=ij1udYW%yaF{vWj!+D6{smH z>yZ(!Kn3rF`wG7v8FD=`Xt?w2@0`luoo7K+bGO`a6>)>FM|C|i;+Uw0vJI_3- z>yZ)fJPVp7zPcV6@y;`k>Uw0vJI_3->ygnteKV-8M@GE!%**=q$dF4OLE}X|h(g4R zdVUeuGKvg8r*qMj6$C69;~^#o%yG+FY9I;abe z%92OKi+WO2mOLU})RUsJPb;u@-Qb# z=im`}8Z~Trfa@(7HC&~D8h)>U8umX>UQxpr6;Q+2gG53Nixg19iwdaWpo8TVHGD+@ zHS{|~B-C)e0&4iV0&3XxPr0 zWJV3k1SrJVx9@h>mYI^z;yx_dQj9@yMg>{D!IMj2U{ zg4zOP3DxSZa6u^;TimTFY~HcDQRE6_M&wq;J(~fkuo@wy-g(ZQ*?%gsP-DV?|kL$yo6ft;OP$ zI(=yyikII5`99VF$$GI^MhxlLPHb3}&K+WeKxdyq6_37nzyY0kcmOZ^r)og#ohInX zfRc|FzhGec&9n}3AB0-DcWvi9ol;M|4dJcmj{ZbFG*?Oka@y`y{moPA+uF29(gfW| zRNg4zb6?zh%I6ZADWA&7pkjE{&uOv0(;|yQCf{mtC{3x` z;_wdXI3I}VQ5E&9c^WfhxrfRt4LaTyU+BtCQ>yNosymwvp`om{p_8n(q24ytpR0vX z02*r{Uk6d6R?AMgebabTe+|0cXzejDUnvcuFZwluHKPp4z3!@>cPszrDucL(?laYc ztIU}HGuen~BVJGqY1@!Z8L2-0iIj0V&zAru2=c!c4^11Sxhn%PlaA?v2g?A&2iQ`A zbRXz7T*}p;gCxTeb#3Qh`9PEtr+^`%04X?|rS4QfS1%sF14-9Vtny0Flqp6bNmXCg z997ZMQO#5@&e&UcImxR& zQ`I`vXDR{*R3$CYZQz&pS{` zs#wOXzpMHOqzWBq-?n+wRs!gaNseNUV+j*$S{X1?W_u!=NnIj>U1_~Il%Z?2GR!6b zA~D=+KA-6~m$wP=n)7Gisz%{tIbpL~(3XROFS?OH5s zMq&+>w%lpvuzg$CPwFqZjJ21`lmlHk8f23dUJmx!%O~rQd=lB*qUqSB>G+n5vk!zx zl+A^>**mMi-kbbfn)Pf`dK90=W+hE!Th5tC`YF=AVvk=tSX$X-%Q!ThO(>`{n@~_A z8vgv?10s#h3)4$GSTMRF2;{VRaVe86+L8uRLG{wY=hKXdl*y}IqFX6tEY=y2+EY2DF2x@OK6A~{iw0Ap_2HEa(Zd3 z(n8mij*@DcP|f-+El%$kTWV*Z&5a7)Uhbx9->nKLqpZdXNONnh^-8-;Z-2<(s);x6 z$g(}NV=I;&?I=;FuIAZ@@^U#Mo6Fvzfw4^m*PgSY@a4Rm=75dFEENcIkxa8~V?OQC z<={|VBH)_BUdPLs#6%xObGM9`vz=hgsWr{1F3Y0oXvxJet47=$kgZSK(ipMo>yuRp z+7{*xJ05%wtE+z`*V~VIlR75P-<2I^;d`^nLxIm$ zk~UZ3jdTi5Ns_Rt@t8&=>O?-fq`CyODu{s=S~7lza!EKg(9y`5z#qUpfH24O=ml$;^ydr)2|&j?UlBswQ8I8wtLKk zA#|MygR#RaG~a}Gwjy0vOQtQIrU7-GDYtflOf$tsl$dE^oIW#i@TfyGxm(gvK9DNR z1l5ZYGp^DRV!lq>6j#-!lZt6yr9yt4-2ZlmGTIS#eFLah>mwLDRhM=s!;XWFH(|8n zP4)~iSMdhpf;Xv6G6kVWHhBwutc~hbRHb?q>pME@sG4()BGi?i1adQx>AbsZ51b~U zwVvH*R^d`RyKKan_e^!o+S_Jl*XMns(R}8u&0Kx3k!tFnOdYBF(_Eh`2EEU)$UAHk zdZdmW%&>-#Ax?H)B~#3y>uhHQnK7H|q1=X6HRhMLpus-bI$-fk{W zgGuK#eHEE+d2Ba1E#Ci7N4ZBqV9!NTgQ{jbn#mQ-VwG~yqU~{OI*`UB2#a-g@`HNJ zBm=b6p0PsjX>J#N;*DPG4yWUEmfh)ikXKN?T^cNHq|}a$6YE;_xhZv9L~>2+P>Cd7 z9|TnllZu96xT*W$sd8La-aOy(ahg*~o^v0WzECJ^ky_~esN6?n8^X~P)JAPgCQ{JS zmT5<3E8FdWPh01Fay8{U(0=867`D%rWj;*yUYntep3tic<*LLy}i)-B|Fq!Jz_krFFw3kD+AF&Qh~MSYPiCR<4aAD`%8F~X(BB|VtxZdn9| zbY~XRPisn6skT$B->ySFNufa*-|&s;nu%1}X+6`pJmNCbFlMIVnwd6#!*uoPVadow zuyHawpN`z(<(qtyP71`D!Epk`?QY|MFq7hyH;m0&@3!Zw7+Z2xoBvQ#pO2+B43cZp zNryBeNE>f^?Ceiwd+JE}H)-EpN~Tkcs;#%b7OE{eH*Q!x`wk9!ml@4B_AVtsrA^;x z(=Un^vBn0YN0*aJ=~5D06Eec*u*M=_JDZwlZ@dWi*7HS=Zr-~w*v<^+q{oMPh?yXp zDYV*Sg^?ug?!72`|T91i6yrI1X7*VKQqmgC~Cb}DkZ*1FDzP->*SCWd2Bkp`w z$nUp!C%fmFhJYnDlR0}Yvm)R!lFlqaY0^DU8;{2FnlH`&f_Wt_DBI+5eZ7XMRwVgb z*v0UMHKQ#>wqR|fo|dGIn3gP5*an?e%SN+MtQAeVl910S(DJrTuFGA?6n*4U>R3uP zJLDLL+p-HI)}yuK>!xB+5?Wl5<;-j5r8Zv~2iZ+Xc(Z?6ePgnf1$JPycrYBW^-GH@$3cU8)FRrk_L)ydP9DI9686Z4 zS_Q*^i(a@K@=kP7-)^xH`Lx*N%2Ds5+DsUYGb}oX@(zz`UHyg1P4iB=E~z?fsNAI1 zgI2Q}Oy02Ay!D17lLL_h8ixWsGK7u@eERoRJ!SKh79Zwm1dw(qGHn6AEIZ0XF3L^^ zBA@nhcp%kZuhXOsqA+kSN71yzpR;6ow8YdQ)Ow^%Ad7xb##8a(|e$7oi~Ni zt`PjAddhaAImFbmg#_$<8Y5R=d(Bx=f>|4KayjFpZThq(e!DXms-OJ%1nQ!X%Q&f$ zTy?h5MaE2|kh^sX1DVZ^hf-J8%Gwqp1$DLxA*M^aY1`mx=FG>x{pvK|y!F23o~s`- zOOvh!Wr9%Q(!%ySP{pTY2dem-Y*HZA@_V*i-RC9&AZyj{a^>6qKx(To$En6xll3U7 z8~s43ni@xJ{Cw@E^CQJ`ZkNt}B*JQ(F-z+vzk6Cg6)$hJGmy5@b!~gnky55SA;ivH zheFlxj~3j_qFpAKjTYNnw$WSyC8iCpmQ%zuyCwu58=Hf)gs_Zf75VPgbFP@{iLy*1 zh*IoyvUc3) z=*$&tU21c6W`C4G8)H8*&#Z{DFVJ`r$>-_ph#9?7Ngb(&xVt%1E!krjT1@}xbm~!Q zWajZ)_Rh@YNj2HyGc#QxerC1=*re)p7_DvFKheH3g=}ZYrAAtJxXiAdp-g5+boz-p zr4p39j}|I3P~gNJ;>8ieKds?>aJ|>kZ&DI^D7;a_nMws;nB870(-oO4|IQQ$Xg-~; z$k#3DXuZGI>H}OM)&9Ia?rN7bkZ_3bTipqeZ=Z1r#1A>)$xumVDK)(>l2XwSa2dJh zX%K1jAi?RHkr^wrwuK$703YRMUq^HHb&SrwZt2>$b7jSm@5S+pSjvIZF(AOPlowEERyy-t*& zve1@N%a9(`%_%%h#5dVF|h0XESq~#Z#V3dfV|7Mkj$=oxV}QW>oND7h{>Ee z+lY2HmLD|5edpWGkh^^83NtfmE*BV0x7fuhn@M#dyK^Z<^kf+JJ#fl?`(|bLz_(A^FZ)#o?s3q;(=L{b zwIzopN3Dl(b+I0NIcQCe;yc0T!g}y(1ltl=78W~}1T*SM$C_YLJ-7jaHx@gR3CXNA zOM(?e`ZKb=CfQ|4utPmOC-`Ks7*?MMW^_Is41<@&&ftCR!H4R>c@SKa?7Ad5406H8 z;LGj!y{_0E?9iS}SiE?#4frR(p0vL$h}qGAf#Y5MX-1n}n;z(HXy z9ed=;V`t8ubLQ-mPM?$W_bp_`p83pYi+sW}a*t``9tb{IPrCW(H$?SdP1G7zlBM>; zPq9Vk*4`sIYvxI(A2au)Q;*A)pMNNp`OLr1ev>9<3zYu`QLtp~o?kR!=Lv`^G#a)( zao(-TiLWQ;BZ1c-J^A|!`Tasr56=(o2$7YN>D^0$bLt5*>5a&*M=TBwss~f+i-Y&K zQy>2g(Rsn^$aQVW{VT%iqGU1tUzA+5Jh|xZ<;#~R^`vee&dl6>Jw%c1ZHD_HLcBg~ zO^ytnDAtmrA+!d+`j@Zb1s0C~!S9!YmgK0X=Y^fCg4623+3m@R_W#MjWpKP4zyIkT z$nG%VXF)yqFyKq^dr{{18kjE>`z2z&8c*Vsqj%Tgmoj{QRLs|eENx#v;rtwO!QZ2n zu$)X!R?NfqjAdBo^=CPm*Ur+tK3R_cL-CQn39dpZg)P_|@ICP1Ye5@6n7)4u{vbyQ zc0{rZi`!S>-x0PLt}oWZ^AKPW*=@SbZa+kw928Dv3id1$XPiFo_}Tdq(O4Jfvr(_v zM6yt(ps*4;`=TN9VSF4 zSk!uBA%UzAoavJAPsNsG8mvFUD^um=dhjAt@fcmMeR&?L&AWqf$W(K}+Rob~UC4rC z=i-f@+c)k;*80G5WR^O9+k>A{LCMWf&))Pb8M-+5D_|u0+~9G@{29L=Mug6)F9|-0 z=PQsuCs>c%+JsaoIw5tu7+Lvr>(~)oAZ}R?`0~-gQ+RnqvUfP)gz#I1omX6%tPe)l zg9-KU+zZ3Xs%^p=KKU>ty#M5v@nQS=W|q|;BDbJEOH zPdfkjqtDFMB2y<#WqL?z<=Vo3sDeT3IpvUyk3E{-gZ4Xstzt$bpP3r#q@E4%)G7N; z$wvCXY5Pn$Xpent8=bGJciW=@RYyUCw z?V-t~@PC)!2XJ#)w;uf1nNiO^Q7k4i;Q9n4k6=N`{+z_h;bF)=3_p*wW=P@5;+IMT z_;1@6SdO{{&amI^s#(;|rxnAQ$@FlmWcm_Tr>F5_cL`RaJUoal$Dzp~wjMh%IHMk% z(VAR}FNE_cZOQQDL_RLT!#Q1k`;xi%+RnT0#oxZ+;G4s3Urgp&M@ExE=?}i_jAC*y z>VPNao|CL+8D4;5d47Eig4=t^vy`2UViTN$#%P_`D#7_hXM|fYw;r57z+1y9$qC`g zLb7!Ev$jcE8(d^slW&Gw-n<;Ot(9+0mSxQMb_Y}2g5&DJ#CmWHs^H)fsN~*obIPwr zbP$1a@Y9l9Dq;L*yBGkUUk_&obBn0#mZqVFAB&Rvm#km1eEIt2>(?(|g8$25TSD`C z{QpgOVemv)-+Re7Y!7A@vRP0Vi@!Y!_GvTcoHqAVsjc(5pSkqP4HNJh>)YFyT5K2Y zK8{e}qWvYBBJ3Y&=FJ1X4 zYc;g-N~y6WntJf0iTpr$vqyE)qeDM+qU~;Lsn+hg+V?sDBY=#Dy;Op`V~jC5+pDJv z8pa{{I;~-l(OAVb)=&8n#pK;59+(|}WGf=om)3|(^2>fVf$>M*tm*#l?qs(+f@9kP z?6??JPrk#h*4I#AZ$Z}+rKdX_vUKj6x$Kx-61=yb?1~nXKkuE3!zo%P?{OK5ezjjOMxX>w{8D|+faFuvR#bi?Z%8TgVV-N9C9ZAaCA9gOOD zIy`S(SP8Z&($^)ywjFGm!!y=}?ZK#Gcalh2dah5h)PwJsch=aTUFVB2Ci7)FeH z!eed@yXZRO{%{zdAG|-@9M4-c3hiuv(dGM)9giSt{)b=D8Jyfk*HP`;gj+wc7PU~a zB$&@yYOiEU@;NFK0LMW)wxLRR5JRgactUgA+8@=8SJIms#%elHkyg8-+JjT-{5k#Y z{e=4krx(NRlBF26U4ydz^))^ICF9=t*w%Z5vgYT($*sXEBr<-HcoU1z5;G+NAJxIk z30)suOICY``RdwYJF?Va!O!qs`rDkW+>rIH6TSueTc0wD%j+FUbPQ7{_&B_p=*Fl> z)XyOR7J~K3(`zBHCb&6h4{ivMgux%sJTAj?PCYmctwQoh@C_87KEk`aJRS)l3urB;r0TU`{rZL+38q~0|SU)USRO}3Igxj-)y_cW@eJL2-9()Fl zpFmO|4VIuy=MM{^trof?c0WFk4n0TnA8d!lcV2@G^%5fcljW|<@b$1QynI3OMEK$2 z-Yb&5gOQzx-6I%ZY?(~s7^P|Fd12odgBuaVdF{c5pdG=iEq1WhA%?<%Xu||eqwZ=+ zmaaiNj?s2lU-NY&Nq6wNvEyiD1ow&Ca_9}w8itk^VN{NBlFjECb8vD!JTW+{7!FMC zM{uraA$P6Ch^u){aDZznkakEV4CRBHIlx|T^F^ADA50dzbbJj-+wIaZIpLb{sJ+7x zJ9meDUgY=NDUZeQ;`1n2=${6EhIs^v4Thtr?ngkW$&&T#dg14sX4I$$AhbGCq8@xQ zY+oDpS+stA@_{8b&#b5N=QJn#FM0fyWL9$Sys-bOV01^YC7KxyhWU#Wy$BNlU&TDx z($D__ABO?;_6#VP(-w^A247Uygxaljt2=(S`8CqSfk79UEXRu!@JCFD|yL}s|wlXL97(SoL zdb9m)M|bQQgyl*^0=J2Oz1Jc$@lS1m`u2*p=lM4|6st-&v! zSZ8H}d6Z-YYtiRXbUzYU*E^8<7e%$?0q61(TrPDkpN7k&*5#I9$JSs2lJzg}>gCYB zcN5Ca`N0dNVt8h<6wUU?;#yQWUC?Q^)3H}Qz|W3#R1rHCk(*G7A5-r}cf~fgqw3x3 zQA&Re6+9EZ2!J0<_pB|}e#^X;0Xj0aj|Ky5+Y=rWJRB8+ zk!?tck;QPUU_=o?z~@sruDa;N6K5gG>ipH0IS{+N*x$W9N8dh&Zx6!T!EoE~+zxHr z4jo_47w6T3U*fG_7CSJy`YEPoS|OeM(Rva5!n6h>I-d!fUrhcdcp0x9QU83feVfgR zqmToDEQ>n+h|~jkBfJ5)5Nf|aLb44`x{wP$ADq)3%L+tbULSgM=--yixXMDJ<3`Z>Rz7ALwktk45#RYZV(A&agW^d0(&~l{ZgD5Ph74F8Q0P^9_P$N{4LmpwWqOT++a+!S-_N$Pls|?>p?}fRi zC43w=#uw@92WLgIrN~q1Z!>a#Fk%25FcG;X_!C_J zgbMysEEQ+dU%11_LQA17?GKB=XA$k!qQ1cqEy3ULlKwte>O=>>HF&X%0$Q$zbAtKB zV00UP(0@n9w{d_+7vmeQK>SB`2Cs#z9u~4B+K7CzgdjWc=FKQAh#hrN$HE1F#(D;e{jkA&Aswh*NL@a{*_U`GfRcye4=WzORJZ*CJ0GOpl*N z9zsxmLFi9GkzXn?ICO7U@e|v^KGwgw)xPtGHSIBV>(NaHpU~lK?ZNMpR$GVq*PJ~5 zvVDEbeiACA2aBD*VTg|+#6JVP49R;>SP!N-Up^R- ze1s!k#7hFTA9V+!`V)MVbNN1+DVsai;rm}QR;|uuJ;G#LzbLVJ5nvucSW`5t;Z%rI zY-`R@xch7OqgKPVX4Pf*ctdvIR~8KWO2j#0`b<@&iq>#1b6ZcoRm zWO|=fa=ZU*wvs4S$60@VIRn#OCyPLPjh${A|3X%1sZxr{q{$E z)pwBq+20*qDftz=|2&+yg2JlivncIHwgnfWD{)@%xXt*sl(B+LE_SWysLpN-evWDj zmf&T~T%N?d_5xz|QqkpNR3qqd;4kZsyTkS)RA5EeAn+N)?3A|PI)uDk`;qL^(4U_n z|Gk8=jBi`VQru~iO?=x2{HqP2=nIGI3*r93qp0#~JBJ-Rhpk<~jvWYS z^LlV6Ye59p7xl*@!H*HAyYYKJe!oZ^wAltDII`MbgXBJ%woVgCI*-@F>w*9->T z%qG>O51P&N|9~}`Zr?&+-$KZE1DSqe`(f}ve-9V4K8e2vzazsFZVm@^CBwrlk`t0g z1JJV+Ct}k^0Jgo}CR|TE{`8|~&piFOqmMn~^b_(uncS>pFB6B2U75Esb()_@Y@9iK zqm{QF-J%}zmcG{_(}+E%X|X&!$@X5*k=d$Zduo_U=J0SH7RmlU>fQuCuCm_$KXVS~ zVJj_}BrSTilp>(eCTT%|wk*olwCqB1RV{@stn zN)*jAmWK1NLHsQL2vo2~WfZqIw?4Nv_pFJuWe??Y>w|}`SQmWPs|({&Y^9-Zixkzn zf{9YL>w>>|bNa$5IcnW#@+-D`oQz9wdXy6*&>eKvA$!#crRVw^ zIe2ifrhl&N4*w2gp}x4bJ#Aor`vn6J|b?#MZa{}$eNGZp-P@3;%s zxW}wK7c-2{V)UAeJ4Y?~j$A#lWM!u8>+t{FdlhqV`_ViQ1{fIhg~sf0BD z_w;E|a4Eol50JQua<@Tc4=W$w>VCU2sdlaZqDTPlsI$b`uISA@s2ff_xpE8A1mzE7)LYpw1gNb+GPChT}@8N+4dZFKPKzQD( z{=9=Qm_LC_yY|-J#pKxrYAosD;#J`KU*sG{bDtD`G)$O3@5qYqhQlD86T|traB#>t zKM1l4>)}om$tJOjVF<*4sQMX8gRaetYh7>9swQHd3Wo;!#ArW=`Z^)lf9m;8N?GTZ zUo0Zd;}4fTu?>6I)+cjfS&$DbvBXG7#K^~DNMpL5qb+Wa{w*l0Rl+toP+dR@Y>j?8 z<`tdsF2L`eWn_O8GdbFdAjHDT$QQW?br`D?!*XavxB{yyCd(nIXG9&0+yrOKqBkkX zG4UTWjZ3j_br4S9J4CAA7i{;TlTc zAHDt{%4>i%`QBH?ExRSzUJ}jpcDT%FuYD=kDRr5R){RTORmI+^Qs16>`Jo4*UIvqP zIOcG&6Vd!@=;{NSw>==|zdHbT2?tIr^PgiD^)~Xnv+NmSMO@6t!Uf27>mX%Bx0ui- zNn^-|-xIO1NOH#_$~oZO;pxK8-eF?f;8IwG%6nllbBB8S{Zr7J@Fp{uJN;?qe!##T zEbxEs$AS~!3$kapQ9OEFNVjKN+d5iGfE@u&eSPGKsIS*E6W%WqXQzL7dC@9phjQd|r4uU!>Z`#*@qH- zv~5lQj5S@J)Un7-pl5wsDqRAXu~wS`8jZ!0&;B$&7v47|#7g4d9V=`6D0OdO3|G3# zIzkl7g4BlKJ+482w+7XY+aTim>f1U>+A~@di^gqiTV5Y>Z7B~w;{OH=)A76LKzES- z;LozZxp*WQ+p_I7{^1AXI4Ua~`E)wV_UVoa1#>|eXIWGi7srZ<`yY-IBp8%bC>E&#HbmP~77iLhFTQ;lT5q5&!e zLiBzt6)8xMLeA9h9GEJD{W1K9Q>%h0TX*Jy!*=IPO#6{Hrz+TQbGY2Eu9VXb^Ef`3 z#bxV*Ocv{QRdCc*{%IA#IpI~okO%8J+z4PVGt^H)r-$LyXdV3b?~PSd1xIY&<$o7I zei!nM^%Geir^o-*134)xs3U}ZL#G-u|$gZ}#_oHFyJ#(p_7dQ9w` zGt)opAphiYrs3aW@y7Ru=LI>1w)KbCH8u`3%4om1Jcp4OUWE$-+3*u^_;Y*06LU0TA;RtfpLjS1p-o?E)`Cr|O=5AZtyVzgr#|Og`d*tV9G#g>* z#YR7#d-EzS7VZ5Oi+1w3U}HKqx78<`OD1HI&9)T(1lp&|EC2!<>H+?|SPlURDPo{U zCdcaNPaY)x|ImNcuf&%yKfF@^gUR7Rg7v>5mrX-@w#?yT!uD9IE`x?J8$KTO>M)#^h$c28kVK|2 zqE;VPd$1VCrP#lQMBURe1A0p>a&CKB88W06lVw#zyO9&K)nE~&7wH4MJn40*xrlOdXPl;X=I%g zf$Ni{D5TL>_EQB0{(7SlI^~#l_*70IXIdftw1aH`)Ep;&N(Q|iigXv+fV;N)AmjI8 zx*=k}k8t}zGU@0KR)t$7nkz*8R~G#(o;sGBDnvb%?kFMNZ^O3m<`Ui8vLk5bU00i* zc^}#VW-7|S9AA(dUH+PbOEh0GMPg!COL5zvlstBSN+XV`v+|Ibgs+>5w?TyJgJpzz z&-FiP3gK7n`WknwkR10`Q3riIT%zDd?AzP?Sa^~A?Uwu&2KS;Mi^iy9nnLZSmv!^1 zNBP$8t$aVWe4pAY7CwLz51Hv}3<{2PzlSzq^b65ed*lvb60JBOyubMV=dlB@22j@k zNxp4Kewlyt26fQIZ&CqT>;OG;;n_ts{Fdz2`jHZcU5WHLhpAru5>&3T#!Cyo2nmlS z&~Bm+U9F~_`D#i8iP1R}q|!q}6g6S6a5+@f1wiUcSMbvl0|?XO{>P_CWybGqVdn}w z--GmVBu-(ZNCpCwMjjdHqd;^p{HKaT_q%ap+~nicLPob5h;#tjFVW!pgZ6BYqJ}Ce zkSx7+Z)q)u!%@dU^QLtD3h;bXc=BjDtw>CX1e5;}qDOfPZoN{LQzY_?3hOJ0j~+)P zDvCso3K?gr79`(%EAmT#qWgkqrU{t6CqDrv?H*#O4n|nSLq-H9|4T+>uD@9TSE#Ml zlx!h9=wkn6)FM)4%Yu~~Aax_ak+THOMKaeT+a3l&dXEC35mvkj&cPuMAcqI z6h*Iy@9enMANTLEQt;q0!7d>pD5|>!$IOOcitb!9D2&#>SxH;0q<=0ci7dg24Ok9b zIY5CJX9|=_iC83vK)ylMYS6m9;{I(`%zy1&)FAMBBz4f>x64CcD3LjEnYh?Ul93*& zz)e=*mBsBUNlk(H#N~S;l3_=GZ-4KQzZqjEBNWc&7?HWF z@J>x390e?SpF&%|v=8A+Jp=wj;N43cgA@&H9^vv|?T8DYKg2XFUuGZ2ogB#J=EKl6 zum2E>Bb;Pos40jQ3Tf*xR`sx5e>u7?3pdK2{AqynILA}|fnp!8f)9W>SJvAPh*@f< zuq49?{2Hrcy<7YfQMC7HmSNuFJywdME1A3QU;=a~5FguTEV8Z({r3#`Crk{x!(8~C z@HbHIKIE+MTA5Ft2YBuNCRh<*h#49FvZ*vun8%XgG+vF)Y)8Tg=>NORHUDpE_kxqp zI{nlO&pc~JbF#Iuist8ozDE<(Q5LCuh<7QG7jeCy#d?Td5f2ZNEeM-ohj8~N!1^Zy zVt$lBw8IpKQPJan7YIJfb0n4@Gt15fy?FXPo)V3vr#s>H2|d*a$`$uKsq@F57||2` zZTpt%Ksea8Z!A0?EZ%^{db0niusm8YSr(ke6~0v79LNCO{Q>dJfpK|ex;5R=xH{3E zXicw5EK7EDWJbw}CG2V(PBfakj^$`?J!WHxP=te{BZ7+UpoVH+$fMf3 zw3w}Ean6MB5_5=*tmoC9@y*}v7F0#$!M{-rrR13f)o z_1{0GAF*-Qz;oeKVI}{2!m7`OlR0f0_#D5B9}N#CtRBJPgOg^Vm2T5g->Lr5vG6by zlIr2`>|y&C9zw7sx~2Vyx8hg`7r;8M>I-Ivb-#Xb*fJ;u|DxYo

    XllI`?kKWBct zP!;U(uoiv*Qci>`sExcQ;u zIZTx~!6E(=N5?SGes-dYI#D!mx?u1$Yp}lSar>Nf`Z52Ysh@&X5Z3Z3d}Z(hxs!>7 zvWJDc=wb0GPD<&J?xd95MHvbYRm!wNVNpfJDGN354ker4;8bX_g-bl=rwAE5K-RES z{txB-WJNo|?w3K_<<3TBJi&hkfc+V@_ppj^FerNgJ$B!4YOjLq!y|v=pHt>9HF*fB zFGpYywvrdZevOdS`@&zsE#oE}!Ymo1e$S~2j|eN!k*9~#c7+R+JO9tdx0bP-3c$~f z87V}ce;OJ$+nq2Z%Y1OWe_E^x-`L@?VUQzQtti+$kwXfq z83zVf^l{msV91O2A6$J5A>-iiDana4yBvhsWv+$#NM=&V&y9Oc2r3Z_ux2v`^7lLw zQ)u;fy}9VkdUHDS{vsr~ z&B0GtqgL9a@UB;}EgT-(^-|Zk?y%k>=T+QaT~X{0QxvDgcZ}lqdnPW*Zej4yUfJ2xo>T zEyijLRyUS*?B3#z{gmMkC#Q%OP_({XZQ#*Jmcg`{Q((;PI%-OfKv892?6B2GNMVpAl8Z zH$#FUP3rjT9MC7Gu)2O~xk4pp(`~GKg?{&qjPz?Z(&v~LgqDysw{%MUR!rudDE@%A>q^-0P-P|JEn{IdOu(+ha^r<`#!r&3ZqxeJS$Q z4f~a29J+Qt33SpqJ`IWZG^*9bPyP=wOP~I{GCvWNhcmP`6w4}3Wabfpa+j5Df_jzv zKMWvaycnTmX3%7L_AXrZ)5^m5!20m}Mm<@^55W@A${!PgM%wvNrh8p*40|LH@-Z`J z6xV#_z#0vO6-t-8S*hIxdSYc=Mjz2(7W%im!!1Nnh{xlKDKDg7Pg)Gt79~7Wuiv04 z6BSiq4fqZsM_*8}JA6|5QFy4ohf!CRnBe9TaR-P8EUKQ?K(hyIgM&}=ehvF8sELYE z<$fS)#5F|ZtW}pWVX@>G73>}?rGMKCeU{A{q>=WbmY8yA0?;$q9-hH|agi3LnnPI3 zRLAH1ivQ0vCb;nmzXwhFRS9T=$Zigb6VYc0hMfOdNt}sB}24=AJ>6#EPY7 zg5}DkFbJi(EH0@LlOF^C4%ltKpe0W*mynw&!7XoBE7JLDvT#eXd;t_TG&J@(y6iOw zDiiCXB1ekJS2FUXT!fpD#p(z17mw1nUx6LFkjl=}$sNDr{2PuxSS*vI>gWJ?vPp~_ z6R=GX&*i_&Q*!bCHX(Vwe1((!2cl>~rK{BZq0)atrHaFqMf)Ed?pet>_`~Hqjs>5K zQO9|4kmdJe)UlgGoED{!jVA1x6=Z(`6rc7rcpnOP$nz^J1?W#R2=jzW?8h>;hhmk% zfg7BNo5MfUCS!1~EuFI6WLSCka}cRO1_~F7YlU`bA)kT+xm{i#*BevL!_1% zS$u#>!bM?H(WQcS`#3OzNLpMgnnrNWu+|piYT^&!W4(Wj$yYF@91%#^M7julBB?)Z z@{oy$KVq5A(F$}O67#~Arr&cB)NFMQOQZKk@EZN>L$Z<^w`7+N{z&d(lP8A%%Juh| zd|LQ+oI&+kPJN-~`ip(Z=TH{A*TJx)4aHsdmr5gb>xf%Gg-7KT}7);=2D9Sl}nEUY$0_W zz#)wsBVQ#+>7gY1hI6ctH!p}ShoFF|3alw{e;OfP49g$)iNl4y1FnD3jtOQho#%i4 zopHaHgEK>R(>vw2sY9YYKZcMb&t*6`Oqi*yHaj|wy{E!&E=Qfv;TD^{YG=GQW{$tt z4KNc|miW@+fbc>F9aoN=JpIyagrMwyHJ@P(r#)CWvq+F8+>E13W41@LugZL>#}Iff z?A0%*o*&U1n3Pq6SV}yCo4VGTitl5Iy$`)R|NmA0KWVa#J!nMxgzErKx&QZlB=+fS z*FiIPvEWb|`ysUsdPoL^M7|FEz6_Ku!kZTP?<}BG*!*fRC0rLyW2}Syci6%v2{ZGE zn)EB}Rx1*viGLc})*J4EoyJ);jO{J|ht>93D#_n+!INK%c_JHINg7PEKe6^A$Iu4a zH&rNC*pTYq7);4T(Lpml&)v0vY{29sT@8s+;O?r96Mb7@KL0|p=+!GxFyB$;f+`A( z_Sz5+PRBYL3+5nIzNXc;ALNhi6ZRu~cP{hF359bJ2o?65=t8?^mG85_0BQOqASu== z?)V8%OEoHOJ{cCy9PN*nO{6%oFMFTS7{)iZ>bB6JC zcH`?L2p9ojuK(@5CIyQb^P>sy_&FOnP6;;*`>+kzv|@p18XM%%LkTxmvmTOFzKT@W z!@k%}ZYD)#c#8G~c<#-T5C^YPr<<+G&{Zg_<0m5r?CmEkFgt7#uGzz4 zI~99<7jG5SxJrhT zs!$exiAy)S`@NCI>X7t!JNdRj&t$Ip zNV$ca+6jXNxksM7!s7G&c^2~r-Z=$LXtLIv_@4xoBpt5zzsSB4x1z9qkB)thHNCRT z?%~MC!U{pvhfk5_Qz*4xRVZO}K(ZN#v`P=&gY?;>Xl1rvlwWw;0kZ9{*su5sOnNy$x#0ah@hB3PRk02g&ZnbsSqMKLsf$ileDI=SALTjYl zua<2`gz)psf?kgNnaPR0P``2ig1G-%UY#K4G!e zqU5Doc1(H&&~#%@6HD_yv-cE#(M10_diV>HVTKMaCIlsB@%SHs=_z!E>>!YoCQYY^2jyvC!x* zlzP;G;Ul|7wf26N&RAPn-Da&EcBhy9bISeJxKvB}J|E7x6@EAzn-pZ2qF)b=Q1d64 zqon~RDJ>jfY*?GsXg!Z2`9uSLK(+ZpGNFzqqI3TG5$<)!bN)tY#(R$J8eBSqg&SD1 zx>&P5CzcC_VlTWw=O4zP_{i|^*#4uk*a|)CUHC7CmZuU7i-a`_Ccg$I7_-$k4rCKh z0OK?GYYbfqkAiNNhUfZo0vb*r6s6UVLiIKP67M#djfMr%w&P z5q>RrPH`f={!_r$RHHYB?6cG^WMlCzA=|b7Z($=cn{xTISw%0Anb1A>oU<^ZNH*gR zg19yWiTNyG1zaq$0rNzn$2r17AOq~WWfB;_C;9(LxnRTn(!A=rTAYLEXiSgqz>ge#kMU_6`We_?NyFz)R(8Qca)Sp>Yz zNTh_A9+9$OpRLGBakTVr?^VH3wh3wY+wAp#0SSt1zgC!vyTuuX(H`pjZI)>pncPwS zra5Hc0~OYqoGL>LN73CUc%nnLvFIpz`&MaLonjF90qk}w#B7k`&-DD$u)|T$ka0f! z4*b>(+PhiFQVJ0h?F=dp1A=nDquhY7&4*c3{J`u|2h-#V=v1@6e3 z!bkQ04Pf%g0!-@q!rjOsGh(uEU5(pzA{*Y$3+LhRc?kT=LPuiI*Mo)Sh^R=h<^D|w zDosQ}=*rbQ&;M>@exHcSGIL<(0E;5+_e68V{u-fZBlxO)iFB4F=spnsK1Obw=ZxBY zRQ>@_{&QC#8vfo3XRHrqL6bCO`GfF_n}i3W%s8I73cCTYeLsYx9qwr35c_{vOT5&J+yO~hj`h5M92xZFm`@diNRRl`q;W<7G zTBv*%(U1 zkmZ5F?vJAzuMfgHIpvRyA?J_90%jW8_gq^Yg5YzZ{UMkZKRKF*ld9MI3kki(NL@gNIT^?lUI=VA!kMCkg+%sLBW11qCat}Z7Us{-6k1w= zw~?0qWv@6|+;7xU2J3ze)GKC8J0xo7sJ6OxTC*cgzyDU&mDw;d8)S03h5B?}&zTV_ z!a;_%JUl`}Ta@%lGApS%R9DGJu^xlf&|Jid3ci|DDmb57_=y`l!WnXE{MmJ|PD8DQ zM^T%KYL{2~Mx?iW!iMqQDgxm~pXGWtf-8dGBu1NEeyq9M{D--^1tnhR^_&ri^OXnTPXyGvYj~1wjg26w^u@B;afDcHK&HjM4~yw?OWWi}wWktjj;- z(PSdq4lX3G#Ehe4H^OJ~87J72>x)uNwgL+&tqrG8MqHanQR%^EuT`b{S>8|XJ%w!~ zY`%j;+JC!*IiGCC8SVO`k0N{(*vOQElLfUF9;|4Qj|B-8sL#v*hNUj{LL4Dad2 z;J~dAmW8O;Y^iYIZmrIp9q%8g3MRR0v!WcslYxQiM^M6M#h$KtbZF0>SF0HF?g~5- zY?HyjBFwHw+|2$QH5!4AL(}*spjmW}Y;psj(ju-hTk`nral@(`JCdnPx-~m$b<2M@ zKKHGoamU2oj*6_!?_)P^ByGvUljqO1&*TsrJ=vcg3!g9a>~}=j@7CGYXyvf7 zYrFLMh=Ay9%tW@SoTu#ocK^MaYmTibVp95tSX}!wUpBr)plW+sP&t%ZNT;@ zgNBl(HcE6miSD3DB+93mBuk$&$B`;(4|8<6T!5votcmUwxq!i1?Eq0rBPXzvM#&8y z0kBu@^#S3Tk_P*NgV*)5+5KG#1i@gBy-X^TG@9Um4PE-?iNZjfysUD&AxM*BDtHyi~YV?A!F;ixA_<3n$c;V|4 zit3*D6*ozOVLx_#hl?JKqN+`9We>y z%fo+q@hfxvt+9#U3tG0$MPuC&T+g1!Y?rj<*{IBU#bpjr=HExkyzSP$-r=W$j&SPS z;HArPgj9qtu*mT0aOM;IZDG&C_!Cb&!R8JptqK=lW!b4CoYx;-*#k(;J^LO2!0JM(@bS6f8FT02E2L{m$i`?_5IbFKk8XH6n6P2E ze+#B9+Og?!cAc5Dxu1QIdiw@@KNdX522#53itc}Z**dA_cly(b4{ZvMyk?bJdPKad zt7}Y48(m8m4*C~Ox^)mkc~WbPlJWk34&S%wlm0{)!WnyM>Bf7<#r)1(ODErRYS0P- zA=2wlMAX)weo*jG;4g?#pq!?kVC##49B#8JR`>+_&I#5`m6uA(#gDTDr?NU=M~t6& z>_jf#<)&W$*n@(;n4gYek?q~&FIT9#X(01(zSYPYyv*4=DBNrx#b-2=Ib};D1;wc; zco*CSzwVmwPsQS#QlY}`B;+q#+emO3o}uLvM6oGiv467d`Aww;->} z7)_boaXAA&Qo#=D{z(TbjE79~TqaU~os^vT!*Zu4RG9a-l2p)Hl`LI|(^7eJZu6a~9qTQN`rY<1GQDdJ7@ga}CEdb8O?vzYHxw#IT~xpurO$OG zKf(j-_pXj@-00IlaJGM3vxQDe?QO1cee(N$QR=9FE+u~fW_Zq%|a6D;_L#|BVlGXSExa>U& z@xwC~y}X5q`~GqTN)NL;T#bU>$k?mIXRw8_IieV}r(rRtVYED`A(+q*ymx*t8-Ez4@UBY-2;n!Y?aCs8(Ur?FWW;Q(%kjLrHCn|DhHf z(=Mm|H8hT831KhRBODW2n*MB1F)Yu;G=(qY!sQQtHu>qf{SGRck%f7+!_XwXei4XE z?&kPfcvrAuD?-eMe2WJVOV$oI;uL1{Y%Er1ISB!0F#8Vp#~qBP7#}iFqh~s&&dK>z z2L<2p_$Z8)qOkh{e^jIm+WK(`&6M@)5cvVt;zXImIx~G3?@#twmiIs_#;)08Z<}-x zDe3-*w&fuq0`YY|N~H+J@%rOSAAF^6h%2_Ov}a5xkbvvs$}aY^q7SacuvHXic=L!K%`6>*zc}}73@9O}K5R2moSY`@nGYx-UrKKXhOL9E@ zbi7o%h@e~>i{W!5w`A%T> z1ylwKgGT;4I*f{~P<>=!f?11P^-G19Du6fV>Z(RpvYQLDud~C=xU=ZPooLcO=GiH> zE2>ZnN_Cc5jTf2e_~Y8G#y`vIvJO};UH6!is)$yAv{a&LLwfFM9*$(9?xOTJKl(*a7gJZ2J1S;kPFv zzX_FC$4R6+G43yzcCn%^sI-g5y5L=QQC}Cl*Dexu!J&4sq%Js|i}J-3p##iV z?B5e(m)2L&Jste>qtGh~t42b#tN2;yKO#jA%MC#mjr3}w%WsSjSuJAt=3tnd24xH+k!f}{_ z8Mq(76o<|1_k4Ix4+b>Md+*sEOwJ+=@8ch*v3(5szA(oKYG2!Etht{P9BXSt;nqvU zvl#&{A#hv{>GF;KpeB&fQE$n&0MdZcMrXLOa+HYCx1>v>91Cpb??csV{gz2#d2ToE z_ZhuCi@4WEBYGBVw~w`phWrmq?!jl=O~ZyUugtW4%D(U}ML-3ozYxsXK2uWcu!j^& zdz1PsgG5#2*c?u2kr{s;E8 zymZHK(n!SJD`V_LdLm!{SgR-zmHc;@BVma{WC&inypH9IQ?2_ayCT-;#Dh^%Fg;tp z7qoa>`BqdlFW&}FQ07+3B*%AX{je_FwciI=PI0Tpl;t~bCFQn6&8`)Qh|QxD#B`s5 zFWqX>EK-Y9L4}e=FRy{yeuk=6mdLedAlHIh?~#IZ98`^{;yDnXc`8+?^eV^lvf5K| z`OG&7_`5NL)>t@w_+>t>w{3uhi9~J=hbJOGZo#O>2C|2+HOKU@qIYPp7pZG-us8gE zFT`m8@o)#>eRuaLiqICXgug^?ZmA$Z=vfjdI_Beg(>jSb6e4aA*%UJt4h$|C&?iG7 z?bWtuLT+Vf7_9vju26nl%<5CBriaLK zW{|ul{+uKvy8CgNzAI4SQ3A23YtJtSy5|Kta{r9`@gemA0CKU(O~57(8ORDMQ*Ma( zf^hRGXy{WZ`?UCAR2?J>De55yk|FeiO#t;&WY^Owdig$3RJVrhj-kaE%m;Bcp$H7X zvud@*NPDjl=rmxFQ5&iD=@=qKzq`;)LU>4+7|WLrhWKb3LQ{G+N>-n3fn3so`d*g* zoEUuCO9cOY{(?%0avz>dgtKVYQqb$>9*dR-c^ahz*eEI->`9o@9oUg8h%Q6EUe3U` zGrbEKhyKjaA9@h0-OrQJv$v-=2fOcKZ_Y);V*P^7oy~@ST8YaCoH~*6;s1QciF$$z z-@TNHb+C$e53}v)L43u^UlPl`#D`n#!%0w0tP02o>^6N!xTq0@X@IgOm~K(ddTfKvHS8{I zYy2p~s zeeFYLr%=0fe?aboO!;Ic%W2_y2%x5ac?vYX0 zl44w=XQ`2L^o|>)UVyRNe2m@1W7H=r3pP<1t6unmgR{0{JUqtoy7&;&N^y+O$I4#v z&zq>Zpzm8fOTcL14i)?vJYNcU--&HN`1=A3iudB%vYl*)9r+*_xfup`*7yHz^A&1Z|9Fa8CX

    n;bKn(a(Wy zI8E5o{m4eOqNt69x8JD_@0gfUzZzDDZ>PhrAJVm!y|7rtwD9m?b5GadugF%1kM8~S z4nO_$oWd@rbIIwGiYGDAXtl2nmz>(+hmckszI3?P;Y%pwraL|z)2!3;AK~)<1*wLz z-LlQ~`l=QYbOk#wT}>bN3O3KqcKZFxWrb`xVzLLa(($V~yFJFn^v!fYO?@N}4ps3E zvqtrUrjIkKKTI-4bqiM~0vDxxVITl;Qr*zDnq;J_CfOM7SyS=%#%pUE+G^u(k51;E z!C#c2q*raSy7WYC;(m?Kweeq>bV%H#NvC!=o}H`8vW3= z(aG$-V)r3vE!DgzJCS79B!^W-R_~!`V=pdabV7dZeb;I%QnP*Jym5#OFb787PR3fqG00v}1j*>XueE^y!Z$=^@f( zVuMWr?ifU~fJ4o~v42&`sU+j~AxIv1)ae0_;a01is9`3rRVNDuvBc%~i0-Z+$tB=x zlKroXtbQ|_93Rq>e6Td3^%Gx5X_*6CA=xHS3Qtj)gf~;F`EsnPB?7-8T6+_|Uq)IH$ynmV&BTb6+i z5thzBE!V1A=DDuE1v!Gm55aaWMNqJblI^p>Jlk!{s z>G>^m`3)yOEdke!iaUuw3(vohoMPcIT~BlR8gW|LHOtAAt_@XP>#8Zxa4H%6>0epT zU~aSb#3&@|yKjEFWL;rYWCh_owP!}9mrw}F$l+r>899XPAO6Xw%g8oiN+Yn*V7aTv zt)v>-iA{P#>6CC2#H}kyur+_f97b-^i4PtX~g5jx;QC;%*V*j!+39Ck>alh*I}?*(XTD z{C_##l?XiW1~WD`e=Dh1b;bH%^GJ9wQ8@khs66D^L~4O7tcx_XMU#Wih{Y#yodt1? zaGVydjK)t?GlQxGh$AmIb5d+~uj*v>6%_jf5^!_;gR7DSIaej?b52yP-gn_u$=LZP zs9tuMcQVOFsTLZ{>j+ft$D-N2s+dF}Dg{;H%O6!UP027r z;$n&`FE&}Y=^`f!)dDcAs*oWIA9&cw!iR7r3+GVX%>Gl8EV%GfWubsaCr4ZtUHw+J zbpwtDCUt;d7Nf9k%BlGSiiMp9bAQo96_8@{@ldPpU5E!FXIz}@q9T0 z3(xh+c>!)!OPgcBcYfsLqNBK*x=Ak1qg=?vqF$}fkd2dzic8(R!0~x0QpCx{rDo8o zb-Q&Jq$dizE1eE@{&&2n^>7c90RF`wngI`Mki(+7HQP7 zDG}ID(qY9@krkbK_C6$0*M?}*iC&FQ%hHlCs5QornS^9($|WFbVn#_L_+{r%Hw6Vg z8TV8nDVWsFWZ>~YW+S(Bg7#B{WX{#5`8EBJnl`ORNUp2&RK4ZKrNQQUCnPx(uik*l zYWKP-^%RgACbM_f2mTO-2{O8M>R0EJ+-V(MPjgUI89;-ouJzRwOFgOgl9PHL9F^2N z`s$}ky$`8}gj;3tDN?V1TIfS$swed-X{X1g!9L0BIiomqTg}i-5J1w*l)ReR+k$i3 zJsEcRbCO~1<&CQN`r7!0s`$=G{DWw`3(HPcKey6~X7@C(S0@I$uITtWJKtE1JB8uv2&hs78+_Z$;5s>Rw7nexEyej+oKplyDDeV0FoxdLW+~ zuZYH9iuT%28~-Spcp`O|mV6#Bk#tKu(MgD%DI!W;#1Z#-h(e|ct}TjGbT)3FEYryo z88i*V>JG< zhf>xZ1UDmk`>qY960)oRBKVyjyDK`q=?9pAS4?F;c#X_s-UXf#J?A@p&W zNq)%`CNoa@_2t&GCkJiPs*g*E38;_6>@a%?dNEt)xS}8mRZzA!orWl@5 zI2!LKD}^PnE3)rj06-F6EQk58SHvP*8tJ_s(3f2!`SL`9WD!NFPFA1Svo-r`PWE95 zhq%-ae&J-FE~z@%w>&%*e`_vqs_Gm9s;xxV4E#>^&BJ%9EK#!5XFPn%GLkL8-&)|| zTaiv_ga94vu!u-yumm@=*h-mt_8wQnjRc%d$euR-*8U#Kodo6Eef{py~v`0v#z+5hGQ!`uIPtw#$DOQEq3VJ^{f?8Ft3DjXtZr>)^?kByDqU)X ztqzarPs4X>c~vdbJb_s^&l8wqV7WVPmB9SQaj&W-HCL!Ts-D!$xMucC!MT?POjF5Q z^Dnww=By^gU(;l;4M=q@mD=0%dbTS5VdnTy7f6t7R3g`uzV30NX6Q>y#bhZ zi9qxN6N(*HWA2UNMjA}W&mfVAG2Pr|Ydc-KQ;VyAsKsN+#!Jxxxr9$T`ieC_u9{tJ z;0U=mEYbrtoamb5l-oH6{>>Ad-*~wyIi*-J#V6nqm9lE}0ZwU8DMQ;lUejy89?(;r zq^#})hwMG7+E4Na+hOL7NC{J?Zfff7>zltcb(2qNee+Mgy1BK#iP^8?XzHyxQ&y26 zL(C3-z=_#mxZ;H+e9q*}f2wW@_#|~RCHX3Kvs1g+lNfI zg=w4DB$^M4GGeDrBGXA?Ry-A1(W!|fJW1OW1~o_#?iQ>PNG$b%eTq2im zLvT+muV7ML%_e?3Jh)0+{cEWQ?Wc&}jgHcV-_Mwmv#@AW@{Oc-+NMPA7DD1P>rkGS zqJAu4sE04CLlIVof)A|^;i?b8;p#I(57Z3ZRWtO!?5;a1BMt4fi6QZ_uSM`))S*Gg!Q0cUjuDg$nn%r7{R=L^9|d@~~21RF*`tK%=# z#Mf~OK`%dW9h%x?Zf>%>xk|J;X@667Xb)_fvAzgT*3g%RwlNo-8ZZ7|Vp2UX&$iZ> zp=A71tIxn~MKE`@OoZgpe?z(Yl%CC3wRCuLzG#^z=R>g6FK^1rk`@hYH=fBJ_lyl! zrUoR$*{r}8WI{~!*o6hyHn3@9tR(f(f8}Y)Ofk1yIj3;d(!cfZ*E*5TKsFa%bi8ZT z#9MaD-(+`ILxF3`ey2S;HzDvp{;iyHuxVR)+f{~jYB!pel3RE8s9Mqaz#jT(2fyHY zVG5*ZDBiN|U~YTh267d-YKC@2TT|y8D^jJZOw>g*UfoZyIx*nB1Ecbs{6o2(&S4R8 z_6)x1U(0ln&m(9z_4J0u^s1Kqj%U9$+0kU8*jy@lgaxG{QE9SzhgJy2Fsm`;J&g+z zam>HHb%v2HwK+~-uJ}0E{39BT;=MZc?6xz?M7K7e#K`m~{=I$Fougtu~Pr zS=Tw=JnUcOXZ@9>q{OTq&TY=mvGDvJ&Rv)vvhd;_awG94O9FdPOlA)^Cs}IFy_M$t zdI*kbD-TEHW0~Jnm5L7hSQ&Z$Q&DCyJIsQpMk;{tW~AZe>i4chEPHaGRp-?VZ_sde|(XX7(;<(c2rV zB1l^G1+HwV%f=FStDmBP2V#8-q1(tO>mKTHO|p6b)GutokC-eKe6l|a;qC0N40~nK z(P1Rae#IfkePxM-`@bSg5#pB-jwJfOqAt&IpKHJpOZ-`(Z;pP|u0)u8ty z4R&cHnS0$e$q|3AP3FEEOJ=`2v`d;-b8rO})c$^RaEp8#sUmc4I=-KaH`v~3*xo0> z`tkjmE#BcxrSWEcl!@1i2e0BC-ZX6QlVE$NVJ+U_EfO{>?~s%5dhy^@yu+J@?R^q# z?=-B%JG_xJ-iasS_2R*+c!xI)+xsNg-f38ikIZaugYVHhb7B?G2b-HQ&%k+&A8Gdn zRsZ%F&7dY}ucbM7edJwjls5Mek*%$-psg*W^QExC{4aW+wulW}Nc%aOHmr-q57Qe* zeA~3|KWw|?HY6SZX#jWDH>mHd={MB z$JlC`*y;pq6=59&wp!K)w(6{6=ceg(*lIP4peW^(`#P}{bvXKIELH#i!BQUV|B0o1 zylFQ1CoJXTO~d{Zmh$nY+2o(Fl#e$J`%hTP$D3x8f5K8e-Zbp#EVU)gQk9OSHUyLZ zMbo(^$$mGQ9C0L*rRp~lO0!g3CQH?y#!?#^*P;7FnvS#EIi0!WKBlc+@Fs$qhYUf0 z;Ygx#dMOq^Y6GYys1j|kU*Y-?EaM;$YiXf}@@NVeYojLUo|K@ABnz&KCWpPC9yV)_ z#Ip6ZJ)Y=WLnz;i*RfAR&Gs!8e;3BTVuH)@dUDhNu=ZMGe5Xgi_-kPJRZktv<$T5N zEcl(1QoAd0g(rLWboGejFfsDsYvLQoL>35uHLn zz%#BbwbTD@w$MS0uYJ$ZSJeA9+|Vz7Azj{~5<))AGVzz#jMw+~#BW|@EGzW&~gtegyO z+Z)4)B$riWUGMYP;Yv!VJw z-gHzK+v#7+5~?WjWQY3^6!G<`oA^>da(0@=n;lNQ^$6;2plrmPLM3*(1O6^vpF_+|hD(2EG^ebsqC8^#Sm_J^0CVFJq^7ezdiSplpxjitf!fD7YqFyBa z^yB8P$O<}LIWqe|aPIH;6y*qCd@a`Hq?^PKRqFg6M**4BvH!2xzGSN6$rwxX-nZlgLg`!-U`jd`wG_5USOBAI!R&hKySBf%) zh{ZpkoRLJ}iP_cXa0F_xoPmMkyG<86W6wi9jF(WaBJtIC+&s^pU)g2Rfc#=`@#jd{q0X zv4+44>ksBe6RWl$ylNBo?KJ1H4mrIxpcEJNd6-fco@Y+k`8enxm9a$baixeQ`X5)4 zNMgisr9rXnZIHz|I3zz42hCi>zi zh^fYJ2AoL`+GM@yo^ei7`PFTUmC{d9pZB0c!K$@TXu$H5Psm7qy-V&vVc+FC!!thc zJtdb=Kd^-|T@zpEr~VA7(buCkqJ0Ur@hXvhV3`%ZE^skPBMl=LtYer+_CEl3(t)z? z9F@#nYt{IoSB)3K-PL%y&Q;^NpHK}WiNjW(_u`p`?P{>ugmxL#$wb%eqnnd~uF;`m z0mEpTwD$!U+J=&mEYlnwpCjmF&undS_ zlGxdtv+nJS2Y;a2$$1C?WP#pKrctLg<>B)Os#=wS-X+=Kj_)b!BY^sKNe@`FgwxBY zwG%wHRCbF_UNG~Ttfq)}Xwo%Rb=rhnv%}ZA^cDJH1Jh(lT@1o){^ zHLLEErK+z%Oo^EW@!Ho!NY*5>zNJ=S(WNygN-$V0ZmOJ?oyl;0kUh*f(mk(<%RN}Bp`dPaK`fydh8Rbu}S?V;*edytp4 z$BYb;w)Ph`YY#=I{!2Oi|FuWhFV85_(ga1H&;+HbyI-rcxK^(abX7}stu;aY4H@+u z;^RH^<2=@%Ok_H-grum;KH%!bTGyP+`V33gN71RrN$WcD8I7%e30g<^s2}i9Gjp7^ z-x&6aU>!c6RP!=XSEf-bIyK=FQAd2#mEEPzHDRh!=ekmVVs_&>!;9!&kddXjiNdWh z%R0566B~YQ{ySZpv3{1D^_i=@Y`xhDat@R(w*fBL97cmg&)f*I3_Y{zYhR7b+&^47 z#*bGg*aJD-P9SSqO`?3=i_)7|RwA6$Qb!(DEuA!z)WXjY6-i>*Kpg>HaPldOQD}vC zk45JVBw7#V#@Dp;1l|kX1!fuRZG1X9oNELoA{sz zWarCYL=p!}H?lA-P+fV6@$xV!T*~F)0;0QClR_R+JW2|{xJ@-R)-c~s6)L=^m$qBV zD{!u18G#|R|N1#vatZeBzkZ&1#(fZN`lu(Dt2S|4mn(Fkg7s4!M8W#$=Ba;nVU*TVb9;y`H z2L9mO2Ouu%46%wWcYa$`+Gy>zJp7EgjvSGsyNFto{0ir<+|7rYJc&#Sh~_1Pj@Whl-bqEVfTVKtEqMWgtPmdm6)5tnRa{A(X)cH7SkLm z!FI0%J5MRW%Y?5?=7yB3^H+x`!YoTr)%BE$pfoh;;bGlHaBY{Ly?)ue?)(#h0?OsG zx6Cj0GVicVmxp(m+g<$>;VY9~h63{IFF>#Uf+6$U$lT+;>O}F@pdbAn`V0h5buTAMs8aUk1>6`BE|hEWW$9Mb*Ko#HwN|OZ4Rm>-<0e^kvZ||VwcDB$ETk4l>YFE{8Jvm6OTLH` z>0O)HYHAMabLxEhk6(H^dS0Kd0u+4{{p2NEH7qG^UU7XfY_rm>X?t?8SKgOeI6@>$ zLxgFII5BVuaX!9CLuaJddrc#f*8NoagTgqC+mWHX-=A<9?aOusB=R{VKrUUYt1A8; zoIAs82j0%6UUE8@?by@%PNZQ=r0c1Z?csu`|ACDp!!y&ZEa{46DBj5o1@~?(?33}# zqU&TFMt86C<0Z~7llk|T;3YS}B)vK&~09Sf5lTem9X=oT%fz~OmpS0b=Ws^kq0kMD-{&_xN>ofxpqbn|TAAry@uqvO+)?W#Z zBZ=-kGx79p(@~Vx#&ntmO4Hz_Npz>N^T(uFl+I^XI*sB|my(8~ocsL(ElC45rvVk0 zI>ST$`EKNPofG8C(rM!?(teU{)G%c-TK_xoJUZf-Jp?$AKlG83adHqcy0ok(Rf`7J? zyqF@03qpO!ue*V(TCzsDy}qK5xA3H$65x1`67dAGV&iAG-yn@yESDnI-! z_OJWou+nC`!}>_l*NMQnt@Bt{dthFvTceUpnL);GS9__IrF);YyEsm*D`Dfpt%0e; zrC|z|`iNs|iM%;viM{KIX?)8)%IYrX#miZVt2}I_*cV};&+}i(VxlHfDuDi_6EnT; zYFX(KW{5I9wIsupx2@)+eqsga?FukCjbmN90FzVy{TJJRpGtd>33IY;!n6n0Ph779 z8d)t_M^fy3&l~WzPN?wf?~p^Z9ktnPYL{Jo!;5{}17k0Cw2L-uwxzulLR+I%z3VBe znfp-z?#4bND8E_~sd+dP_P!@H-I2 z5@r8MWOZWX&dsAFwzCgRziTg?bwZ-326H#YW$8Qmdmn~4L zb-STS<^PXhI5B07(!D^gaO=ws=D?o?WGu`hk#lX!?S zx9kFm7`2kcEk0T%a+N&SfP9M$no z84pymU(GO}vtLrI;_DOT7f`I)cHqn@e3jn~f#>+1(A|T!bq=3~$2=Okse~#a zUT7ny)L;&IFeIk%r*q(&!mZikv=EUx6A(rrZu`xE7q8|lqgcAE4f@tt~q3DftUQqDajQkc|yr$%0<98$Mfp}yO8$5rzp@nJi1vf?bd0*`zd7`GOCv}>BeDQ?a8T)x9iMKhy{kk!ovRV#Lr1A zFD$`{A0G5^tl?=&zNN}Yl!4zX?AS|J8DBX-cl2PvFh+nU5{+)=v6al zV{L=3CsZeI?P5B8$?;fnFn2(A2UwO4&A)U>J2}nXc1LvHJlWMDZs|JHfUe*=(7FYA z7oZE7sld&+n8O;cg9dd=*L8NyIY$fIo)5EYm>aFFSku_T#D80`^zXK8fTfw{?Lv3s zRU8u8uK57#4!y)4%4xgs>&o}i?ThfSxKaF;Scqhcw=eOME#9t+tyn^>-^_WT{spq zIgg(Myz`Jt-J6`gfUH}C&CKMwf~C)31@#&D`}Nw2r*Hp{7>ylW{f5!8xu59B9P1)_ z6205b-^omp3ivnl&K^AVJ6F?=QC+~Iv>_uqFt-Wr?F+-zj4`o1yGmW;WlpkKdL@k2#liByx$eo6j$zXnCczErg4z|P}?YVdn*S_%(9!1NV@>|5Pyaujk1T-@z_e=wt8Nw6k zS_{Z40(nUwYx$|$NYwL+l4Ug#e9cebJmc0F5WQjCMs*3<+YHUzd0G4%;``3*a2~eg ztVjpQBz{O=;vxJ*=KHYyd|0NxmeKG0jGSkj3`hBRhM(nC&3Wk2`ldp(sSs-{w@$AR z@grU#Haf&jF7eC|9+e>O0rv9&%>o?+eAds!dB(k{Tx7K`bbroyXIlyG4>^xnsl>c4 zCFoEIWVteQcW0v`!`(;lC?;N{wt%!Uf)tur1Pv83d&Bn^Q5l6^RW`EX8hWsZR>70+ zW9sK)8n=6%0PZewRD?4~V@>*Ck*gNR_9)CIe#V={rFaS|D9y|w2r8t0jI-Zo23AG5 zIx}=C1G3{~*spG87K5GOeGeEQ2r=VQ`hJ|B(C>?&gQ8?)8GD{&t>xG8T?)2jF;3#i zH;)dF*~zr`xxN7m{k4+b@A?wrVV@`4LbEQEY$RqKXFzSqw#{`dCloMRNJpD*9StBE z)vH^rb^P~(*X{zL*R6?N!)kOJu~-euAGUs@iMwkLr1RviZp6%ZHs7sdCCpoyt$OoT zX0VLN2}WdH#Fibi{J=NwsbSP#H+e1B_o|a$GYR|A-p{ZWJ0zQ2xpRS1uaQQoQ)$p6p; zDr)AtI;hEK!2sd%zn23VKAwg@^fe*4fgk!1Bv~6t{>UG1fd6q$u=H760qAMR(1egI z-UdT=C_4my=<~Q3m8F4Qp)e#S>yRv~2lLOu)k4+R0j_7H$iFRg5`G%FtZQE<1rFu4 z@0U9+uS+f;2HfF1;|3g;2X>L!w{c}}8Co_$$sc zuDHcPjC@e3*a$GY#tglo@(C_Y`Om#Hfxcdvz-&Am)av`N)&xgBLWtuGi#@QB-*x3K zT=llgEALP8Xa_|#&nprf!d0CnRQv^ik(V+Jj*VNz5}79uN`JdyVi?&%!oXV=sxyJT z69p!4C3s1@1!hf=6gy|*M!o@%1`QU|E{C+Qk+43zli%WHd|}a#o)a3jIg9l=wo!&{ z&cOq&J<(o5gM}(&J;dD39?BjaH3NFYK@WPwK@a*}3ssmz3W`ITO(k-MEIzx>!L2i>XibYTi; zlPhPibT2hNpt9}er*6PnYpPJ*ALPPWDn@?UlY}7BVPH4m>U?6TdTUDRWc60Vxk(k; z%J-Lq#;7u#M3D3aA@72mm<|k$d?-cpz-5Ptt$$yi^xDG~KEKN6^1&3Coh(sb_FxAL z28WtNrI-}%RLXVA;GnX5R;{4H)Qs+h0*Ap3Aez!nIn%bpz zlRl?i!20zLz_OUKR!%<7TFzpz63K7l#aU3KxMPpX6&m1NZ1d87FT1#r=0eK>Zz=*$ z*&CiG@VWyjG?ym~+LW@y6L?H1pW9_$ynDp^*n{m5Xs7o55s~_pl+ZlNH9d2oLzR zWuPY!WS|wJll+*`$pJ$Jsd|0ElH?cP<|0tVVAZ^4LZlLJo4tKm*UWeIp`m5A6;qJv zS>I4HqE@Sj(gf;d2Xed&t>+is;&A4VyjdOqu$-J3R5%6vnPHotZyilKUo; zmvxNYq4Kj;!>Zu5j7Rx_zI>IDce65^ANc!j1!ag+d%<;EWZ#`kq=lFkgfxLh-vUaw z%a7opJ|>uG5*d2UANdD;wUSW~nOmles@I!}C!}5U{jeruV19Wkks^qIyqcQr=TKoT zUuYGn^0x0%e*Iy}4SmbM;yn3*Ydwq|%5IBrOUK57I+&#Yq9BTi&i4|I%=Z%JO;kcY z<^6V-d0-Msn0HhmlBv-3YT5BJ#hhRKMS)XTbIEEgpBP|+^$4W=vO#>+)WVc5i8OkP z(GPL<5#$Q|iuDTN8F{8bnlX4IF=Phj(FWln_8Y(>g zrYo_w{_~C~AQq>KO6p#@{qh zebW84IV=-J5*Nl2U(1OlCWIo1ThZhqo-?o2^$l?T_Efn;lN=XZAy4Dcrd&+DLg%v_%3GHmfAiv7>7sLG}9!e*?RyWCk-$;HOfoRE}orA;d3bcd1~rXmwvdH|8z@Vlm?idI>!LqONmG(y)VS8!v}aEeMDz1AxG zs|Q8(X72bQ9BXib_4|&|UAL>+r!Qr@<7JYVy#Dd4(?J z!%a=YDJu01hwj5gu5<>jN=#3KDJnJ0L#Kscp*!NEn~{c7R4Uh@+w7q;aG{%%22)gO z_ZVp6?LerKT3^?qa_c;UORd-UMBXnwG+N6xS}aJTQB>+TfN9&t9l>yDQ$9Txi-H zn$FQysn>t&NL}fp*_cM7sMIFFbSN_7eHU%yZ{6b1{NADYorh+Ok7j2YjiORNH8A%O zw_2>uhwDhgDJu1Khwd95x}AQ*rqW=FN)7eUEz@_t<(N8|y9TjG?m4F8#`;7Qsw+I-1h!8tpq6iU<7BqQ~3IEsW*kHS@<%j+KHJ zK4!>+F0hG2rq)RzEuZ5OX=SJ`FQEX6A*u6M+Hs1#FR_6^b$SM8-$V5&4l5Yr17}fK zV5-913-@fm((*&ep!M+X>E8 zJ+z%1nfL>D_MkF#{NJ?^JjLk-(m5u!4tESc^cOI^uT`nTvTWAXQprc5aC^+@W6$n% zMV*g#OzL3|uc;KmJGnLD@V;~pc+&}2O`|BSc6wnDTqb2xtMKeMOoc^R?D7bdrnh<2 zet5+EE2zJ=Ik$f`*GNOceV;cPJ+;qh1gh!6=rj%Iv;JUlB0g*rxc65uGn7SXRA16W zyfCgfJ-Fh!4^LM(=yAnAc3%c~Zkf5H_Bt4oiJLuqfhnFR@B1!IUXvG5_CFSpAGqy) z>qo_po6GZP=;gnWpK|aTK>mQ=djYK>mM!%HHdTE@0hIZ6RV(nILUeAufDtM@*X5I+ zcaImXm+kTk!(MvU4f69Y^gg*6Vw4afZV@D@`lhe8pJZ=B(vQ`+b-!uXsRaxuU@s#w z8^dVl(Spi#!0rWBqEPXE&r`V1^W>cc`tJ20T31u)m-ySppAIDJS)5SdX~8oaZ1B>R zU*{sqw>h`$a_>Xgwa&wGR-LMbH3g999U>uX1F0QXjy|51I8sENvRE`bc-Z+?WKD3s z$f~SIJzs0qcTdFNe4i9C_@nWH}XjI<$8rE?n*)-LI=3$@ib?ZO-_T1{gCp18rb{W+yyjshcs z0%#0!y<`Jf)V(V}tV7$k-uA7xC2kCt=(}e7p}}9q+jq*B?E}l@`k*)GLL>f79~%G7 zJ{;?YztrF_wP-Evc+f$E4qEib4qD~ARM}2(&~JA`_cmx(8ZA+JWw}t%Q(O%PhaEUr z8hdLMpEW!KBx1^i&}ZQC@WiX%wl&BDs^YYMp?SD<(}D&~yHgF;52?$vFI$v7%A=Wo zsPW%II6R@4hPc!sw4A}CN`e3j5cUe>JBHptyx$E$sem}D2$#!+UdD9>WBVH3HwN3O zlr`G4t|V5$tHOiroKN6}xg2jefaQvYPItnAb2scbYS>`_ntaEfu4W*bh>rmPmY-Q^?!cKPfZ1!H z@gexIY?B;wg6-VE1MPh=S{JQ&>dq@7hhL2JdN$ICEf?}AtYY6Fsr9W4479@XO zLXa}v6(SrQ`133rJM7xt5vkbQxPiv`b0u+@Nu+j&f@pl^7=g}xEQd*aL*8YVuV*9; z1vbyOUR}7uxq0SFOzn3O#V^YxqWDeE6IkPUMvn7510tTMtljhET`3P@XRdS0#yhvX zuX9I!9=GDb!7i=LTnUDcTs@cvjm5HE| zjL(Q4LGA*tbI+aEn8z9(sY=GS@tb*A`7TSV!cTW_PanL3dY0c{IM6yaYt=wMDomQSh zamKxep>RK3V>04(Vr4`r zI>E9dSn8|}DB?Jy*#CGf;))NfO3w>+#u;FK!KhEl=Rtiu4eX3VoSw(>@FJQXykB>h z%LUO`tlChHMsSnu$A%Jg4|_1sn1TlT)*C@y*5?;EyI|EE3B%Pr2T#-oLZFR!6rkat zHtlA`eG6n)WXlq^w7*6})>z0s@_g4j+2dE6N#CP#$G|fu;Go5bAQF+l1S5(_=xnHo zGt_Y@%JY$4J4oT#Vp4^c+k72yF#lZHVB(h4{Bvb|Nh+Ql4Pm9W?*6Ibdv<<7HnyCJ z18cNm61>o0xy^_HV@4MmJ5rxtpnrI6M`!TcMYJ$Nlv%nH#yku5y|#S?SJd5TcE z2^)h6p>_+Xhorl?pOA*a>NH$%K7u^U;Sg-;H6(o^O<^(#jw_6IXd(tN zG~uRG`6N}HD8tx*)fuk!xQ1TpZF2h6h7?e22VJ|;sdP}_dFS&%j56MRmsM$t1&o&h zFzTkWa^j!-=K7rA6~xsg%~6F$NEWoc&&5^>M@I+?r9KofU-PL>1e-^GrL5;7<=~_3 zs^%ms;af^!`^zp_xi5mm_sF0b#+msG&viDr?CF?#C&TJTn&kNhEcU9$+$S?72oBmo zgDGG|DwgNWBl9V`RcOrBNCj+jz<5inrG*aituuX2%K)KiloFfiAw>zEjqbC5pgP)|J?o8*F|Q863N5bJj1F6N*4poL9%aoa0BEUhh#o z=xA+&o)&=@XZAEXLx-M{o-XKkW5F)^V834Wk*@Ve&-Y~3S9`d))~r=oE@?~FJ{L#5 zbXKh3C0J#k`$*JwMQy{gSsv}OYZ74-CL~iq7h2?I+)cc?x|O%MvbU&h*CFL*^~?HR zSJzb#OV9p}`#`d8gMz|1?tMQvZHIIXJJRVsYxfKm67-coZxu%!P6wY;@I4M?_N=Y2 zv13DUpvx)P{1Y7?%xt7s#fe~YH+>^^(*e;FN_Yf=Iv&AbCZA3%X*#X&=>%bYIz8#r ziFutz9_j!6^?o?Jg=|x2v(d)39KKw-KO18Bu%IM9gv+yaLW)gbys_gZG3|l&0!fs)CFk#j0(GMxdCI(U>n`M2`7n)svqy~p2P{uI{A-&X!!$0FU3pFV=3 z6^J_L+j2CS?-_*sxhEWK-dkuatNs!k$Q>bLUl6~;-yZ&65FE?Os3zr>3nS+?BeNA z3^m>m%YuMELOtP2M8+!5It~MyHzdKF-uOlT^M+6K4sU!!!}ixDR{4iy#9#gG>~wuU zRwa2TSd-#@IX0#5SE7E@rH=EOix0W@Qj2F$^fY#ZxG{LGUbZ|z_x`{{Z79b?b*Mym zNipHD7&5q&?mK3Uk6Fyc^>nRxrbL#tvJ+#)~ zm3V|LRy0zDZlneta^QxP@_NdPLh+Vr$g0Vvh(LN|BaFM8?+-uzYLTdb9fEn9*4L(EEDSQa)pW%kD5$) z*BS_tYn=v?pWJW|*Wz8nWj5C_FuoZ zEA+=?@zT80I8CMY*y{hvZl3;@S^kee{+zK}Uq|Di^hE&jr*QX zV?7}KbXwm)QCG_qrU`8l7tP(!7G@Hf7Huqa++BD&p^t!etrfJ7D`=l|LEEig^s(tw zW1mw?+Q*f&&nYDZ9i7AaPXBYQjOV&CRs|8u8ilNTE=r-8P7eXj37Lru6sHo zfblTuow34cY+#i7q`u}U%-yU&2FHE&EnvE(j$Du~vt!@_#|j0RWtK!La12~KS#wWCp@4`yLsRRLwRma zy6<{?z)D_EtEe?-Bg`P&9y6d64T)56`XiGR$8>g-ioX?XUW+yhagON@1*c=2N-6m{ zgk~;0#uQ`1TYUdWAsq;s@B*Qu3LRDG?;@;1I*T`&047&2f;#)7EfS# zg2%ZFIXgMwgJ9`vcmbL4F=P5pN>eDMX2M!RFDfMMW`a)3{X-$WAw1zZLTa!=t@2OM zvcIP7g?e;(f*zQEMIoJdQ%;Nk_@v-aD7N&FwUUfs@2TlAg!j`bg?h()!fw9oQ^EJC z;IHsaFIE@owAF;ogx*m|>$VfTN3ADwf)@LjZ(wqDO)xnwpjm8wnDseFK(rBW$Vb&U zZGMd!;0^w$0sc_l;?HH#LVYzLJu^ii@H$_HLh!i1vW05k4ciQe-dS03Q227x%w6J| zDVOI^_;GIO`*NEG=@odMdq*SWw3GaMNbG47oS5gswRT?ALt<6;FhSO7r1?aIAn}*Q zgcI6nMc~@~cyv}f6h7a|#G?6>^Sv^G`dN^Lrk$TzFr}tdbj2WUdLHm14Ky;Y7PfnX|e}vB&V7b!sU{sU=Mz_@@*Z^QjccSoaV`G|{xO z9JjJC0rbk!v%4%OtSmiGEeoj~Mew|0^z4(SXP@rWS`Z3N>+=bzbxPOr)?Fi?L)02R zhtL{2)Oj*J9SI^vOh*SL{(_e^okAGCki#c9BjV^F9AEf^5_I|{SZ^h`BwhYXy321D zW~N%Q~1GKcCaddM+X>iM z>dcm)UZKHZqX0t_H*06v@`=c){WK?EhlPX9mvOCzl@XKICZb5FZ93>u_P~EUqOCll zZT6b?eT6vpWnq6!t)|!kmdc_ycpx zH#@iNVY$k_(M1HtIyY~ya|^SbJK*K1kM!7k2P+KBF1O&v+3TF1KoGjXIm4V&>zo^% zbEk6_IOosKdCEC&I_H>k3Mr@Nug)`MH>!Fi`*w>Z#&9;l9?q){$UgDN`p zumc@$mGBSHdRSMcLA9Tey3K+9`oc+BiyMeK`%P=+v_g^brqqMJDL3G^nCe4>{0!540^4 zbblID(W&VUG~WZ=mdQsl#|L zhaVmQ1u}Y@Uwz)+$_28!n{maTvrWUVIa3=afr$|J#^9xaoOTQ|{Ood8sWGhZ?wrhi zc@kE7dudTdF=SGtK=}DEBnL5|o?A)=hhvndA+Z0p_P#u}@j4F1G)5T>aP?X=CC!HJJQ9?JO&X*x!6# zMv%Mq-kW0G-Cf%_>HL?d$J2{L+jMj#I52ztqW?UrpWMnu=XQARj9`vZgr_=-0s}0|8njSFMggEAM)ZWz4%35e2Eu7#*1I( z#SbB#Tyr8L=TVWy`H8?S|FJK(Yh%-qK=9}P4x!?0kwn4zF&tFvdV_OrsV{G&KjgiF z6RqIN;k#y(gx3#b_NgH-^Lu)fW=a_IhS(ZRLT8>{$z=|RZT}I$6tu6PGsLJb8Zx| z#VhmOUXkxN)1JY4&B}EfiYrmvC)2emy9izX3h{PTT|adgD``VNtlP-@%rkUeNlNFe zy+{6W7PzqrP!+s%^^eX2vVJ3Z1uk2|J~4Yvc2jNjzB9#a%;C9!MWo#;y6-kDNDCr%lM1-gvdrWC?PK)f>My30ftHK%@v}+fPqz6u_VSeN;^YXXPHT$!G4t1J z-6r4rTp!X!M_VAzZ^Ta}8o$^nEqTi2op$Gndy|S`xo=XA^!vIugv$B3)dea+@_AaQ zQ>e7}o<9~psK$PgjU_H>fSLjj-v{C=OQ($?V%QJ>QGMu$Sp~!< zj_lrG38dn%JAoFNxqb%keA%;UZw8xp$qY{dhDwN`_$ksIqY`b5vL`A=A~@V3X*U)b zK?<3Q)46AK$-U#yCS_+N#7#|7quZ6F-WZvcwYTyUKKy| zzE=1H9GxuP6s_PSr{CfJr9d!&(04J6nJE6^Gz{VeIhC~lK8_CBc6D;Zy<%xSA28J(T@Pyp`*I?}>Qn5MM zJgyfN$Bd99!om&KdRO|BTc>DLY&aee&M=w zy^co*Ll3!*T|dc=WKkbM8Eg!)?y9(C#n=OR*6AjFyyj z8P?s9-N);Uf8#Om06h90e_YNS&#hU{?4b?jsk5f_$*R5Skn}z5Kr}mph>7_|PIdBG zFAUE|2r<)N{(^}NZWk_pzT+VYk5E}SvuxwJ@d@rlhAI@u;TsX|>eNZO&jG^a-uxeS zZ@^Ungnp%XRM=G$gIQAVsE~I#B{9pj^y9vGk!*Si`4*brKKux!LL6>!Kg2b+ugIqK z#BF5h(3d$uj@hV`S`(pSOWUX4V%2f>DJ2?oofwiaQT9wkayLdiqL?Y?q^Qk1K;-)= z>l{_pBP40H1Zbv1>U8NFOUlqu)?eziPFw$?f;u7i6^9M9|0gO82 z7mrsUSpO7$!BU?|1tuePy*c0c5o}DXRVGS2c9@)eIS8<`Bm_f^=SVtoi9KHt;Fwlm z!cl7m`OaUjJ@gPrn*S5c^}qIB>X1EFet(mQuP*uUqlP(mC>2)PFQZJ)d}A30xR)2 zT!IqGXy-=Mn~6hisy8S4hwarZNnBFg$LdFhuuKHz-`d_+=Sn`upqePWjSv>BC6Z8o za)Qmn*>C4c)0(PyTXlRjPia*TTFr<#v%|sl#QwNZsn5-f`JQ6V%#5j1OxJh2 z%lP9f6;qfAGfbKcdEzn$LJ4z!uHY)JE4Yg53SJp(o{(qd7&&c)9ri1hYol?r z;Um_fOj*Sk*PRS&o1t}9)u1()_-Wwq)yg>n#CSuoIYNfgrz5dJJ0fvR3?-)pd!kQ2iv_Tz5jK)i%APhckjiyq@bhopc1Cd4YhGl+nbQCK(~5k`i~NNO3gmN&{HqVS#6=q9B0o~a2GSxE zibRI~VwobVz4SjMwkol5&Xg%<_e%`>qnv2PJ3l;&6KX#Un2~1{uF0dIOh<)&VN`=Q zFJMwFvU*RpA|LPx_8PBKBXReLjLT1KJjm$8qVyps+(ES2I@^YX*v{V@BCswOaa)O@ zSz3DC)5M!O3hly~y{x(&u+XLNqvpe;qyGkTFd97%EQXWx)2-%jQ}_*dbwKAWZd?+Z z74Gu_8jJGwD1cI|TRv@wNq^f4b$RTUOwr#ibs2v&+4zbLn)Sp}G8;qiB9|t8N#oKR z5=rt>Q#rucP=yzmv0u`_vsEzi0y6~%n9UR;_N60He}q}j2(zxX;*DVQi>6(}KD?|L z=A0lS(CSFV#$fY#Cn?bp3>p3QvW*M{<@0gqaob`Q8|X?0GP+qVi|Hqrm_oDxpZ%-# zsJu&Xtw(XC*lC!9Hb|iIj^x#5WfHzzd6(ChhKoyA-sN%WC9W$i?CEsBx`jQ(nRK0( zIq$@i>SVLBr_%)MR`wJx@(n{$q2)cDMp(DJr}&Q84-*UT>U{Li@!?4b6k5Zb8>gj=dS(cEk>KzoCbs zQg7>pkNw%GX|`YK?sKv?uQvFtl^@T~U13A_S@BS9bmr+fvFdZc)whd1#7=EA;`8vN zuEyE@xVkN3%&nSwKIM4wiN8Qz(wMGvI!}U9``G!#|2YNLBP0tV`GFrQLZo}4(UW+Wp`$Temud_2uvy?l?(6mtj|QHfm@xoq zxQ6h$;I!{7rI?($1r1=@up8C7=`VoZAF|n<;iZWf=CaKuplXI+j?Tth}pX|SVbE8nc)D za#V7#4V*D1j?4iuOS?4OVFg)iHXW*-$RX4^gr9vu)({uriFkOMKIYw$4koZoA2Wiz zfG1M1{)gvucd&ItF^g83n#A%s$38dgJohs8lPXZnY}wwV!@ol)))01;BaaR~!grzO z3ufqb5Mok_33C0Z1W;?oBIlW9W*uZ(B}+)i>${;|5aCPhp* zwGJx7E7IPzBr82H+Up-`1Fh0WdhrgSyZ59+94izB)G}NUUBdB|Q1iZ>W%(L==I`5i zP9J$@nUM%9nwDKHAFZ?E@o#U9Tbp|28JixuOIw_KLGm#mjC+w;1y6T_X1OiSa9oijV-jgJWDYADMiL$YiEp#8M?JoK z)XR6x;I8;TjagYev|87E!SpN7Jx@}``B;vB(Z56y8oR#3RW2VN17r?^;1F-A`_2o4 zvmRs=iZ*re!r3>GD)@Q^XMK&hy>S~ky@eDReq4{CbepQ#%2Q~-UG@9)T!@>1<1D4j z>d4FqI)41GR6p=TAc(P9!jHp>`F>_hAH~efi1|@X)5yu+M1#J4ghWh^M9e%tIF@X-TvNjuOau)$_KkhH`!4gO345-}+?YyO2xwq%s0c_)cD+jpQ0Y3P z{5?(6Y36B5aR_1TAZVJ2NhCMrkI{N6izcf>B&eB+>RPbRVbOR^PJ|X)1WYvkRYNm5 z8n0z`%1&i%yoR}Ib$EJhycWD}5u-N#Ri?5`fNSI594@?(X#Dm{;jW9ue~9Md1TB~; z4|~R-Wnf^H545DInGCY2sssHif3~U$MsoP|3@gKvbIkdxN=-5IZk;P5!gYD8zJwKH zQrs(2%9C7VfV0JH(fGY9aCp79bp|W&sn1SCY|mV$J%;lJ2hv(f$e{O=mxYjnTd55E6^MI*O1S|5$JpT=sA#T;X& z@*nAywf9XhrYkpQZxdEf)4|Bz6$gdvZ;%a+HT%>j?bk@=vPD>O@y5Q1yuSP@DS94` zPPkWB9A#w3xHvlK@@uuWTK4*Q)>e^7f&PCmZFA%^kD6P)-MM9+JHWXtwsn#>7g+Rs zfHhykrrMj{D`9M_z3FwW21jq&+lCp6Xfpe<2kzEnpEVPDnfc@y&AG@QVKuAkY|$) z7ECx5pNHP9uQ9cAs&f58uiBwsIF-KMUE>wkmj2$>dDV=N#aW&*`s{Qu?$Iv>IV9Y; z|3}{2$4OaSdEa1YC5E=I%g{&?CSjlLc0rxUuFQsA=mg@B%;=z)V7A*#412>Sm=Kpu zNF=+MK){4{W(+EBFd-2YjUw6jf(a;^LEceu6j4N@gNlwI0tzxHFZcI%PF+3tl4SS( z+|TFvvcp=B8RI%egyGct>IEn$NXTQ92|^{n;c zixR0|rY%Ztf9-XlEwG_#ndNtx5mnbH^2aHO_n5%6H?m-tnN?YD>v(>;RSn2|zsv2Y z((<@*Im61^X>GGiw|ih&xB$+d@(`)lvX#SUOspLp-j)rfSik1Y>!A-KbAC{9=~bVA z+{cH}j1Jb!8Y{V9f0yjtacY{vh~}&L7poaG?1p2_ek!NQ*u!YSG~dWB5V-^e z0{mpNrpEe^HZfKjH_Vbj`KV_6v1UTm&E&C%8+9Eb8%t6KVwIp8H>jFjl@?;t6Ra6! zqn4@1Dypb(tg!6EG(koR*P1mo=99VNcTgSZoM|IS_u(L?ugLuPIz{9CpUimc2^XQi(HYjh$^@zhR2@5!+{QT2McIrR@w(UuA2-5iGzf8iqFJMq?FW-K=Zk=95gEX-ZvGbW{0ZdfHSt zmtSToAB?H|FsAYYhKy6zl=qc8X0#u7YDe8T-8)g)ih*4O6SVB)^3cRSj;?3+@%7lp z6_$N`Ehh2a>-i!3_eI`_)FE_jX;c!POqmAo^(eK1%dC zW9N=rBWdi4#;JCIj^qCKETtW$MYJRexnaRuL<8@ z>aD$orkm`;d#`E=+#qXsfR%}WE}&@h2&N$c>3p3^xa`Ta&6DUd-J;#lbe}$IE_`p& z71ux!Zn|c+dc`aks#p{F8ePOqk!4mhfm%u3a|}65uO6H1`42d)D_og@4R%rf0$1dS zpJT2@aI8mh_SQC^Y@~{A6$u-&kS@@nUs%&fTI~CbB#9#Y@x~zJ2CInPV>nSQ zg!~c|aX!@`45+A5yn_v-2WwvB+ouxK%ZKwdEPnw{`;YZKL_rm9Oq=ziymlpL>Vc zXT}sh_$oA%(<4haO!iL;ANu_|L&Q}Xf(5yuuSLdp^|ad3Wy{*s z&3-l2`KP~sCh)OUqM_V0+@ogkp+^}0=m&2C`nbfY=ZidmCbx3>VSY0@AaD1z({yXd zRkM;@*h+QFQKKXZ?!KlP#3V9M+A6)f+gL*@s|y+A%A+|LYPc*Sks8*kErIr;s?wp9 zctLKhGG&muEI?F#D(%tdyNW?}ZKX5WX&fP)dhr1yWxXe@5(cH0gOo3=U* zQ4hkQ0fGPcKi%A2=M+RRw5S`pb{QVu0C=6-cDX}nLZTj`QiNujF-T2R%?nNZq9;u# zW@fINXqixImZ#Z82B16&@in&)PxU&V!0~xQDV4sgG7EB@-bS3iJk*@Ok^p#liz2#C zf~%e>C(nL8O3@ft1{Ew$ z7pf#2DNV#B)|d3?aLAQP>YGsv-JUUp08r|(dd@HboMs@Bq+yy`W=vyvyT+i%b_3%u zAOL!|D$d0BPEd7XOh&*&4DwQT2mVfSEXwuwBGs3Bk?O1bd)p){8s9dJFSM%W?J90w zMPL|r5v4oOaV(=>6|JB#C=~#hJut>maP=V7L`?Or5OdoQkKwv)YIqxJ(H06eG^e7g z2LPl+XjZ9ycsX592E6cF)dLFZ3`A1G_{``VTDo^Qfv#BsLo_nT#J?DAMdDwK^`fjK z)~0DaTsGvmXwD7tK)S_Sx-s%%O|!fhD<8#R1Bgpp(ll8&NDa`_LcMLjKQ~F|1?|qJ zWw~iARSe`STq#n$;frE-C7ttsgWol)^_v0S%{38tx7h-DGBefH!`3BMq0x_ooiBiNGCa$0yv!Kozo7^!e~8%P zQ?wAUrQ(`9I%nt0Kyd@9da9#ObwPzd!YT$xw?xuIv31Qt(u=5zjK%Hz!abxaYP?N6 zguPK9NuS6sO6d&*F->W?rN?NS6QB%`T~II4=3Ws$?QH^1%^*v7l*}l!p?~phqH@lF zDpn3n=3fQ*V?_Mz&PHiif&e!6uM5vwGn6?vC2O~X+ zJaqJVVjHl}fKYC1ATRVSZktcDSp<&APOjq-(;M%&o+DeNPF8R8%iYlY~o_qs~D zb_1hC@11-%^2Kwqv;~VjHKrf30@x)kxJ@(mVU3!O02jFjU|``wVyS8u1$Dx+v`*tA z1EX}vtqwonb%T%j0xZ*y=*7CzxJNlOTkjG(rjmWi1w^)J>Q*FsL_nMoBM84*$vdN` zxFY?3v}+_KPpPAR={NDX7*I`{;;<{KHPCc*mBt7P{JA>1ux><-4ppGXr=47CHebhzLNe7qgP-7$9C|a--(g!gCoTy|%%4 z{lLO~3Rmb0Iq4A^o{}+11N5y00vobE)lHr{cU5)YR5ToZVV3RCZTIQ8!gJMv8*jhfdskywZW6zw$Bnh` z9J|1)WBTV^pZm9~6MfEVpxbO!tGLYvD~i@;MVq{+QoLt>S)co6gXwb<`Jm5T#s`31 zpX*B_=yPRD%HLq0)Q!sVr}ViY8X&qaGNbze-uLKp0s5o$xkw$Nq{KOdKlMtX|6BUp zchA;jHhu1Lg6MNM`e%WE?&CwhU7s87)1ao#A}jjbQp@^(s?XJ_QhJm+k!qNZCM>;2 zpBo)=)$Bd%{q?y?WT3RoqW9J3riB{*tUgy%CN2CH0IK{V+Jl1sj6OFuDdT}SJv|f= z%V7q+Tc4ZakRnFCOP{-T_FvNH{-)k&?0xjP2?j)5$kXIN$d$h*)SO>V0KEK3eXg6+IQpD#M!-I93Elg2 zsH0|N2vlUvck6TgLWcL%=jJ9o`eewJO6vQ|`kY}JY`nidw zw|kN5d%Q^X1OELV>T|&kk3MG{8UV8cRS|(MeeM$>=1=K!bq-3GKBrkl6+xd%2E6dx z=d5>K`dk>FKcmmh@~Ypf&uKdN?h^uok(xMUt7lK&=PkWgpPNR8iazJQ3{3xuO6Ohz zM`?ApAEniGjx-6TqSfuzZ!OI4tJQ5$DhqT)tBXhfWv%Yexqnux8=~&P)&G)K=S~@L zia)K`sbiH<1_gggv9rNL#x>0tLFj*=*d^1YGTADMU3e-0BKsfec18|BakOq{V@_3F zy4}xeK|N@y=yq2qg0Xl%-A?o9eRaEkRw0J>UAo<9@=F>;YD4fG&M)b9gU>lyxBGj3 zNpIlq|0~^Yw7B8>>vqO$K-hmnw=;c}ky4~*28oMdn((rz|-H(XX7_p@Mk90dpafmkmFX(oWYKFLv*6ob7 ziW&c(=yqepE-H$)>Dd+&-}rx7x7#p+F$%if4HoWGxKm%|+`DwUA`k@KZoZf5((QJg z_2+cEt)BY-OWjWQ^wv#Zq0Mj}wP;||Py32^C%u3J7h9C8yF4>8vd2r;)NrinWSg_xy-terS%n}f{Jl|z)6i31Gw%=EQGJYRsvOmWu__k001 z%!j=9J>2sJICW$?y2Dg-Uil6%%l|Z5I@TeGlMa~eE1E6=T}x-u9Wx(9WwUw_opcU! znYt>CUAdF40xQwiiW@3A>A2ZOqw6Py+zA@|((zkm%2VzHDQ1I>?wAsC2NXFVOQ(6C z1CH$d+$-yp6CfN%j<3<4FW{*3FkQZWwB?I#HIpD+bX>`-7nSQmyZfH(W>Ndj! zlWxaJ5J+RfV!#{C@hjlVj4lCoGh>FnnR&+GZzwNsD6h@@=zcx^j$YHVAXUF`U>Kk2 zE9GXeVm7axiDfs{Ja~9bpQh|`{>z5eFiwZXc5=Xfc>VIN9~jCp$eSW2TEe;q-0J~6ep7wqO+Cl^6#)ftoi=B*(A z1YGS-%gMDK4~3p`Pl)7((D(B#{Z7Qbatiy$I(cx;xWSjngOI3bnVay3k;Gni>OMJ+^t7g@a(d**>ZFVu{Rfdn&XDEDM@V8o&b-9@jf~ zFusMa$XIHwyXb-2>1G2C>gtg&-n>irt#9(LT-OCL2CFBF58`gd2=v%DW%KcjKrj13 z`cbbQ2WqR>*>kl1vM=0Rye zvf9Sk3zV5(W|KaMtL0U7^hZFO%OwtXi}nixXE!ajuQu0h3pmanr=ogifTzC4{ZJDR z!1_91RUcKNXge2NMHA0Z^2`c(F8-z-A!aMg_ExY(gAxWB%C9S@4^RQa5q{QOPTqPP z$2Bh+%BdOrr0pl^1CLD0zGx&(kCxeC1v+^Mv)V+mF%iC{+}u#CU9ZRwB^dHr9gvzo zenYkC>Grs4slo_e&k8+NcZFX%st7_yCkVaKOS}z2_q(5io5DBK42D?{x~8FAm7-m| zQ;y|Rk1^E!W3saZ8Gt6`Q44wDB-V193W(FY&0-0PRYawy6>mYpTztls0~}0oSO15Ld9k#rf}1 z75lXmvn^uLo~_EkX7EzKlgY6nh+pFgfE2J%W=z`OS^Y+_i9P}$YpMtdAs@AMsuIaQcb@+T+4AE-GyKcfmySt=9a7pt4b7S!%fw@T_g6 zLY(#;+UywyZJgTpb*uQiwKQC6-fj1S0JjE_YjMO(#A7v*GM?kLJYyv%9#}mjS&)e; z+q}xk&LIAU9+SYrqPyE;7AFoEUafkxR`s*Y>ZnhY>K%ZU_%Sm#Nu|7#w9~<_j*p#w zVmB)xBsT9)ZVZvd%S1pBFkht@!rVaZ+4G7hDE;2w5iDk0m+{W z*^qd-cNKLITWpC+2YK}@P2E_T74Mw%gN{kqf6owaJT-sdYfirl%yFgTf={x|bY`YB zbHClol3=*5a#;n}CMWkmh*5T`IRFnRWFZh9h)J*;CHSVBn;kaNBEeu?H)sfjfg%(j zC*(eWbk|OsBR_@m?v$Xu84A`aWOuqQ+i(8;R!KYKCfCN{6p}nK*S`1$*q4RPD~qdN z{ueMn4K_#t;nXnGUw#9-hjjS1tLkNmM_AEtFJ=q2)trY++@+WtCAd~*z9$eedLh9! znpx|6Jt)F8$1}6X*iiwzdK|ElUqX}}EAXgE_asZo*G?llikT7%nsN%#u?hrkfNh7) z*oHi=_(l2D`{@A=hk4D+n*K@2$O3Wo`tgng+rSkoVHhSTjk;`;S(eiPxl-r2q`@+} z!7{JWiYY_a;x*=nOM-47FKqu`)SE%%Z}*1M7pb9S<9oIu$*NV$F0&~;&S;D4;t8V3 z+u^0MLn%dyPI*5A(t1BlphE$FF>e|;=2vMO{~GPVnvIw>vsM3an>mGbWt<%{>Zze< z(T5YD7kyYj(|}d*vZ@k`juxzubfC~OlRacB?HF>KDYI-Fi-^Jc8vWDx4fCqQ@W+sR z*a~XK_IFZ`^VfMnmLV~5mXwNzQYOydK%&gGa$?#ll)xa(Tdpu`9Et{z;e&*<|Fn!! zdZDNHTK*N~pDAlb!>2m%QfTtTBuTk z$4>^<2u6d=Uc(F2G(IsFy!x-B z`bK|_SGzu9_;6sKn$Ej0K zDqTV9()Dq=qpoKs2T`QC)z4U|3zBq5BwUarWi8YEO)i3L3d$XaLdWWGM^T46I1cLk zvfht~*g1~pGFPnN45rS>6zRT>mDK5^srac5hzu`h_l!lrp0S)(xZ{Xx2UcgU_+OmU zG%Sf5>gZ|zij|LJC8u#ao%DL*Inat;4<(#l&fY{lIur_&D}Y3O05n|l|5EXC>SBtP zv*T64lHtvFPpSHCwwA*bJ8my$&n41MR_wS@&$(*{q_!#j%FZ=?&S-6_{v%&9)|HQ~ zD<4xgZXeGFd{55@)atH^BQbp(m-=pBZVJd=m&YcOzUGWkCvZ*Om(DmxJ|@}Yzio0& z+P|mhWSAea(0z4Maz~9@r*jm-9G?0E?Awpq9>>e9!84j?xAefM(#~yf+_fh|M}OWa zLc8xvPA&}@H|%a1I5ksYM;WTe3sHaXVHHeiDv0jPks}?Er@smZoDCY z*D5jP>W&Mkf=f$ee2~GQjsgvw^&FscBun@qYrzu3$kSLR{*YKE#wy>qUkj$Xale;k z!mg+7F`o01tH_Qmq2G%7@)M)V`|5}8lL=XE)JnmuE2<#LUt3>XQ86l{rpPM6KhkjraMiJbJh`O=M7$F=tH2uO< z@unHRltkIz{VTLa#=@pAYYk;A`U_H2odyQXAa=MUkFq0nqL8xkch{7JFQbgrTM8Pj*y3l6eLlOw&xff@zQ)Ygdc<>NuyD))$gGlTH-?2}6 zvVE!wd^jxfX+FF=cNMq4q~LleJf-~li|Lav{FX3!R#UTm8=9^T8u)@l$H>=Fni*$z zFv0oonFmR9J`ptI{I4#M-u9Z5OLJw7t6wCB@Ifei9YIVH3l#%bJ}gAm%n83RqF?wV zJ=nk@QR_(f7wb%y@{s3{2oJ4=E}3N!9Z z{A1PQ)*Xs((LXuFB47f{Z8pqgkh@&*fTEfL60iX}7=^P{KP!twgwj*4u(_($1e(9!B)p$kGql3UmX*9Js+s$jz;+*b5n8CLb z7N&rtjt_O4t>$5hkt~O1Q%JT^X`FvjmC>q}*J5ye>k$0bc@|-LE?%hDVB+eH$}22B zMgVYaNj3+@aAIXhKx55PZ%Za5ZmOad`BF#e8z#~NY!R{zV4s*4vKPMX*$vV5wzmq# zHUHtwX!M*x`UAe3CZqUc4CXrRo>VFH!Tupo5=OkQXQ zM+zIsEKu{0DPY<8XVwR)eN>Ji=m!FTOjUQ22_)NC=}t?A2vCD#bp}0nt&>^x95N=T zFbAhyvi~cyPCG|6{Zu0j=`|1@vLz(yaO*>4-EBm!p~6|A!m|aQz%N>aJF2cyX{$mG zzR1n|d)(@O=o=1k_OB_I7#~`VyE-q`iZtKrPVN6E!$E0{JbTex6TJmN3j&l@jEkt{ zy>JsTL}#MZH`D@VhvjN798VH~+@s2vLl=0Z=d}u`<$xfi&5kD&*CW%Ou5C9zP?VXm zfIGZUFuSP@>Zs_itdTuggs86B6<(#8(jd-adV$!Ni-jP|frN?!^OZw2_p@G=MVFvCAmkb%q|I}` z2_ah*wWIOKgy?##XatVM&y|qxOZ=HHKj?{51HZ(8kic%;<*gQ=0CEn{+Z9S^VS#Wolw zp`=b-Orsz753^hyi^#yU8zX^C+dsD?`R=a`wfIH49W%+t09OwqBi};!R`nQTzw_an z4&R!|U$ppC_-6O&Hv^U(ssaS6&I*D2MIlf#Ed)|O41x3yLZCWp)j`2MHKf4N%OjR0 zc80=-Jz`#vMxv^#JYqp$2vPp~9?=}u`zYPv5#dxLOy?1g2zE1O#wXRjNZ(h-C|lzI z5tC40_IVXD`Wh0*t?IattFoOCmO%Z8`x1x0v81+-#&3I$Hc*JCPk;1!+ZPh64{*8Q;kSrNeesL)X%wKn0Vrpm8Xi?S-Ml-Hk`0ASBlZs6Y>@i) zxrUtTvy>)j7@SCOiN<3o!b~?_78eB1OkDUIZ~(jA31`K?@}dk(_KNgckTSD^0zD&L zF*mvOR9y|WCSH_Qy4jo7Xk37c0}nt*bmLapn`FG9Mm-bgrlx0QFXFkudA4_G(E^ZL zUBC!G8+Tyq*-jC?L0Ed?Ygo+$8><~eD@st+mL}1AUN{X|T7f-?^HLm!qRWp_qPUgh zpeq5EBj6+<0KKEj(xkTCYQ%V%II}`EB*rIBM)v0N&eC>J^(0Mfqx8`xCrUSds*_4E zG})4@nFF92CHf$hi8Ts{?Q|lWZ_E!{YU!xtb30YV#o&6ey{AzpT@nIG(;hDq-2?@C zpmrpb8u$c@Mk97eNS=;d5NT7Eev_jCLz6*DMmERHoMfh_Mhs1ui}WGeM7KA+q6`YZ z)853oU5k;4&guQsSmAdVoMd9Mhf3=4^a?Is(!Vp!S7Qf~X=%8OI9PI|j|U?Y&z<;X z+}uP`KlH)O6>siR-e5WKi_(JSfK^(SgL3sx&XJ|bF1(aEU{B_XEw9Nog+&GbF$_u* zMxQXi;`?UUs)lxI{?)F>FfiP{T=qB2g=nMB6r+lw{q+LE!mJHHF2%h zO;76vlkCs+DG$q)+mOhfu5Fp5YXi8utWJ(H=g93Ob4u!;Kdk3>vZox2DJfm#2Sb{D z^{dEsXfggp2nxm(pLTVpzRsfGPRFsUT*C)vjUFe;MF!K+cwOA_I2W**jYN-;$ed(1 zfnrG-P0md!A>&71`pi}RUyyaFh<)n|=Zy0!WEsS0*=BPPAhdY1c}U3RV6#jSZgV$%?c z+?Lb+P``Xz>g<-mTz=szuWsnn+TAd2!STBKt6|*C<8}2{ZTThqr7&Xog`V7yd#Kw; zJ$|usl%8C=$*g2<@g8;RN)751S*s>tL&b&XVYMotq%MTZz-qbBuch}}GN*}(&l=am zOjv#~*vECF4;MmetRX)-LbX~@hKmIGG*|FYhd4!{&({vML z288Q0<^%O)X4TML)}_^?arIok zX*`Q!(985_NP0UMo$O1lc4cR|i1Q288oYD|ytH~`qoygq401@Tmnjtt1m7CJ9M5vh z4mnOFhYknXwyfBCbd6c_%GvpVc2-kwLnwBsza?W`Q;Q}S4l}1(#cV8U=`e!F!NxXf z7x?i(OdVP+SgAG#{Bh-^+5}lu*L>c44bXA*B>g~Qu`_hl-w3~gS!HY}QgZ?Eblm(e z^y2wugBU!T5ysqIp2Tc+ql#yWn3|&dG{`d1g&uvLFtjcfQedmbcM+D`wCMZ2%a849z|%BP_4HI)-Scd9W0 zzCn*zAPcDESd+Em8cU~r9noIBgvuqhagLZVlYdZQUnpO_*P+&pWzhR>Wu)^j5v!wr z(A;wVoyWQjn=_#qA^dhr)jpNtvlsD`KI3eK%@9a~L(VPgl0e{}T_%FR4XV+NCGSH1 za*6@88Lmu&mdq|kmeR|9V$Ke;%WTL_rRoB%3n@bex0=(YJaqj25i`8m;cWB$Nexp@ z5X5>#Swjw44YWeXNYVhxd`yJ#s3sktO7{xoTrE=dGA@<$*t7XHiDrwtkni5%pwmQzIbdLTN;C{#*2Ts0!$E+ovku5ngvY70c}R`xJhuy49bfKsqD&$-nUD>f)e5Bsrs@#0sry56 zZzIoeb$Np%Eh55)nTCwprZyR(Fw}&cSOCb|6eFJZ_Iu4pe!UeKu{#G$dklIvc+u0} za)ey3(u?0tUg6nIcC#u+C;C<%Xex+obzAogkJ1$=Gp$7u-{yDixswq>n$5N6a zV8Z3S;&LtBoz50QBohD|BVHro7Nc6m@Xi5@O?P+H{O5>Z6xA8lR+R!H@{;QS)(g2S z$DwBUMhEz-KNeuyESwmIG$YyC?x`FUHy1J)!xJA5jnnLqxPlzUT&QxI$GKad%j%F( z{_@X)5=ytKftA4W1hcod4*GM{jDO~K=X-BtPbej>x*(J@BZoGTggAd@!g$7_HdD3H zSQoX0O&NqH!2k!(QI;bUJeRD=2?)oT2R;*q=Ukq?sk$X?7H2` z?!$4bor>tb8gpxq&TE@p(abheyCDwW0+h!8Tpw51!`)A0H5E*|8+M1InYN>4=}855N*;UFF?%ffty3s;rz#_i~lX9;L z7U{yU6vGDUrg!2bIzg9T)r77+spD&(%k-%|X}4N7yNeuMj7Cj(ctUN-)ugzNGGdaA z+qptW4~A@{GrA(>QDtE}9>!xNE49_CJ&9^T@&?CWr}05ru?sN}{TknpCezrR{R@g3 zrO_oW#t|Q-M?q&t|Id`%a!Z+KDHE#b6k!jA7 zSN^Ue^D!f$guZ*~G#8+38Qr9H(yqSU7kZ|}NJexhP}9UXIlVvadF zrcN=PhmI=s_lh}ubPVuDsl7+XoT!-XN5yZ*g=d)1eFdQ(xzBj4nqV{`=)wbsbrt z^=p|Q_PCsXwU))RK3hCb-u1~Ff zoyJ#lXX^f`kJb(Cy!7PvtBV%OgAeeh2TI8`bvG_IKe&Fg%q8F9GqU_>ZTS&hdV0oV zqlP|^X`1y4j>-10gj4ZFMEw9!{pV3;c3+x{aW4)z8V_lo;S%2yQ8EN`M zE7-KSTz;zDrl@vA8DF4xjP>ALc@>UBVoq3c`u)bx($eqV${1h3W?p5CN7;`s#>4GL z7~?bS$3Y+Pllfr-ez-E=hbseqI1KpVFyMzZ;55$*4#{{Msw;zjI2rWMrjHu*!^xmG zc&rcl;bhRiL~JcxE}q-8;IKx$p`5>=mj*a}uYIzQ^Qpf#8Ici9lRtK_T=N+fYssCi zu^-ej_4E&bI$oTE8ajFm^8uZFwvYVa14oT~AA9P`@;#LHd=2DlD!isvmQ-3PPwjhf zgq9b7w0c#;Q0$SJ=1bo@?wC%$y;`Qz!q@qfJ2|&|1}{|cU@=eH-U6c+adn*48;&TW z@u~Z5fNgZetT4|F=cLpR>&hGJ%R8CcV0cJf%iv#XfH}u@Kkv)s!Zfa>HvJ3}xki;U zHD^{vulZf0$3ZK@>Df)o!YIzPQ3Ryy`G7Qn9bLUJ#}GcCxi~W!!qg8xWJ9=KvCYX4 zrpq3?MX~cLLwGf@oCtdLc&6_Lj2s`}H*Qn?_;k&rGn=OV)xnXpr7mhQdMJxp)`&vcXTZM2f0^z!Oyo0n>?cBpSoMIQ>eyf*W~`j_yDQVYNAwE6&>HF z{D>KAj)8a74&}f_4Eel`qmTs|h0O9hJ z{?7Dj9#$T@JJbBHpr2tbzOwGkBS&zIkcijqm1X>xJ=^82FR~*tmq>~A*#kYn-MCMT zD9iPzaOww5$QN`IOk3Z%{_FtX*l$JCdfvr~@jYyNirQ-8}o>HxhhzU|G_Cwn5P zAtXn?ZY7>V_^|QoC%5Ytf$P>PfM)mG%^~LZw}hDAFHr#bn5U1?wI*^!D4)r>3EG51 z>|Ui?pnW43?S+U9s1SC>O{T->XKgA@iK<;kZn6#8s$;v^-5%VJhgu{9rzyX2{@IWr zzmtIW45c+uwYjV8r&f%0X#D7Vi0FBs6#GIS2~ zX}Jc*_>EB80scDFhWQd|pFK$}lJW|FlK$<)I0x)W)deoH3tF~P;nyP}2!Q{zs{C|j z<~(0oadly&8gj*^n(4>l)J$S^Lx6W!0P8e;NvQc)2&B$B&c-rM|E&V3rS>IDwl5Lv zSLN!~^vFRJM|s99or%|qd~5Vt0F0Wzh@}ng$wF-1H2i2fJ~&-IF_I8eN6Q#oW}4j@ z=DHh{GFiflrxfp><0+SsLLX9>9u}I5sTTW|Qg_#C3P1^ClOkj9pXWuspdzBzi;fli zQQ_b86_t(+fz;O(fFg`E6>wS8F#gV4a|z+^D=F@A-wlOS8pzCa}~s)iiv9!}?8yukdMTN?mN9^bh&a%b;~r z*H3Pz5HbE56xE^CK~#JBGtx1SK6yCm!h#a4zh-=ju0kmL)#e{=QE?`Qd>pZ1(wV}C z)CQ#YI&0PE$;?_c#6IZ}DhgG}_GE4)(NC6G^EiV^w52njJkvOlnQOPoB~=`WsSFy;)%EvuyYp~g zATsN!Subpi$E+81MCoc}^Rn9j~}bP~ur6q>lcuR*!r zlVklRmqrP5IsYC}a*fqTyzO*VKd;Qxu#+A5F9119Ep&~nH)cx*t*1}%-bICyGGd8Q zJh0k@t2SWxtm2si1pcIw#SKy+Ou>VY|}nwP6dCgip$t zEkZ!PS&8bxMg_2TS-hX97G|UDcF$o*!67CYz3O{H{LW;oU@$hqW7dnMK$==ai$d^$N$S8~2L?h`+@7Un@)rSwiEgD?J6#E-qZ7a2Igkt9DDLSq`^!VnUOQ_T>?7 zM4MglXBlz+h%x6&gqz^Cs+3mv&vC;%bc%omZaZd{LFN*&-#(q+T8euC3Fy?-fz zzG2+pYxLVz92tTISMayWuYlFFXb#8A+$Kx5lY~x9OAfHT_K_DcW)Y+;{1If zkXobwvdiKSQGJ(3r0&(1R(MfUrM1f5ZX7pG&9y91s^?*0i7kyKo>28uFS{UdSjqp` zBNApE*IcFuAn}(U70Q0Y^KjvW*HXBM2xwEMGi}{_3X-wi8=ZTAi1=q`N3?A|&)q)g z+E7Zd%-a!Qo6JTe;7GNq@27Z%b_mEWsn3qPL5^i#l%8 zsb2?4+e#_h=9A-S@ojfQct+L#DtaEuBJjJu3IIwY5)z-uCPvxfR60yud#Fa-5}}%Z zc8CLhghWEwYi<`T6q=K3RX5-UEMxo{LT4#waP26*B?VAAkP~yI zw8|4IbSH^!+X{qEWw$64brdT4<&x0a;GA;SJhInEn{!qari=+;c4|U;5efip{x<2J zJAA2N`eqf>44S4!L6v#E?|~1nSse3_GjT<8NWIRmL>a_o6>T)7$MbIoIr5Hqm;}(p zAP7U8VWm|zYQv70@Q|In~(UdRK>N?uy^y((lb)uRT?|;=*v)_|IhjE=XEEL0?7MR-H zdlM+`-%D2ZAl9iWu*1O|n-FKTc6{GUr>^Yu#p_!C)|CBQuy{!bYj@kUjH=g!Kzc(6 zr0!Dyd1aM<^WmF**nej&ojR&kh7$P|AyD%`2o#oEUM8=th^(T+JtABgg(&qYj|c;s zi3*?evdJ1l1F0FFCoC>ZkA$s;)rFXwZSIR~O&1{M3gac>Z(8x`NOnx9*$?$YaE47(eo2UO*Fxo=YOlXE=ccI?!r+f9^>lhY z2@j$8JoAdEXNyuAwQhrwma7Y{q%7-ZE7MxZ87=~%UmUx%G~OlRJBqSWO!1t|NxvLL z9a1Pqu@TyCU&IdkVr}Lt2*V*KF)OiGfJ~gbNfMUC zVNIMLx4x^$hY#Ki`_wesr|_FlSS}9q#4rZ$HZQ^8q+|&~7Z!pml$}L&1#Q_=5v>A6 z77hwYD2@srJEJ#luIWZ{vOV=LD!|%=OhfhaeGtvXfHo8*iox}8WPn3Unv4XhZ*4=l zrjRtKUaSH!&+>>t|4=C`!j#u#PG!JlYsNLVKQ}0i4dVRnwy{YwwPsvsls5&&R5w*T zDWhRPri`z=%f^6RvxKq?p-O;7DF;G1tjjDIG$FSNSsP7q3z7+fmuCu8!Z8&J&&f?w zf3?O;qQH8dQ~om997x=#L>Y8b-T*Yh2bI{N8BmhZYIa7FiK&b_l35B6Vr2NmTq2S%DY8X|?f-tNxXI47hD9V@+Xt;S5ed& z7fvS{gqa2)i~=qFk&I~;2b^cr>+UK{;u^(cVTr4bYAztK)NQG?zVqlhpyiwmo&>b~Wv!4<=WveN5a#4Q8H9L3kHl| z8abMA|Dfk-w_&qnY7jwU{j3124r@#kK1CMu{*wEeyogJ#rjR_B;h~n*R)PMts#s9; zK)4Xgj0Tr4Ds?>UOk}s#sL6p8#Ss3=M#?AUBO9hz%E5m>%g{l_rI-gxQ*e@J^EoK~{Td zVVjy4>WH$p1Wws~<9j{~hN^3@h47RCcsLbkziTc!UMpgH}CBE>?v5XxcTYrp; zPuID`^3M)nV0D)o6CcZJ448G}Qhj%r;+LI$jOKlmp06*JR{b^vYVNm`|Nc^P#EH#V zk+#sc!uV_x;@*G7(1HLA zk3yYdi-vzSUNq~SO+!xQlZgy3i3s2d#`BF0aej3gX{vsKtx z>0nwKqpH;^PIt`MCbnLDUTY{|w*&IyijbjDXoL057qU=IwA}a#tj_of>!7qLD{n55{i*%V0CKxVaQ0 zu^wuXDB29PbiF_b_ko#Fi_OWv)Fh`sc1O1K1<7?LsESJN9zoQo@b!d zMWIQv#zlB|qRj`ID|V7gVzI5vCdXqAh0bjKpgw86Cbut3j>|c!HIh+3)=U@=*)(gT znqQfBLsa-LeHl$%)+{dA$n%<#A#65kTXHi$swU;cZKBj@cY%;h8&#be{2}^r-=yI~ zX#mC~ptf#LDTOiHY+g>{GBGtfy zrIUmOhPrK1N|`8f3AY`al5j0H=M!>SZW;s2pxSnYxTH=ckd`T7yU~Dqt-gvF>ks2b zl`oPm;7#Vze0KpO+&83|SJK!wY#c{||#tg|@kvKja=A$$-UzfhJ9JqjOPuj%Ba~ z5Yde!At|c5#=SaB$|=6C;ipB-O1VR@N((KLBY3-bwMw<=pO&|y>Dq)q4Wi9g9~H=_ zB)<|3U6}j3Rn}aRY%1EMea^VnN|FsF9&U-YtCPHpw= z(i|!a!15qGniejR(TB(-OA~~UQ^WWY2#Z2RWaLF)D%S?H%`;U{Ldf4_@Q^>qp9T=1 ziLFW4lp0YHHBFY6j4tkjPKlVv5Q^Uh(}2xgNKZsUw>6QCx)>#RT}23lO2odz;~^r~ zRH?|MHp!cSXtz|rNo-^RRVpR|EHO5;EHxC+^jGU!RYnJNOhGX!G|kb6(-#e-S~5vk zUO_cMpcHt_yZiy8gsp@dHfmxtJ_Ph6HIhHdeq70cd`&! z-h3Gc4R&qdGbE_(il|&6W>)2+*n#0nc1w^4Hl16T9|?l@QTP=x1)30z(!BY&n7$BJ ziB`=z;{qD*x~9~_*6l9AIk6-uEmbdNS+KD9B)Y zq6Z0>ljTbUVD6lLH2NT`33$#`1d>aZs!x)y=n3&6$tyM&*a#V*sv;v_F)g?*N+Dal zOhhvNVBBD1Pr+q%O6nAy8g4X+v)^;~3TGZ%T!TQOOZGVjVLljUv@@1rBA3(!)4WQB zntr}|7}3@o(N;fwD16$AVT6$Rtn_RUn#^b~nknkK95Xu@yA`W@NCZhq_2RzBYkueR z9}VkFvnLhd!aM?@2{|9Mz;37*_6G{&KL=L_4;5*YgZn1_?x!k^q10??IBq-%6VL&4 zz9OMn!l4#;XDal@L_t{e1QK6R{cySCAZfr- zMKP)yuY9V|@@RUc(tQJrST$fmvlKu2%|v7VTi>l_z_z&#ESkYu!h>8#?_|%HrO`|k z`!#ta3~G8LQlksw3?1b)v|VB&D~{;M7`G{mQ#VR(m$6X-Y2zXJ-_wQ!^};{*@i|uWqXI8}{R9JW-Y^|%fNg#~8gQ(lx6!$mS6sZ$@inqJ)uX04O-3~GX1%L-|ReVKKB8{yf9V(UtNdE)#Rv*EZ zVl-;}DoXPci!q|qpkmUpp)hWKMDtgL#9vL|6dADC66Jdb`Y9BK`7Bv3NRrTviiU-p zW~5npJL)+69x#$&W?%wfZ34{6;i#deq_Gxec5#JJM(tX=sNJS5y+_N!iY$r@Q(S8? znY5`8!F?qgRewtk1ai7%25YOxXhipXU@$-;ytua}x?RQ>)%=^~W$}&xKCE!oTN-27 zqtpG2jqaHMqNpp#o?0h;sn+CodX)M{4oOV5&fCIoYpu!7&(o9%N=DrxegVf- zJ)#OtTq{hpOvuz}#B7Ta4tM_A6Kx*5;qTR*B$!EW zI14!VkSHm3@;F}`g5A*kTZqD2loQorJKwsd>G zI04CV_R5EB_tm7!Hf4JXuZtHq;&xR|@8pxva9ncP@pq|Pb-sb zm3sJ^qRfJ_RX=S%XN_aW7*i%r^-_6qnO#GJZN~}X>VxYAogGTpN&8~oYm`qa2O_(M zZ>wdoSuX!XlnT%$K;!fVl9b!LcqRJ4s^fI4$4cOiQ!Df%Q)J^MuKulsqlr6g&kZge z7Z!Q4B=z)?jBzb)uxQ*)t#&atdGQCI&>es9uZ(C6F5z|MH;=kMVfw2>QYiUNpQ&v+ zxq*x5`txhlxL3~_*Y7tICw_?Q?eKEqDDem(Ch@+I>p-?g>kdVGQbK zynW1fdDL?iCrNwIE&SaK5bj&zdv43*Z*`-P5*F-O+h6Btqh&if6d-Emj-Gm=g3z2D z0~F$>j2`>!z%|!{oVL#nToW3$PY14bWk|n$ItZ;$uYGo=nz^3d`3UofqJ#JOf$GMZ zw?oWn`}{CH-K!hlnpj23|0G?7`un1Zi3<^X_!!DI|Py{jYpk#f`&~j`$D*M zlwH2TN@Dt=BH6&EC0M3nx6=fDl#A|PG$qA$HLBUY)E*TB%^@VDdwFjC0Dr?+;9R>cu)_J@?m?F+VYo(1u#9sxC0{I zS3vV)N@;eAk;l~2$Xv3E0XxV)kq2AayE8!ssF+FG;IJ`}yt0BxG!of-5l2=)8^;SS-~$zz>iQ0UB%cV*S@`|CXGFlB{USR23Ft{R@YM97?xyC_Vvh9(_CGDFCK=xo$ff>8FYmIW3FUyjiMC-9BEKrCMK$Ej&PYJnXojwS`>>8=1}@8uh0f89`1y2Z;Jn;uesYW1vfLyBc4qBuPIc-R~e`Vs3~^^j0(toMw0d zq`d|ySAN;$ZQJ#0r+#6ih`1LT$|tHQPXnf$7Bo6lbN@@d*eRD>31`a6-?1%6&r1%#cd_LHhfXN?2D-B^wh4xN^U z(anp`s09aJg#FnJ@Qd)PhuyWk7x-M18>Gd{y0SZT#S{~SPjjy+wGyy>B{r?+7|BrW zN>$s#0H@Fks<-xa&F9!ZOkbPnt1ZfBdaciNk9t&%nXGMF9Aa-0ll9UKS~kG9hY5tt zE;hx+qYi7i--RizjnD%Hr16*`&1OX@R~e`Aj~P$V$7b8-5`dY}04weijd3QUU(EH5 zn(K-J-Q(dXI`~762xbQOV_KX$d6O%VUc2Pmps?zxJM5|)u7*GTkC%)Ufu%MiQMH-V zS6$LTuEL5WDs%dwOa4))U*|Di(*VW%;pmt?in;r!n9PrVKB!x_&(0WjU$~;Eejsv1 zYRj#Ad$o){QakkdOZ$=d8%zA|@%)~A;wvYUWdyhVbl;KBT=o%uD94Ms4<$46cuQ-@ zbyo(J&ot~Q*F3#yVRzk2(olYjSGsyMly}u}ViQF+gz_OVZAs}%^+T-I&+&kRHr1*X=EnAK`IZhOQZmc8mO=ckQ=LPOcbf9$n5-kR(Vg`XDthceFm1T^li#aXi;u>2rbSH3GLX9 z!o$4Ic0}V&E(}uGw41t{{9;Bt8g3=GYu%`pjMD&>Htq?A2U((-IrVCTc|QrH(xYd$ zH0H+C?vJykPkr%3p6iFfAoae-DgV-$;O42d(Y_<|n;lcwSX7%nY=?b~~2W}w5vf(_4QX_PdYNC+kj0Dx~Tgt=Mu5x~;Ln{R307%9Irl;zZK znma4BHln}0R{RPv8devK9I znIWxe&0&!DjwY%GC%|Of#P_HsoijIy9#9h!)-(j=cXz{|O$EcKn{hftHJO39NhH~1 zurcX<#l1Im7p&S0vkS#-dI=I^2Go14SflfAvW%9|UUj z7fryaUORI#(gdi@oRs~y(BM5wl1kbMN9FWV!t_CbvPnHEJiF9Uf~3KVbA3`z=r^5NZh(@d*hb+ox#9fJ{%r!^ zq=`EXA@|JkfWvqBln{OSYz2VNeBrK|7NW138*&?Hx>`!NB^&&4Vdw7+*|4*o0Ud?s zJu13qNvPnSwot)a;QvRh`jP4Lqs{sPvR>-3MFV7n0#~(%0!JYu5;A^9)gWV|BZ9)O z2^;A)I;belVH}|9!dm?%wNr4~?Y>V^nv-!%Ga+PtfN*I-Axjequ|b(`Btpg0jYdF- zti92d35{GCXvE$=0(2&H50fmq(DJ1UTH4{bz;;sM?9gaAp^|b!CGfXsx)BLY8jU1q zSxd@NBauv>rA8s5Mey~A%}5iG=n!{Y@;`mxcZP;O`Bs|JI5L0xr0>-a`Nt&l?eh7M znrIn*D&Uw$az14q&ee>h?SpXTS2SUV+l;E-O~uNwG$Ej+?;xOC69T$5At3E4gRd~0 zSH6RQ4w=+pvRQ&JwvWV!1yf<3+5&c|yKFT`lb@ap^nUZv#Xz<0>cH46!XzY(Bx zNf0tse%-eem5mZJeac3NzSBNE(BX8WLu%LaP>A$gmS<#`>2s^7kl#VZ)NX*SY;^7N zj)20{zbtn8=P^3L0nWv?_-m!)!rPTiQdD0DSK2+VL*Y;XBf5n|h;hZ;)R2j}!+;9t zoJ@<+4ZFQ0F1?ZgBzMAsb3r;mWd;+5Dj6IG#5!t0y24!PX2tp`fqj34uVMa&D2E{J*%WGaj+3b))Jx-)6yOi?(MRW zRQvKowW2 z1XQcV!1jyOVsv?DrLndEeQI=o{zn}ljmOCZJq^fegh^fqNThc5sgVtbxhzc|bOsuv zYaA-lH%D0#)O<*l1IF`IQbImQo(JgXjM`mqp#|MpUdp-14Y_R-q_-NC7@l{lGUB%? zzAOSU$`~$eW#WA2og$U!`mPDQLs;8t$HoOiMJ5c+4<>mj0Nx6O|KEgoKo)mT$2D+vXZnTJM$9 zYA*ReeK0=HtzA`u5K$#8*q_57 z&`ZYqs4O~DY&R;esHWO258^)l_hBN;%uVx9M8iJZ^KxTDB8sR&bVjPggP=|ZA$Zzc%>Z3eba|>Ekkn$= zGiZ#x_tI;$ESXSSm>{r~N`Xf{*(Nq?vj$TT;?X2;4l5-sWk)??E#aQQe%CCLs7AI^ zE7U5As61W5W+o*T=ca@TWNV2F!@Pp!R4_9)nF-1Zdokx z6GyaC)oXkoGwAgwj zaE_=_95OofW1BK)NmyhE;a6|#&wiDelN)ZW)Es^o^per;2QWE0^$818FiQBB$# zL|EpR5Sn^{B1E5*dR}FzEG(+jB8->7G_##wS`*ROyc|qX7F*kGq|K$f&i(mI&k}Q1GDjHl7omDS z7|I8{rh4_S(O$wJgS{IG;o-CD6qwq-&c=vsQ<}daf$9c9rI@P{fUZgaGWNVM0cfEB zqAB*xs8H>-3l@1CqP~PES-_z(;CP8Hms~d+EA)e}e2YVHQofmh+PrfTJWaT{bCv?s zsp*PZ6{2sL9imOIS~x94FP!Uvl3p{nbGR=l5X|g7!w6L0d>b96&TRr^C!xl@3UO|C zV9iJ07lN+FDW*q2(Ch*PFI!WVApzqC1B0B;Tbdf!XAO`mu4!BAQ=;Y(0#YML!0Tk&(~B0a4LKIJd%*jxRZl7lkEQU>2H$$>NT24To}V6C zUzoJMFlk*ZKe{1l{f4A<>!``_Hze)eVC^OywMS{K;UMV;t)x%>?uD9%u&GI5#H&9R zkWl^60LGn5!WiD!77!~xfM{WBh+eokM9ZcEn+gJXpX#TqZcq}5hrMZ>PIxl)^5<(q z@t?OVK!&HmN%2AcHEs}g;O+y{H*2GjS}#vvxLhzW^M7uw(?6-dKQ{;{^EM;E(#r^0 z?GUL|PKua)agF}zc^lbLXvZfW^~b66X^5zkQe#4kcOPyNNrMy83YzPj;^nV(wIA=AKxL5zQ|J3*<|BTSt-*rN$ z>0C|kxvqPXFqG+Yhs`+h+l*T}B_MEVLhqf_Rn%n`Q@Q90q+L5LO4L?)hmo)jc%t`iD9q&Q|Alj6@*1FGRyVm+o)z}^=I2qbFo`W3HP=6>o>R^B)^*ysUVS%!Lbr`Y^tmJHyWSzP)grnh z>Gd7fYg(2Cswj5T=#!0V;{1#33xe^J@-JwEP(57|Aig7+ZHDISli7CtW~I|pq^iSFGrHEg&iGr;1%o6Eb2rTm@4Uly|)!6&c zrfki#Jssr;8Zx9%0#0b&zLbBJffJEqI-t0;Y&(99R^jpm!WjD}=JG@(LlkKUldLs7 z{F1XCd(%Na=OHk~GaPK$BWX(2m26^LF;bEng>E)90SliFuLFTbXj2?20U^LL?@!B% zd2%b&+gZyONU;M`oXY^Bxk17SHPNam2`C4y;+S}6CWu6kUf|Ooh-5RzB?DkglIT(u z=Hw=LwQ@Q~x(cg>L*_6}^9*2NLV!E$P0@ocwBvK;U;wENkbbdwz=#|HXcAz3F0(WtP(t=4O}oYjQ~tR8&Hj6 zS!}X`N*@JvH4H0P8xvYi8knD^Ht4zh@qDf$xjR&i=5B4n&-Iqx$l{zC8my@4)->RQ z3tG&Z(bj&hjtlkLMG#_DhAWAJ=77ZGWQ1hZL&jBB>T%7Nfe~otOk^&>#jU!C#)x05 zCh5DCu6(H429{GYKxY9^Hqtoy zjlyVyHQKr>Z$F~6R@wAtqZG_h3(d_{4&n_-Y-2O@1FVp#2)3;VdOK7*W#k#7Ig7&x zWi$Aq<9w$ifRQ)s5do10 zQOWQ9IrlpuKJ>S{um3--X$~QzvLT}2aZbj7u{W=!FBq)o z3lAC$jfq;fnqvEKuVCc0Rg)ndmY7;$<_RTWNeXI_@Bmg(@)ruu`XK*yKZ2I0-8s}#*a8@5KSnPwO3u4m~dJw`yLP-s4HFAbauku0GbW7A;1TNX_@-*6> z)=4O=dQ=C5KC3QJAz)RRD}%A>4-QuFTL&{%eM$(SZg zOROh?kSmib%BH|DJk!Pc80U9qHB%D9PB8N+=T%zUv0yN!=bufjS3JF5A|g*WK!%&Y|{Xm73k{fmkeYE(O?do7>&$ z2RK(~-swDWX7wv2SkO|eb2>veeUgVzc{otlI#m4yqnDT#6GI91w4Gaf=HN`{W+?Ik zbDf*z5bw?`wknZUmsh&R_ek;H?)Q}Z(T8*sT(sQxNbQZ|IxR9qI;#Jn#t}5X=F(U1 zs0j|fPjUYgyJj)lzh)sN2tBHmxrN~_S@Zx|@&Nzc2^ppRb z%PYZog+wpddWS7L@`v1M-{2YU8#vc}%m3?V);~)9Z;AgcvM&o5^3&7qx}FBh;x=MZ zJYRh^9qH`N*7J{yr9*DWJ@NePo^P{4m)4(D61@4Q-OBQ~6JMh1L^K7qnwl$tsuRw^ ztOjx2(fT7ZbZm?0#BGb^`yx-&ykqwlcH$1#;x_aOW8rSkUMUZ+-Vy^ct#gy} zE#DF3E95$7EAaW^G#|2e*i-5|a)d*#+Q;?ceO&uZuV|8wt!Sd3Q!nYupOS~BY2t#C zRzFTjhCg;*QC|QMj(ucvl}V=3ISXzhdiJN&GGSnB=%% z&L12qZKb{7V&B)T2qu@#zsrHVlK12w2ZwJ2_>{#H`q6U1D?R8Zw#HROu5=o1A@DH) zXZ|o1h92g=PKuAkfCAso^4=@>_I+fcjXmtX+qrf4ij)QFPI3tRF9#I_uw3v0QH$vX z6Z+CpT;?Nm1wsN4$)j}dLN^LD0Wiu#Z{T(pTM>9iO~mV<);g~jFutf8DiJ~w2AA3$ zl86<|xKZ~uWQf8mo#|3Z#sFe|9OkH^;B(^;$w)nyYYld*$Sb+fr828H(x~8SJwLkO z%AG95dXIl|Ilot)1;RaNDEC+EK`rWEk%Otued7h2@? zNjdlfIZQH|*G3a52C!IP=C_ERi%#DkA_>o1^R~QAIDR}$8N@p=-S0vK5=l)NlFT0= zI&VBCLVtWsbLp(xKQ!~scJm(3TYh%%lsRz0QSYMUOt?x!Wf!LZ>#Mn3gsdv`J~t@x zy6b!#RfQwt#MCn;Uiuf;=4SO>1|88W9+lK2vZiChz~k<=zL<5x>$#ECtQ)hdl1s-u z$Fs6ps@Q#!V1gh@V~=%TTMR3Mmkfps7v!6RAfcO{rToz=UeIHl===vF%}8X#-{$ht z+kemdlEEwOQ*bjMUJKih6S=?Qocr=A25}00B4~2?x$Qi78@*zmUT};w2fu!+o{d;L zwtmXm(__h&v8{Zg$<8Rc|FPtfvGWBU*M&C_Sy$0N*&!ofvEp6vbjK&bk{VUPn=m^g z%_Z+IFyjix^+_)Ow~D(QyRs&3jWn$1 zQQ%wBEcIf|ccj1cI#*FPL(u2jK6y%rfSD{BA?Wj2!{ogqZQhp8PdD!^GnI_c4i~!L zye*#}oo8wHgUvz>)g80_w4+_x{XXV;mv*+Fw%3Io^)Xi%symJvs+Q09I;yVo(^j~& zM}5p~F70)G+AO7|DAV~y8alm5!|{`l*RYD^d176=54F6_o7=8wpWXSxlS7Smy^G#8 z^?GY0w!*zuO(JmNAV}z@!*0uoy+V%c)x`_uJvvNc+6_orWu)B9Gi+2y{)FGTD8b*# z!6+!kWp-zVeBIpPf(soMR{ttjIRAVXH(ziepnHacD;zLbt}hcq8VrGz z&J!*P5fxVI>?v;2{L+96@=9}sg;I&~aQT&hiTEygNfWC4RfR(IQ;O~;rqZ2)G3_B> zLIex(oxfEe_sT4?4l%+-C6>S|T5Vyb2U{@4VAvnw3tP|wO4##=EE&VWMu#NWXpxXE zv!mSaV1euOg+`l_OJ0``VoNVoRQO#w)8eskBI7ETrl`uNQj-a%YvF=>T_h<-7kth# z@$x@suy8OWKdjVALhv>R44lN*+8s=LLm8ILR)|{8J~@bQ%ZBm;zrjZiJ1n7Bu*0yU zamq0P@L;9Etx*Qc%^l8PVQIX4t2e7JW$-gXElcUT$^?KiyTv65iXPE7&lMZPQewg^ zArce*Q^A>c+O8_ zs-;CwIaJ|-O+MHyinsXSqQCfHJ46V!`{4ZF`e57C1b*j(gNvQRs<-@39~!tt4u2)H zz}(^TA3K=zE=uM(Sm{*`CM~?8ISy9xEeGRR&MWwigB5+v!PxwG`O_S%;9>_ujO_)# z>|pulI~W_IaPZ%7V-7|3P6nAnA$H*HYfp@qp_?Ay>2{zz8Kq$o!w}aztknyWp|seD z4y;b$dMwZ@m|}rCVd5BT0?`>y^v_wk6H=nBrA=$-QfXkKO>Q19f3!;nwQ)Ag2CRLY zB)Td3)SQ!ve{4@SevwjeaH}Y&<%BZs8?K;?PZ=QlpzkIsYfZ&(?{N8q!b>M=31Sy}SUPFnVn=2=-b!%g#>_UwOOAEHC^E7O*iS)h zeyM=bFK}WU?M(HX)wR}hrDRl5xKB$Yx*osuvpx69Jl~a-> zt|8DC#p~&5Ib^9a6 z19XW|{L_{G^-X$_tFctPm99lE8y!@y$tK%f>eqp6FO<}{RU3Qw^7}}mLUMTw%4#K& z;2@kNlQJfA!dIKosc_&2ib@J->aEJ^>QlE;PlDcz%{}vLZOzXrdWm zG1GxfUBn&d2l#&}mf~^YvLD-~0jczt!TTJU2?Aj35a%BrUd+HLLX-jQN zl~T*)wc^0o2Af4z88Z~VnDAR`vcmxHqT`d|oQtT%;!Q(ybcFc6LS&3qgXF@t(08)?SoG4|4>+<=Z` z-})BsF5g%G_OLvqxo z#NnT|f=BfJqQ2$gJkk4$`8deE7Nv7i98`)|;kQ>hd>(Z})Zf$>CN1i3=HsC4>vA$T zU5Tg@C9M3#k!4h2z>WHEoT%;5w=M{cXq>2h5ty+p4VAq#q5b@8ZCU#wEPq*PUlEoU z1v1N48B=9(89T_>PY?;MFjcY4l&1A)DZ@hVZaQ`*BIWx{t4agBOYmIRT7fTUh3Djj zY5<6RD;FTXuazP}BU&qJW)xugL~Y~xE<4!Y-^%OM4*_PFRv2VA#HAFKa|CRNYb`LWC zcy86Jp7?Ak+MmRP5HTuK|8-K**)oS8``9Bx<%$Q;XX z^y$RrL8XA>X0Fqvod2tjVWGd-I8nJSM@ z8;qBakAfq~+r?Zy;w>MsR6zF;Bk`%0h~1$+cC(7iM@$m|6p)X~OALfV4Jxuo;-lD< zSF!mhHYHPR_fa_&lMiX(QFx(Miah*tKu*6>J*W+L@cr=_Rb{9}U;oKSoonfly6mR& zUOa2W9)xK0AjMPn`Ej1&#cv4K)a^aLId_Fc$!?Be@ZQH1y7gQ-{=}`4T#;1=*F?_L z^VjzM)jzrK6%*a}+)2S`a@C`4ye@9v@VP<6T%NhF!QJ0!hE~=@lIvx|gOjg7q@kmi zI(uM>-cCn>KJMO7_vW|l2EbfXztVRsUgkSm+i@^$U}bzWQ;yyVlbU<8wMr%q%^7TB z`8fdCZ(*iwh=d#Hu1x6(y<3$)2bC71YI1ci{liqDbc!(t_R$$!$b$CaTme{JJLO+98SKgeE-Db>|1 zNz{j!!Oz)mVzsMnPWK_9n?|$KQb|zv13B$!ZRVAhPF8@}=z`z-`Ip__qu5Cah?1Yw ztFl=CtcO3gld*kvvQ=OpQqxOldnUHCC?mKW#fr)yQm9r9l z%qojr<~1ciQ?IO_;5UG_$-ZOR%9n*Cyk@1+hP?LCJ~H?24LO@xU}^bKkJOfSaN*mR z5u30b{IhaHnVDMCV_B@@pxP8;O#FU+BbQB&WuX8 zw{=4dkk)0Qj&N<$Xd{5BYcnm3+9&csfXe_U%i+ zr55D=Jj=yl%bBI~eL<|zuTmVdKYkKi?2e)@H`=SVya z^fi@$9ZX`4)xO)Uxwg&T=#6W&r=sN(N%A){^!}u5F~Rcfka(jta7y{J`k_yVd6?EQ zO<)aAu+I7!1pRit3+`04vn}Zr*QNRE{dJj&B|g=cK4DDN)dL%lpxWL=yFVZW;P_jx z{m=D}Sm+MlaGy>~o%p=Dl@RDcq+^0d&qi93teH=Mh<(vnytnb*@7{|El1V10yxj(0 zq8UAi+>i~cyJ!$5-_~=URAJC+4kb2`4eKN8E_d4~%{r=H5i}$@84?#$=_j5B8HSPh z1X8aOk0h9Yq{86cm}7z7Pe!^(&5Qjkw71bkW-pm#Aqi|^nxQB4Bqt9#Vyw8G9AUfm z*AR#gwxxkswXk7>XOIg6{D`K#c=uu#QCb2T(rn~39eDL9w6Xa?qRk}egnXux?^4zu zaT#jp4&UA?pA{wCJm0zDTKLYzxFZc4Mn@aAc+vii{hP{=uFrNP~)_utko2sN>u<2jAAvF3RiP9x0^Xnc$`|H%eF z*vOfwP#q(wtH?jKef=rxHh)qA)gf}B@Et3G>JANliI6CkLPO!(mMJ&V(*zYATEeZpLkgdS_Y+LMx-P)}nUs?yYhZi^ zXtR=CI6&J#Gfa4Kn&_+!{fjdl%8*$tZsRjSN!!*xv7TpOlJ={vJRl4GVk=+T( zz4sse+^MSY%Kh?zfJxo^liv_p(=N(wYG9&4_R*;rxA$s4N~mvImr9kKk+lfS+^$p* z%ifR9;F5-ybr>#|$DmNujfDQ%8V$5`DUg8OEZEg@UIb5D4?q^^6_K)MLM=@k=0}pH z!@e)EYv8@vI`J-lpHD0qd{w?1H}=wZzmB!`|05a4-P&^Tz1KFSFza6JoW!+1+twF8 z&N6X3BG<`(XGZ|*)p}T-!xL={0LUz%#7xXQGoI# zN+7MR^lSiB17|@1n6x_FqN4cOrL?xm0BnuxeH^bV$W&M~ZhD#BNce7>8iBYGkXV^L zfg5<#HxtroV)sofx33|R+sbN|1-WFe?rx23ceHSqy!|WL;ajr zt~F-Pa0__{3f=VbTMWm0J`t5BQPc8WVIn+u(vpV_9+*~Y0Z!@Hv=NCy>lrp1{k4r;qpiI%)g`vs7$(d-74aY}8+dbSNcRBL7-f4k;5 z1+&(7>lZu>T{A_a(s{q~Hvq_1TvQvL=OWn=-8PqzT!&@%XO`(V+7KTrTjx8`Eb>;8(KRw*3flqu4>0YD^V`~T^T81OOuWBy& z&6Tm!tC|DfzOp7*g)p!pGVYy7|CY*zR~Vn>7RFK5i6*xpT#Q7MPeqfDUYN>WO*R;s zUE7{^hGy?af+X)7&ii}37pBG^QY=aF*1o^^*)uirsJ+z&{`KMw!>gP2h8j;JUJPlc z)2F<|!814oPTze3nY5Wz=o8GL+H+{wHqkP(d-?Cf zB=V#oF&wggji$zH-*#6!k6~~ka94*O#Rz$;yZ9o!yS;y;@@1i~6B{sT;9xZ7oR@PO zvskm|TcK&p+u>Qo+qpD7i}70QF7AysY#bRyb4qfoP|K%LE3y@0>1oSNGG6`zQKA(b z=St{##gdOk>Pmn8{?o%_bp=1;6G4ZVOGHM;9Yj+rlH{0EzM;>?QiWgV36Y@}rE)hIfX98c*lgtZ8d#!9to@}m}mKv&U>6sGB zk)*kHNl)ClyoEiJ!@zWiKm%XeQz0L+=)7IiOLT)l7slC?04dxx(?PT%zWf|MVTSn zC$_OC;*;3YGr^JAC6u|l;*Ja%?GYs2(&PCV=kA(pVP4>dnfDCAlJoW1OX=jfC9aRu)IA28MD~-S)w!l*sP4FG*OyXLvoJh;et+5i zYlZF~2jZ)g06ux5IMhKPo2>0n;{hr~N}CeQ?hP&Y6-1yJSlHw0s$lN#FgRQMNF;Us zkp9i7EBhHp7W|U147FR!xOY4-;=Fqx=|RWft(D2H>Tw&Ylj|!xUq7pQ==RDT$EuqioAZ@u-JaG9Qs9%Ha!N z)k8iS@OmjQX^%!zlQwhZ;Q7pmW%mXo;=BNjlUMDr9|kH0EmRmeF%C^*j~b4hn`Y38 zor^FzJnP(}?4om`4VyHBa?MB|ChV!Y+n zk=WC!1951Qy~%pHM)@|PL>ROd^9?m>_=q)Qp#Wd=s=|6cqz{*!n4yyCp&SWl>b!rM z8~6Pw%gs%|V~k|;NtnKPoZX-)z3(@}K`?QZc4fOA{Vofn9=4Iqc)O^ z6;8>-oU*Rn#k|mu`88rjm`+7@tU0?|<aC?4`5<s7cKRA0Ns}S*D|MjSK*#=dvuazVWhV2oHyL_5atDW_1FdTp4T+sA^1?Pn^yRAT zF(ulJ>v50Xx4slgG`fbzbh+u{+pn9IG`Z3$u_ZTccTFmLn?}|dC#QLwW5kS;rM(}n zI{9edwD3!V*Z;$so|Tg&-6VL5`<&BgB@W&66A@2BMYuSXy;rnHQ>)U~{kexz9k;sR z95J;pt%N9(+YprA)BOp<*Rp5+{Lh|FLkOOGw>WBJe>}G^LMPXN5}|wc@>OTgE!0BO zWtBd4+lLS^Kutrd4Knsz@-ZcOU;`zg0GSN^GReXOYl?+?L@(J(yvQ1X;g0H1+V*hhoQdq>pUqY1uw+v7qq@Q(I z$-_h^z^i&!r-Mksi6l2VMQ|j)*}_}l|rU|6E@n}n4TTlOOR<5%kr*-k{o?9QoTcilK56Kt7&Kz(*o?U?^2VL zJ`FUS|Cg`3b4(M;m9F%CCUW#wOT-Ga2~M_lIA$iM?4U`KM=fsR7z^}w5ANIyk%->- z3Jo7`e4`Gq2|zJIV`e#}Vcj;Rv=$2`-$LU6YO6Es@lunhV?Rfomyt{A%VgfAGT8w} zLH!acmO{P2Pi?{1jwFWJFw|}dYG0(BY7D_jMGK*-&}9MPqLC^Gt-8VjFw=x7M{=54(sHEK@uflTMq$bVvNC(?06;xt2VAIK@AjhNV_bMBMMqmFdF(%pv>!&J~I zDCu7NHODw|@k$=PQ{=?==zRcg9_#so=}KmnePr(wVLJ$l9smJ(xI=Rs!ArqH?%Sl< zE{@|yaTT#PH7(&Ps#~~pa9ciyv_cZBaSj?%hYC+EmK;mi)eVys7L`B@t>qmd)Paw) ze5J|H&Te{zQLeb8j)}BfC1Hot`TUf?*MkE!a1cGBA5L4m{HG2X9VQ=)2Ua>C+NoAW zq_K<1n(E>*`##sn#N0_C{^f=BB09t&7IluuQ>W1J@}GbvR4gHt9eyBv?y+b{dffs1 z5x%xBo4PZtP1)3)rks12KC(@mRwX-|3KB~492m{Vesnkx-=zU-e0MwVqESD4Npyg( znFWQ6;vPI0f8b^|hg~9Lf>7#^Yd0Y>u9VPjPAcYcXJK(R3^ogkShK8siU_qYD%NWx z(KYDW<3garv8(Ng5{$0ODpH8c&f2TATG?38YXBf!e7B;TrPQb7l9k-;(Czu>31IGp zv?n#uyYi2q{GiI)q#|i4PCGp zFV4{}8pUm*2<#5c2+)vy;$i4G2Icf76fmqth~rR<0EH0FI&KJO*&?M@&o{hDY^Q=I zj4phH!l6Mc89SVe)8tL}05uqB^c#;UUFb->7M%bcsLQ~KspN17iKJ$dRUv5OSMStf z30~Sz0uIc z6Zay_tDg8+C0mY`8ry=DzY;}xHvj3bO7d8`_^W!2)eBtxvtfv;p1C+xXu`)TR&=V1 z%5kW`u627Sz7xpE#E&U#m++^ZHd`s~vEac%%1cAoKIk?vJKo8a4QrSCo1Znv80D2t zEHcRDcx&obE!QK-aKKw~rae>)FP5Cy#?o8$F;O;ZyoG1ldWn#QXD)Lg+b2Gzkd`xT z;Z8`)nJZmLS7r06<@Ar8k9+lOc;IKj4o?DAHq zKrtX}2dDCiYjRd$P8EAW1o?}jsq>EUtXl*YOa=iX`(L7+uLZu8nlwh7>a~<>?TQM_ zj@2zcY4%@F8xg5nd(!Ofr}0Ac-s;q(;_7h+E=kS!E;9d7uPCpY{(bCaSkl)nS(=VlUpSag`uO` z;_SrfN+9zeyD_8$?AI!Y+s=Phx*!@x{Me(4l&obsKy4VcfC9E;TYCXDk33=9(NBv% z`+r`QJTmdvxML0dC*3d>!h%cAm-@HHvP7rk7iwU@SyeKLo90v4+mkIH(kit$h30lI z{*f-v$Gen7jnyRy4+Lz7PA}B>8u1}VE!+s#Mi$2sTk-3T`fLDpRH3d_%1rFg8H>`SFM9MeJwx1!8+LW@SvQ*0hDYZtg0O#oV*hDb zh;21~4g|@wu9(P#uOgg6G}>O?GA>+K%cFrc@u|7Ch1Ey93wrL1Tqc+>5utXLr@=66AZ}t1dUY<-cG!pGK`)3C zm`#=aoBKDp)0i!>GS^Q+EqkOjz;b@3^p2-Tn$=~{WJOK?u__>uDm)dD8`syPWi0*R zvTdQ3siYz2z;(AtW~ZS*%l{ZIb_N;(*dydru^XuD?}~Db9x-ZV|E8)ko?Z>j`!r(I z=D@G2HV<#=2rc*qTx%}B8DFHX1N$%)Tt&|bwOnq@9J?iXD4A~P{lvI5f@Bno?$59l z;i%6bX4DSYUE$b;neUysrVKQb^4_2k*=OK$3JH61DJT5Zoeb!me;2A~TC3;x} z^xz>FAJ3uA-8q_7-n@S5TcNRAKCYlA3JL3TU#BUt1@Q8u zLNZDX%s}d<0_os7JSuJS>o@0aV+(d2933R8p160Kzs81zjRzX`)%lsWCP(WwMuv7(_OFljbC}2zSe3~g8u~}-xUX0ElG`d9 zUL9I_Z;d!t95kLaj63od3=KBV8+(6?gANrkLBuhea{*}a@R-2lzRs(gq8 zj{a}OLRWSYawRVw&VGiWKe(nvH;-;`c1$ai`>K-fG`#qUs&VfaRXjAU-0Qllllv+g zUK#k>7HCfCcM1?xn8!~5v}%^ab@P}k3@LN~Z+ z3{*@9^C1P;_m((|(3;~~1*!~vuS-{h+VF_(I7{^-1C#a4D%K9OHJx77;1c-npAa z%m9I2a9hfDvKh_g%(@@$Ino&P7zX_Tab^|c*=!Ax*Tt9~MV1;`a#y78*~+rLp$4sh z=x?r!RggdB17$o>z7G^lrHZ9~Fr;4^D`QQuM%L={v~Aj)o?7rp;mqFU7jARG`m95;19b5$y@tWuZ97MxFGRU=rnc`-MtYU;LLST{bU z`j0d{7Fv)?Xv5sXk<|E~==PE3QHB4T3RhoZ^#y&@_HnEB6D&DV;;Me{iGmuswR{fmeom5kHWbVcfRsW;S zA6xyE$(^y}9?7+s_8?ai;eXo_a9M~>zv=|j%jbq)Q#^F=)zbjf* znR^>C)KW#4S4SV+_eZsx0r>a<_&F@bHSdp(B==aO8LFU$W7(mW6eEll2cBQ4v7|kP zOXcNus{lHT$HPg3^E``ZRQGJ>c^OY(cN?B)LuYoZ?9sU!)cIm%JFou~4dmiv?k?fb zSIMLO8wi@4K0#sM#HZC&SNy}+U`6?!>+7zMZ<>d znzHBTlF7rNR2lt(gZGP*qq>DdUnSSXQ}*!Obl;~4A0Q+Z9Oz?h*2fNCST}0*Kp)!& zyZk=(O{0@p<9{`%4r*&PNygNVX=K*>1`V!$eOSkKD6K@noz9HMLaQr zuu}iXys4_Cv5rRbiso2T_Gl<|7cH!&Y3q;EQM2~+39Op2flO|0cy-9Q&8)to$?X@W z&hy0+$IlNHpSNi`MP-8iVyGDLx@!e*qGq*8)J2c%iVc-=rk83bh^c*eY9$Uq?n(=S zEYMF#R0Gj3a{^_7~?K@t1mFG&nu?!*zbHs1UPd zL$6|15zTju_)8WDBMqA?q75A<#mYKDEnm_iI=Ka9mOvEMrqnT(SR6ZBnKZpkvJ!!s zizHsI6)Bd=_KVXK8!Gi;G;v)i5)#fQPJ>;) zUJWoD?yVWREtZ;eC*D(VGA`_6)wS(l=v4_U-$AsSSo=)d50TR%?mN#`CNz^tyKK2d z;3^ALYuUA3D=-uvRz0&)@e!l$qavTO=)DTF=p70R9pBAkY-AyBE6rM$2Hw8;tuHF0 z2_!JA`vz{oqHSA3qKs z@(+-6T=y@{Bu2hYEaERHhpjbDG9ZCMv3Maqd^o?*f>{9_JuNpOR%ncS++F7@+8>3+ zAZ{TulKb0*fq@;7-f5A}S584?6WvaKP#yY;biqWPttM^olXi|8K4QL^v7x(941&If z#o)Ch)V)0MF&p^CoT`DZ#UwbDsRTk9pUYLLuMMegdN#Bmj0iMV_f}2n$4vPBta{%( zMH7#Ao~tOv5vu~2=iYt5(c(l!lxBJ1Y`bc%(zi}F#JgT%i|fh#oIwXJN}c!i#J!}sl2ef@d5+;5 zScIB|uI8ldiBx*tVLdLo-DU1AJge)TPpbw2G1 zoFM&M&>{Of?#Vm^2a3bVf=-^|x<%z)S4GO=rw4pU;|v}5IC4e90+-YnmGA3H3{uTR zaeG|Qp5fb0So}%h?8a5=jIyv2J&B#Ar!a24ZK$Y09e_INnnZ`sHnL;Er*(!GV$)lA=dSc1F^oamx<$LMmS**E2sqgsNxB)>K zPVyV5-_D@##I8K?Y)$g5C{{XYC2wDp8YNRQ-r6%%9h&tT&+wZ=mzEukVO0t!6CM3q z1ZWAX5(Plo>8&bNtH80mRBcPud48(uB&q3oOAe`B(3I^-x@s`fcuK{+jBrxl$HmK*eX6`n^}sj3R{SBxRmIM# zvYybqr;uNg&I{gh{V<7JY#hl9PX5Rfy>VkbwS+>giA-r6^?oYWd?(@#mfhQLQW-(~Iy7SJ=5SyQ1s=L8*58sYacvxJ%EF zpiOZHT!}p@g_FCDIt3!b<3G*9FDKeh^F);0OZh_cj=sU_@5YT1Vh)mYY6cn&_cRcA z_I2kxHUp7P*fO=^i*RgqIWe8+07g^m;Q55ZyqCEg^$DGnO<)bR*Va&|P1y>H1^uh1 z;AG#)?D4NmC&o(aof?^p4r)2e_27@p8FUX{iE8lVF)(Bdnlo6nA7#KtP_n5SSrUC= ztaTI_U-wrEAZzh074yO*qf8sQGAq&t}4*Dz(O7eUW%hBPA3S=k3+* z2_Nbk8gp3{n=Nrgd`H-|>PW0I64@f`5|XDGnd8SbbnjQ7OnTI^Rke|8iw0@nEa?=3lxl6KSSMIxXNJj=`4F_UQ?;U?T-&u! zKW48(Yd%by5Mz{WVB}@Qw0j@k_)V%4^&)Z9ZoZpt=%h{JJFzrw#*cu@ZZw+GlJu0Y z=Eu(qnS?q>w>#B|19UMO6@volbh{EkB^jEkfOboXgK$A%+x7EmsAZId+5_V!alPPw zT*om{L+1pRtR@=a1ZlTUkO<3kJbyT}5zeHo8Vjx0(o0-#@q4YtLi*xIM4Ek{JJjEw z8CE!+c$2Zf1XfwNCO+GD8G{20sJ;vEvOw-zgQc+QiHG~{+@~$$_P$LAh^EEz*1oaa zm`4Gi?}nq;g#O4#{ulD;I~N7E)(@2_^#6aE{vAr9$&S8T(fEeuTl)I@FN-8!L8n`< z!5%f%9M6-d#WxlmQO5smZ>ygdMj4e6>3Sy)^=U# z3!icplAC&jXIxh#`QU!TxH>M3L-$9LtB)Gs!72Kr@|xg18DDnPPFHI7vwg1Mm6th@ zWKCN%iGA#*>$C??aVRGPLdivG(p{W7&sHtAvN+PlF+=)Lv21pEMNM;bgm~G}FE%gy zz0M?v5^g-w_JvPrQK191jqd)x4!df5nZHdjq?0Cjsb+64kP8AOYB|yt{}kqRigkfA zO#_#T$uu?VX`0Z&myy^sSl(cs%f|%jPNiCMr0x5kVj*+sA^BDvX}bkqb?8zVfw|Of zuw9-GH3mlNz(d!;-n9dd%g{St`+NU#VtNqBSJQaXKq+y8GJZE5Yp!k+4b?04TeCfy z%0@xZo9}Du%{M(n3bQzUDe8MH)EDo^L5w!jOXTM3_B?x4cx4Y?gx`^5b&tlpN3>|& zpu0gzeUwC0&9a*04tDmZzz2>yHRNC3%3!+z1CIM9%V6Yl7o}G1n0(HpGaed^NK?xv zf0v2v<6Xo68EVw@at#mRg4Y2(kVAW;HtaIP(v6Pr{0?W)5tX{j>dE0@bmmqNSxwNC z>$nL%CV!3P`40R|tj#3cO8vZ!a!+EpgH(s|?QlsquxnTLQb^@o+A3m5*SOI*M1eQT z+v2>a3oYGf3}SU?@0?~HJGF&gk7{(uk;Qkbe#4jBTF(@UfUN~a2A6k;hJ_9t!F980O&d zl1_(EXNI!E;O84&Z5uYGHcf``)X%^Chl*}f9_v}yU({_H2szsk=;mN(2wP(1&00)@gW=982JuCBh}Cnz0bp^UIj_w!x& zwHwA1U21SI@Q!Gq5_(;OzGs=^w~X_=E2$`L)XGKqo>Ei_YD)xJ{x`;ZF8kFh9WS`= z4nsCTh-2|7J|X2ReST~r$T9X+hcCRM%P+JvTpnz5#c=KPaX-tzJeQibN0+uOqAVo* zO?(ahI1{PJcLdLKj&Rd78Y6+@5)2DZ%(7;VC%EoPEA{F$_+X|KpP3?HOOr}KG^O$izGvkL-?iUS7Jq@7 zSA&K{mAd+dkrffVm!D~xT&CS6gwUXYpD>EI`l!-Eq;C1dUo#ft5E;{CJ7sm2n^jAP zDK9czVF1HQJS}adfN!Z~CBa*ywG9r;tdi>yT;T_E3`atbciB2vKj8;GLCN_Aa7ckQ zp!k7Fm0A$@Q_Jo@4P2_Y@6(r-}zm!3Knl;nNAW4s}pTyyz(!J6hNv)ujKneOGYb}IW+MVE9C&eJ}p6{>t3sV7EWaWhXH2b z<27|FR*S6rSnZ=uQL9t)6*)w46M+pzFTj=Z3ZvUA=_(;3vbC|O=+v{VG$nTkO7=xB z$^jV_J{kAKSPBsYRHVm=SA>f>6xyWMZXu6OiK^Q!KROYTeOJ2MH%`C|GZ1!=2@#X`lt8^ z8@%$byO>P9@$%2f4XrknK$|hT9{ey%-{nOE{BmzmXOTw_jr^-N3bm44eHR{YY54lze~w$dg_Hko% z!og^p?UF9X@mjNEnDYA}midHflnVnBROCP9@WyR5CfqLqCQJm9$tN5?jOTazv;>dI zL0%H1GMYex`lJ_pYyb}CpwX9!Wo1`Ci3h8EG^^FDKx4;N%%7mq80rNMje}uywAF^U$P<3>YCf^fUhnUE5{)iB zwA)yyTWH?GC$tGu)K={pVW$}I;ABVSKCs^=>{Jn#esvJ-ttfV3Zc)G>85#PB#!2Dy z);{5HHEX1pTK-w3Rg+-5s_sM)Y|qCrzF!zdZA}k}lICxzmwL;L94c{nhAIIc z!;7}tqA~F_#H6{1pk*s#(RBv(mTBuM`+xrZlkJSm9qd;hQo}&W^-$|XNw2scxKa-I zyY|%LS$ic&9KQC{3C_jgFAXf)-#>mFE0) zV=&LwT<|m>5ZNYGyHe&X#%g|DuVTVlb;K?cvGANA^jbgI*IQ{agV6AmCNdz2wb#&? z)%CEJ69HJ-3%Bnv{rYKW-!JQQDBb0(j9Ml88F=c}ij0T~sdCb@VdKseLu7Xq=0 zqRZ-!9x>#p1{yZHV^*&u1>e#K2<54|Kf9D>c=z2%vOT9W=M2&= zRcLa4POdl<{AYZGdGaOad$3I<7S3Ako{d@E;p6?w#fdzu0^rOJ zb#`$E!*c}kkIV|tosz7Bk>JZNgmGo-lpx$V7(mqq_Z8i#d#sIk%5U!#a>Yb7Y% zq%tkyQPmojXKU0TP-TDyz4MWPgyKaOi4tP|dQ5p(WQUj~L*8Xw$f7=jKhj)!rhW21 zrB8BY&abI6R$^4V!m-lj!b=s-J4Uf&ea>Fhbztmgm7vul0gJ?4D$x=v5joouJ#i3x zo+2mfJ^Bpr+P{U0i+)#Rm4%=WNI3X=@W?TLq%gs_RHju9YJjY@D#JN9MJR z2i%|-Q_=_OSabgCd}!0VNu78>Rr|8?c!^QRBcVvt>L;<#vVydN9mTVVnZg9~jMtTAV zHFeV7Qfhlj!2b&lu~30nLXmhZt5*~vqVVprNsW`=sYCQ`&xol*EQWT*USK_W;jpXM zaRXJ`cxhIHSNP470HR*2sGtEg`AdR$m(?2CRAt$+v__(MGmzn$8zG%Qc!-yuPNj7b zS*8HcJ}EqF2zH@1j*Q&6L01{fI>LANhg!~hjf0V9J1~hg+c8O`*-m0&&2}ymYqnFG zNb-%#F@$sY1NTpLt&fA6CHI-NyPxo(_hLaAs|lP<@kmNd*(0Hr%>gbP1piNg?w#h3 zF0gy2!Ks(qvMW%_H)Hv(X51q(_B5NPMcu`?q;I2Xx zD(P<9HM}?kmkzowXjr?tm%|uthm0fd3!0a&)}0c2rR7WmG_Ka^hds}7iU1_=Z>%1( z>!a?Po|;YL2;C^q5&Cv8Q#r%wGw!{2?sTDc8mlX`XDuRiqwEEY2-LL`HIjUW1NQ9m zn;U;s&%+qHJ1GT#CRQ9$=-_Uu&H>GF6h~;m0hoDFU5in6NnN9nOmL&A)lOu!gAuJ5 z7lw25fqwPVUS2r~S@&0;Z#qQYh7lYs(fV*()RH`#8*S%)F;MKIXqQ!U?X zv2&)!Wk{Q4=r|Tv0fes6@e!Aw7f7FB#b`UErT+a<7RQ-dBM4EigrcY|7!vx92Klgy zd<_;3b)<}R7ns9dUG`>Zo?euN1jo7o2E@2!b6o_Ui+izVzk1O1v+Cii>WLBFW?xq) z)I~T`7l}ahYrNev^_nn;H0}=Rg>{W>9kdx9@zou}5=wee-CAoH#sjq%9LxO{#H~(o zg+oisM7|Utr2J%1VyDU)0{T)j2z%?Ix>eSuXb=xsgMed4)E*eA_HAVx?c`rq{#44P z4@aIeJ-3%J5-2t=f4FNG_0I5Y;nW13XSD))3wL4H}?;(}7 zYXgQF7vbev&1`$iT4DE3wrj!DFTR0m!Pu8z=m6Q=LXQy;e|-4|?g+wX!9DdT|SR3Z?}*0b5;AC3;)7g>|hd4kQ2<4mzB6m||X94KR_?rwXi<&S1J zXA<_kG_rF=vUTO=k+Hh+CO(nm$sCzS%bpIkTul386n{`$iH>_Z(!bucS|iDW7u79a z9r*(*ft1Y5=DBlp%(ZJ&#pr-Df_nj_d~fpgT8D(Q$tykQJ6Mg<^?~;$|5VEd-a7jZ znpx~k1&O*fdm=)xUF0zE4}+4v$b*A; zu(aIgfphGc<3?x=I_GrFnW=nla`|2`IN$h(^1bCN|KIZUjm*vrwMZ7|TOAst2s*t~!wb{UJzuhnr`UAsHdObIGMTa#Hswb6e zH5E%@&JDu{SV5YBJtQt+U;^Q4u0Zv#mzFXH9x$NJVgJ#FwSV;I(|-hS^O8T>bowsy z>oED3|IubsJ%TnAKLgJn-Th2Ge|7+cZPNRy1-tQa(~QaTF1-aM`@*QBzSV6vHl_cO}}#ut5N1Q$M; zD?$s_NW-LVt&yg_pZETCKb0aN)0D0h;BY!pI0oz>xLdhEcox{j!GI%PH|9r^&!ZQI z`M}1)dO~ZGk8|(klo-ACx|*Q}WjunrpX#KzoZSZQy%6OzUEYbvSab#PRFwO&o^`Y7 zU$WkHj&(VLAQ!tSN05POO_S70M~ZI+N91-0VzZMpBe^B$RrZ?+c%2!HwKD_Xt_Y)P zXZq-s_Q0H*4h(nNP$mY9fw~n``caGM@uVi<%mmtXxJ$MC zPn1MwL#zpi_OyPqetziV&9}f~Mezprnq7k8in5 zh^L@BeHv;t7<#0MMu+Y^(MnG=&*;!+Vs$M8U1of@-({A&1x7Nh#y+{S|B+boCEa0f zqlR^(d@)~E`%Ey8$5P{m+LI3Sl2!%xudhr+v@+LJ6yZL^e2e@t8wBg$XU|uIXRKfT zN{8PWR-HZnN23k4_LUB~2D$^)+;XC%{a|Ma`mbb&-) z#2mGazTp&N&jga5OT;QveBDG$s}a%uB5R>VQKOcXwij^3eVtmhs$v(B#j5K*I!|*~ zNJy^Z8B_PsLuNX6qG1{-AY-9y%8w9bY>+6Fae$qrlQ=9L=voV%)ekV3B`dAKY$;6c z)~+bDADIAK6l+xtd$U|&pN0~|RdEhzunURsMP1j7bZN?^s*HbPAL*SjDC<4o@N+Qn zK0Q(3$|UOPI$A(iTI{OgLQk6-4PUh|t>o0CFb7>(_J~$-YD%GbSD9b~-Z-j0ri%{l zkn}C}#mWEAZkTELG9Z@NPrKg#fmPGm%&IBlh_4!FO5b!%b4Zw8_ExB6!%=OnWi+*x zSr#iJSRK?XOP?RDOQglRdO3mG35R_f7xsMWkUa+?DQA|u^0G+78`!9Q->l9D3Xb*V zsxqr{;BRn*@2m0f<%fOWWt#E}8hqbf^)LxQ(O4hw&C&Qu#{0hS7UL`Ztna&K0>1KM z-*^3Fs?!U6MqWBWb%ngaGktGOMBe;izW1^z@)oRm$3?z8E^pBa-}}w!@|N7>d%rtV z-qIUP&VZ39Gg-^;(=2QFIXfUNRRuG1w9Nh7s+ zV;nb8Zl_%9^|L`g8}+kEKYBFB#cNXQB9TRHYI7bX30DJVMVdajGB<14kgHFRw4eRU zn}2=Z`PWa4CaX~j-sn|czTxlN=Zdoi!5YZb$2Uol^$I>Q214D5&>~_oUsQTyN!Add zSn_n%EgiAssgbhu+)HBUrOH}o1k*7X@m`a)_}ED3(nF-7_soTXo0{a*k!gOi(z+vy zXTLo98F^GLGIY3zB#8i7o;~~7%cESzk70<15e3U z@C3iuPE_TI+(ad#NFsWe0NRBc+ zfXOahoxkGU4sPUY;W|2k*rJ_u{&IDd-HXyBvkai_QL{CV6^_0*HR&#KFPh3*5Lr|4 z*Uo(TVs%#!doNO#JIo$Gn7DTx^}!8e){Zh3RK?z1Bx!19u;7Ui5h*>=OH$)mF)uIF ztKzYw6AWoqW$`>!%V@fKWav?mHh~zj@zM&O=+MWi*}b7t%p2Pxnej_snOWP;SAj$2 zS&T=KdVL`8JTQIWxg@nTrxzvd=$eiVt^_qhcULzTF0V@V{=7Q5`yt|FRZZMkHEyRV zOCNSNT)0aaT5toG1~pG+Vc;621LdwEn+^ZW?QsA!~~+Bq0dO|J8kiFGbW|r@cmD z^S}+$brVNv=A22lv%mdch$I7J=Es8c)vbL^z(hhXDHZRknPxdP!66E!u~_di9xgSk zl1L?j%gpVS%y-}XRu@L^Oq4D(H^wCOps~)RP|S%tST|!((W;wc9FoiK=(I=ogd$r4 zzXTwfL<#b;G@0dp&LQNGWEy_6+B&TR2TO-UXQsi4nQB|nH5L7n9n*9{?o9vj^x-XM z>hW~3I*amaE+%sSN!0Hs{L!Jv4A)veV2ZMKB&%i$ilkbMb8y=mHPnRdLvv&oO|6WT zf=tIJmY=CN&M8sJ`kP|G7)gxF2=zN8!bb)*Ca{9z9SoQ%Vod~ z@KHdFY(`i;Ux|#Iz-rZpq(&}o`e41;mD5l{RaEd%nUr+hLNJq_Y7jX6U%x)hMxDr@ zI0}@1-4ctNzKl42)q-uxpqT5H4qy{FJ&u_4`RRkA2$}rrmOErQLN>terG88em4lmz zgZ~|NH=U$9WY=}wpnTS?8X!gBbla~?G!~eB-~_t|SM+m#Cuax$J2^L>>MFW}#F>gd z?5cQB4ZpwtM3$KvzAXDgHM|ybEAM~Vui+dJezb-?pld%;!-|^@-!Qm_S0<*F$#2rZazkwzR9d4PEfxJ(8mo24*qwjZ?a<{p3x$) zTFK$Sf|FvW57DR)YV4t{iFC**G|(@KiV85G1&N#=AgLgldd1! z97g(7*Aukv?E$U{oSy#+*BnL`V$vKY z42mLT@~_LasOb?xHqac}{FonZ4i5fz7&^&GGTat*pq=LpkTO}M{Nb+Cvig3AOT+u` z_YQ6oGk|`uO@x}J0Q1{LsObVcgR5DSkjkOyCjDwR9-Z8{x?G<%P?x#FTl&MS57p%; z2&=q*s?}vxq1L1Wozn^XBY*o)EfOpJg&&=$LWz1Z19qWb%&8m*JhDWUHv@^G9~wy^ zK3q56ae4)znQDtk{_hX@lLjzMPbZ1PqH|^@PzYwOJI^7QMW6yQmGc2Myzn=%mV<@a znY8Pw1`y9qPcYIXLvi*uF}u0k7JZ?X(TBCMb*n@cW4+=B3uap-LT>~nOY&taL;M|t zClC6zG_^N&mJ&lP#oR_zML5Hova*HYO}Aif&cpuZ#^{afZM7c|&!abXYFB`ftp4?7 zQwt{~^9n=r&g7CI`<2}XbG3;4i6}w9-0-d!YTatD!f<<-oeF9BH;^R{xRI zUkGLS^u6pwS*2X+4Sg?nk>?zFi$*)gs6`XZaYHs&&~Avedi+jp9nEiTE4DA!dy%po zbJs@79=U$h3CzuTDIrYe&9{TAN9MlC-aZaT1_|>gZr!sr)N;D+V%~v-F_c=!ujp^# z+jp2ERZ@$xs(h3N*|#64+h%^?{QWw@wD4BL*FrqPmnR4-o6oW5;0{$bUylDhT4*w` z;TJMV8QADPo7`u!`)qNaEq|#^q~8!<5QLTceGFfYj9SUu^$NB@4_B% zAHhF19l(cNScm&`y3cy|`5)2;maQ(q!|wBl`}~bhsObyFh}UAtH)BaGSH4r(K85yN zd4G)G^nng*?QC@{*1lNs)mZXaEP0@^J$@qABbitlJ=KQAR6WBeChM_9;o{kdfjrVz zU{lUmrr~quhl6K|0^y1Kc&77B-*fRGTKqI82%0H+lxC)%X13CNpEQUZMh{fa|A@Lh zzhf6wjEU@Q_SM5_B%(w6OVOd8!dS0tB6XYk&WWlWohaG|*!L&ihqJ65`?$A@PQvT4 z=YU`qE=p4q{((@U-58xpqR7eU}cAV*XiB|JJf+GNfLA zrdH;T=)5MKEXC@w7wJ4{&#AGxz?c;~hjZx+#%*~OZCsl*q{6B}2) z2PFb}v{zEF_*hP`V0>1Bo+>cv&jV?Z$!OPW%c&G~_K+PI|R+d_9OT=vFtA*316RWkKtv(2`nX&@SiHbrm!re70NHn=14gn zVc7)-v7BSc5f(Slx3VbNT$c@fzQbodK3;FZMYR}US9e1;;GvK;_zeHx?Er%3Rlj_f zB^J867q%~?dr8j5AkWt%(nAB!xRYg4Ms<*4Jv06j0cNZ!FsS!x#ym9H#0-{eHLQ^L z5jt6L~9{dX4-;_VFr>hs?Te=E5D&k^^qD^X|o)h$abV9)9~Xh(8+QBa09 z$QMH_5zU=q;KRjzERL&wQL22_^Mt{qeEb%BNdbwgf=ie~N(fyIzAgVycBSGWsAFkj zJC0p<54(7Z|9<8A3UZt5WN z`HvT3;}ahA`p4My@*=6FLW;O<$0DBGJKrL@N)acZ_6+z#C`)V7g^zf^0)oGzKn8*{ zMiViFdI%;yRbWjqz>d*gj_>6>7ta z4AiD0+B5ogm~oD9BeHCz#({zxJBUC*5$eI$k>oRI>n;-|FG^bfF*M%LIh$qB#y;XG z`vg4v{TTze2&Y!4 zfhaIJVqD4uc^MpKLIGZhI#M~J;Bd_ZmJdz%cda-N4Jkro8;F>2!WUx#1kDc!^z}W~ z#3Qf7VugSOy{;C)Q%)OWSOFj`pC83=mPRsu1QYXJ-u6u1nkDxs0s<(IWjH9zNzR(6i*5Uar z{&;JWd>86Jx>PU^HG+Qe9m8J`B>mFh$}Uud?-u*^V>Ev7D?PW{{E#o1n;88$?+*vn zLjL%evXF@$2aRAOs*>-{%i9m~J!J6YlYtY6o3G(T`5`sm@s?Mmh z!q2d*i1dQG48vwLPU2#?&xnQK+%4wXcoM{pRc@NtPfruOh)=Ax46#QbcJw06o_->5 zeqRB9XAnA)T6$3EhAK_y?NdRz>+shIX}^QNdMF}Dwz%yNDrbtrD(Vo{Um`4NDgRl) zPnipnT)|)d&&@BqOGX(0bTGOscSqG%@_uzJ^kp~55`FaXMIeRwrcW4zJeYMgA$Hi` zbTAovD`ibt6GYvBAHATUc#`OZ$j>x*_)o@2*NhMq2S{u}+GL0hz$a#Sifl^#_>f)o=^*iWcWrqwYt~guIZ> z>*)B_y1sqjKVDuB#r`m3-U?P~mMA|o!ayxVZ}Y`?@u??Y3&ebv zqLPS;^Alsd$AEPmFT`uWFDn>inbOB7m>L{Po9^B9qb7k1M2hmLrF`G?XSd3E3Wf_o z&uIxhqmaS3{scJO8hw*YLYC{tn6wDrxBm$fw{2X~7KeiQ;p13Xz=%z^!RHhUW?&g^^V zX1_5cX!r#E%Nxw(D^R|dq8&{e6wVv)ZyQ7>3P?uWSID}C(VrsvEio0K^@$bqnM|tj zKsV_y>br431YZP~K4K46_YY&g zzI`m5lbih)$EjvW$ATCQGUy$!Ab@BW3q~&{v9Rx0#RC1-h+Yp)I$fmZfQ1W~H-&|U zbS#`pDhN?+hVH1iAWX0@D5(qB(J4(3Bn>)>FEhCd`NLqLk+FCqfdz_z>wEXubSwle z6hg~?90Cqla2S|dF96BT^}=V&=8T0uq6&(IN0>H2S z?Rvp=d$wM9qC}+TfQ56JH-!c6RVVerUq}TZs$Mu66;J7fAvyHIDWtLWLLdIfsTW=^ zOvgg6av`*Qry<~g1&4v@CdtnA!shdl%^3>=PzA-p3(Q2lP=|m6797}*BMI5JkA-V< zvw!R8-L4lpjLFsuubwK^cECaz^QN%Sl#Ye#NChFPUN{^TCl>IYBjyO+&8ap5sMGX9 z8EI_2FpxiT>V+4NO24n=|{m;BMCoKaI}T3(NaRwH>ffdTRz2cqE(D3$>&|D^xFdP;p{G z?SZ5po(iO6VGuWcIWR9rzyS*m1G9)EJJ$=pVm9Z_=#DBV7Ct@4 zuy01d0SgZ7pCif6^}>V9=FEQ1Z+E+1*jk;f7uNn>s_lS<{-ZLmP=Hl?5)1z&6(&4bMr?x`1!oh5{pe+mK)aBvu& zLrAi7?Qkx$IrqmtsDi?wv(5f+1RUVtz-VMQV} z2RL-QF#`^Kr87BMtmvVwQ0=hth;;2RQsLmV*YF6*Z0#_EKXPh^f1%729HwzIleWVX z2spsOVR&9glAUXZ%XFL6Y2Rtf;WiwCHv8idaDamY`)#_hy0bNf?7uq0VK~@hpMi&{ z$$h8)&wC9Y{X1Jb9M@f><^Ts=ted{3u+p`|nv+3=R;YGZd{|05@IF81HN^|0u~ox7 z{>Z5s{)z%qSeUE3s+Rv%1RSv7Fg9y-KXhk%kk>Gqb7!<1YGdIPn|%oa4p?wt|4W;F z`!mHB?!!8>uLOJGV0Z38uD&i?HJo-5>SK11Gxs2a*Jog1M!IU)+D%)bs^O(BDb;|_ z(sEc0OG#tvg$Dk}sTcl)0#jIcOE)hq-*N;Tu;4H-|4Wjc>xJ8y&ABr^ImE_7na#c& z0S7ENu>XzCzI`n0pPT(4u;0yk;k|3K^}_iliqstR!jZ!>uz=T{QqzQ+R7t%s>)>>~ zP?AG0e0l3;ZmFZ z5Cj~s;K078&Axps{5m)La80>emURZi{wqE%6aUwMbEF4;ufd#(wpEMtfNR`wJlMYPj zg|Yepy|c-%56NuRurGh)R1MuxW(p3j(}d9SAAo=Z92~~x`?|ThGm~MV*-dpgww&v= z;c%;NpIZJ}1RUVt!2Tkeefx0emz(`5V844+gTDBVF_U&~S#t~|?PN1l%4;`zY8mof zg}1BiL(4Epl8WJWE2S9Re?h(+s^KtF*{Z?MA30US(I_&7g+q&l(DHRfzyS*mWAkg> zT;18RVSor9vcf&bog(q`X27NWV?hroXKss_Eqj#x;YTRwO+ zB<+j^em-xo;Jq>p3vrSp6~m3cOeqHUrO21VWH^CTwq7`iKXU4Y!%<`k3!&aZX!&~} z;D7~(fw>n+c5X7XnB7zdEKGIVSa?eJPA&hl2smKDfqgYe$i96nROV)XB-rn6y}*NL zVj=ZD!~cR(?;YofO1uM}#De=DX;>IalB8bv*8ypI;bP>=p%+dkm8}>0^G8m-;6afo zEc87^2rXY20S7EN49tT`vU8K6&1|JQU}56^HWn7>#;N6d0|5stIIy2Y60&a}3nO#0 z_ksQH)(bp{CKgh9VexOJ-cDE;lET8iSEONK6iJeL;jjCp>4g~b<3!q5~JTz^Z$!eo*p^}?SzrRjwWkS~W`_&cd= zz3>nI$f*~${30C-f8z!zw;qQg;D7~(fqAO#sqV~PIGWjZkyN%`xS2n4>V+0pIu>r=?kM%bC4o0R=G+-WQ3b`q z&$?x5`CZ8EfCUHk%Sp0xy&(Iq&g{SKxcl`252B^xq{ATK;NHKIdhdt@bv>Jc!?wX` zaCq6TQq(<$(@=9k7~yc%UvjF3J4j`#h6((UQ#E|JcRC!#af6hq;cf&R;NUPeD|AnF zXR0B>Y|j00DXO4w@Yw7RM!*3M4(z|+-s;X(gY3sTv;SkTRS|=A33$d(hlixc#ylD)DDj# z-~b1Q;aR2IsyovT7n^-l2dm*cR6*g;)ng@!FsTpwiYET*+J~>pIq1xd{)LcMDIP|$Rr*?RnRJL}Q!yh@d!z*nE zrWuj5x#3Ce@H_$zaBvu&qjhI>XWHSPW-HYJ4ribW3Wt+y_Pr2rfP(}3eQfsaFDSm@ zMyxX&Ui<_Wow-1Mc-0YwIQQ)u}k1fZl!`h6!hCduEV%t$WRO0KSQ zs$m~gTM$MpoOE$c)v(eBDqA(I=8v4J;o7P2smKDVQfB563*Q1W8p4l zbH+j;s-Rf7&}M%z0uESkV1Jy=zI`lo&dvU@ZM$DJ@E}?`E~y$W>MUY&!a}bU7C!n@ z8Wy^c1R_+uux)FaUI<;3Q!lJP2voLS*uWn-^}-|+nc8bur<<3SeEVc~d^B=y4AThjExF|nL_;b$+XY`xHdMdZ{AH7GKLg`afu((-jY$`K0=1M^*y z>|8Ir#B9!3uuuiX!i_fj(FizT!GZnxHv9Im&?h(hJ2&rsy}*NL(F+to`qy;oc_7Zu zo4o$D7fR3b<2TEHo+Wa{2ge{$Rq)LZwkn`i*OwVEKOFN%ITO|I=Xx*_JrCEu^yJI0 zTP+{HES5;|6J5{J`GqCE#GB8KqmvZ>C=~4(eJ`pBx40eQ$Xwj3xZMFzBI6kOz7k*e zPqja^3~tjMQER0A1*NN1HaIO;GoP@AGzCPD+28*+v6;bv&*{hZym<>>pX1~Gn@y=+ z_wh^vt<+4udj9VF0}IwoK*hrIzwC&MRkUJN{c$rAFIhrH}N?!{?XYzJ`l%0-l|R4p!lL3 zA7P>w>(LqT=|wE?WAARrP*e*BG3{7*2S_@ftYDFuTLMg9ANgqo5(4YRBn3-;RyEYf%$|pJ< z>q-aCC{lSkc~dgZibw{>zP87r$%DkD5{ux++UigWRUIWB_asor6&Yi?Ay8A)bJ8aG zn#Ipv_}TV0tHV#)K^*+R>`??CV!&gC4m+Ri=55AsNR3{iZ2b32qKuZJt2D#+rM0CU zA{FY;33-aQo+pHI@sX<|GlBZGvf6Xo5U||)nHXNSVEHhEl_euz3) zm1~&+R}Z2-3?oD6pl&*ln+Mb$a1qI?mI^O@L`N(wh}8Bd;RObjTOOf-UYg$>Wv0AcM ze&R!Pq{P=)lqDai+TabXQYm@hk}CGK#}KhPfEQg5MJqpQ1%GSA7CHy<@)y`wF6G0k z4&#eyJ@e#@j?k^!z}I0k-Tab7;4B*mBgDd(tsn3+l$bR)hIHoThK za(&Bm4rNxS-y*3tANtX`xJL4l0JSV%i)iRpa9|LUjcg$!+{r)`A&kPymkDovjo?Mt zTs)BGoN#=&w(6(?{2y_2LCW7?jeqEbO$YR=Ik>E1N!y$KdM`h#qGPSPXbZ@7JvSW4 z7{cj3_;n|}(n3gEG^Urh&G@q--Vku6fuLumT-UdW5>nr^_YTzJ46yYc6Ce68)z zEfkXi7BNGwa7Cw@lfxA!h7JKcC!WS-g1eoiIQa6I%1-zpocKm2d5?96 zal;k~aKz{pmCqYTY~_K;$PL#zjU-~fF2Qvq9ZD0tbtL*NBa7bn>cE21EuTP=?3Gy~ z??JUO@+OTpfd|K!!1T!o42zc~J}ISnfjoEE#ssHtUVLudCZ>Ykr}tV}Vy)x^E4p!s z&64bD%f#+5W=E91V`8BJs71@4$`aq?UG|o|%l@Y2Kz;_ri-YKjg?Gadfzcnra2VBW zE~|UUcGdPpxcVo!3sTo@@PQ&O+B}x{RzpYJZalQ{+k5qLvo(?^o;Q;&hF%n}Zi(Vo z@QVySM^B20^C8mIH(T)(&8fcGitl4i^cwHb7JGLa9pKRa4am72BW_H1n?3DM>oz)M zLoDY6jCAypam-d8Xh1P=6M}hTE&f4ih}0?C*7XO%zo^_c17|!~X|05@Q68uRxirc% z?lQP!Lw@U3+Z)>mL7DZu?Tf7{Fc}U#A@F@zFR4@UohTN#DZP1dy_wja??t2#L}3O1 zyvo2_`CXFyJwtvEO#Yq?-0|9kR?q0$SPY0p0JQW5I~5h|7np0~4duQn07C1wARioU zXkh{*G+u55tPIRfgB_J+?0JP9%9@IwSXLnJRokSMl8TnDgJA~&fc3gta#cg2xeL`u zA~fG>oSCWyYctFC>&DKsrrUnq=;aN5>DaY?L*Vaxw|GW_`56`f)=Fr$*^tTTHwq5qLtUAgQHFsGrWD zwtXS(oVuq_UolZ#xCPCA25T(kqb`YMP-**ww|o*-O!2O=Rt#2DqOdVsGXlyGY-ZWc z-NdmJU3ow>#@`#g{KVGqN*fvA^yvn#JdG&8dT@|}QzcgkWr@h?LlCK&&p4!fX)Ta| zlvz>DZoN9xV0A=bwr6w=!6D#`BtD@6w!xNK1;uDmiDs~Dl*K4Q;B_`i27lJZAlaI3 z)Sx%?w;7@|;P!?308Ct$Fb0H8G7tp3(m?;OP|0<-rd37eg0h4H?*CqukEdr>Wd&oL zs&dztI&vPOf44BSCcUdc5#oXTmL0$pG#kIs+jAK`g}&I(tLyP6gM((87&v-kQ@!5}dJB zwy{}JapER8g$6~Pz!Lt%kl51QCb9=m9z=a>&+E{y_X5x8L$PSKP6>#d@W#Y@bLZv279h_55u)P*HC@{BArd1x=r?q%|P&AJQJ;(IB(04`#pP;i)h+mVc^GXT=a=7Ugtwtc`FWebMwP?4#xyFY)9nqzc-!_4$` zCmww;9ml?9OuDbT29O%L%ph>MBBEk}Yg+&^h=Qo+>u|lvi5ssut#55f$KD%<{jRjO zFZ6u#uoEC;+dn6ORaH;TDa)Wr!6AkkZ^Q)_k<@a0T9@oJH>CL~YQ74F)VC0>D(#N6 zic9*{^a>xhl{%aPe7^1$h2NLqL~D2pEl_J#gNb{OzaFgTFGx^^iRoy`H>uI2tfz8j zZ;X6E;A_pDtO2zWAaN@Adf;cq7)^+(s5gBIQ3KNB5j*S(TV=~#*eve_+mfIm9OK1x zH;W=xBe`E-G8{^an`7w(WU;s2qOBx&K{MMXg%si%1C}lHuLnaTl2~WR^I`SvuCnrj z70`#N5nVx7y-;@eC-@Tux(;}X(QJ&tcbIrej`G`7!8q??kG<2kdac-~G>5RM28dy~ z42a>E*monuq6Ac_|C+#VRJBYv7^q@myi4ePcTHbhtJtykx5G}sHV~kVG=c ziYO9$a)23@wDbBR!s9JE-Xs9d-q3VJw)_jpML{N~=fAH+^B-UmB>!!QY&o6zZ(y>W zA1ZN}hrgi1yhWEWlb<{rOc?`BW+~$({4ff0v4OCG*sLalP5NE4rf)RAWVuUF!mXBK zv)N$tJLbEs)%-%~Ni+g9ACtoWk53LP81`>eX7*H~6DXaHXGHI0hh(2t(=?_#ze!wz zbnXlx5S3y*ZH$E2?72d&(6q_z9#c?4MR4(0wC!V{@wy#ZD=uwX&#tmosM_SttZxEq zpc8n55EPyph)40gCfbtQyx0pyJC~#56rapbkJ38P%;!z)D4ve5;o%OwOTVE4PjfE7 zNQLJi(Fl)gK5NdCj*?R zflxQXE!PUg?Wbl_6flMqS2AEIT8cr@UnrUoOz|K}EyU|&}`ee^+ir+GZ6cZRQ6u(5+z;y2niY%CZS!Bbs+%9H0 zqU>T$Lu3w^4$6S((3~(Gk^$4_9vFSB7f$iQ@HzAzo)2-D$t!_)$6)ux%O^MGl4tn-2C-EZ$%n1;x2*R<((C#FM) zpc}#%qGc5W1}*0!Y_w^^3E329GKLh-GGHi9LfF9cs0@lMZMrY>2~4kLHWsrvlq%*j zMCO2LT?R}Wa>BGe1E!7b!SutoY?zKTFdd&SOvgCFbaLJ>{To;-Ofy;M0n_$a=L6HP z-`uk>y_NiS4W{Q45=l)*n7(nmK})YRw9G-If$2$1&!CuooS`_FNd~495NTj~8`F~% zSup)O^9f8F?PC7OfGK7n!Z~2tlmXL?IbqtI0n^s@U|O`qhUruT)7kmLbcQ2L=jIL5 z1#j3e&19ViOxt6f4^006)_Zc&|D=AGVLIp7bO;fq$1;XW?Op~9T6_o_m`*q*o1znA zNO3I#hGJ7;5~j@v=7i~+%qK8C#V%$p^P6G9a!rskK!z9~~u`=;iXEU3l+BB1O9x!c>bv`hi`ud)QX)p5IHErt4fDmC?bF=~7 zHUpIbm9u0n=XX!F26wHcXogOgH8W(`HAQw&o4fDzH|VX0px$rtPuL2c}yW?pc`L zNq)Nq(`$l&L~=qV=)MXVv|Pj_gO*i@G%zh^dIrUk-x`Wjm}DrPMWli0<4jLdWWltS z`2?oh?P9KHz!dW(!Z~1Ck^$3!Ibj;kfN8Wnn3gQCVOlWN?3?15-@d63?67YtwI8(9$4?mNq;@=vEN0QuKGzoexYqg7u!%ruV(H%P_sypAI3y)WaB}ira(uE4Tl?wIFk%T zBO(n<(orOXt@ZH zMw>Qw$)-4)8A-8}Nd{l1Akx6}1g7VLsh9Z#rgxB<#r&LB%=HN8fN5O@OdE2-v_1o- zjqSm7+VeI{M;e%p&ljd+9AP>+Zx$k^!9`kp`xZACygDF(YAm2a^oNc7zQ~H)c>|!E`zE z2~5vrHWpLIfGMUA!Z~1CzzS^z)}}m}auh1E%e<&IhJLz1MuZJa z_xEN~T*VktY_w7oaYP!Jo|{3D1=AkPCop}6)GX#m<~NM*M`R9|cFllkx12C7%z$aH z_Fy_|mJQP;1JjN9!nD~DrmcCyv=3OTHqB(62Ta>zoexasKecCJ8Y915gXuUAAdvyn z?-@h1T+M(%%O?mMm{$HWo8n!@km6hh48_X`8<;+mL6HU1yO~d5dJwa*m|GYy#r*8f z3Dc4cm=4Sd({Kh%qwT@8(~~w#3;t{NP4Ucc-&6>8*f$mOd^V)+o@v80lXV_2?bHtI zd|-MQSno+~`pgr%4AXB803WGzILY@yyzkbYyX(sDDU|QG?>wI8(HCXRSnC?%0yQWPC?w1X^=NLn@6fs~x_g{n! zOi$Q1n_?nkNO2GYhTJXU&rXw?8IzA^%$7H~C za(gg+ak>rD66tzt#z4VY9xx3%VkIi%`M~rKU~TqIGg#*V)Am^B1Jk!2-Lo(qOn$ot z)2Dxt4Z1^YwA{la1G>EsX<&NCKG_sMForO#V!%)|A#7m!UIs-LOy@G6z_cf`v6x2~ zFvT2+a1NMG&4B6boG_h{0n@qd!StXtiz;x;~8>X48 z^MGl4tn-0s5Uls4HhuZwU54p_E?`(<-nUNIlG0EVo zFCq<0don%ABMYX7GM~V75~*3tKFn{5xdo9qU|N>}(}tWdtzxXtM^KW5Lf!E8AG&088B#h z4PgV*b30^HJkJ7)#bESO%;d;-(f0#nT8X~lew$Q&?j%7E#{oG@+9 zfN5)cFkSSZ4b!OxrnB>f=?q7h&dnR9=Yh4^H_c$32Ta>zoexY`J+NnCdL{Yo8cbKT ztxnT)gz4{Xw7kG11G*q04NRZ;Ih&#jGZLngm}DsSMx=phYX(IYOg})P!ZgNgET%rK zn0^T7fN6p8tYh{~%`b<2Q>LW$P0cUIebZmvZ^N|CV5LEDmdB)DF9g|>exs1*GwDBZ zpAFMY)_K6RJ=Xcav>RCONo`vHpIwG&(NEc+`wwG?mctk@pt}`e1Ji?k%%-@GF{EhS zo}w6xNCVSY21OQ3`!Jtq(-%q2Vvb>c!}t+I=76c~3`t=s&XBO#zavb=QB)G9;wcKh z9ASFx6dR^Z2BsVHg=w=ROk4AYX-BX&`=%MJ^MGl4tn-0s;@&+A(<8}m*R<(9+W?8A z-AHZvBV&k`e=}gvvKCA;-YG@PMLqwQ(ahDkO|3m!82rg-MJZz=@Y+O&(1=c7$825W_BChI(4 z+NmAZ`M~tEd-g0$uP47j0Oh=HK#k8aqb2-BB9kq^bdf`my!9fOPEyk17q@fug9Kv7FsrBNC z3y#r-Gr|+2n=K1&hXkt4*5Z)__fqvAC(c+B16VbM#6bEBug$x-S2&vEm> z7vkW5Ojp7Ih1b{)B_Eamikw==B>3cg$#^!K+e@H>eOFD0Js}D3MmaNJ983vIZ*b)W z>i{eO6Lb9qHS>%TPqQp$2Ho?*x#W^-PVZY?*Rd~L`JGZ)J%6uK<4?`K;d=pb1Yq&R zfg{Ux{tt}tx|eGTTv&Nsry;K8Dx|uXZpzNzUGjO||I-x7|7*mx^ksfuvV4pLvKaPD z{9j52+d$BA1X&IjQm?x?t%$)AhR?0KG{@cH#Afx^eL;%*LcGf?}jUSJWHD14L2MZ zuIPBTmeW}rc+rXJ%*@k9e|>Z)O6M?p+$kfWwgmt$iWkP9j3q*){|}xtyy+6=~Ei1 z?=j^kgy1EUjglrx^Xr-XG5@`d(%`1sx^ukuBy=#GUe87kEFlqeZS+$R#@<4DVzQ=V zBqDt)pf5@p7ll}^C3gS;s5|w7+({6Z8oQ5u6j(tO-lQK+UQ`js=(dXYW;CiHPOPxXb_|Ow;+$y?G%eP*&`-9HBJ@KEAUi`ySu!GrGj6Bt!qMzfR&F^ajfl5_ETu=)0j+-oHzymZJ#(0iSYtC^cw}S+^rC9hj#590zM1e@1c-%myqCnhF z6aCIpNH2+UhlVxtxG_ zgOg|N1o~!2vN}e+Z7jMC*FwxYxuiir0x+Wd)~Wz+h%m8CKem1u(miorQQ_5JEu4t- zw@{Z>FYH=cy`WQR+v3vdw!J-L!#}sRg{v10Dy^>Tf=Q&bdaKJb_E(I&d2th*0EesV z#)PXE3=g-x9d-Ykw_>22q z-1pCYFIhM~c^^gi=C9;tpNhReY`MO8dI0@eH}FSrEq+iwkqS@EDU9)dgc$G+-B1IG zVB&e?G5H<58_#eBEln$Quf%yHxO&QiiDMulF_{#WC0-ZP3h=m9Zg)Uek26<4^a~7O zuCa2j4??)K1wFNuefDNq;w>>2L3dw32noM{p}f!B737^0klS0)XRA=L;s7g(BFh84 z02t}`_rn#-*lp}-%l851Qx32|7o<~ryc_Mn7+=J}n@(8=;bO);lKOqi=6$)^ts;p>CMrqH zVV>ALyEm=kW;3&HO;wZvN2X92IiU42rOeZA$IQEdb7Li(TuWhK*GeW*D-~3w2mtx0 z%Q|t&)7;ima+v^R^PFj$Rdln?;8tin0;M(2(xZJ@O)Wjzm(|=&C-h}C_tX14nmR2o zklll^ei5mN;Z6WX1A3m0YoX0)s7UX5#KeP6HV$ra6-?2EF`8WNOXT4jC=Y)O4>knj zFg8(!rTSY< zP_mw6n^5=T*^4qM!wf*>TR>zz$>y^<91>p|(9`QSv2Hype*TeH9PSgM05JYR&{4TT z=w%TUGRUPQytNgxX_VbHxK7-=zXnb4B}ulUm9)C#x{25{9S~?A_a$TZ=`cXg(`S1u z*#B0={R&j19DVDF!%VRte0D8MrcBs1o^j2ySob|WsSMXll~qh&Dk5TR~HF;Ila`w7MN zynW9~6;vLCtoN+YlX*9?V(!=$Xc1IUoO{dsEi){wIB^qC|I$`fgFt?Z{Dnu-Z%&0vi>UElbI8BmOTbxV9!NX@jg#ZwN^p0~Vf<+Kz!2;#Wiq zV3#lM7wEL&s%Sy;Tdw^JB8kdCn0Et}gc0f$Nem8ji{KKi!tR^HiFm+zk)_Nrf?i7!}}}W5;TY3h>VKjZzcM z)4Z_b{ssLKGXn)EI#sEO^81w$Ql;i^0;tZr;p&Bh!ioFjp6$MEi=gXk?`l z%hT&caSko5UfhY1NXP3zAubIN+h8-a58o>Q*9U|rA-kJ?OxaX#EbjEG~W(;{PH%~>bUpOLQNwzT4R{@ zu;QPE70+u@qWFo7zmcEB1ma4>F;N3dglm8ZjHPVj7%^j$X75Bbdl3gyn5Gv( z)6~{NXqIgq+ZHCxYTIVT5E^Tn*OuD1jOmI>vlO)|J>H2rKO;sBN{{zGRk4T>^9uvU zXj#PA_SsBTMz$pzu0fBmV2tge^KKG_2fJlRO`%Y8UPHiKj2hv1s9w{d`y1)&+hzT` zZ$7*Bc&u-yrLAwFba+b)&3J2O?}ABpig~wY^ae)E>uqTSZW1-xng%ZCHBA1{OVg6A zozuIMq2)r+n%29E`S}{FTrRp448~7T2DGB1^(*vgV(tIu}_MAqxtfoGcWq?vqI#^NQ?5@GeN$0Wququ*D0Kr7Tr$b1@RePpORD zgTa-tY=Kehas>i~hGhfnB&cPY?lih#!=ZTDGTP3I4DUNu*08XVWrx=chmUsjz`C-;Mqathxay%AR~Lc0*R4|P znW2}jAe^iz!x;c7YX&_EfFUq<=-!9{TAm;D<_hea&eF$&L*&<_q4KzGI3CRXpQ*zH zm(~-rP2i;&3~*z$fvw~m(ln25%DgG-Pc%lV&$2(jKbXIWlBTe1vjfYm zyZK0kDUQ_X(n8dOyNd3X7hrxN=_AVQiMebc2)T8Q9J05%2B9eaFh&r?3%abwMQI|S z7x4)JZBs1yrZP8gd5z01+5}m^BB$PO#wv|r#=)}v1?!D+9FM|jB*j36tB|nUh39Jg z!0oIUk-WGfR&?3*2hwn)u@qaS_e1W3amTDQEyYTUeTb4og;2e1E3N)fRyT5kmF$C0 z6$zt;Vs42h(eCv`JYhhUhq9latVqQLDQKkZ z=&s^j&*Re;z^MCtcERnkJV!Pjzr}D0gOE>($ril$%zg-yYFE}M{4S%{#F zUs&2;`Y!&(u}{HsI&6DWShzF^fBkyP1)SXJj}}PoK(G#JmFw3yhGWq6dAv>vBl40uv7-5f2--}f^Ro^3Rgf}H07;32A%jG zn;&}zYM%<$x^0MwfjF&ML-~WNPfwKBn7=xlzfobXRI7Lf#SJS=VW=WC5u_x$xH2g& zLIkEqBz?*dH~8WWH(rhWymA&ixs_(k5HKTY#?T^BO1vl!@skDHh@U)MU>mmH&{L( zY7v2ZL>nS7PPL|JVu+44fKGuPMo&(akyfYENk{DLa|JP`?6{9GyX@1q`il;F4iHJa z6W+2q%#+sI>pS!x{YBW*e{1(QaQXNC18RHh9jQJ3Ck*QX%rU9S~zr=*ke~+kocDE=xb%T&FU%#-1?dVa+-1gpmxPD{z2-2X2@qGEs5p5INKm zz;S9h6Q<4yGxvDVEK+Sgq&}nM{6!tC_)EEb%*?2SC+ojd7ThLSj?iDNv zd|47Q@wKiPw@}dI&?sxr2iCk3#xNDt;h+Qlh4*Ab!Hr6kEnH>8=51(LA|$F?w-}3Z zM#q1+$`_f}msT(8%3ren4LwwaUPm-OIWC~C^XjkUu|QO8q($Ar)vpfL;?{-BSrK1%g*mOcjPBchKoeVnb2bM!G# zALr`hJbj$6k8*unppOgn@kf0`^%2v@pY(B&KH~bgn2*{yfd~aqs~aWFP1QUBdd(6A zQbJ>r+9RBJ-`wmRTz2gMUYfiD)2V$aBJ9LEv;D7EvEr)I1{0yTwgT}}>KOwVY8J%Y z-e_X<_G;{TS8LhOw$V?3=i)2yo1-Q4F9x6}^aT~@fk(}&ELQR5Ov2Jmp2+)5D@)~4 zQSLCK88!%IVEPPmtU$TPwqvZ*1cN%q$XdYSGi1!j%!0)(_W>9m?8SK0Mzl%sl%bpraPl#g>DZw1nq+Dj>(+)R zarVFkF*h0zDB26js97T`w3=DQH6jaQ-eJrNG>*DaPHnJ&lT&8vx!GC@wj-ez(M6bx zAm!Owr2qNTQ6U_Wp%7UD@=)4(YA$I|-)Vul%*UGFBN@>xQBKWZC-$iZm| zOuAjIGI@{4Sj{+-naebGBM4>Nw&;`NE5Iq0R}@4e-_I$y9ZVrfdx z%R70^j9Y+g);=aYe~&=c+N+Np&D;7t{w=L zS0v`67~M!?uHYps&~6}>PM8vDMj<`86{dbf(Ny8;Rls1Qp{27|1~ja`Hs!OP#0ms2hOqoyn@2d&#hk5eI! zq{xA1Dhk*CG_N-hARNS+EV_hbw7Q8KNDJBeNtAY<7-~GB0x$n2jzb6vFKERU7^O?a zuWC)?!;Ofj90c;U51c!iq5F*VD#lb*U^u!)UNDiZ5%#&Q%OED=4cl|jYU7j=S63RX z#@B4n!K}!;Ls@BT>Pqv#k#?@?E)HX?sFAB^4s#)ZEo(fY>zT3vgYD2Bg7mO^|7^b7zm3rD8vQz}31ZBM%m+j7AH>nZCC@|#0&=r&@6{B<= z4&>2v>NQA+FUp8iijw6kq_2c%MnsFurjniF+p8Uy_}p zSRFE1q05#?t)bG9n}BrBy^<2c8(YXI*0W4pMfWlqYzZiojSy7}7tGY{mhW6L!C>Qg zm=&znVj+yK{9P$Ri#C!8?H<=fEDbH;-U?ntKoKHklAESYYq!I;UY@9h1juz z%Q-+n&#fw5p>UAlM3gg&>q$+GzKPmJM0Qbu1l9*obHk~snLArlXt3zhI%M-*$1-HU zKxMX+?A{>r&{O|J66krIw~Z$VT*{;-EvkJ(pyIxp8B;<^wLi3}UUJ}Y13aLgE#Fm= zS6;=D_cpBJL!<@}VK1bI`f56P%Au;|U#sJhr@-L(tqy7|s!%gpgmzP9qf1Z)$phL< z4I_1;3<=2MUHloHgH~)Hvx!<5u&a7K`Wf;FL})c&&j}f+bO8_uq$s4V3EeMGKpU;X z*@g@`tlBZC2v_u=z*WkTsg>3#4xG89AHveaWRVeBj#Ga`h<+p3tm3Qwp+awEydvh- zzv^01Gyx}ZTprE-IaRa58Z?*u#+vp0Gpp!uQwq1v3{>lNJer9Koy=hhOo0t8Ente$ z3azdbJV>8IMj~P|yP4#`0gnebr^q~r;EeAg@(%u2&TVNTWa;I9u+&Xx-!`%VrkA7X)1ozD|tkb;bKH zX4tF8b1+l5vX9DQop1dq;EIl7F>F&%%7d|OjB#jIuwtR1@2)Un0AwH4L5z(ddze|F zDanBi3YvcMCXTL9(9P87vWvG3G*})0t|Br>g&iwz0lEIHnt&pLSWtxQzBRC=15DDV zNRo93H4B;|(;RmR=CeF8PlV0Sh@yFmy*o(c?nRIS8d%hkq5)4H`)~_Sh165pX8BH) zCxoSzzl?JZ1hA#}iTIQs6{jp6zusUc+*6UqygF$Ptj)dU2mBu8dr{w|3C zHFAjcV~j^+<^naQ_mto`pb^)MIDsSds^uH_n!t;43C)u*hP^5Q7uFkI4@*CBA3_+XI8O!hJP{iy3@K zOfwtG*obUlxlUUsf`RE{g?=l|1eEHv?PByErEQ-NQnhEO&Y%@gl1jl>#Ud~+Hf z^$^Mm(rsWN$VD67ydEsTx`E>rQQ4wM8);~ZsuUUgXs)ctu+eI{|ER+rrRtbU8;Lzk zl8O@?%t)kUhO<@+pr908A#gzKe%fU;*WyVpufFS`I$!Vh`4Q!zpi%rQVTdF#$vBFdJ zCA*CTwCfmE1Uo1#is~P%55%#<&_iNz7iE|>275C54x;KNjY=DUYwJ>yI)6UHkW@2; zp#zU#hMcC_!*3&#P%QU5IE{o}|Em!bKyZtW`xFY%@HDX`4KW&Q$$`USFc`CCgrO>f zM8_VWEz^DzrSw~)UKCI{M;=Nv1CHX`heWB7msCuI)v;`Y%F1$uv_a_u3j$dDYD!Ms zMP`swtH{anJ;bq?v<1HS3nck~7aP;m@#(OeEv z$rz7m!z^Uv7X(-kx)C_5IOxdJOI*8EY|0kRD+smYVSvKkU z^C$*2w_cSn=Eg!aSEeO&SGG<142xl#o|f_;z-~r3DVy|0WyQJGcQR{_rY}YHb?Ik? zj?y@L%A;UXV$9PfU2lep4p9`5vPoB&Bzyjp)h?Qnmrbg>DVDtVYY#yqpT(C_f|hTY zJZ0a-gL}bVq6BT5RP0db)rXk}Vk&-I170c6TXgk{l3Nb)aPpsSjTW-nXb5IZdxIfq zwVL@21*Vyy?1k+dKo}Ut9!(mos6w-jt<(f)ELD&NR~Rx!!_>nnLtZ<~j;LJ9F#U9p zv@pXkO-+X7*iA_)tY#pLW+``Kc+`s|uW#K8lmzxESQTTL-p?{USYb0?=p4zR=IIrZ zvZHx=IH^&u4JiQSFi*E~bRuWAO}2CMbTKK@X4&@4(rL*M# zfrWaKj}Gm0faws-vRYBe(Ayk1C@5unWPmNFsrrEGh#dr$8iOpo@nR8yRXj=A*k=tH zqw)#OlmW5SsGO*q{Q%Hi%%`JrrhRN_r+R&Vp;&+%A33ENuh90ggM-1jI18n!}te||kya6Q|(>TE~w>Fg*5pAb_~2~8EGoq|AIUZ$ zP}G$HRBt6KEE47CU`C=NxQ8E|j|iMaL6ge6U$QvmD~_`G;-bg(xfy`1$O*$z1p|3m zzIRLt_d|L5iG4KGpAEc>QEZ1EIQq=_-U0HkJ{3F*PVGo28X-6fCL^~O^=YD+sr~0k3c_*?(87p#8@VWmI}q@w5oV5cI-qrH zy|c0d8l^-4H?(@Dh;#`y;l2*PRPfq%6$VA9qZ!hz)iPoni+o4RJ&F-v$+tq)UJ0f*j6&%0uSNOUD2C^O9l zB*;9O(xGz3LeW{l-x*D!vkRisLXiVadnU1bM>3bkEWp8RDO)a6%N+fnD#;a6mX!|A z=hLQ{5^0ka>LyRYrLO0Ax*CT~hDpY3Lisu}4^WdV+FNuaB|sI68vk@2lF>Oj)c{dk z_FF~wa2TVrWhpD?ha5Xr@iZ?6z8N_yTPO|*M?0fUUi?FM z(5?Vs2_9b8b)-ZMFujQzBKk4FDEiH-kJo?hW7-!f4JLLY91Nh+jnqeA&5r}( z>*Zw=u4%#MKKqSIMuUyKh{0@w7odh-{s;O{FVuxqjMJ(>8C_6r(df}qiKMdzFXNFs zczrj}7N0po2Ah{Z!JG$f(W)gZ(8~uz&gBW9(TSWf>S)_~MJU#Y7RgX29PvdFenKWK zQsR2@qL8uW@iD(r{ zlxEtqhek-{P@k?yLqzL$*MnSZ4eF+)!KhO*b0L-G}sXV<}Dk>o@pe^WS82#Ep0-SCG z%O!}m`n6DGaSnDb!uU{fAa=gxGXe1_Bp*tKYhOjp3hDd{Oi8_?tpN`X?<(2Zo?N% zrM0KGB|V`P`&lY#RD8S!yv1)mwEEykZ<$70VKdJ!tN5U_O?;<)z>NO=4Tb+OL*f4~ zC`xNfMI|?u)%I;G>-}Z~l;pC3G@&h~xuJaw&GCV7ZI7Ff<_%o|>hP-tlecxD1P0U| za31MsaKwF|7&_nA8u0r1vv#$e*-biD%C|JL7(7Z}D)?LrNilxKX1vrC5ITVDYCE6D z0-6WFDm|z6fD#hWsEG%kGz7tUhG1}@lYF9s+C0rDJm;IFVEunNVS9c0Bt)Z~@uHg- z|L`7e`J2t4+zg7MNlIBXU7lVjK%}%GrTBhLDHgsSZ_#Kia)j)Q7vF?m$oT;{}oI_z3VVA8o@cfjDm=}YM9Qz6b)dLxhv|V$++j-kkPJ*yKgj^-L)n&K2irm ztRUjSTNs7s1e4_6SL+tkC$tMb4;#Fync%|%WN#q5`wAs<|687T1+5!WHXtQ*m8OJl z))e;}Q$>98mYp}W5Wno4mz$i|YR=Fsrb!=BtFxc}!W0IoG~f;1#nRBJO(;Z!ewh|h z^e-)>Do}zH5&9TSDW0q;MT4{=V&;2`FVl)h8K;^u&NewuH5H-IG4i5SXg+0qA=4Q! z^@7j`LgWbxjnNC=M;QnU|GSmW+~rbPGonopu3O;O+Ol@HpT zPo}+0Ue_Nq0Pcg(R_TtokjLGXCdu_MNKv(jxJ=;Ne=xfql(^VN#S@Ek*+q7?>8>^` z2^^W=;_j{{llw#sMEfn4!Dt?Q3j#yaU7AK2ckgc#9cD^)pTu-l7X{Z7LY z8b7`I)61Wj7Am*MOr#GOj@_g+!>P!*Q1rUjJ)lScajeyr!-{hR&F(Mci51F7XdC8U z$lTD{=Z`=J*g|j9`dJ1>R&kV3uz&a}8&_3c3~7$+_$Cx>`HnFZ)RkEDWAa1qh}jY6 zx6xi^L+-%~#a>#=D25S3TU$0@tn%f}oKNNWegy2I{$PSANP@F&bi|fUEF$uz%(S|P z;$h`5=LIAm=WL)6~hOn|1B6JR`GM!qm9)1t*Ei|Pkf7o2>Bl! zybPJV{EtR1WEqvK81}OEXhdYWl&F#74cIy&z;q^{>HKubs(Mp;KsLuB7|n>M?DX@h z2+9Mr^${&!W$_mu=n{E3V(?PJ>-mwF|M><(Ji1 z)1hP0f&4OER(h}D+sM!q`9$&reVm8~m~&eI@4uc#OkfR%o_Y^i4`U+sau7U3vv<+Q zq56kGJ%(R3C5aW0^i0^zn{9-qpu) zeXP*Od-_RlypKmD(Hy344m4@DcxOal#(47`9bM7^#$1Y{HM08t*>;*p z?G^p(rUOdn796s-*s3j;vu!ovGXa5Wad&{?LWh|bg;7o_H#zz`nH2Mic|8kopV3Q> zG-N+XHyfMbQ6);Ze{ihAhbJ~hJcoH=b8X*$wc_j!WvX901V)Q*JOu8hBfEYzMVmg5 zlNQ~52ZYw+qe?vFn<07iS$6)nu?_+m*##9<{*|ZjGfF_<$n(W02fpk@S*{VqM$KNK z0WUlpF$-2TT!UD}0~in7$hKM~-~v+a-3``lD}6H_7=Ig?2byY)wH7p%3~rOQ92GOoSUCefW=qK|UD?tUvIjk}U%{Pqo;4Pu3 zP0xCBq{ZCbdjb!r>pC!G>^jDBl8jb%V|%@Lpdu*ELTPqA?0T)6j>`0{{WDfNAJE5E z9ezf7FCWpx&S*2#mbLtwrDxT-)UnRJ)9S2kPu2ORB2#C(^sI_^(TaE32(G5$5Gz`@_qb8hXE_2bB)r z!J!B%WSmq3SY!}&S=aC$W96iJMgvsU_2fXt0P?cgCEqo^95qq0o4uV-eHZ8z>xXX@SWkx2X}B}4faVDX zCsu3DUg7B|6&)>unOmYb)CWuKenLZYCkd4qwO0vqu_7=SCVocmQSe`ENggm2w;(3E z?KOC=fq$pp(j!{+eE2O`&;`Dny1^S8T&2MwS_dSQs#{|?c^DqPc@aCHw5_hRx)uHl zR>HLb`YV#_H7|WCOz8~p$!P3cM;--Cwdl&iVoRWcDP1+lAd8YMQXJ3 z!gxy0)tH_`X6d;a({nZGIS_J>r8Kb;AEvwTDSk%^?E&K^9D8`^j_s;itb4>&IU;2D zpKp0u<7}7pa_%4>d_O84;2~hX3yOmYNKX_K?PyU>Gt|v{%2|KWBYbOvI2J>Td%7Q^ ziADEFG(I|_`Ga1U?-01PEdKIA5Eql1?*PyNBqlZS4=-QM;2a4hd~uQtO)(|(!;!h- zvmJwOj%>a{nj47?IU8UZQ^k+Fq&Gn>Km@n%btnF3}xO_(Z-+ma8q~=!A~S>REtnp>s2j$0NQ^`NjpI4|&O)@ET%6%QcppYeoS8 z;M6BTGsP)xysa^&;WSraVWK^oS*?{QuK`;{z;_(llb;XL!tchdBE~^6*>Ma4T?1K@ zS=~f;*qR48jY8JS-qt3z>PRUHID1sMiK21V72V+qO!3zJU8t6_M13UjQ#i3OoOmZ) zU2KAc=!k#8*|nAa0$X1^Y4nBc)pHKF9+9!n&O@JkK{&o_G>G-Atv6n$toS0{78FYT zfsg1AgZ(~Cx+r7Xe$7*5;5Xq{RMreh|G1PfK7Eik_oD~{SlD%&`MJ85!yFI#Ir}v! z{U)O>uvLzdv1HMFrM9*Rh_+Y+ZLtvAVtzMO2hbLKrL+aK2(-ll)fV(32W_#6+QL>4 zNf=}g{FLU{>F7^1&H{(V8?VkWte3d_D69D&&BC&pm&Cg<^@~im$$3`3 zTJ`Fjh~8yGp(y|nG2G?2dnv)v97zDCl=l?KlQprlI+{|HcmDmX%^EZ-Ffl-ArOhB`Z$1`e!|5~&;Hus)pdUDEb2 zca5XmS&lWL=8Y5%CvJX19i_@b=iVizy~hH0VE!Qzy7gmHd{|oZKl-(XgYF)Lwilo1A_t@fn+&**%`giW)DQIzopYQBOGZX)vMg>O zM{FU*TG35%xGxjzO3WwG5wMvtt?+?Qf3c83Hc@^rvF*4I1FWJOV8O8}7+R>GeVB>$ z1&`j5F00sbd65FD5Uqut7xg7=H1Dn;A2%;B3k)^wiETx(JZ6)%JCfWJk7d>Ix&oRp zM#8XP2H{2yewM6TCsCPJtk9+1*aH}I-9!#i)%W4r$En>SiA~`I-2E>tOMC?{lBjeY zx^*q**RyN;{I<0EH8Bw<6fH4EDS7`i|)yRW_lTUhNe?)YuxLIkUq_;o; z+_?Q=xaKOl4G&yjG+Z9VH{$`Z%Nu5Fl`-n9;0(TmApM3`KW4l%iOmQ;VquDddcJoS zm)E&wi=Bzg|Fth4GkYAy(*frf(IiwE(H}XmVeP>^FZZIOA98F#rTzD4K%VLbNQuh; z@n6WAK%b4k+y*R)7O?F~S{AU!&NzucVQ);d-+2=i!PkCt*L4JRBCWA5@Fn>FczY9Y zxvL`oJ3v~a(v#oMp-mK9aqI@vX+@=H7@@~7!f>OBqQZEuf)fW+7*V65B8x@|OSp-G z24y4;j1v_#C}>2$2#H|{OCaolKnThbmOx|;hUNWyzg69Ra|z7+-~ao(&jY#rJEu-n zopY+{)Ty)SE+=nOSgSUZK_=P=W;urS_1bGh%5867MbORmQc5}rWnqQgH`QxSN39uW26;?pxpKc}GoW#TcJW;Bc8D-7VnRn`VCJ8F z;~a&&6`2_WVP3`dNwMqxw2;i+`Skf#XG@GWO)jCGibXr0p=4U0@Yh8JkPHb};6OV0 z*}U9$Tj}r7i@Dr)35tCQ?woF?!<~B)%^mo9|AODIFIDplJo^V8bHJUuoa}qI{J-{% zuB5&f4=`!psqcyFMav2j^HcjpX{Kv(edfo6u;_5FSWbOPNlu9zEiY;~sV_Nt^z?_otPpptU8=0%q?N(t&(CUHV zugf7I=zHknHfjJ2i5rbDZrJpFRJ#~9>u(eZIXaq=4P6IEHc`H}D3~bBL{Xo}-+|%( zkQ<}_%FAI=EvM<*cA8MUNIcv1R9M!gfWeNYk?-l_h&p>Z^fcy?hFq<;!@OlN z+%@0Pr0J zigIdKS55NU12#fa2vP`?jDZelb;3-}M8tF5z9Ksk3gdKlCU1 zK>pC({Qo$XbGyy?LsG|4{*dnV9OVyr-{cGVL-$Z7I$P#mJ;uvqc86#RALLDUNTIP! zyrDPQ8=~E>vpe))gv^!}36<_pBzN5*h0J6%v6xuRi?N#d5?F-H(jC$y*f)PhM6DY# zQ*Wr7{x94i*~<)-P3c9$!WUxbk}srJTScSu*7sTwpX&=9Yv+h|N?*u-)Nb~LCjY+=c!XFIl7x_bT_I#mVMVzN-&YKEz2$cIP-iNgb*pbA|`a-|5F9eg_ zcW1Hl)2Js?vq5ses zf~0H0>uHLY|GOb7?d+v4;Sk}GVY=>_;!2Njgu+E*Zik{guP?MWcFU8hk-kvR&4CTA z&Cr(N6#c6H9H$6tl)lh&ydV`G?E6BKXI(aV)=HH5h5Vp5{Qu_%t#_pA|6@PMNJsfW zOYnmhzknaK^ss@-CT`HxF~6DOm5W}aF=C9}2-cM0ZrnPfBpIt_n5%93kTj#LzbyW? zHa^HV(pbMwKgJKv&toF|*kBVpc*m4o=f)ONy1ei5jqZL(5pOEXooqN06QeywL}_u;3!6;2X)W}z*N#-wOgWU(@rkv6C-CM0kyRYJalN?O&&lksp{)*CsTQd}c^HLh zD}TbT+^%KHX?<2@<#6dUI%1y<5~ud3l|vu-6UJa_;?LlL>lHaK<4@iOw_b$c zQmh~*v97;jgF5oaRk6I!7fpG{TKy^G4{}k^hJR7p2@GL=an@-Mb{qp|0E8aKk6fug zrT$==i4qkxpPPcJfHi%kQ7Ws06ZWmiiJ@cnSf&ESk?gslTlFQU5>`C(*CfAG-uPml zjr>bAfvWS18`r0-Qc2+0&=bFleb`0}b!`eM`OW?uZNwRIt@yy4FL4XD_Y3#mS7E=- zN?w-QWB8qu4;aH^E0Yg?a11*urXHX>?OFa@zr6k0 z$y?n&e#e>bn6dYwzZ$>e>^J)F8@@4Vulu$b|ArH$@du}hkW#_sjV+J7Vc_8F#(a4T zmU=Jcdi=2i!>xPQ;aUtg@AIGP{r*#Xz<=uN{HOH{e+Fi?UW+NEz#1jaYQByy%H86x z>dTb(rVV=5g~zC?%9~aHD<#fqP4HK9od2{+CC*teVR7-2@dLwq@^;izRw(x^rVQ^t zk(tr=@BQyBCLer>c#PLS$MVwR$s|sF(^|a+3ihw@1@`0hXL#O(H6Y@>q>2zu9Uj}5 zIy|LOOdZ~*F>%VQ%A4+|q!&SFRo=pHmbxdb1rVeY{W*KWdVkhl>Cd?nHu$stHjx~j zR}psbyxpHOD_Qo{{+wOOvUPvXtz_97?+ebxlrDRdKW9!D=g;b5f6ksz__MaepK~Wn z^k@B+wLv$_uJY&1N|wFIpR+4j_F{j|tz_A+Cf)6V?$?s;jzRaJq`OnlJviy^5_G?j zbhGTyNjJ+Ll614|r;~1$-9G6~3c5Qa-GQK6B;CD(ZkcokgYFxXZkByh(#^8lB;71q zOS)P1Dw~{ZO3*z#>1Np@l5UngFzII5k0;$M`Kh<-E)KP-z42E`}(AtWw%bcS+<&Vv+N}_yV`ki(7n{3Gbbzy zx@Y-w_JkEd_iTU8ov;*Kt+IhR6d!avPPS`Q%p5f2g6Lt!^KlSI_3A+T{ zKin1VoMo5#b7m#WF7W5^@01%kGF{*hJX85!6TYt`+aJ)aOrzhPL`5YLYJK+@mP99!V3Fz8M%AQsK z6(|`Oe&;{c1^i(F<2U@7JUq7|Oarqzp8-@Qg=y;Wf{HK!^+}S+9W1jn8mrvJ$8L?{4sAnihXN5)%w*}4ID7`2eHp~8}G9nVB6I)W-#}^eBgk}Q8U)i&z=Ay;h@-~C!G z=1XOFGTkY2PMmh(jU;T-m^|m`Nw2>NLP^>6Irk#!leIdaOJxcVVD5Bj<+Q8LphjcH z^l%rGz6)+Je&oh}i>YEWln#l0mGmH_2;P5Fb@vf8+=y%c@`RhO{f(D^s6f1CO{W!7Wb`#oijyK7+1@4Nddt#o$CeLl;w-n+AqoJTUyBaRbBeD+Y$YEMM;zfAS=K5I?+lvE1d# zt6v2hl-8pD2L9KSd59fBweJ8*IBcf>wC7|&#ggAQQ6*~+ztVH{Cn>ao1eUnR7auB= zRlPd06ji=YSz5;XS5J?s3rf=~>%S8Ww6rPMduo^H%X?5c`OJD6(}Vgq1x*tuFdlmn z6#p*U+f$fwzN%V#(u(%H#M{|ccqyXLtylXUDelZwzGBDp#3cVN+iNE(jr%_$53*(J zPSQZEvJQ2#e(HS)1k|X=MWS9A2MJzLfq;&;D>7BIwn_T6jYdicFzc?+A?LU=yL!Fx zYXUd)2N1aXyqo=YakjdEBS_SLA6R1lfLh`K3 zFMvEoPWy6lpu~-ezM=6UiaFRv%$fSmk6hn+@{}raL?G;(8XUMD6kih?mIoa&sC*{* zY#k*iqJ*Acst4Jpl$?5lfS-nTUsm6poPIcqs6mG=%TClj@Urvw!HlQ(>O1b^91nhe zbf!eY7$42UiNN@`Hq{FA^Fms+p#HZk2#UuWX$~9!<1Nd|80Kq30PPXw4J?sy0{U$+xQB z3$!QJp9%DX+AUO8XP6hsm3n#bVs*!E$w1{JLZHwxas`AXPiw|y?fovM$#zd%YAqFm zoNb5Jm)XM!JN0I;(`+U?eR0yZl;$&kWp3NAAR8iUD5I@=T1&HptP_&fvVdx>eMJz7 zo|ei$hlQQ8vzi>rYrU)U2SaP03pzxb329MTq*S|9nq2rya#0yn<5 zzrHq!)c;vTd`m}cZPglOQQtAMYp-=#1z?7*0D)!m=6+q*RB!ctwaAy18)Efc;dt7ZqMd63q;nY{vG(phJRy?XKU2wW|A`sNQ~OBe z(yWzJm7P_BXH|Tu7)kq(&0kax$O7%ps{qW0-inRri4O!o(f&#hZVd^rCwn71-J%vd=dhyd}Zv?+q+H{jPVE15m%x-qbeu3fO z!Ix5+iq@+_)6--cWW;c=1$m?_6JMi;gByeZ19_LcKk{QZkYMQOPv?TfU%kQ3qD*BB z11D!jWue{17zUK9A)u&yH?wO$a=HFkfR@=5p6vA0I+RV{Qo(hyF*A&_XbqY^{ZwMVbCYtT$<(@hw8fUtBUINFHy&7kfXuKsa%mcl^;6CmlMfE z3@!1rVmqcs0=Bbo8XziE`>_gz@3?}$e-`L=+AK9NYqy5L#dg^|4lv9LbumFZm7hu+ z?ZcCB`(UN?4HUJ3x{ZtYC|i>O1Vz_Er74=CnG2#3TS4nvC)&=Ngtjl~@FHil=xmz+ zm6w|-HL!gHd!?(#WyLBg{TwrNPi9x;cV@?I#w$G|!RnXV3G2HV^>dU1Q=`q$SCvjf z{ih0$|6DUPmmFAq9y28WlH9%-+A|P#J{}x&y`W9a&@RbmYcD}1Z>wO>)}E zBAYQoTLd#B%+RGT2EQ8r6;ZnNV7gm9+%_ z^{Ye@J)Q1{&Dj}I-d^c!Lyz`Kk5#HP{1_qGM1q?Cro42E%J!jwYm`^|<}BZunoRTz zU*9YJrzknfD}8m8L{m2LO6vfJbJq7t@2v!r^+H6R=9{LOf!rv^ypJEl%sY&&9Tjj&)NReaQsz!FRLN;w)Js_$ccu?0gN8$QD|v7H0l(QX!$GPaTCyM|5TuYW{a3 z4@VRrUkDZM=l7JCmcFcfUh7N<`H%~1?=V=qd;CCkq6Cb8Ok$OkByT~P|WP)2y=ZR#YRpH$%}qacgWdzO6_b_ph0E1q}%oDy-*lVZSaT z!g{m21f~(C69J-kIz_va8D6YJ(DX?x{=OMj=x$^K!LeIu1m?F1GhxX|h;QwNXWoq{ z6cztPLn_xRfgd`K;_%fokb=@UdklRwVX^(nTzV7JvOHYo4JvCk4�AVToWMXFhk zyTIUzx8k2QtU6?6c$(;NxYOKj$T+J915J1SQONQK`(JvQ; zu5Yei&WkM7<$vs#ZVi}sciH^6WU78Jc+|cN8q0b-E$;G^70qtiKFf6Wj50-M>r7eH zSdoPnHqOn$ejC$*r0G}LoEQwR0;grn)eIS{_UrOL>q}q0!lV?{U!-lR|6Ufwbvd_J zms2)hmv3a5&VNLiqBAX1xInX4m(#Pb`^6#`{c=cHj$Xg$&|S({+MyTd7n!ol)X_To zk-D<+sTio*TXySG_Udv*kDcR3)g`iYFRjb9SuWt;=rRx@^^} z%PGCqIBZ1c3`W0f6AbtIWrQ7jNxv@tqrT7-Bkb5|8`F+muvJ&Q4jaXMD#)CK?930y zqVuUt;qY{i@9D|+Xgju7!p?3SBnauufx<&0jk06=lMIiW@0SsFtdv@M_I2$ z`OVp}TmBM)SiK{Dl&uY0y4FSOx0$k_v3J&GQDZQF&2CJ~T5Z;jEdmD&Z?0d?j;t5Z zml0!SPhZ~CW%I+yRQ+SYCU$I>9#4CBd4jeKc6QTivP`FwRqKq+ltqn6y>1%F!lUh2 z5e#omUn>2&{2$n{)1J&G|8e{%>%ZywLfiG~vdiY{a(I^MoD^lak1tcW)woxeNm+Qb z9XpUhBl~599UF=)y`ns%&e?R#-<%D5Vnf=nXYxnw>G)CB$Mz~z^my7)JR#(B<5t@d zrXc;-+wol>>{D+J$aj8__!c!L3JpcnIcdkv4RG~~R|$MLUi0y?dS+y)8pihA`t)QB zc#o%PxZc&R!M~*=g#(stc>|CmgzBvc*%=7PqBFk7?U-Jrx68shPBi2JMUHaOy#I-? zG5FTmEVXgM1C*TXqV~rGU|UL{7>uetjH?18s*y}qzpH#CS^j3)gRbhdPftwsU-rD5 zai9cp9sk>}(J_$pYyK^ui{|IDSnK#Kwy3c(S)K1Y$0@9G?9b+(hlC;4U8e2=fXe3<*?LircIB%edFBI@CE{Kp0Ur%5&Q>dHrzt=V}|yX^63 z+0OcOeLBC)ltqnYSpikaM6@6Lx<0#m%yPmhb?jI787sApsUP&I%OS zFW(0WMtc3ytBVhOsxChDCn2(Cxyk(&Wb>Vgvi{!muIBqXA|N&2+bHIIKTzv630P}q z)oPDE7M0~dNLFn@-2Dx|(uW}p+JiTP#@%rxKWwjEW8J31JK~@i7_PjV?im=ay~ls5 zQ~akjHT$f7Wq2t?{lAl7_44#v<~7b@yEd3G#OLx03Yy;y@g@-*@#Wbqjy0Ql_;Nr9 zCqKR{!RqWB&OZ%OfbQgf+f((Ar|zd~4uT z)$N8l2BZLBS=~Oe)F*Bpohp@=YNcAIAANly>k%<32ezm$dNkU-wkrLUd5zQ63>4AM z88M&w^s|I)EeS~8z0Q;cjWe?4(5&S9F=3F=&MD}D3A?bdBJ0@vy6^yX6?8lWjk+98 zGAXKyTlKkhiN`l784db|M2-6!#ItH!WO{A8Ue!(s9Uw=nZn7z ztlGlHvaFiAIsDh?mz(ibV0Lr;a#dvgulhx86@7A05HH$aPA<4dAsC$3n9*bB++=4| zH|^T3%ZH;((Ry94F30z}>8vblRkk378`=tn)#m-_bo9&L^y~6G{nD4Qeq9zlY|e}J z()dx1vY>HTuP$ed>=%n%^vl;NG_qejX^Fag zDY9OmUq;ASuV0F+OJ_p2F5CC>3A1#8Gu2#0G{Cw7dVDg7$QJPOXbFWkF+FuQg5? z(K%^PZUCOTY_4A}kE|Eaml6FUY5#hXBzzWxQtaNJ>9y(Uhs|pYh$p1ID`O${5jS{S zw=VDR)@5R^E_?S{W5$Tianz%1z9AUioW8s!>mr)Z)%$)uXo^Rqxz!uiM}y989JWba zew698i_(>u*VturUFhsMs@Wo|~}q8wX`ws>?M_!mL}O`jZ5h-PkTr zu)mQSw|@&149aoQP%^ZUA0BT0tS@;E>-0nW$inXtYt4`K$nBkd6_>5NKhrydIY`fI z3<5x5x0~ID`$V_3SJ1WN+1fIT@$hrjPsYp}7Rp*PAmvT56hyLzirRw@MtikuNosCm z9EBmJx)@qNdcAX*%G>L!FU_L!8k4dgJc??Cs_a~VKSMd!`T>4e4CF)>)uW?a(K#Uz zwEiodpV^HPfa>v_8-$vP0 z!lvWpMfGP{c6MV!Hk3SJc3-HWmIt`UmIz#*n&7xn0iKhhUk9YML2jSBLK~?(WJ#;s zOS04Mh?`A(-@sNh_e-?(1H!o~>i^W^YkD?2I+E=)zp-8N>g$X&5y*vsor4Wu^C&Cm zF4j%mJcmM+JzIzc>6eR8ZijgVztysU>F$}Ko7v~iqYH8E$dVJ*Zq4dM>&fs>2JctP zv{v!OPWUUoto5MkkAR`fDpIca@|(Fr>5y}L#9&|ka7f`_{HOX(C4T*)mDFd%X+$+d z>%cq3P5vdJeElce|H6v8V!8 zH9%an=@2pwKW%0;&_&H`@22djzAa>YS%0?{BgwZlV^yp;)mOym0=qMWYy6P6b!_dKktFiqSsk&mcXt3 zX#hRW|5~VH&PM}wd!zby;+iwR4PB|Q+%$9ZjnI2@VOhPI9awaG(v>lyFSMH$)-NUx z)Z(3U&@hGhhhIS^sB%K`zS(v%)|ZxF42MeT0uaEYu_JfK)xa4XXH-8ZQnmn$~hA;V1A@$lfSIkH?Yi}hksIAv#wH1s; zrTn>Z2M{khO-$R_xg+*Wxgq5PX=RK>OgNQgE0|C!hy(cPgJWQaNb?>E0*&BdYZa=yI#lzje04->^F|pJ`LZOh=Gm3$w{Kx(g#@o zuj~~)P(U&5v@bhH#^$R(sPzObn}D>HollVgiqIxXpO@W}mx%(ZdnA+i-Lbr;+a0w< zX-FHWAxxu*)v|LH`C&O_V-zeIdKTom5t3W!Y~<9=#c|dQ`t1fS!9n#jYX~TG2PLgz zG1tD2Azcd@$0F3qDe*t17U+Sl!JNae$MdwRFptIULhvGYKeYAAAqU?w) zYwh4bcRt(3`9y;^95&w(I4Z9S5bf@n8KZ=6PoU1@2iXWwwwAE=MlG%ffc+Ta#1Wcx ze7V-(8q1kIHo)g^j}^7ui~?Cp6^?OuQYQ%+*jp1p0;F9?U;e;wR94>xMws<(*)?h+9ha-#xhe=YkBHT_Cn;zU zAIR~fDOCA@$d`)Qyx5ZviEQd4a5+G)T{GrYCks#!^2ISdvJh@zlm*Y zXgbhw1sZ-w?q&1ba-sKK(Am0Z+>thK!zt^pvMu{F{Mf%uk)94>l046dE0gJJPgHuc z)n1Dpiem|RTuy~n&@i%xWqr$#X06Y_Zw&Xkz|+ESlc}d%HxVrf$aSHnnq#o_h=0_8 z@{o$^PPas#R*%yNMcL@Ve~5uZYZyqY_~}xS{2)m*N#}u^DN7Moul1n5pw`|~n8{*^ym?9MROt=BdRa>#lT`0P@)XtWWd^J{m zZQ8sgYfy1A?&%xQA6>#Pp7$0MB)mYQ896A{M!ze1hN?*wFf9PHW10j!y0POj(03_%xKdXt-Yu&E8b(h5KswN#l@VcRt_nxBvg!?|5T z541iKd5?BL04|S$`9ti7pt06R^Y}t(sxseI0S2p}cGR!-@J$xAqIH6PXfYz(_cGZR zJH39pAT@RcMTa<4>QlC2NW)W6f1gm$N)DkoYSP>z(3iDg6$OZS7j?g!mh)D;%8ArVP6r2Q@J zSv<0_ce(+FmPeXR_aG~YT6h766j2)>Ba*}XRShQg2SseTmK*=#4ee2W9KRun-SXF%SK=3mW$6sP!OJ-#*A^*=mJ$J_4kN zeE}g}h@fg`D~AM(2a1Mr?Ue2nm9n)Hsta!}OUvO64?PK(CxsGt*tevSr`viN7|YIB zm(gvl*-SB*A~>JFA#7CVJgFUnDR?zB=3?dnC@;vpJY+BOD)R08uL3BRQd<8k9FWt< zA4kdBuU8V<^d>1kMs?c&$tcaf(4s$Af=ecVmZ!88J?!EBu`soUd{5mxsTYvX$(GGM zBmYkFy`ZL|vD7D3E1UbHJK(p`lLO|lLIR`Q`d*QH8>PK0E#nfMFFI+G&u57d6gJ1U zAj~b*^Nt@Vh+|gt`mlOdL{FpyKadD38nBjv9L>%ZSa&>KVJYOi6GNN!Mdpo~IVdhm zfogx1Y?$=Gn$~bN6mmq}5PI~eA)uyvSQW1vdRXx~dcxWq4>L*FR{*be(iu{Xqgssu zS&Rqwqha*(^+pDe?^nFBi&2Aloasv08ei6yP{Qa`Ok|l~4|yF$@yDXM`*m)PvNc`4 zAt|kQMoLnAC0itdQ9oei;7yO9Ob-J-Smo!3C%IFMM#y_nec)P!=8TO!-f_Hj~a{Em53joco zCBEKU%-{f9@~9;AV?yZb!=Z_4sUgz}z88dwxfP!fCSiF-Wm$_Ut#P+y&H1yJS6&XcH@`GJxg@F7`GsRkic{xM^nJ6EV-HPI_-Yy7+PzEotCv)DPQOf*VSUTYd4B0kLw!Sj%xZ}v>I`#5} z22o)D0o6=FUo0}Y4=DHM7<*!%1}1!9F%a8GmMEVFRL8Y$=@#3MNu%P7H<0|`3r%^4|i?jE*v=u-_ok>N)Wl3AG6Wp}l$ zW8l(V)!Je}tFu=RAaq)icYF#^{m4)!xvu3x z+w6JNh(Y0?(6v9(R=VF=qA_$=7n?nO`F8FeP|oP0{0E8w?g$z{J zp&Fo7XfqlzhLP{0eH87x{9?<@J49lQZvIqApc~@+^Y9)?2*=1K#s<7KJ*n0AlJ>*A zv^s{%`hHzbz7wl9o?ys548KKhfk{@6idXhDYJ`iNwzv?qgJm6Kr(G1kl15{ELiSsNvGX+iw(c$ z#qL*r&2F3``9hQ~i{U68>ZN>9D>>%wDXWr)V~-~D8;7N6wcg5NZ;n(tz2-qoE>DP- zggByzH;IX~RFK<}VyezVl*MSq*ca=AuVp0aVFYBQ&??4cWRAcjG7A;R9+Pe+vQ5W6 zjafzW%qt;Aht=n!FZ6?>p~}KCk=&Y!UYZYZEQ8P=Hlb+u>RC0r-MQ9>0$b7kXris| zE7hdz#ro$)3J;pWPE!ElkIKelcrhZ!82>)jieTne6}nZ0nu2cu|!F4cClcx?i3@bE9tK%TcpAWXL1y{dB7$ zXiNscAI9s+&|w=dwLU|)Z23XL$I^YOQ~efcGF6fQ*@b&T06Zf?okmOmAGyq6W$lmY zMY_#vcPg3Ai+IN+`l4!=Bn)eO=E}xLc+2*6Z1xal7`Aupg7qJ%Ag$@Suo>{{hh=*K z;8fC)QpYLfjtt7NE!)d1IkiElVwV&1g9k{g73olv`4vf!W3S!FTv~1i~MZ*~o3YGObW&_Gk6UbZg31@m^ z5DGA;F!KnX{FA68sd9>?u0zr-Q3xl3ll0sh0SKu%ph|zH2|%vjpq!Pm^=~;9WxnLGFwhMb!JuXHymJ=C!c2pv?Wf~EGkC5$8$N1%~ z=$gJE6}z`G zdVNT*ecIgG{#+{t)mCNa*8we)XfMrjWyfQKsM~eEPLQ?NF@(UB76+7qb_FAcGh z1uI(}q%;MlXibYOA5e_>Zs+4l5|g*4y4K&Nl&;zws?*qI_1RESP0$>+Ku;~26l}ii zeho9amqF{E*j!+IqmA{B=SPI#!TYS^n?>+ak5k?j!QB5mP7n3qOv zNj#W&`RY>-^o5B)4IQ4oJcf++ve{MWb4v7l^IgD`(UmCMJrDUD*hopq!EVQ{DH~uc zQU_Vm7c~ljsm*rDF{<0KCTLX&3w&0nk)8wdI7Uyw-cF#UwKS!P$b+nI*FXvF*R?T= zR(!CFcf_FggBYHK(gUXFidqY?5U&+Z`y|3y*1sIkPmnL+2h2K3rZGOhb~Ox&B!S<)~WerYj8D2NEC<$#Aa(eVEiCJ0G#~}PwjNk z32TlaHcSACgXdD2V zE4%x9VJJ;BVg=D|UO$-C{zr;H(OoZ>Gd=Zxjgd*Q(e(0@_|xLT68aaKTMT%+QamSd zlX$JYDTX4=IBk8d0m*U!x^b7$6rEizbTh>SsPYbd$pTv*YDb6OO8@K#@UCuvm+k3N zQ5ev+Oi%P3X0*1?LFGtwn9Ktpk8l>%n=UY+vi_yW*0W}g^R5s>QrqxqVg&oj-NuoQ z5wfSCT6$Ei10(YI zylmfe5BwsXH}HjEtW?j^q{~RA74d&@q`Fp&c#fxrgIdC!-58frP`ysxAviQDupwkk zqytd|w$Awipyrq8A6yoPyZCui_LwKh^y9sO2lSkq(TB*`TXM63opU(G>EJrwtkWg6 zd0lGB@AxvdrcjGZ`CpqR98fY3P#DtUP6#f@E#h{R)P^}FBz~x&i0*f&NPQ6DoopxC zuX-U6pw!wG=dpAa9W9q=!gcbh{^szq~zFx?ol+ok1Cl+lMmUdd?!$O|h=y=` z$*5p7ZQR=L0G0}PHfy_OSH{!i1^qL53(!A`Yg4TpwwRvDZVjqI%i7$?mxa_ECA={= zxWJiLhjPlKN!LQgY^NnoiR4=`QfU-L*{ryB6hh-=z;c!1nb1hjIPALH64@Zvzkj|( z1V=(XQthupYQxQ}eNmZ=nMVas*N}!eblI|fVFTHH(LP>bTnY$y6rT0)fy9AFXv@~^s5d2b@ya?iPM=Pq*xM); z1J*~8aEW5KKN*Dw2xE51T<@W`4~*XKyc#&MaD)C)PoW^Tg<4396`wdPNEQQxgzt{!jKbl~yo6*SV#^SaFz7MM-KrTjE|iWU@~dop38ex+KD)6<96bNBi>A?o zi+BL6?HhpCb@T1qJ2Wr%s%(84#S+{drKT{flw{=}r%i4Fq=m-1VuO5+2~n`j0{(ZTZ|Y z^_K|+4cXVWRuNds_G!0Ao=y++CDYzsj)$F@fWWdPMZ;Ch7Nrnn`*dVl;w1F?$1@lk zB62$?MDEj+w$57;BrS?ZC^rh zl~P0t#GWLiES#%D4HUjr`Rw`5GY<7Da3f(!B87434#J9KAr9w|iS_j92HgOUy&!d$ zKf1{6G@+uda z%~u#}!>rV&<5Z51%|yQdvQWCW9k%gmRA-lSXcdglB>jc4=J%vIv_<&o@0V)QC=0qa z$A;8;qTwr=J0-4tAVs00cu3K{=QqaF-_TGr?^Kd1f52^#r)ZUf1IDF__k61XK)@9m zss3_6E86ZrB%6|+fmpJ$q%BfQ;}N;*+$-TGV#2{xCXJut~H!OEN-B7;=RI<4q#@BV&_Ku-e{QR(pMh9lW!b4!EmNJL;N zA?KR)JM1Cprx~Hcn-pLs-KJ-Sc$5N`om)clWSR`EC5iCv!*o3y`lVGv>?fi@c3K^9 z1lb#7)X`>1TAi0fU6V$b3bB`D{tl}ARfVpOEp__+hQycWm%)iJ_B)|Ujuifij zr73GK*6>7f?Z!-&c(Si2h8?ehyMe9gOblVmJ!9h`n^JTZsw9QluU}=%^m126BbHEm zIT@0at~OfwJr7j7q^dQMar9U+BMmZDN6$SM)3e{`^*Dg~SPa%fF!NR+kPSfC;2`I4 z7AT5-nrz9Sjhgm1$kV4qt+Y0vc~Y9Lz=qIv%Tj)7|1M9Eswxzf9fj39G3`_HgE1m$ zQfEoA7vvF3a)u>a+%Q~CvGdK8FxM6=|MznmGx7ifX=oV&M|=- zffMGK;H~G^piE6!{~+_{z$y*%tWA>^w>k5`!Aq!r z=Yg}SH73M=sYm60CzO>{Qo!Dpb|^^Bi|RsUL!-7dwAvc~XX6(e8r#aw?dTk2b2ixP zyA>qExem7W7BXjJR8l zUUz%SwA@_Y;4XR2hW{l{eOEIlfp5Eo8d|o&)6$2;!FBBrr`dr%UxbNr0~ zsVdSpJB1FEmBUD97Dwf6vpkjaax^C~q$OqLn+Utq5i4iymII+#dJsG-%JMht+*3fid;0E$D|xqaC(<%C_qy4#a4|rHUWb_H(!XbrOZ$2nC54OWZv#-k93@~w zC$*g>#%%CH$=?wplW6FyhSqE(j(v%7oUv@aD&X{#_;NLlltUc0wMHvH|62 zw3l(OHecbYhtO(LmrbCGrD)c_nVMJM_e^*3pihEK0v~nAIT6NdFXcj04j_G~=G~8y z&{UO*_G_aw!b3j$fQwE&N|c@bu8*Sq1;JdDX}y~q_|(==dyj5Ni@n{bm|^T60(d2eM%v_f zjw*WID^Im-&B+N!>(HNvKt%j0hK^hf6eA`=^LqZHRv}zOmEFtI(xU!)A&{hONnxe5 zU#S!dYcvT#+d}JWr)qMD8fvEGkYx#kJ&<^ygh0&&{td^wH3RKC~ z-mxZcK_EyNo2qv}po!jPv}w7JSV6UwwTntdsrsVOq{Ku0Jn}7v7}Ao+4!=&wj6`D@ zy{mrpO&Wb{ntfFVfpqm|WT%G(`bs!_UPV+-<@ovUk?dv0dsMxJjlB^xS~_i23Nhk% zyztSs&8yHM0JJC5hKOIPP zGrH)0ND-r>3_b5<7otsWouWGBr>b+=*#{w0-L!-i!5}mIG%95_AfrL6Ps?F;NqKBh z$z_)IHZe?u`v~tEhhyQYbMG0DkQSXKJyivjXRoq;L*y0B>l3K`$khP3NOZejBSG@0DI!B2M6M8;yvzg<+CPR9?VpRy8VqOZe2Bc1;%?kYNQq=0mM&Kd zSZ(I_?l0-Q4jjTNuZpUvF1d5{G&Bc3b==N7^t7GC)i*2FvEIJC>fus9mG6&PiRP5h znm_}Dx8(&cs=yNjKk--Dy3{J@ z8VkFRLa6;c0gM5!^~jF_?zh_M5?@;FdbEsI>s*xC9`L>fK2_b^PyzdLo+GF`WJ8MT z&s6}PI_EF z$_4}q4-ZVrkPwnEL@}a;pYyViT+glS!PuzTgImzpNh1|JZyy4g+pnaN)6yh~3U=fw zb8cDJEUFv!tUo5#j~1~^#ho)WlJ`)vel@6N7_My&iL6drVJwf+298`&kQpZ|uhBm% zH20#j>?1R*^jBrd&YLL-@FSGOeF)?1@yiCmBjenLg$HiiyD*{%T8!B%@)EIFEIW(u_z#n2r6QG`&+`SgHT>@hgu@M*31Eg0 zr2h^aW&InWW`wvI>rPG*IkRkiI`mk#3Ya6$t2I%eJE5co!d2XfKV|daD_lLBN3CWM zT?WM7{ekJ~ycZBq>DIkpa|M{xpzM4?BM)CI^;Mr1;(d{T6dj2<^jhV$ZoSf& zE>`lTD&pxt-nj!)Y)OjV?Viytdy8ph zj`pAGq5ji4ia(S@fMBBfJw_^^l`fs;G;B#fnCQ^y}?X6d8B8BXc)z8 z_Sv5o%(m}B6#>=V6q253!f2aoBg;B283WBUq4xataq-i>2Q8$eyqw3VjvP5J!H=|J z)R5T>OTC&qPDjbw=Vd9;Tcu+B7z~7ehmwjF`pXV_%tnE;#(JU8lg?LYkkT-6kdW9x zRfIM=zdrawH+R|EK{FqcuLr7VO^#$Q+`xUUBp1%@2YFg$|F8CPfx)$v#YU$yArZD; zbXnxt@5phHdlkycW6Lx~X@9P@$s1O@tS5twtN@~Mh5xE|d>F=uyW z{hNS^%(Fr#Ea`Wnia!tKyM^wz`F0p7JghYDuDWPrmb*5teqWUbOTyfXgEt7M+`fnmvD;AFG8ikFi+#2MGPEdQ=xy%{=E0JNyu2Zt(@oL4v zo5bF{xWh`)^7a^l+&x;Lh^gSB-c?(zTP}_2vbc+^(Y~5MrIFUxfYX_rj6xXp@$72QLm0E{KM(lDK01zNYJv;`;l(GL3g(F!N7`jP_ur-bd z<&nRvTv;0ckbKPu4ZK<`St_nkS?}RyF`(@H7F{woquE2j$+wB>2k`OCm_T*%kezZ_Qkfx z9*O0lx3j;jeGqWaxraPAQO#m1e;vQDy)rxNHQuPA7hP;{u0B9;)Le_DUR3qhWRSpi zDS=Ye)HjD1+RNok(l**3;5mm+QLa#H%xp}L!LN1}K=k7ZRb3|Ewv$k-EZUCAK>hV0 zp6scQ@i&j_Y(p}gxkkm-kfi}3?d)4U*bF)Z+u}DvhW2daq*qd53WqwenX*_W5 z*V4NWobNGQS>Aql>seP%Jz(9t57_$5cy&D$ z1GD0ew4+`-z%6MjK`*rNe)`rY`!RMNcaQheFWoZv;QjO|!}AS2dC8rXsdMm3c_7_y zfcIW9_v`AD=ge&A74F`H;(7^O&#e0q^?Wp4uLJ6xbv-e(`+19aY}{`jt$pGM$xc!E z+HU;^mcIN}rJOt>W&E)_Z-F72v)9;NalpnOvweJRwa2b&#~<@bKJmT6&&?M2pQNo@<&l;XlV14Q*MnACryLncn=RUChHb8k`{q_FS{@W~g4NT_)>nEus!#$=&2E`{S z^MUoxL>8edpU@h_UF|-w{+_v}SJpqAgmo*T4WN7|A`JY)vDldY)?0$631kvkEbQ5q zi%)gyDx4du3GH1IZ|8inRAkfx>u*Oy~G(XgjSlSZswJjqgd zbRwn^ZjEOz%u5WT8QW4-TpJKuzZMQz>hBvVHZ<$5DM(RUl-boUxNJ5zTQ&J+OWwSOHVt4fKNwQkB)$nit8HdYRFU+O0Z?avJ6S@*hGJas`AXPd-CgTThNAex5Og zxYVvxG053=TqAZg6)fr(DVml#5ulCkL)kPg!o2JXY6e>I@(3j&mTnaHFW z6dRM19NvBX(#)=1;If_==srzbE5+ZluE!-@^T$Hd6C$-k^E=9;BkXvMcwqe_O2-}@ zp(5maezoZX>(c|IbC9Xa9d#eRA(GpZRT5!y|A`sNQ+r$GN}k@K?5q+ztKv&V$P*pU z!qoglb;~T!E>!^LLvJNgUf-}|kvRJRD+COnlTH&sV{9%wQ>;ENq@hg_7o&ruq{fNwNeLH9^hMtC(!7xHoGQ0Vy zq!yzNKwcX3DzDc7G?^|t`v#H~s!onU5b6ALV9DWN7l6DTkWQ8=*oj~L%I~|EGlynuD(EM zdYTOQD!9n?iqpv>WtsRIJscb=1PInll_x)j18_40P_6wFfAvuFAyXN{z|NUb`Jf7p zkS9-wEA7m#?cs9$vA~8OdDz+Mj;dh~%C^3x!t3~Gz1BF3))TW6HyNJ!zQU!v2I2@n z?KVRcZU3b~TDK}e9rCCAQU4=9Tzid^aOx%Xo^h6zSlUw@Xo4pw�w^B^u`E_-XdP zuxHszG_%*XHuu2#>~Q;v>K7mzdn{-$*|&esoXYOb@dA`g#O5AY|HojytnFzp(Z1sf z=s({B>)V@29k$n~>bOehrHP~c$|T$#r|8FFt1s!0btt`aegag^24J)qIw~`3^Hq9;*o0SlY-U&GcV@?I=7IIS60H7- zz|uEE`zi;fMw_9!(h<9k3XuO?GxT$18%+Nn(c5`2{U%)GUQNq=mLi0Rk=#}Kb_GZn{x>+L6e)90NMtP+-DHo=~ zE4>$(kSjYz_DX*g5M?F&Nm`ZJ4#Y-yrRTd?-x6UYYTs2cnrXCG`psac`ORdfFHZJo zJ{j5VuaOOr=kZG48c?nGCz9ytkzVOuQQltZn+)Ce9>ObaDisN8HiTpo32JVkJdAvJ zrGFi`MtP;nkB{=L2jmM;)1Kk$d!=`fMJ+~orN4`kXiCg|(<@yB@My2}_#{&Qr5&!( zUg=4hT|3@opVupWf5J8GNA`q>>KD!Zl?VHyz0#)AQLsHlnf%RorSAxk&f84A=aoKk zoJ+RnU zn*Wr@!x06@aqMtE|4w;n>C4JaMjs1DJ7>;vwOaKxkwb8%to;qYFH{Wf0!8D_pSCBD zm(RMl`@p)xUr&58<9|CoC;m4gW&E*k*(Cn=x{>j}ZMyM4y~gfHnVw_skyWT}V(&S2 z^Wb14&#`wSfIX%*iT}Zw!+hHj!|MkBp7S8P$V5c3_aM9Ks<_-H53={_9?zz`?i)Wb zGW4fc*++4$H`%+Pzi#W9n4V^T!O-97pq3N2uFZBC8NVc{%YG=1)*Zz-IpN6!lT~k#Zhwuuv90CX3IAqT>@ggBaST)7td>A?n zpw2ycB-eas@MdMzKNVTa$&w2^q`jd}373}6Vg`HdCg7esS= z4=j1HPVAJ`R|InJ#1NN7b+;_odr~O2CCEQ4JMRl{`_$U95XS*KE02!GX}vSZ(@Kk> z3Zs;Y*rP5F^1A;YMWuF5mdIlWVA856!v1aSHct}h>c|$uFB>iI8Fel*9_#dJ@+fC^|8p(QjX6ustYww_rpHxYxbBnAUmX8_@vuSI8{`*P_N*Vo9Wd^wh=8Te~YE+fRju!Mkgj!kuru zo|>42uM!4FWy7YOmawyXr+=D@ga;@^yi_5F^~#p~CQ?)v$9^}di*^t=%u>|8m>B0a zilFJ`!Lt78D6efe?g%f)X`QyHzN5#4_g6zwOOi$VRhiz|J*(CklPT<)&8jVomk8A^ z;=y}oqF=TNg1vt6W|-)gm-OrMJpJ-#*wog!A-zAO72sIp?3@;cqO4z#ZpWhTX7YZ& zL=a|9Lbm4zWYPXqrY!89yOK4CB|wiLv7I2qd9Q@!UdCL`YaSTRjGeX-{jxvF@c07# z68zK>BMX{AGyA0$<$L|&I8wC#EupzZ?T%F8&W5xGo!@54qQ>-IN6g4yoO8`u^|~ZP zF8XCrsQl*o=lBCz|CoS*G2|s

    v z3cJ{{LbJP1&5hVqrCDUzEP`RZ<7?fwW`&GZ`gM7(zF7DBGS;ulXz5IBY$&oMW6#qs zv_{&oeqA2@-_ABoIIegbuirPml1Ysi+)|6r(gOq21_IC*!Pll{hVWh ziACMJTzbrV)>KrFNyzrRfaG1V9^d17d{4uMqDc1KySM)}Rzv1^YE>8afuKg!PfnUT`@Wu|aaUp0Wg zh286IkgUD4R-3hB3&8=yo9maKM%D}HOTSO;XMl68D?$H{Q*BBA-Z zGM3%+iY(J^XVuzI9T7dt9-u5dyRjw|H z*jd1EbD@+pBP5?V`GEiUcZd6V^89nq>MdJzx4G5xDj^jTS>eAi*#@BlSeV13i8E- zY|jnIqWzH`-{yIyGTk}acQ2?-LpOR&9HM592LNmdlIh>jOcj8-Thy-=H z5)58?y8_6v`d@+5r`dD`o6WH9T9cC)%huJYfvu=bNwhe%qG<&`C8=8nV?wrP;M9an z(?+67{G*9!(R_KLs+K(t*UMmmic81Bbmf7%@xie7bF$|x!PsPHFbdQk!}WoNriq?4 zgN3_)y9~J|n)t30c@0I^>W8=d)xZB`w|vq0Y5XX;=q&w}MZHaX(gyAq2`Y-|4D~8r zv=7RHJY5scNd{&Q3UECVugM;py|I`vVc$QpB-_D8YuiM^>tUi!`f`D5;#M~QF2L1c zwhUJ(OQB2N?T9Q*{jXFh#S)~z{bzdhglexZH>#voN{jlEFNMg})}*t-<0t7{Y0r=K z?mZ2O9HZJ&B>Gny$!VSo|FiCucm`!4w`okPFT7tJ&5M9!UL%K#9l$v}(wG-EymWy_ouuQ7E z{qknv0qQE~jOdpg`gM7pe$l3cqI3J9(U?rwvyoM!vUWS}3K)C+;xRAUe|{9qkmt%wA=KAG`$ogOPi`pvsq}FA#kxbRz z6l`KG26{Z5*yU-{Zd&_=XqMKCvudrYGG#%Wu5mXVpM`HwT`Wi%jLPOMctgUMT@uv{ z8M`{NHm@)1gr_fK{kj|+#EbUyMT}7T>-%+)jd~$FCig(LF8k)Z&qwptR)(`u)P9>O z^Lme%&W)Gxz$*cE+_7w(3p}ViCV2|l`cf8L5c{eD*Di6J^P+ihW^%ML>r(xyC<3K` zYEd0b0PZY|mdN4jprG?Kw4~;K5YQ%C;mN+8?u|=Y)M0$=ksFMz@JlvDealSmydL0E$3{rY9?#(;E7=pQp))K1GX?Mr%I z%gP|7x)@qNY?&26yj=B>Gf~tJ&7!DBa6e=Vr}e0^^VuM034MTa76Y9OD5^V0xuUac zB4~XeX)~V@Cdx1JMemfe`{?s{b~)tml&v=ga@z$>PNEd?8Y*2LAp0fNutWmR|DK*zT!4TXG($-A`kF9$bDcy+IiDyZg+*knKmb~ z5bmW>&#AvCuocZ}qOETeH7M$jd^TDqFPw%Otb<7Mi|5pnS6>O>1p%GIDr^`Tii%e^uuf}ugKMMyb1Jtwm z;_>wB#~*j9Tt(nM09-z)e%<)vj>*&mN2VToz?A-m`9pbjz4q8H-bB6He_FTuPkWjE zJm=Z<-H*O>t37vp$i*&*Vn2yuXGF1+Hd{=m3v_q^7U%cwv*DQ)oV)=x(#Lb{95fgh zUeH(!oZfKPX#}q)ogP0Jq4_~`>f9h3eRbogp14@XsgP9zJi@L=*$0;VF&}2XgWq@Y z|J`FDdSbk5T%3`QfEVBK3(?5Z@AAd;x!ot=^UOU_XpyBmkMxODhx)yPKt^)NUFx&f zKAxr372Zc#W0ikGlKBx==N{`^{_| zuTafME}FmoxaD#15z}jZR}$kZ^}L$Isys_TOa%5L0|K1GiRn_DH+nCr!qWO8SZFw% z!NDJbJu6}R6GBeuzk)rougc{(u6;tT$ddz20T8c~kjmTfM!~XrCmXv+R*(206+7C} zyO?2+tQB^)J{LdB=c(I2Jz2zxU*&_-dQf0|d8jVzi)|kApVPTN7Eo~MEWNabbKm?U zO-pm~=Af>}(%7D6ivkSQQrGY%db|Z*AD_F=@XP2~*tMB(oJ+eiS7-IYphB9m-|aq3 zU43a5-lws*SW*3LN?cQaa$Q?A{yl7{0{cq-*Ulg>VtR9$M^{1-F|T6l1ZO3F;b*7m z@#;Zy)gZC+hx?C(tN5u~hb|k=2hHp6HWWUf-^-+2@37WT_Yxs5_r<}}1A(ShO!&Y3 z<~fa~&F;l_{q8m8TLVE?{)cwLX@6UBQddp7BHMXm(B%p$s`babq=Zd2@tp5c3Kv+$ zoH16$0u7uEytlM@vU{{u4VwAPxA%Oo^@ed)XT_x5$UytE-=QHalsfOaLO2o1kCDn% z8#KO;-+CVHap&aZwbWxGd0=&(fql|XBbClfaFT4hWUqA$*&tdYuFuRSE)Vg8=`lah zD1(lvPP=5G_R3g5({?(aaCG;(tz#`=apcE=4y^nd2>Vp8hu*Ti;oCu!{;>ZeA049t zcTs*#DYirY@w>WCy z5njd@KTg|Mw)X)8xpX-(33AyWRrD`LYIcJ5I$?GkWxjA^f@V=W#@QMsp%ZArDC>q%+mr_`)0Bc zEmR(Lrtxh)YtC!49d=y;x|)H9Sg0JWz}u;;1xd)^#23pznazJ}z+RN*4&n_{tZ5I`499qwp&ZaXNf!g&bCJB}< z51!U9ZN0@l*y4$eK~Za;6-w+QJu*ZW37rp)ddF#V!$;lOm1!Di;8uWT`{meiD9B(9 z*@oz@Iaf)u1xIZ%CbC%N)t);ff=l@->L+D#Wks0zr7q8YT@`;;AeAoxLaI44LkMbF z8OK5(sjgdAt9RQ)`w>>P$kbg6YA~h={3H9Alc$%lU5Y$!S=mS%GeB^<9%33XY2Xm6 zbun-Yz;#1jJQ`;9Cs>{(Yo#Pj$NfG2KQ1gWB&q=&EV39{E_IcU^H->oX^b~&$rw1F z#&;ZwCm3y_5v_Amv?SMM{qIk-BBt{Xmi=sD2c-;U<#pu3gI6*nb9qwthoN|Kp)847 zs{!*wFay0*r2imj+3vD=WI$`fnmd5o9}d!QO^K4uNug@;xrgor#TEKtb1<{_26?zg zpAASo&~Y``BTDPNNDg%>NR?aXZwn2(g64HQ>rFgMluYc9>c@TZ`-Rv^c+0lVg#lzi zlaVaipUeDZ`l@_EUjSB505yP0CH#S2tWtjcJ<6v|e-0kH5W6#^i;LC4l9%k@->{@b z>MBxg$~@|_kQM`L{XZjH*9Kf68E{`?h-E;ymmuVsgU~}8WLp7PuYXjr!7$enHSQ?^ zknXY5^Nd<^IVfbBBrKSL39;B~$zv}erI+>XmSrvEh3HjUj_R$)xcZngsx7_2E*H_| z9#ZTKYOTRC1QV_M&|{eBi%+Qcsz|<6Z0VXA?<|V_GHy2N<6%&hDl2=Uk|gVSdaJLm zZqfbmUJ9{6(PgvprR0~>wSBh2s>7ThWe3l{?7R!{r~PyhE47Pq ze;?YW#TdJORw56K+MT<$5BTF)>U>=MO6@{5JbGvxgon2GzmaXD|00rkZ0aQLs3q^@RHZ4q9<9Vj8G z@(QFILr?QV)2Ts^9eMW&EwKbRHK4B9)H8^hZ^@=1wyQ%^@0Za5;vu2a5970V>H3F= zj_Yj&2{r4+(M2x%w#0`d^bp|2azK^^n%tQPlpnL-A$v6|qdF+l^%2eR~Od*Teq| zi#+5h-`mpN$0njmmu>CCB+nkSyl)4E?2?h&978TFXyEfjbaG)ZfzUBAdo&uj=)&nC z3}yX5t#^_n@u6AV+%`iP0m0D99zPd`62ZeeR06~{jMxNbFf&3R_;p77vUgl|_6l!O zridP%&L5p~!oQiuPwv-_u}wSeFBV2mx5H$di6$XF)Wk0}%Z0Ijm{JD5o*Y$X0qf$( z8J;Eb1f4+hV-zbbeGi2t$DU3|PGy#XbIa&!m7u}EbvAB zYsw?Z{FdmK7$&j?P z*+_aYoEe+(;GBUUIXb8-pW841Nv0`9)C3yokqst5Yh(D8dZ0&!k`ab~>>bHt#LRh% zpx8Yaq{t)Pn~UjFZ07Q z_$lfH4)wOjF+1j->wPa2&6G7IY`-^9AzrX6(J3pZ#=4CB_OMuc>SOOF7S}7|ylAfC3TFDcd`I)fLuAC-!4*Wrr-S6AfE`DL_&_#WyU*p02xJ zG#-hCjF)H@>|xHxG{qTEt|% z-V>htXWt)^R5@1e9lW`DD$|sl^ve3%Vl4U#n2Y+<%!*;?LllDt88(I%TEpVn-bp|- z%d}XT@lfanl#&c;P~<&%@+j(k;%-vj|DpLDO!lCqy5lba+Zja8$@AKSPav9%JST{} zD>P;e01j4}kZq;}H^$C53rOVd#3@p@2vr76EOEoofnqzZZQbEr#Z4qT+ ziuQI1RC#TVEtMB31%6bS^o3tWUKkorHGMCat;uS&p5vihK?FSev{@TcuUh0cvhf3! zvJf~mkPxhLyM}~^HmN7e*48m55d?=bLf%TOK8vaXzf4v4^iVV6A%7BYq?56eM`+<} zWRPkxMeWE9s=j6Q1F^D(FU(hErp6O0Cvhm-UyUy;QK5x3F*uzJ`f*|`xnaZP8?pK6 zpxAE30Ct#ujW73U?83hh&7*rI8M+Z%Q*=E9=~#;2uFt0oa5<>weDn=SJ%=bcl5;cY z>3K%gk-%A6D5ajn2knPb9S)s`$jJd^KNPwKDYu3SGamM$RGkw-5jWCsnmHk5;F73^ z+a$r{zCQlZ@ROSTAi4*ppXwGXTQ5N>0lG%0ZX(NVShTiOzQ|7^ zt!)2XY7E)s!k_`RXs?|T7@Ak3k6^e>`N*`7au&`1hqkwYud2H8{)0&*D&d}63C0#| zoQ4WQG!|3iglMiNc(2|d)}Tozg7bu0ZLu;mIJQru)PQ>C<|5Nls~u}?>-5#Owqq3# zAqheN3j&IOFNp7nfZ!WM6z=o=t$prIc(Jzq&z}#uXP>>-UVHDg*IsMwwO`I6CDTza zHS+|wW@&DVLE6#DO`pbL1rGxv%B8Den9NGF^-EiVfiuD-Yn=+>ELP@=t;0K&f zv<~f(&4w7ua@oTp204`_7m!&a=3dw?NEI0_nZuYOP2U7FDP$%kmtRZi!iNyTq?F`Y zAkn|?NF3P$ncJ=r7)q7*%rH#!C>?qmFNeWvXv?WU18g{D=Go!O zyWODB_7HOkS=X3~xBf9k2yCd5nZWj8hTxJfCb#?Xfc7JS%)HR%`(3ZbY9a|BonW!U2*(Mj@9H2%SwVsOfrNhmPw?5O5 z^-BI6z!kJ;yct1^!_3y8tn1xyv##DG1UmE1r(P)EMwWh9k0j%W83C%wf1M60m@%++ zB#8p@UrGwbJx$y!TxKhp47EElS-K9F1^YuZTv$K`xiPqGCiUM0H*_|@_|_q6NyAer z%=l*uKu(f~WdRsY zSgY)(&J;9~cB`sJ(uaz-GdzTq9ZW71E*K1((rRs>G<>@1REB$l30LExV12-iI4MRm ziOq;I9ughG1-Z_6G8tyGaGN9sJXE>cjX+_S`_fs}2Fq1uj!$F`hbt$e7pRK?NoF|x z^^|HI+yq{5At2x}{8HVZGj22`SMcNU*2nl(=(CAZ9wD#nCPhLA5ues)L{&NIpfbj{ zacmx$5tlh|oAEl!wsL}^s9;&iHw=}n%$xT@L)ICwfut(=6d+(VVP=EHCe7l5aM>Ad zh)5J^q#@^MBXxD1e~2VH0nk1)fSKyI490&B;mtP~!AunO={A$u+}13Ppwzq2Bygt4 zl(x6G2h37Z4FX4&ZlcoEkYQ+QC~z-v4k^$^Vpn7Fj7&nRO%Je*SjRvDhnxl`EPB;Q zyY$QEb&rrtMI^kWg-j5MlLA4s-l-0=kTp3;Pb=hyEPIqhx6GxI&ET>vac=ecd4c29 z4VR6EQz5SvZxYJLZ`!b*mdXdp1}hv9h#*NaCmTlGQ0*8-MsIld$+CnT2U78XH=&Po ztygxrU;!)HADDaF6kSrRXdC}j%<0fm6-5m{_&QI@+OIAFWTS%vqZ?~4DIFXw#if*I zEM#NF+PE1E1u2HD3^+3X1xTAKB9#c~do*eJZPU5G07^qmnyF?8Byt(+Y6?(~nH$c( z^m?Nit3SBrOX*Aq$o;hlDhfND#9*>$+Ce)6>dd?jmrOvl2&v?-Gl}}X$~@v+5-EC@ z+G++~Ftu9m7)@fhfhxGoZX0;R5Y_lB54fppJ|l^mX!G4#A<>QaE0oJ7K*sJ}D%i=6 zuQ}-cvV-=SYSuT3X~}Gc6y@~_h1Pv(A}zuCZ&<^h&GNC;nP)rQWFkIX@TNuxrAUZ- zxy=_?i^Id8a|6fi!Ww?PqD8%oaCw&jBeKz~U8IzZ4KkLzOajGe{CXuNE|zMrqM{zB ze7CMbTeUc-&!t-Dtx>IQdQWBem=kRi^7L8?)&Apk);yck>0=EVrop`6UXq|<2ASDG z8h)fpZ{9>OT=}3>j4@tRS)IZ0b0W$5I)&P}7mu22ofZUZC=T#buq}WY8>T@MI0n~5 za5?=h7n$Z^;8xjzY(aI6O!xRRU!w-JY?qR861aGHVwFM+vR!W6+QiH}h|7UwU4v*U zXsStz)M+tS6lA+%nx*SIb7uX?X>Ar@FEQpO>r#n*-lg0znssg=3PiN`f;fzBfj#x%%aYkUjWt27NTUNR;)7Rhms&%3Ehuc)a zSjpVE4*yq42e;BqESUh8y%1P{-(gIU{xQoa*O5xjzhxQa!GIx#uf3K^(gW-eP!tqC zY}Mo;0YH6|kXkjdrQ`-&3RvxAbdCVsw+%me0ouxkou1;pZh2~*GFd5bP8T~E8?@;K zl{*}`_1i8rS01)-r3bgtT$^+4Qr#q+(o6&c^fKy5*GG|-tC-zlD2<9>6~%*V4_QSy z8W+85X5!zmit=SQ4nJ-c<-9n#{LDu2t zlT~dok`2E*fb6%5GX5*p)i2TAS{AZN9t$L(6Xk+clu-adnvYvWxxlgbA*(3l6=Q}+ zlqeBmvx))=Gq*M6&_vmmL&KFnzedE>prlOt#wG*(*HCQ7!CatJE%}bk5HQq02&s09%aM$f*M?FFeg#Zt3TQ01-lym&{k(x`fgBW_5^Mdp^S;-7T~^F#+0EX zzdq&lo=7flL|`P;3#zgeDA-VLN%OOr8E1^Aon@+uo7I?HaRqlX$N<+~7c*dN)CjxD zQLp5#bB!A+vtUov$z+5ET;;7wQQdwA3aVsN7@NNj|7MU>w$Y6=^Jdwk#K=+hFF|_c zvsXJ=q}G^mqS1$OBi$e$KzKwu5HwuU$`+{&%H|GgS0Hhof`I|D2B=v9QEdKSxs*h5 z>snP?Y%vVhHyUErso~1AU{(OOler<7j~ExxV$%%4OvtG)M#+-&M|r{{4*sjrp}{D} ze38D*(*g=moF(bMlp>b_Z7Rue94)H>&x0{Dz&I?m&_XxvbjG5!*FI!|>p5Kc4aOQ( zG~U$#i3~3VmJw}{BnX$(0!X@axfNmNK)2fZg3^b7#i2)PCR;w7f43VJ>&2KdKO3GAN=<9f!M4BJ ztXjrreFtUazCA^4NN?|TL)OuHv&t!0t#p7jl!59MyU_G^&?zdFnGk%jCtxB`g4f=_ zuU+}HgECv4dfF7ggsWlWTRw&j6?e5V;BfjU0VJZltv}_a}x#Qfc)o_PPF>oG)K6sw2>$}@<}1cY|hL- zmFRR{+drWhIx8)-&X#yr3yp*(3sjj^l*-Z4Ti}rklM=-I;gZ2_*l0U~jXq;MbFY~J zu)eP@bFMN;(ckd|7MrBtX$(4iN%6vfk4hSWS8@7YI@OWQ#L^NGI1B_$X3;EM@O7uy zOwtL;O|S!p!-z>P^))K^q)^zv)Nm5(sVp)`zO&E9jXdqiwC%^^y>uenk{Gar3ABPOfY37Gv(}kqWy8eySS}mx z8)xhSq$6AAG+qlD3Z?aCe;Tg_Zu0ytU=KkiVEcAexZuKnF+9es>LHWX$W~*Q%z-J# z`)wX6CPebv>@-XGyGt%kuK`h zs|{$^2Llc+xWx@Knb+1lSt(9rOA3U`zUIAtTH6DA-tPO$t{o(vUNDl5pALKoC{Pw2OOD;g&s1%5`TZKqCyK9uhp5%AxNV3WDivv&tRvoD1 zD)D1C>TIz>QpXWR1(;i$hdh%i?*D46k=Zy>RvDu!%`qcxJ;M=BJnQ9Ot2gqLea8(t zv)bKMV5W;P@o!U530tr7JeS|hi-4ah0wlw~HpvzI3=Oe~;#R8R_A~^RSIMP-Knjx* zGNQ1j0dbb-*WHNGl8Z7rPozp^|4APnXKq~t>>+q;bU8fBuQ0ysap=izGbf{z3_e=H z0(6>9?gdzY#^$mq5HQg|DF$~|P_|?E-=s}MpyIZM%!&!APHHT0sak$DlgOlkLeBPJ zav79ab_~o5l+L*OqmrB{ z^rjnn8KcRV_Ib6^#HB0bDmUtEY_3%SCR_Tiu*ih>MqEF}F^ooSIR8wii<~)~QD|QN zm4X6b_;{x{jFFsjEOtrgD#xBsuDx>)}?PkVNNtL*g49i)~OZ2d*cX||MP z+K=zQ3V=$&T_Ce1X45Nj;MQ++DOy`87|D>(Fh|RZgl-u|V;$WUbWphLLpO}gh%z+h zT42E;oPT>A1%Z=g7G%t9btG87Ml4m67Y@T!)ev{NNwsx{_>sX^6{xo1-*Spa(G4%4 z|94?NYX;{mC$W^e|6hPo#{2D#62NSyjVf=37Yuq+P=VEMG(BZ7kY>YtA%f8RLl+vtVXtTJu$b?^1s28!;j7b?d|YyWH?w?^d2z zw>@2`!UcuWC@RsYAuWfAZi_ZpN{FT`r*VS42LG&FYVoPEra(WUNHH`-72q6}h@D=h zDamnMjeE%U2klUXk~c=V4AxcMYMWEhsfomAOm85uvZIOI-V$AVUGRZD^7q?g_=h~G zTyRK+TCO3pdIxGg4h@-ynwV4Im*~w6J`r!ZiWeF-XCf#lbugsJDbeJmM{i#>!Omi6R})DbrXfCb-};BaUMy_p~C^gt1QnKTf{GBHIc5z!#|ZMrmEGQ%mj&A`ZE zgr*AFH6JffQB@Om-C1lk9cVz zc2AJQ*h2ILxLe&%{haChC#S#ESvV-_l}2aTC$xX!l5C#$T83MlhIZ=(1@J9(78{yS zeIR}3k4eof!kO9g8@Nl~WW16^6G&@@ai_VCoxAiH_}EZFhL0ecO)X5Hnu<&N++T5{ zQ9driV7k|o$~INTgrfV7BrSDDQj|dn!i>Mv>S*H9P2p}I;drWG3c5wTV6_rw5xxl^2$RCj+)tm zoN=Ypj8{20UBs@K>nxqpwr(<9B1O1lD{16)3x$ZLj9C@1lq5*FayBE3 z2FuxHf@`|~jjECwX@RA7O$HJu5z~1KMD}pOcNkqn>y9x?a$*V?Gg6J~j}%y6a+y>G zhNQTO463p7pgPPe7<6DYKZMmyJmnRfcdC)ke6iSFdQ(Gwq9ICp<>!O+7xY$=7o-n= zL^dPD6bG}9g*S03RJUW*)<^8^q$L^-ZlG&JQT;kZNdBKLGBIHjeLGpcR!MBi50~6Q zlBNS-vV}>Vd33w<z@@BGfh&Z7`+-nz(|O11PVM{d z^~&|c)ISR zg`p?Ar(_apBQV?9dXEs4X8c19E)Ohj4q@tR{aq5=SV4SBRWuac8dHOw;*PA?kstWnJF^_6pwThpbc2XG~e9hT| zy(PXIx+ASG4G7H{#HuZ%dz!fr4?6@c;yuaB3JTZ)xR#c#w;9bWkDTF~MG8?2wFK=r z&{J=g;~w>tw7+*_A;Ivc1w2#xmKtP}LU49SguIJXt(y5nhyRc8p;BbKhrF*+>F`(X z;^+E3?)X1e5SvdZy&Vu~=j-p&N~Giy^)n4>t_T)YZHpjN2h-x-SLUl4H}BE!%==W- z*Ye1rqr)0D955v6fkJz&4IMmzt?$$>XZd|V3jvUn1Vkk@-H{=0S>GJ>ckcg31YqjUF$=J|}kXyWFP@Lgfe+|{AEpK~kxVdzSo=*#f+qH}msw|=kE zbi})A3(-_stySsa>wl%_>uF^8<_8r~yRc^L#+tG1VqXaQE>+TnteU%c0xPjNYERhu<=qB;?@}=2aN8Tb;hpe>J+%{&LYUuz;37<;e zgvXTwgQK2cUAd03>-&+7AQnBbpT7o2^8~q^rM(aB!%;LQAp<0$5UuC z6zVmkP)JNKQZ(#x6q4TrvWP<3c^aP9k3uC-h}vpg!se0%#y_6%j|cyRJmZ{zZ{ZwZ z#WP;*LK%({6_nHgQ3ImV-F;H^pB)NM6@s5Jx=ovk^ad2Ot`Ng8JLy4~ff zyFiX`Q$GTEkb$op0VxFU(;f*(KG5krO_~F31V3tLqdbhW1aK1 z<`o-|xunhG`L23Idz@@$q%{ZTw?x@4$@RpWv0^rLqh~^8Ou6t0P_|mQxm;4)Odb0% z=W;WGA0%d_m;=%5&OrN-(@HfP%;d7!qSNRXlAh^##MNC^XoEHrysg%av3lEl&nna6 zN|43J5F?+Ab4@2$A0f(^L~d1cP1}S|_JQ?@SLYfsObxLaNKn2SVx`)oR;|I}R+WTS zm*~s$;f`sTId2$6w{WAYyP1fMc}AwX^1{%Z@6#00y_>r!%B<6`Y$s%PvNdCf$q*xv z3^8w7o+o?{mD^zfiZ!#fInT)1XE&mVfLc<%MoT+1Zl14qo1kcNW`4%-g7R($ zSpQbft&X~G$^#bkB<0TRrCRDPuh?oNOVq2gV%_vKsMwNB#omV=Hb>V&m@|OYK&oz) z5>EB4dApt6Z)?Q&XR2amQ=?a^Ob?XzQb90oRFDR>VKZ!q${VYf66}R#W~_9=6&CJZ$Le z%F9D@7JL#9*X3E4^k-u0)Q8Hm+|(+~#May+nb-@UK9Py@93V`5+b1ybR9B^di&bu# zi>+o$GBx{nF1A{IJQt_y5^(WE$Hj%z@NeVds{apM9Cci*$yt9co_I7auKx$PIQmz) z*lOONi>-)%kc+J;jpb^}7L^)EVm0L-Mr!g8`iTidUW zrdCE<59Ws2?%S72Mg5&uEk9(w7r8QWMPyQ>Zqnt^@9rFl;7;V0EnGe{+Ej45J=&uc z>!V!*8KYUDJM>Z4u&(XlODt$t7NaqBrlE=4;|N#06N*2RC_9~qij|?-J<+C(V|PWH_C`r)Yafhc zN#s8Ecx-TWB7fH7n$COqx8#Pic;@OEzwTM>N{wH)vFcbo_P2iuQjUH{o#~%l?60r( z+w7vdsLvO!s8^gmiFLf59VK3;QzNb02gH_CJvnjG}~ zSX1Mmk7}F742t_*(Yeos=B4t%Nge_lJ!^iy2P#pkNaEWesl52IB7*u ze_C-~Y*G7iS4`BeE9RrLB*YVV?#OjPV$N~fKT4(Uj`%C%{)+0c?^KU|r?zQt%->n7 z0&jv6QD3)@`|fl})MxWl+-EZyxns#I2Gk~p?Y}fR=0;VkSM^9tQ{j9g2kweCwMT)~ zkrS$^!%FewxT;ucT^w}nf`&W`g=uNJj^h(&kFECi+|A$E&e5Gg0A@!1hw zR`tstr87KmPCCO5Wf(G$44ukvE>3eUm<4Ti`6^Ycb#~*{6KPJvat%5_8|5RwKOi4$ zLsx8ZS_Hgv5zBxD-4bUews=L(bTUz<{x!ELTo^OSnupZ(s6mRD9~<14B)^%9=@v(tDq{qm~c zu|}*U99GzxFT2^ht!m&9L!mUAKsIcSF3WnwiAqZM8aG?smsOu3auzr%T=sm}rFqjT z4Mg(|@8`EWE(t3VVD0wuetWxkh&P*!#Pis{;*4-SIPgAsJh3xcaPA#pvbz7qDB2GMQDSJ zcUv^*B2lH$IY$h}TW~@&=%9_F;2c0ALn)m4ZfCBQXH`*L( z>gGMFh(qB$kex;{GZnlBQOb!w&B1qahO7xsQRF&IZ$RW*ae zJnP;cCI%d$HKfKaQP4HeYuu=Idbdpsm}Z3~z zR)R35f;z7f-=`dSY~W^tnpTOI4?dCmsL~6~nSrw9^A`_Fyz@EwDv6avQ>WEuMHY?W zH`+C)cs5Z$A(z-Wg*qkbc3yk`jpW5ysX47hyv36au>1Gt9G8{gYFOe>%=39zzNOQ^ zCSC0IYIUE}U|>>1fZde=YtDcvuG<4F4mznFDUaa%n^$nr0naIz@dVHZX@!J9zyG*E zBT1E*wn5tb#dY@|Ks@8SlKq z>oB>u4_7kkJCo9}#Tjh_>;uQAvr0&@(ns8oPyZF2bEx1q>EYe-*EJCRJE!C|g8OIT@=x%mcSGW2JrZ6rmB1Xu=v_nSU# z19-f{8r3J5kJKurN4#51wSY@Gz}2b38uN`TX_@n+B1T91tDlaJu{yD8Isjn)4x+!3wu~m06y@A zG$2c#4Q*dAnT#HYw_6=DL`f?SvMfGCQ#rMBexCYUnP||FYA4Vw=c&j*x11*ti7t^q zr$&;h`6?1hP{waemq1BHlIKkU)OA>$Rw=@?aCNQ(?8nM}a{>QHpVA36EcoX>oda?q zq_FSx>6}o*kEC)W-E0(6>ePIroim;+e5mR{#n1*YC9r{rKWz zH4gphvN32xt~8ez=>js)S9R^u4NkXc!Dn@#cIXjH3KR^QLPP>q_!>kiRQh(NbI(YWv1JsTAmK2FH|S3pwHm zP2V(2>zg1(nN{UQ6HMP?-(9YmXY9M3nt8@R82fIQW}m5ctJRp|P}{<{snK^Im$%?w zu8K59u8K^KOj%wtc6HD4J6(Sz@$HX8a|Q|j zFhv@vd{56oFdRXwh?3SRDS0D`+SbE0$64O*J#xjQXzR}Mv3si%W$W*Ld(ha`He>u5 zG>bOnes6q7Vf4E7S(os3U3=l3P_T(^k~)@LFloxD34{dT5L(yfrFM~EIytk1GbMp@#KsB zai>Q8Mfc4(^(2C923Yhff;=8{kNZxugi%T$s01FdWm&WK8m8!@SyjC*1jcTM@m%vj zEE%xJUR%!1yV!3z*Ngh&PA22(Afw-MavqI7*#kwwp`Zrm3bYSi0C{A3e_v1r@PZ=G z5Es=457?A6*tnA|r{T|FCDZp)hNpjhwz;T2c))&>#Fq3}E6nbXgAYKZAL~vdL;uFl z%M=}SBGu`B|0oT|!*qPiU-H?kKO+Tr<^@kr&t+L(a(NDin6C(dK7rT3glX-?Evnk>I8$$`Jpt}5N_;eVX$409P($;Z|=rfXE0h{y`ZBo zeqDPO!!)`mYg*8YO&j@=UG~MvB?Gd)mP(D?+x_czL(VPKgTRyXs`X%AsO|UoS8*cw zovkkqG(S?!*yk9oqq3~-*jwK{#)TGUos{j`vn=a(L1<~#G6!dV%SBm}1~`btSvy?l z!qBLkXZ5pHKU?rm=Det%ZTi`&AEj15>*;r^{ciDyu71&ex7qJj`*kqS78#`dZYeTI z``u>0TkY4uJX>mz_PeFjAnkXX{cg42XG>$P%k$zDTS9GLLR`lxR^EL5-`{wMeni8e zVy!={lfMrB+T;GPF8**H4(s5rGn#6ru$JdtA84OQwg0xi3ODlTRnex^IFT1-ZFQU~ z(n6>85M{lmpCbK~%E=qW$@^-oV%^Q3>jNjNKV(%j)j@pAdpnK`wLJ!>(A}3Ln{Uj< zg-)M$5OZj8W819<`}74RZpwP5jHOUXE^Fj$#hcOJm!6E=ReI zUA?0#>hBHo+adn{o1iD2|FiE~KC~;h^ZX7uR&g5d#r;md;GYl8)1d^JVk0j3(Hnyl z82+UoP;glg$e&ICZV1!?8zmm+FTL4m^);a(&naW>S%PO%azz!bg4ZA%hQDbax;0K!YA=(H}Z!)$dHJpF{Gd zgH}yXvzne}&>uKxiX8J};--8DlL^mo;{%I#cpHcsF^~G-vP{J<7 zHHSPN_00nfRu@wNEsvDfe2zug)|}n*=PRn^Oj-2q%z}deehC zsz&R|?a_*!mX-9){3_zc?qwNk?#7rudm?XbQA) zOq;=i*b9X7OG@B@Wq-N^Hb?W?(}zaRK_tz4ec3ck)EoIm;nXB?dHU7)$%yFyNxZnK zFJ8V%;&v)V^+V`{Ml$at?p?*HM$O0;LaN$qz(XU6Abmv|OLg&dUiI}0=u~_xvTNwn zWAli*$Cl9Gm@z}IK{^aooFjlB&mJtu=D~U3X?TTj{eNE#vt5HHd6%Nzjr-M{A5Le2QUGuhr{nsC(SxesNmI2ohqc%%O&AhpY9X^t{I~Q;&wq#jki-eKSML zc&ueSHmEE`yrJlEgB9K4===eiEtr#7ADki*2DRc^HfXF8-q4TH<8`Diq<+YT7?f7)ipSK7dJ#l^AVzmGj z1K0rddH%pyniLyKnvL44pI+1kI)#y>@CK;DW6skU89I&JpyzmuVBUwa z!5$bZ;8;zBtq?{qtL);r_n~<(BX{i^V}p4bgBe6J6YF&cqgX4{;4X-@Y}Tvrl8s)* zrgR|m4rbLVZp=`cjPR43frX)XFk`o>31Zr@%%+jRbZ6M4*Gb3;NQQ%1Bz4^UuA0{f zUqbZfT@|MqkFi^t`oCqMu4~^_gqDNMoy$gQUgc#spzyR)ycoVmoON;bVMw`#+8$t! zLzD@9Q8j*1jtw}ai`X1eJhna3+HpYprsqvyh7Ju1ewsAHV)(D!b42hg>EJ!u+I1k> znmPu!GK;6yl@AJ#K-nDxLT%$dEmerRkNBx-{{v35RFD3kwuvdxUZ|6v<}Xe=5a^j; z!cT={AP?C^hxX0<(^KBZ(mnvpgJ9MVa|ZqcvPzl_d6mHP3g|HFS_M`U&|JXgJt_2W z3Ttp3&(*g7>B7*+oOi`;E3UaPRG))YJ95a|fHyo%WPPVz_vrO0dmYc~UcGKezt+7k zLEo@G{Z3{WYI8cXl6iOF_z7~}!9{#bZN_lCfePoF5uhCu>V=i8;t0BXFe7 zl)TK}v;1x3Pge!$kK0>h!4c92RFvAuQ-AMJUio*eyvy98=BX0VO!V3QVZ z_OYv@*nRbEF%0~}ut{JnBf$cp3fl#;jIk65Q4F#`uJ@@A1a;a2o7u0#q(5*XqkjYE zh1hMJ&B$9qg&G(s#V|W}lXU5SGfG)Mvv|+NkFoxbkECtEm1`_$-h#~U$@5Bd)5 z?;9@u|ZeQ0+X+I)vL|8TVV4sHHX(CXT3XXl?_ zI8W%q>1@Ok9L^IC$9aOodBRa}>Qd|%jdc$h6C&;lZUFqP#0?C_Lx?NsPL^Y;x~<7NFwLkAtbSQH}Z}k2_so@{7DQPzz*X$7<}y~ z5M};h;v%s6Gxc^uyOei^ph_5IRUhPrpyC68G{{waQ2)ME#Rs{H4?4UrskH7mc|)e< z(X0MiP<1%c{E_`IyVg!)cw5R*aJ%~#RN>>T!s%f`I~<=dOqBPKVWRpT&*IZwmvlH< zMqf8wsLg0zOMaH&?Ke(VSbZ5M!h6U#5njfL#UG9o8t6EeAw_Ni(=xbE96OwY^F$@f zABaxea>rO7S($?!?!gXsthJ*wS`ja<&vc?>zHXu0r*3>d)OIh{E3XHm7}53pj8_yi z5Cu9gIz=Dl?A4pLAx!C_?Y-DtyfM=B#RU0d3*^b1q7#AgP>f%Oxed zHITLymS!x8$I^4~L+pn@vS4up6H#1t>1psGRzuFYRGCW!;J!NBP|Afz;#}J*X}c`# zFgyOkYXPk9!dOU~T&Z?qF0);dX)LsUe;O4;&}YfPek4Y)q@7(tDq;$k?i*U8{5iVk zDyY=}b5dw;(-f17EGH|`u2!&(zhXOa)n)4$cQUuVbanbSN*r=T%cw_SOOd}`h{V>0 z=$*fUXy4Hg1vXR~k?LoNj36IVBeMVwp*1y1&vFCGxEetjEdY2qXYmVV2-cm|M;Ti< zVU=8ohf+o(%<3U8ONKJap6+gqmibCNq#{JvUP8Md3=|sSUd||ft#tZXI7a1(*>&{= z@wWerg?WV!t%O8?RYF^9y_~QhTpPkc8>qyKN@Ev*5)aL;vMjrmkaJvL_T&1p+m2qg z4IG)>@fOVCcq=Gf%+arO$6H5~PV=BZR26WXesa3-fYVP7C?Jr4(@#FU4s@RD1_HLd zE$38+MRhneXaf9g%9AY=O6*4gaykAc;P^Y}24$xQtYES`{yu{KU@T_rlz(dO3 zkB7`+Iw}vT?~VQ%Z(fcg!F+}5XucIs|7mla$DR&F1ht2FEI$}0We9k#($)D>_NUdl zSP?d2wb5`12ptlNj(nTH?B8)BR31h;lP}^rev=b9vPO#{4t@=;w2|&R~ zGk>mCJL^y0^WSLZJro|X%stC~=$@4~=m|jCco$J}N)S=`{x>bh@U`w)@Zav4f3uz{ zqAm!Oj1B@LjtK(8x6ZJ^X!?0>wwwm1j0PN>K?P*<8Jr(lY2bj z9!v@J)-XMukP_}_xQehJm4NH++&-2?BlUFH|GxX9bCh{xqu zf~@Z2|Mgh56qdBA=>7#vrGUtyqD#L6A*L0VCrTo!LT1mp|CTnSr%#hr7ngUxou|Et z`Jc8nusD=XT{_KGHCb0&)!lNs0c|omaVt;;s5t`^?Y`0h%@2SkW`J5UKoh&a;D9a( zfa)_qb232n-T4ma*Z}D23{YDJ=<4q7QC6ppe^aO7qR9X)$N*j2z1#t{1VGa>K=)*T zrgz`#fX)ekZpr}Np8>k5`&$m^o#`sBt#~$_kb!$3fLmbE-4h(>F9T4W9LRt^lmS(A z_vakw^Hy~F?11Z@rAsoPTBhsn9ck@7E5LeJf2@yZKo#A+)`9*az)>kv2itawufj;{U zSAq8apgS|5itgTfno;160CZJ<(DyQ+itc{Gfj%A(Ykhyvk20W&?!M1~o*ZD^(jPR3 z10U`LhoZY@3bfy7OSj2?Ytf)Xj)t&HmupFfTrTW+03M>@;N68E`NSLNJeEAa~nX2tv!nB9R1iFuyh zTAD65xxf}4IVkwn#ff;u_N60L0z0AFEWZ1-5q+g=Bl<*;;!FSbxUW$5+~1Jp_+m8dj8y)3PAfL8+;ORb2t9>@;Oi?9Q$ znl0E>0K^kP%M-5UoaNCTgr!mC+nA;rI6;8(~x-a+MFzQ!`}oA z`|XBjv)v@NlaJlFV}8xpRL`rggG1M>_1kaPx|3tiPsIGEBZ(m#=^g=s**E94b`NM> zbuiVQYWH(5EbJ&u-X+ojCcn?6h>$|ipO?Lll~9Zq`9*jKBQ;}6#O z9b?(x$6@;FMoOM8sbT+JDI$Y2??g!J~d@7$cjpgj@ z8=s61K2V*#I<=b9^{K8%MQX+ia#OI-1~4vouydsro0{5ZRYb2_WgFdvEcvxmZF0td zRF@F$e8CX5AkKvFV0HEy|IJ$eok&IRjAsm;5P>bydVocZqy(DVG+&#%{9x<2lGUGv1?PLYg%qml@_UlsQ+Zl{~*-nEBuet zuHI@l(P|g{U#cB4R0|=k2Xk63iC)*Moy*n9D-P5q|7}331JWn0FK3=6_Ixb8>=sYn zU#YFkS{2LT^kaSXQ>=}J`K|Tej#R9f(e6J9Qo6PEV0O!8Q3Qc@L^rXZ_|Q6K%=Bp_ z89#Tx%;LkaPc6$b)Z7u+hZ_Egq&jLUR?X<(B!mzyg})JpPdo-&a6iF;V_KrE<9@f( zy84T$4#L~5vriZLrq!6atD?)&ZP2>&oPoX9S^s6~&knU%#g17EQ!85!9y99~+V9$L z2*Nbns8zAsHUiI+n%2byI)qVrNpi@SV*8%GG}&_EL2iuLfEgSx54t9hcvPQzDK1H# z9gB9n&XJmSdUD?M$4)&7Pgg_RryVbOciuPdghItE_*4*c?@a;BJ)btt3wgizRRDAU zr-GQ@&kkT7__Xn9$b0y~0Op}jxfpKAWWAQZ<@`O)pRU7P8EfqAetQ0$)eXMw4Bv< zwfuI~n1&B`a@)Iep(;$fbAyO`=R1gdhL_tg^M29pAnwl%B7T3DgLq(gm4kSAm4kRF z*F_w?&0I24Sj#OMZBzTVncht|djfOQn@&V5PFdZ{F6ZuF{j@jL^UVHKDxToGM{$2h zaWom%d7GC>e1bb1BCX?!vqE$Ja)9$bzXwj!<-_+wbINC8)L)Buj`;^ZRzm;uQ zc+>DH_7D$8f_fPJblh+<3mCVMvL3nT~W#!H%HItQSVM;a@|cH@-oc41@2oDuRw5k zzeqE$?qB_Vz!#i;S+C=N;CME7!?O#)?#Am3wOvbp>Rbj5 zrXAvDHkm(`=0}jo|rp~F@rjHkqMol?GvH4Yh*wqm_J)j zan%TG0fn(-%>cwjr1gUVvj!Sh?&_`BH|to$VtCL_Y+2-B=$4DI+@wbBWJ;pom}55$ z&ECx3;d#3mqbSzB$%!dj<$sZP%=Q{jhsjo(j>TGQD%g^{X((6v9E>LGHf6Prd(6~i zXwE%=$D4*ccK^AW!$_ zm7Kbeise*dZ>a484db#9jnQ2z%NYhVwmu}AbZ^w>)WY`A-1g@DUkwb+eTYPx5BQzY z5_HmDq#tmdar9}L9b&Jq)yG3YAtZ6wGt1c0O3c+cf9b@{!FjVyc?hjg!XWEed z)V`50aaObaE!$$rB^#6$%kGWt>tfdhLgEg7W65#4kkgw}u2fb#ht4U_QwW8(DN8AB zcmRRCQq-fBB7CF)vGH#G!i6wbw&P_B3gbP zp?#=ymM2rZh;Ph?^HS-S^NcKL5~q1CGYdAzVI_l!qRABgPFn%h1z z)Rp@naqo${^yBAFA~BKsb0S^x4+wQ*5HHkEqU=sy6Zs4DTkt)8{oIjcNahUg%Ka<> zSv1+566y(DaEaXCe*5BlKQ~MYbjyv*`X%1b$+?lG+|s~i_)#E$c)6p9_X~C}K7F8{ zze~SmJNT8_jxGGr-TJra{J5X{r<;E{04?qQAu4l!O~f^!GgguI3xYF0^KC9~@bce$ zjJqEemRvY&$ID;*^!dPb{K?A67rX!#@veO742urWa2Ei~C$1l`X(;u}|CS1#%Mq;H z+X&6N%FPvj1VKV0bF0bhclc{``fZJ!ywX9Zs_8QZ>NzoZUL8EA^Gu&w+8nJ|bu-F1 zXRV;IyLoTflgK}qg>-A$V2gV9)7q%NIXb$R`+rub=}cBv2tKk%oh}&>3)OVQlM}hI zi+SA%)GFfdkN6#teOqg?*Kp_bz?#t;I01oDr4h5d3I3dQLSPqnQ6O!Yy#%kzs5vVY zt*5K?oRwDx@2=MEl+f9-IbN~(W+8dTA>r<^%`JQO=KkN)+BA9kh+55EJu9rEgUhyG z^c1%WdzMefM2bd*G#WAQN6a8gSF7){qkeK z2~7}``)573j79;Tod(~odDUM5H_D{eq*g^1iX)l*wVZ|RKG)gUr%UYaO4CH3@B4qgtyu^=iT9F~eu-TN0orLsAV zI!lf7qiLn15>p(g)Y(TRsvl3}tQCQ3{pw8+OzI>}C1x%GctE9(1$R_hm0A~2>EPj1 z>g-1)!!jr+G`^|VsMJ2|$!PLnD4gYp_3)01Go&&CEqmbMVJAht6uI087x&*Z67>;h zQPra&U+M4pHM&8l*5e(a_z=>>Z~byWBL9|$PahcbherI6p@X4-D*1y6)0KDjVqG=^ z;n#?~g;xcK@Hy)DW19qQ?70N%wk!8`U{uqd85qgztx*7$1|=!4$j(^;o1P!^~cA+y3SbFpJmVJW7*G8T3=^4 z6mV^=AAiR6#L8J4T^F=Bhycsy&zHX74txBUTr!tR6#VL=Lz)@JW2evoR$@#GuI`K@pDf+wxjSU zE~G4?;hUNz#y96J(=pKQ+ZObumQ~&J&4Gl!zUxCpP3`&+yEZrfrO`0mv5+$0@C^R{ z$vrCm`-mp{4<0c5+m;&s(}X|k$AvWF@ImwKd@{8;$vym zb9)CZo=<2|8$T#?hwZCBlYZUzTPgIbKKqA3eG2_W;`%A{IV2`>HxlU-`s0N9DfAV* zCi0i-x1fVxKle&9m_naGpiiO4rT=rEogsx@MT!*q^p{7Y*eB=Cf7mJXdJssV*Awp- zoC1`eKTN-6pXOHzJ(^MI=;fE70hX2fhe9vdLWC4EX`2Y9_4B!)k1p<0=mmRz;}p8! zrO>;1@2}AF4$sg90EZGsUimil4(I<$1^c;+cwCqq63gC*IR0wd$C16)opG+C?dZnl zKcnB@K0?1gzju)7_uzST@SM)GpMGC=Guyb(?}~M2k@v{^uY<(xkz_1|raYe$lF`(Y z{(4>f*|a9gcAiTU_pI-}qbz@df6s=h8T$rBl6A)nz>gGb-Jjj^a%+2bthK#R_fN3f zyeq)e`oS?Rm(zq;^4|_#k{pDq=A~>&49$hI{LQmQOZ_)>Mmx4=Pe?3Soi$(R7pw}< zp9}hqSnCI%PhP|sZ)S4Rf-75=X!b3cK1}M*WcpIIMlyY|GB2v?yeR8hG3B-5&uhi0 zQhCwH!uyt)REK{V7r#T}Oa0LcKbwR&6%|q((UhB}2J&C2>p=4CI*?e?+tJiI5#%`t zLgTXsOh}G-L2Oc+xPPrmjir{yT0hLb)W3hE2-WgzhA61QgDuPB2_a*4>WMteC0nli zE3`S&(T0-FrKDkNT}ca;yONA9(CTE-tmWB5>9bJup?PQg8Q*sz_b1y%4@?wn_zXiX z|3!YIXr+jMQpD$|`r}1TXrY;2F^#kr02S5qM-3fx2ze9&z})dr<1?Qiy09^z9d@)#G(LlQ{v(f9h(IG?t`Axsh5G0JPfk|Pjkqyj=cm4;R{S{C z9(2vvlwWWMZ(?p4a^h~<(&5_jOx(XaXvQ;nV6+nNwwAc!?pAXs&W*x~Ue^{ln6@yw zV`>Yd`+u)3-~3aK7;4NymeZ>8dNo+De*Ht}XRbl{YI@q>S8R#3iDPK*E0?LgzdIPT zmp;2J<>&sGcSbTi$UQ(b*hw{YUafyuy5DGUCk-YhXz)6ZuxoIKlWI&Rtn>bVZ163A zv<6o^(%0Y{UNfa%So5F_S+0gQ`zg2&x^-uLtxr2x4*m-kRn&jiJfY{!P0c)A+g9hp z&QY!-yo+a?OQ!DQxh(6OEJFKxq2`hwF(pf!7v(}l&0Ynm9RSLyO#`i|{N_QesX@&H zTT_E)9>3#Lkpx0xzt&7%7e@t;K+4y*G)PL3_x16sGmbYttW~zKa zyt@%W6-gYMoOlp+C~xf@JhM2GxK#v*Bqkvg$4|>%-%6YEBmSL=ZO&yp?)VXMvcBKa zg_@?+SaLjWkLu!yb2qlk(EVH!MQD*vH4-`F{S(evq9BRbqiTT~IYWS`o!=UEe*53S?!*wN_Ho_%JlUL-2{_u|fc1O== zv)|ruFf!oAK_aOVqcB5&?e)0NL8`5_hV3an?-WUVk>%Ld-a(-|F7>!Hef)ve-V;K% zU4>84Z;vL1tSDlfq+U+nPc5oDlzuKwmZX-Ci=JVTB} zJ}xUd_$CI>O+6=q;*)@TKF1bUMk_g^>G>)y=P295Sr zN(5F7!0enmn`d06eGjA_G**}D{9ZomSkt`wcu>hA>#Em=23_@M3uMo%n~gxMr48NThYv^z2MCZdEg=<_g3@UGYt!Ip5=2^NW+! zyD)Ar1N{E>0lWJ6`#Po&=8>%P22}f7sw=jJZmG4wOx>+x-ohzD zhZRB%jy6cLO{asF6wg*$eFpkAQuqJTnBT=}!gJ+sG~sG^lEKh13yrOi={Vp2>I85yPnzT zuj=UjY<9yymJO z27**|4qcV%te{C;Hya8AHDh72`BMXu<5Lx@ZX8L*YL(;^v|(?k-lHyRP*2(Q?O`IQ zFrdRv{I!?GIu;zpc9Y4BvJ}Sg>cs@`F4KqhFX}F}nKdfbbWSu|U!U_Zo~g*{Xo@?u zv}{dUJOdB2mLLY(w7*r0$2e?@)7_0H=C&>dU^5WFMj2 z#Zi?ryMd@*FEHI~&en3RSA{Oqae&5WIc&09$5xvc|8}D;7n=M&w!ZS}Mu)~%C{&*;Bom7+&nN^Bz8tOS5LqGl)1i4oAf!fonx)vm zr}fYj#D(q1)zc4TAS=~&!g_;ik<}bZ0VC&T(V(};Fxbu_$K7fw(dqaQd%I=Ez z?GZRFlHIgt;@pi)5r2=?+plHPY99NT|9tIeeUq4P+V(<^ zc++c$5wH3sHED}Ul$VHKkLs=+tvejN$x6|k<>4!OaR&vlS11-IK<@yhjPDK2Sz{%; z`)~rF3B9#V=fw8C5YK)+p8bH;O&Du>YqMWQiwqr5Tfxbz|Fn$m3&@hM^LbBq07n7n z@8#OYAE%0p4xMgb+`%GiRPkxMRgoUa$L6;b+(UO9;P0T?(n222qgU^yL>-+A6Jq_d zJ`O0$pge2?-&?bOr~*}RT5*r=j%4qMrPf54+{^pW6`Hq5woYKkXii_HJ6p@KS>=~X zJ!D#VQrv$-R!2tRx-UdXg>46_*5?AM?t`cqOiNFOK%`=Pbtw51-e3k`O*A=iK*Yb| zCT7kW_O&8Y;q({XD^PUB_hx^*^Tac+0<1y21+ zg#u~T0hP!nnhFeIqh&iOJ@@gW#v2%0A6MDCGk9oY6|X%{vy0;6V66IP4<#plG~+~S zu!Su87x9Po{D_>W=hDH=uVaLkqKS#VQxH4yqlvk)4Ka<%cU-{2N@(sMfbQ8I&_pz- z5e;g}qe*u?6V-oq?f%rS288Ao2rJ9AZfWXLVw8Z?PUB)p+fp5V?!sh z`#DPA%Ae$V;}%t;G&Ju`2=plU*}9w2)`vWS!qfxQ+V*QM#`!)1?pzGIAvw_0ZH0xU=(5#Zu`&_fs9MuzL0#mHvPufz4g~fSnOKfD< z<78dDv7IowUfL86qOD@URlFpPL5wHV=b;Ass56uZ*U-+;ykn2vw6pq}#tA9>8GW_9k)_(_`ZB;?qQ2%&t-Z&xMMt8u zn?24SC#M%8`k)EA#)S2Z^CSMThbXUunn@Qy+5WTVOYVg-s4RV_n*9BoA`#yNeIzx$ zIZ%a1!el1yh5pviAD+m5!e7HgD0|I@4|6XaU)`R(>In$Ub)2g{6sW`glcH*V|v^UTHMozS=rivIh4kG?!kG~=O6_PlN z=ScpV3Ex!X|6c7=2SA>V87FHlU_OB44}_X&HOl8Rm)d0WYCIWlp`~ayN!o6H7;QeT z56Hl}a#qhAvnZM|_n0#WbJ-Z5z-sUQ*K?$unXAnD#!==es1WS(%&a6wbB@V5x>_o( z$w=5m#NRXS*_S64o3Wv9^6?q%_P)u@XxyA*a`k)0NPC#{x$01duhU9U*7DPk+)jNn zlV?c7vKZ6eZ=NAbN1b4kMYBD+x87}CQL6!)>?Tc=47J|DE%d1R2L+55q~|;K?hc1% zbhS;|Yn$#AQzSkWO)}Y-z`i~qPP1I#s)jE@?y;e)xWZ;aM9J$Grc_}S{@n9tM#kKH(k{c*^=bmok!ehqc)yb)!8NgQS;#9@kH~v#?)2p$jCt}%BuU>o&#KR^fNA>nz z<=`#IY5=&a7}toA!_U{z;#M3{Zjtc5JSh^#ml zSD2O0#<`|ns_|c7ejIGjdPe=_zjN&O*ga?e+vWZD)}pr|lf6$Z6e0 zifrCls>tS@<%(?XE@hkF6Z}2R-&+2jiM6iCtL0YKAMBWDC35E$1zJf0-+Cf51C4SV@4~qPyf&0G8I*j5HK!Sh*f|JR86w?lP7*a_?Fj-G>@)Urdg}c+;g8 z+A}H+%=yTvcJp?{516$U|H$OtL0K;Ak}a5M7s=&vQYNR(Bdb4R(1xoI;t6cl_aC&~ z;#(JMs>Ev~!LIsnjhC4tuxxOn)8VWA^>P?>n!~6n^O78PTwpom$gG^4BTs`DH~TT{ zqTV~uN0>M2!K&SJ5)Szq(9jf;r=0*c zUojs-U(pyQ!?yV>#B92=2c8$PU=`uV~WQc4~EM@hrIc{4gM6kV&6SEgzzUcRwNJK>u)#IrYRpvE^i zX^1d97?3y_KLL;9E7cV*hi`Z5T2~Kd2<qU7hgp6h8?+%C^mR>)H9ahYEy0aF&F}Xzw!eCmv0c_TwsSPXCm%YrQyM#y z`w#6Wk217VZv141b|4oa`Mr>whRoeVMKrXtZaglMa1Mw<WXLR&xdRi@H8AFcl}S#V0M%^t{OT3_lU5;0>c{1f)G*f3L69XyRB3iMEXR zL=11grd+dfvSyx4_b|&mTK9Avd%j9IjuKe7JN$gjJRmCC9p@AJ$#_wJp$gZg{lUq3b6J$LRr=bSln=FFM7bEm!2FgSbc2WPcT z{b<(q_44Wf(~amWJe!m5YJ4BViaCAgNH{=~i!M*H27J}ZL?+dJCUjZQXF`$%eZ{=D zu+PLI3;RlO*e1&3(dY2rEBJ4iRa8I8XR8)G$%m>IKFP0kfQG*y;V&q7yAjdK<9SQ# z;SWcCpbQ}d0Y7O*@Ed&KFYxgfxc{$!4Y%R@AXgqij|~M<7@7U!s)|_PQzLDgEJHV%DeV(6RohtF$2M-rm0xwM#59a{g?65C=yEOUrNVUmfk?; z>jfPKF?BNj98=q7a%;IU^Y1(yl8SlOM5OwW?Kp?29)wy*Jk3W?izS}zsLvojfRbD*LO>QhJXgpsfb=YG@iF8Us?6hHCI`4Up$hwIz$#v5!6pHwVQ<}R zxWWz8k%J8Z6DRZQ$M4cQotKlge`))p?fb|I;3pZir zlT1X;>p^m`;wi?E=Bs_tIZyIHvk7yaEG3C&P*p!w!b@Zos&PEwkp!v!1Q)Clsk}aX zW`GrNAqJNyjonSqz+-t!&xi32-;%+*&nYe_1DKb($t7rDUYNpPFe@zJFIZFjc^^?M z!!!T%2!G`FbMtl?XI;~tz4CCcHDE;28vjhU#=o#EhC&USk=!T_WHm6=9DWwlF}x{$ zKle8@m1ZTslDG60v^dvm62?aGA*In-c;^8=anXJ=w)Y?vfH~HWa8FitW+pIki@}%S zg=G`LBtDq2eV`okJ=jaReqbFI({t5<7@nhM9x-nm=aKp2*2|vuhS$+e*UZ}9Bfg8n zD2)|a&j}T4X}9BGEE8s~p@H<_XZ2cG!GvnOfQPB<8eY#?!|R1>i*UVgZ85GFtl{;7 zHN0+ETY~F`wWYXTw1(G<)|BFH|MjTvd9?L^F z^&LDpj{MAAOD4cRLO{bs#ah~C#hNH6a}55RwZ)*!SyKc`wLz|4i&gV^)obvA)wuOA zv-NzxYCYet@^Kn|Q!(x7D@8+(K{xZpJ!&-clzC_5>4w+&jk}S}%{#Bc!neCgE_F5u zlan((N0ZF|ziyKG|GzcKMOZq=e_Qb%8X*U&LerRL`OGFE`&N_8&uEhQ8BH?(vzsKZ zwF!fPF4^ki)*PGwO z=kD3J5Q7iK5=b&tPwrua(N__F@r>4~FL9nQ%8j}Gbj~;wWk%C~kO^s+JT&uYRQBlh z=Vl(grrCt8c{f~%n(&qS6A}-jgGP}88AA7D@L^_hGZHUg1r?*hwBfv^ZJ?GX-rl}> z#@LscTag3^J{U8ASDlam*>ct4+(k0LiR>WMnZwk44z{-9d;$(NYZ~6*t$|r%Ux>Bl zJRjS>M)Mz!GXDW#sHgF-Be^hP&g&-sG5!^js$XYHVnX%nCjU|WN|eL}uQN3g>H|B?I$5OL&(0h~7;aY@x$ z{1mWxK*0|InTOiFN zww1lf%!S-6Z&jrCmqGWrUuxl&k`3j_17T@=dBp=5xDk~y^HU1zn$h}$owMT~ zoz*)2V={mM5E+muA0Ux+(k!F{&T5_fB9O3&zwQoyys^izfU_c-}$~E#cWC61_WGa z7G&cYVtpCCjZ=o9S!1z5Z_(sIW;;ibKVXt^fOcRuEexUWy-B@SBt~LKGXRWp%Yxa9 z5uIt0t|q3!mt?WXRB`m{WUhKLo6_u8m@_$5aWwaNM9(E{Ew1uU$3CCDC4V%{SdIQ{ zsy!1g7Qa;9I(6^zvG2sjf*(MAEp@`quYz>KW=y;H-mQGv%MCxlZUii}BEm(Jo4qjO zc}y#sn61xKS?3KgrGi(ptMO5E{NzD|h`L|~SlbG0OTb1S>~`tHpZpx2b%;;g;&S4L zUu#`-(rz=yzK56Sxxf44hSnKJKRyv zj`9^wnbM706YCwv4fB}L)$Ue~NnLsKmb@FTKy_w9_et~4MF@v5qcfRiGozcC_;0NB z0>q0jBW9Z2GrHOF56)?|BXurvNGJdmEh zVfywKo~c^!7Cqy_w-B0ufT`PC2utu22VWrk8ELp-xaBQ=OS~$K&bC3yBjl6{4SgO@o+N(3CVK2|uTPw0LO&cmt3?j*Lf%_FyY_5llx=5D{%tnWwXMQ0^1Mw&`z}y0wxf!+9RoL!ZT5aYNp92Vjkp9mfC(5yj$>UUTr zCCtB(!6u8*SKv$sY`tL7YjlBo2ucDn9Wa!E`g?d~wh{1XBus~+U#`5yG*A9HFlDnl zf;sPMY!!%}cp`6^-5dA~AN~%0!1yr{2F5IGhR8v4V7+g40`CvKf)4s9=PPSuzVZ%I zUGo0+%*10At>?nH@#VbDq$b`Cy|?`s4sy8aa6pdd@f+Nv7tKL*$*Bi+O#p0skD1=C z#e}ML+y-xUy8#XZjl*w4K9laQlQ*FUF4)BG%8fA-(Pt-AZ{SaC=7=uZ#E!dY6Xy`v zFc4k1fxj1S;O}9mC^~Z!=d=@MZZfl6Y+i^qY+(Ox*uY7~2P{&(i4)ri)tk&z*L=vn zVuK-7Y%nA&@>XG6;E|L2aq(YVEb5Qq2_DhRPm^M_t$L1AUim=jtZ5tamVT8}-n&0j zAS^yXo=i#L6ex&OguG%Sfs=RGuCPAu|AOZl@LVzNsl3*4=sENy<7p;nKDrfdY?Ssx z?q__T33;Ascy4$A**7S4(!f>GtmIxOKOeEcd@eO}6O7rP^3tq?STJmk!B74SI6Y$- z*eY#04J=f;ByJ{5AK+dU^iTG-{23)E#Jwu)JTUCM8{z=P_>NB}#+qKwYkq%G{`U1^ zrsv|08BY?}?|24`^wGSfrs#2WKAr6kpeaiDLC8%Cj)AD2s~6c}6)5 zqueA$xv195vp^d(#5u+g;e22 zGe<6fy_o$iM=tcfXZx>)-;JE1I2a@VGp`@x!zPXon>apfV!q?^*7aa)+B57?t)(u6t<`5TwrDN-i8;nkF!@(){6h5x z(^|#>EZAUL3mu*Q!f!3asM=ci2#~fG_93TRYm>B=!9i=W`yvO4`q5e&*jgL>*7_WE zp|w8AYyAb?J~|mcfYe7@%+c4?(OQ?`p0>)Y#0LFbm}&@7Z5l@%bqp`={R8^Ha(~tZJ08c6 zE98&!b&ofb)(pSF!)y}khyP%p54euBJJ9f?1!E9(bab-s=vA7f4 zySieD+1=Q^*_p)IG^gy-m4oXpULVKnc;t)xCsE!5au5E+r>`UX3#lKZevk%0$|4DS zwXXaIa*m_j9e9OhRp(;7$AUwqG3cUMb6?D5MaWI89+MTtOWW&XiDh;0;j7@jv;Ki@ zqzOhWN0AI+kj^eXA|hL~lI0!Avhj)XA%OjYHQ|M)AlPyRQG+{R3(@6=)0dv>1D)JJHcknya8_qzfF-CFmUv zXp#lGb8=c;y=9Z(a%d9!3R-p$tw+(&yNLGoUYUZHy3t4$v{Nm#iKvNaovaG)3I@^E zDVotmJh$0_R^~<{SeLh?pCKWP$j;2azm?kD1#IGcT)w zjh|ixxt`e5$TcP?*Aog#cK|K^iA6%@Qt1XISwOcPmTt3T**Lt38IAQe7vVk?I$Ty@ zw0f4HTNcx==xQ-XJJ1JkwvEGLNEY-<1L&|Ab|lC;n4Q^%8|^lHkVQhW4!Y4u7PRaD zT5|4aqOt^{7JH)ZPgft0#05MORP=y?)A>TtWe)Hv3?U9tNfz+rp}qlS2}Ds4;su2$ z5{MV~$ds?f4MDO%6nhZH|KrVtxgE)K8>X#Y#3qfQ80yX%KGy^ekt>J*rxj#kP?_Kc zVaQqKVgf^^L(UqIg^4?gd^rmR!m^qz3ZYi>-`z9itaU?>ED&WL1mrYAmK7&XpNzJ< zi?!k8?4V3T3aGATi370C4M?&8K7B~Klk}ENG-le{Tk|f zcSDjakXP7{7*%Pdjks^D^fj^4e~vQ(TW&9<=<4?FWs#7;HTaa5%Su5O^p7V61%}%* zeWVKa4f@_@5*j&Q&@FZEuw|d>K(9|Z(McBct3C91WZT%x7R|a;VnOXA8(1VHV51v? zWPzCIK^R|vqg&D~dW}F@L*zJx)FE=d1G2>pNwPrxX<|^PB@!(qn(NV|43EZLwr^5@ zFg$969!+@k?wHIb&Q^A`iJxYXkOu1AO-!<|bD+hJH?q}vP5g*7@ghODTt<$ftIIgY zf!^*`2FZec+d)BPOjPr3!+m35-!|#E$osoxwtm!Z{byMuBzq^e-#N^iWI;dJLr47Y zfEaH>)Hxu!+z=!S#Qg^b1+)yTNFXN#-Eg5oY9Rh`R;E_0OE9!l3gCpGOhpQaV-Yx99^(KU#2DddDUtc%BmaBnSCUB)B(I3T9j5F?*tik5OikSq`S?{iJ$ao@*AR%@IpRdgLh+E^rHIRkDdMzWx9n&7u!r9fDdp6Lpqlb&N7 z5QAcga-#An2T5yR(kp_WlYeI!cbw>@O`jGwCtK4Mp`REFH=@DLjMhm zgru!?vqG}4GF;$GJ6|BI2z{nP6iIVVb3oL&AxIX8>pTc!ujvTgOuA}N%*cuNvMJ|t z9~n6vqK>TKWx|2wR-jFyw z3Yj7TXqi!^0;(Ck`eCL_9d1C91@M>vAhJ=WpTGyOs!;B0j*V9DKL$`nWz({NPplW4B zeb99*60(y(R|L&!$r@X?6CG#+ZZwhwE$*SkBQKlh-OJcXVN(pXmS{a)XjApvipS zX2uD`9zlqO3c_G(Ns-s*CV1CXTaqd|Vv`#_IdmQoHg$~e^ z8BRre=sS;($8 z(*e=vh9FrWl4*!>0%2L;oeJUk|93K_=yyYqED*&Wg!XQ{1#M#KmVWXr*Oet$hklGP zgo&*?@Gxz3_&P~Qe3!VP3~HycrgOp59jtY_Q|}}TYpr_)JBODJ>A-!1o-ODjqXylw z;pK|1Hr&S|q2?WQr-Dcp^gIte9%&H>t0#6Tg!aVmIv|GJ5F`u4@;!Y4?RgC2wZ2Oa zMZDI7!ju+v{K?x!&^_{6zljYS!#go-fI1{pD;i&+yy?W|5f%xFKjijIBnxj*kGFWF zSs<)AMYlo}8QyZgv%{N2U}}&1>=Gk2>Fomjil(0M*{zu(7P-+#7PNx{Xvw)liAqL_3Dy+K2yIj_ z%?MrO02_6Kkt|^MM1rE#>D==mL9<$Kz;30x^h9f?g67t3hT0e{S)#wfK5KZ*w0wVUrENDYMQKgb6FpHfU=#>R_VS zEhovs#J2)Wr1NZ#ykSJPa+O;YO~t!kcN`EyHpEvP5T$Mi zk_F;t9)!vL1ag(V%>yW8bb6~SO1wO5q_hHrt;&LSiw9UFBxRvHKpV_a$ zAkI!h^!>+3abPe!&ALq13lB7c=Kg_2LZ(sXh9FrWwr2T#-o!6n>=-N2z_G0lwfnIn zx1^MlDUk5`QSd_L5u z*$SbJdXobp=7u0y)bZj@d_bcKr=6M3ns$K=2Se~;6jQ-Z6#Pjzz!Q{_DOASs+gK zAObG0kQ3)hyp6$JPIIyBsJe-@hnE(W0bN@Bv4ep=Hv=RK1ApHkGIALCRXvB1=*g~o&B);T!na0f~x3kU!Ek%&LsDQ@s+!Ln9xr`l@HcHnfnaYz=NvlR#G zMRrcaY~lN;AQT*S8BfC~>LQGeP!vx|+2SlA4f@Fah_&|HGXt%MEb*c8VVNA5MOE?l%;St>9m^WE? z!GLfKJoNB0Vubq$)pBZO%Aq>7k(V=-Q19*nBnyWpc^t+gf8p~X*E~}pbl7QeKs34` zNEV1^Q@#?^ZKZLU$98Xh}72slRpb=dgFi<#nAx`P{%1u)A4j7NUT=bKU!gI%XeA-JU)+0Jh` zAgbIDBn!mt@2iI6kqH7}x&LZ~(DC)X7c!-&aYK+S5T|+&(j$={)~-1~zU8`-4iVW- z^NJZ>VL7(nWIanziD}&3v7!f4{xR`GSDE4i?+pK@`ct;w}I6?F=tuAnR#n z(2cln&|9$X2K09}8+0q>vOv)hNV5%|Vv&%Q_qkJKBn$ch7COJ>VzwD{qJV+lQ{XQZ z_yd9_P^<9xHV@&?bHEq7;Yk+wUv2YEU_XJd#>IsSq2b5q^O+KsxFJXuh?6}Cv^HWo z_T|PNu*@VKBadIRQtUhoidLt%YI^k!+)_6#$%4E3J-=Oz|A3X{H+SJSFpm&SE7n`2 znA&N_IWWuIm?R74nI2|5vhFz}mZi>mTb;jSk&wZ}+z=!S#L&C8ScoE{xex!y4=*a~ z5mF$Wh!(5|5oJ3XA&(HQ%5t?k`65$v`HHmF@*hl7+=`kHvW8 zAwD0P`Zd~${RsG zO$hC)RK1w~j#e?OM@Yvu__h^d|80}eg_VSBQATy}PqIkJA}ZY$L9#IVuTkG3I5bJ0 ziOdu`}ew=0aM-JWK#kR+8lpLAD8 z=FBV;_T^o_gKTNJVwUR(l!Hln-Xd0gUl|@3F_hJb*=zj8yk;+R`dC$l(mZcfI<|R4w!qJ1ESvzL9#&n=M7&jo$Ki;?pXq8g{VCWs3Gdn4!{97 zAjtxFX#g-;#t?PUk6;=v_Z#^vxOFz%11u6Ujaqj+PO`x55rD%YqzpgM~<-T|8J1|?ZQf9iq8Bkw+G zx$ADpb5UW-Ak!%JyA2`h)3KNKdcXm^V;p|i9z z9846tnIKu1xbbySE<%S$>vy~mBfpF@wEsR~1h)p#A;r{z^cfZj30~yJBv~*gDW(pj zCK|*#t0(bhnWbDN=vIDdtD*yS5vyraw&dF%%aptk)i{((vM_Rbnvp=dEDMa92#rxF4C^*l9ZHApu+e|&KyP!e zlaegx>t6Gl_7s7ztS75pmSWXI}_XWa=n6ec@Bc`u8Aj;ejBnw1q8e(^Wu+)}gtL?SEOetb+2$BWj zC=UW^lUO3J`8pbdPFA|^ZX7-w8YoTike(5S7@ISy6^-7QG6u=3ki{JsJcEt0ut zBv;U^%+Pp6)5*#ky_tenx&5S#bAw$pm30qdYI#JmwGW|7obbY4eoo-h^vaofs zvIU4PJ|B7^v`8VeGqyS)y4(;X3&h5k{ibA2qEU{2l~>eYNON*e_!e_EIEX8~+B#Wy zIXsw`n5?`UF5>Ry;HBHm3(3Mu%;N>`z&(;FZm})yZ&)Pc3VPfSBn!m9U$VvJ+dhGQ zGbeZEH`C&lpqZii6NMKmex9PdX#BjdgO@%xFC+^u^E_VSk!RK!aVG~gTA~n>MWc7K zNJ!j%Hw4K7@&1b{F4h19!U~nADui~O3J1i18-iqk_=N|dZpeS-q7bSziJ0q}DS_Tb z)ERB1VsWeQ5<0189yYRD<7lZerXkYZEE1Bv&mAI>ER22lg5T5}N5un0Y6Z>8sZCcj z&8d|;&<5RVAX(6srqN7p&&xx}E1n%F2PI?;j{u{$e3aJ^ee+r)x|KyLQ)V=a)XgFx z(TCjrnPg#R=Vrgz>lFe!mx$?S-)7n{=QvVfwY_eceEiR0lMM8}`vG zf_ISOL8LYZ!~z@QhYpBQHw4K7(Y;CS$3&d?`fB8=iBK}4Ph97i6J-X_ub}#@;c479 z5RdFBtXN}Zt+JwH|{BI9sResYBWFK&A$2+VejY= zd%=NpjhUdvytcR-JsO-`Nf>->JlG)@DJMGAebd27z1z}B7EXTmPg_y`P-nQPMLA;; zHvt1&Y`;H~i+Y=jjVuzf|1Ni~lVsuIAdic9IN%-EIhy1)_VS2+CQ( zZI$U+K{PNcXwfjI&j{avXN~YvkWfO-pws)^Oylqem_}tzd&I_7nex}V?SW*KUzvl7 zZ|3u%9zfgzzOrzX_ zi6XfDE?Eg3&w8_Rt5N6a4sLtg+>$KZCN@|a^TInl<)q8w;&epf;Ns=~HC$L(=XT{n zv(68&NXRs@-Pv@Kg^RHs7x74gKv-Gl+Y~~x&hs1)Q8xt10@2Z*7SvlF)2uW6zkpiy z*rA}>-?#idQ?5ccD9HkPvi$y|Gmbev5 zvaqoINngr3fv{GVx)nlKmS#I3O5G473&hPH1f=x(0^E?SEN#Ef$YtdpA5c(D=x$_@ zkX&VMP?81okTfV$p*V>TO8l7vtj7lXo&zlA1|wO(?tQ}V6-;)r51ZqW$1Y~}V!Q)& zosIg=y_q6bx~+#~L7i@+(tiW(kE|**dFfL$?SV}$G`EbEzKl;j9+a`pi~_yQ-JZ*y z475s%LU(Bhj>BpHDi3f13%l1PqN_u{!!nl>@N`3fH0^K zYL46P&J;1~h9FrWzT!b(vS}^;{h76eM!qd}vt921EOY~sEPzk-rELZAlkcnjB;_#z zY)Lv~OS+c>xXRt}NEYC^X<&b`FCKaLZ$?aOJlLveIv#YeNXT@G++va}X#eT;o32J6 ztkmPELTC{9Wd}sD8-iqkSmHs5b(WcwS76AC6~i=N`>T=98jQ9nxDG}=EE1Bh#0^fe zfbZdf$0LmbVW}`>tMCE`M5!BsWP!MIecDpI@ve?ur37;AA)wZHw?jd7yxX!oQ?4>M zD9HkPY#KDM-gY~y3dQkRKb7@aZNkePX!V#lI2;Vgg0==n$1;-zfld_&Yo%wLLg-4* z{tk#nHw4K7F+UBl=`ThK%S~k~gu1B}EE2MX7B>XR0`bwhbVH#_%WNcVh|$Kt(oba# zR=Nsim6@dYv$NqDy&(ZK1W$o_g3Iwt=&CHkSwg#q*?PWV`A-6$;Yfdq;~F6i zf;5O*L--fp_P}Yqd&^Z*YRpxW@co1if}@JrERVCme*P*(!Qoc?VYyg53&gACOAbZQ zz^d%aVQ0zNxU*+0kuy2gJ99GM7|U8c*8iMGJ#y+|XG}K9_k!^`50XU<^B(qF$RLmx z{j3Hdq7Dd-o9QoxeA;Ipf&P+n+i~7Q5mGd@;0Lu*_{u-2 z@cSTvHhdpbI8NaU9h`-PPp{@%!lzgBt8MjbBt%BK|s)8#Z{cw?|g5=yDv^G9g+Z#*#E0%a{=)rr9#txR^Y8f5m zyqKKhLoZYaHmL8oi#BP0=%ve@iX~Yr<11;-yLz)^Uq<9!*3^_b!AoZnY>I-NAYeat zfOWgUNEWbXdID-n&gJKBuI&?p_=-UK8B{`+D5PdkCpsW|+>j&-W+L_%{{_X|vCbMzWy2v)UK13wEDqTP}DP3f?h6yi&zGM(|E^;Pt!l zNEWL`H#I<({;?;ua zuX?fl$`nyoy{a9EgKk8U1@X5Jr8U}HR;JdHFKGUpf@m`pP3IJE-I*yLmvkKCG0B2< zoP{>?mm=F8tg0!sUr?JdMZ;PQ`(C31ZPblMvY@$?M#zp_Y3?5G=$WWgJ~KiH&mRLS2FH2)PgqSYvxzQXnu2U?*U zjbuT))`3K+$xTzkx+UvT>)Cqe)2?wDA@ihYF%yCuoJimaA2? zLTS0U1FhJNMzWy&aaB;0)kZcGQDOLQ;G6R8ux#aFjPMZ6Yn_N_e0U93^1<2G4xYK~Avq;ERirs)D3*g}Y1|%%2QM7Xfts*Gi5=E;p4CNl{Kr3;hkt}GJ1koDJ(d8oE zE4Lf*jt>I1DB$q|_z;VP#4B|Jk}QC`S%B+MQ;`7H3E*)-z@-X!oB+;t0G7D{NfyAi zzX$Z$*n^tBDu6q0GZIz?0oxR?QUG6Ik&uKjHz3IZc!&ka4xxSG1_3-J2zavso+5xh zZ~#`i0ZA6XzpV^PIEb3m!Augsvx0!_3V4$^XHBE@y2` zsp&z$+Z1rRDUzFT0M@txNfy8-Rs<#7ikeKIfhBwtA@=a|5LuGo?1vqSseY}%fm!Ru zBv~-4f|zCGo)SLxD+e)F?e%xDNXTgF+-M{V+8g%;BrK~@i>Vg0sX={qD%w=T zQ0^%Xw0buh$%57pL~DG4vf+OaZ{$`Z-iblLwWmYZ3jfa+#mZ_kvl%?(Jh03K-p z_5ko${D+{U14VF^HGDD}HEp8ZiYYCfdx-Tk-N0%(%@q0uMsgRee`rjuM}}Vi)N)FBe9? z?B&|LPt1Rt6ju=05B`7B{~z)$;(Y+JB?o9q5y#hFP$ zuZ=9OwT(^<)*3H(cv%M58-ta{bAQJYA+FmUHqb6M@ciEbT0w#fN#dDnQ%3X2xqbLm zu{NdqX=ab&U<#1(XOE%C+SklcwS zE*Om^9;}5G#}X^qdGN90dh^fhMx=CGDiX8XU<_?cRa(;4qq%~N(luM)y!D!Q3u(e;o1>h(91|4o)vCdyOE@|1p#HHL!J z-S9m#X#0_ue*rdNNRkjWTvRI;>?oK=JjfDuTQAFYb3w9jF|^#~0yBMjx}^ErlsfII zJ(|qrlVG8m0O|CK;h{!((DceF4j!Uz9!M4*7KC^xizS+?aTk!oHyKDT!D%2DD5NGh z*Rx1S>_Rsr$pZP#Uu>}(nXi&0hbQ`&hP}PZysMZM=LDNA0=CX2CYJ=R{32TDF#Pd~ z<}~oV$U$%P*}KS;KTO0pLN<^!D)StF`o!6^Ekc933hFHyg5YW3W{4)qVCktUoa}+Y; zhWQtI-~mXoAw3(4p`wPFA%IpAON~~%cXSQQZOXE|A(wj*i-fdN=nqe?G7ECFo$d34cKSrc#3=M7y#&87f5-aNtyxbln^7R#Tr60}_w5!}~{v!Qs7E{SGtYgUj|514ve4awV+fYrP2ondU_&g+d9 zEWf-?wV;0a8WsuJMzLE9Bnvm49iDB7lZ(;yaxb69R-dqCNCCF`XJvC*XhtbMQXhDv zUmh`}z$3ToBXnDQq%H8sfIMPIfk*xakHGNmy3Ppit$7;{JE+3zn)ebG2?<}~7M^4g z{x83^gl}wwIgzuj0B2i-GeZh+)*mBhVxyc$T!67?cntnv;RBropHRnnYO(k-W5liF zm-QLHwv4CZ;U-V5^Ax;8wPo(;UKF(Zk3#?t5g;A_=(GU_1)xg+x&r{?ucE=Wn(_e& z_AvmFGnQSsl<>1l|1@&r~&85pd`f38s3ae0Vcmw(|~FA zR*DvHH9FYEj;d0>je_}-W|F0i_V|sqkt!RT1M_aU1tuhEB+llyIbayGrgv2>EAwHv z?c+3s!5iro4l%Dw5l%Fs?`gBd~IgcHSVfO zma2=j>R@mX@WNSX6SRYcDa3AF$@{CT#0yvYUbxEk!u%;-m_-D)<_l>r98H6)nriB8 zHKc%@zcSM}qJt@7`h$(8?WU_1)C+%fO{NxV-C7`7xH;_CmLoC;6E^eR5YI`J30sB~ zVCxL974*WDfk$HUh#>_Y*)gVVEW#iDD@%Ca3wH!Ks}{}-DZtqo!rAqN#jD&6GcEts6R4n8Dlnu#1$(jreA5gi zjsH3b`xVlCXMswmeW%DFUATj6Ld??BRo7|N8g;L^I#Y2qFjhz0M6y)9 zwcS(P|3lQh2#h@R!Z*AywGoVa0gOc5qzK-WB4Y;y>h>n} zMJ5iiCb(=Nj%?nzT*D~Stm4-}S+ugj9EWGCUysCX$^MV^# zWoTZoR8e(A`hf$r!;MO^p#E~1YREk=C{8;Sdqip@cI!&s_vQulFb0_yG~&wZR+tU& zr;JD}BDhaYOpi#VX^>UzrruUV3V5!wPczoL^a`T|YhKWyTF?>c`z#W&lTNo5NEU9| zZno{j%nND*Y~3kr8B&0)?l0RTQcK{GsR20B7CP$ys_{@K1*Amj}Z3 zHal$Bc|p5`?Hz%zeLw(A`2fKAA%K$*4i58dOmE`RV*}{Cpicn$0|27}V9Eyo-k)Z4 zd%{nRZZR(?1A;ZKjt11QLn<+(KqdD`C2!T6O2*_Z{YIdYY)&q+*f%5%v?}{*cAZv3 z3RJQXl`y&yN15N89e5-vj~G(mkyD6^&)lIT98cEpneH5@Js%-iV4?~$yv_@`jRb>^s?qkrcU1cPsx1jf zma12_IV5<xku`G>nElj zIME#RQp<_<1uChMN(?Db$wH~*Pf`h-=wX3Mj1wIQRI)%SF{D5xN1&3R6CDgZvQQo| zq`)KFPR!04&L{V&yCyWH}YbF^xmWZi#BX4P5l zlLbhYs$WfN)wfzz*J#!15NaG%XS=H=S*pI?tGbC*jaP&*c7QR=>orzA>YEQl$EaqK5mJSN)|-O$6p7 zu2yYh^__n8Eg995BGrFesxR}Z-~V$|zm(N)^s8^nsGbz5K1-@E^{Vg2`t)aU-@@v1 z=6Frpo>4t1QvL7pjB-l6>gRoq>X)$k>3;Pc8P$^_)t@fa7kkxznDg1(H?sOVzxvLM z>PeC6U)#rUU*uK)>(5br1FK)|SKpOUJttA6_DsJ@=nkNVYjXH-v$RDZZs zAN8t#k!#DJVVIq)eu~M{GHU5YaAmt2Ql$Fzxkfo%Aee4-6|46LqUETF1JNP4hZrxh zFw@o6VUFW)wImC|ie@haQCEwtR%V-04T>1d4S4?#BGr1opCg*jLznWvz#BY796V&f z8#{O)S$HV%cnBy?JwKK{i&0J|M|Lc@g3w4Z;Qs2{jHi9Dlw~=3ujHO$Ppe#V#7~I@ zSwvvJpCkPS1S9Bp?C-{)cKQ#DANJlYGlSX#DrKQac?gSyhWuKr&^n|fS>VoC64G=v z*2Pt8=cSFTKLmvDqOnk@1^Rs~#yI}E7RjQVzH4o)QWa~29|V$jF3 zG(oapJ%m6B>ctTtB298xEqXy(88rcAY!PJ`Qa~A-u}@>Cd0K2Kxs3M4-O?SLEowGn zD<3vbo$w46a{nlsJ6`)9c*ZN0!Oat+ESa9L_OM7uta<=Ax){kK)_)pBEM}F_3$T|; zj!OE8;90w(wkaMwDjip)1FzAIN3!5u=D_2NzGDT?N?4~9kEsLT4PKNfUyB=$WWn3R zfyeW9e!Ylq_LuB$o+UYi?_WWl@T>P&r>0PhQeXXP5R8m0YoR+QzyYj@+3 zEO zVvB)y=gR*t`^$2`YhZub00wt^NFha7Iz7UaNj3ZfCSg9t=U9G<5+l9oYcKmmwFJDsw1`|ry_#4uH^mI zsdG5Tc(9*k>8Mu@;L5WFL{9uEkrRsu@UdgrTq5QeU(8&Gn8mi3Z(NuuW)_kn4lzj< zwEWKyvyZI1W`I*fOx|DJFJca|tPyhvR{=5kQ^aHu0gjC^0b-BW+uq}}FdjLSLWCAc zr>dOlZ$7EXl#?&|Ipic+;Euf9;cq0aj{KDshB7Usim92FpE)qw-Iyc`=E|R1m?qO= zTue5K7#H&l-*AdYN`wJxyY+NsK(|}xIvB`8EZ`8DWMN>c$3Q&tr9QdDHkcnSm<8Nk>P*XJY5mE#l3^lgX(goA^FQS2P$0NFLh9q(6X3R&dlfMns|P>+Ln z$3InYj7 z;NYOd%>l{6!E}#);RS}Tm_sue~MFQ5dr?mjy>ql zYQAMOYlX12Dwl?^UuKbzg_gSIB3Y_mu*lP_aW*D=HPcJ-sFR|FMugT%lFZx)8~ZUi zN=!~Y> z&wax%V9i^*l>v<-|IQ*I2I?S)g8`C-fmeQPF(C6+6GsMg?Q*RT0Q{jWqfwKX2EU#v zCC}LH3wg|T=uq>Fqo4-!jQmXt`UetSfz+m#axBGdXHV&ocIExmeP%W8!5)^Sds*3s zD~}n>O88USl|@AETi<89y3%uftohRumG!<>t@IqpQhV}8s#QgZ7Zl7zkLHXqB<1j&L`5W>nxR0fnWD9WfIDWHtwC+tcYLm?g= z%4qi)w3I=zFt})8SQ($3o1u)YA)t8fb1W3<*d0EWr3{h!r8tOUOE4O&-eIRS1cwA9OWjX=-;&_Fl^GIj3qr(mzseFJ3$6E2EDI%BP%a9h z;1hX9>B$S){FQ~7kEeE)Z^d`}l5>Y>YG>1AO>hxFZM=&;97EOg)zRD3%^c7L)89rp}h7BLa;?L07FEjng82h*Y56RpEyl0}U zV$xpx`EcIdlXhbjBZrKAe8UH^_@iN4iurt6Iv`p2JTT4YRv3cX5ZV;2KertgWzO$0 zZ=aVpI+{9LnA~Njuk;zP6h*QyaO*|BqS)z=pPkXvIYEecZj>cLU8c%MvBV@>zLo{J^aph($HCV^F$OtIK^`5bzkF7qekpjb7vQ? zGWMfiJNyyJBKGv}ddd}qc)>GgihgC?*Vx(k5Kuh#uPhW&@qmwIshDKJ>R;ev8KL}> zhm16Z0%Gp$LYhGz!7>$+1>r|FLb4zNK25jUaR$59`}k|R)tk7myVd3CZZ*;7B$>O~ zd+hJ5Wl|S^vS;1JT6Wp9hJ0=rM^<#dDu#p-}6ld@M^Ek_GGC@7U6KeFy=+Y18Bd##ua$RetmFJmWU-i7qv5Q#>C; z^qg$iI(ykpW0TSslFQg%I93hrFkw-#SEzgk#u_ZF@ZNP?SLiM0f)C@Q!E~Hd$&e&* z!4Tpk#7K(~BVkxf;L8enAlS<>$Q+Lh@E@M;g;U}f`y?alK{0XCgSbc*{4akpPWl@z ztT?F$&oEwsNRb`%B~)PE{!Mkql_{%#;W3`Paj+A zAiAU7NtU*_=t8e8;HBdQW66ylC-o3wN)RHR`^wkJuN^1V`zTh6lPoA%L6qd&PKho& zFL?QwMW>)GYfzl6ku2XvEtYRf&fP}+&E4jQ5gau*yypFUE_5^eG22ck8ax`Mlmt0; zbOyRshf+uucF(D?l=9ZsGL$kk2ocYHf+a#qVMi4dODQA^%E-3`g=-Z?3NuJ@7=bcs zQH;vi2{lj?qqNzNFtLEmw(!PqEtVyWCo(dZ1{re5%;Cr(Gs(iu1 zgAnoD0hS2K%%0|unPfrPKHt}DV>(PS5|l~xjk^kyO8mMlmrkf6|%1go|A}^HQHrtKCT!KHs~* z*FhjuG&+a{RqSZRGQVz12P8|~w*>2s7fc1Gq3&D}gupifSR&MlF(1W}fMh{AE{NiH zXIM@n0=(xq3cxNFmB5n&$v8DL?0KgZ(eX z3Ix1EBhD+sbQ6y7{Iva7LagNM#+_?S;OhSVO_x6~S=u96_J0 zBy+d$C#`5RE^I5h!ti3OXikWiWbShBxjR_Rq}%zEmUJ_#*=1PJ?elB31IfbgpT4F1 z8dWiBtb)p7t>&NP+(yj9FcU~(ir+d1bM)kFjAcm8neWBi^=DaC$i@?BF*A~Km4U-_ z($qJ$yfMKz-TX)gl*Ul2j1{%Ag?80ndwlLKZIdkAk9^bX2Z9hUXr3YFDgmX@WpxNB zo?F90A&c$vu`ErIELd0jSdhpbLTe1d>|Oeue!p%@4w9wrF9hmN7OW{#i|NKiGWTBo zq{aLR7q-O&{LX>^56RrCyk{1(ib+4_Pg=`GtYVkeGT`%R>40S6^NM-4zWt!uv}kQe z(GKtPnL+gdA%u9reP79F(S;$Pc6l&4W42l0*WlQ6`AsBNjjTm1RgEPofS+!EtYny)!=&`n}92?pIkmO!95FqRI6$_e zLgGby*((uw_%w)z51jD&e!1@8^?kg?w}bglAdUoSnUI2E;&npu!$dtCS?b04unj-N za~H5s`moqWXnNIxC5RBQh^L3eo@(l`!?({Iv1XjFx@K(3lVX|n!GXB?)iPZ1V_8KA zTk2JnV5a}eV1}XE6r7_zykQzcwaEQK)N^DFgSE;agIz4Z-^vmpV<<$ejxkB!6C`Q;U{y0945?(-AVJeDV4kEAn!6~5%U4`TQs-fW`3fClEkyp4mbM z_j|T`YTnX6n6{7HKF!##_mv^TMWZTTzcO?=i-gRh#qIe>7D2C>Bg&Vz3QQ1k(-uJP zd<@@P8xKC(l8xp!M$oBH&q$7xlwV0hvw0rda3yTz%~K7(-q*+sze|)~4i+%y$5xnki71 z8-iqk`1d&~P(1P*KHrq`KL2JQ+7;p$fw;l}(d~vHSs0DE#=Bu)Od8`-Q))zc-WvWA zJ_Z3(x}H!WwRG5OS>WI%>gI-I;pPWvZZIB;SD*rvjckvND{<5}*vJw#yu-jv>)feq z=waY5o|LJSQa2kU3maR`_LYKxRM_Y6B8D&{BJf?6JShnX+S+-{p;rP{m zaoIld_KBulEQNI03VDJ>LS4KP^*F4AWU2nyvwVfXFH;qX<{{iwSyrtu?6(?{H=V&| ztR39o5Rc3wq#xRvR(e30(g@>Z2UA(@Rw7xLIxWCdZ`QeB3K}m_jSp{v0eB6xk2%b? z&})o%q<5;((--qv=OJY?{0Wx4&_wdlSGMo~vXrPVT|r)RA%@f8H}MAoweWFUpdMSG zi&!G0E$cM1v50VAqdJiJqJ-Uq<;Iw@dLT>}|zOmMuMi7?ZBM`MD zB0A$guCB9@JhiUmv#YzL>l8A{ERiLy0$r!b=(-0XCW{C^cRdRuHI0w$Qk+-_$f_4i zy{(26u<7FeqBz!v@Vse{A0KB-V)60DqO3S+3u{1}uW{13EE2K_9&_Wc36h1od1q>a z#3TC(#Fv7@-H<|j3A|uLefzjfh?E?!cvl zXi8aw?pEbO2i@;GxZqJd4nav4F6ulk;*lc-;@F^|qY7cZ<`d7|-2sv9h9FrWayL%*&qx6{*=p{7T57G(2e*j9 z85A#hK{VD{@pvkoC;RRxrcuU_fu__+!A8kymM(XaG|J0g%H*-w%_GUe* z_JO7z?x8>^w$W4?`57y1N_{biJ5F)GD7fEq;Fh>?Nfz86rE#&ag?v0brEZg&7IGapXEzHQAZA)_d zF_{`nZ*H?%IlZ~<&ae3z#F%PixrvfYTWjK{Ml?Hq`OanUyc_<8nucG-A9S{(2x*V5 zIm(oV3)v2)N>Hi8!H_KK%}X=Y)|FLomsvHgf?r5;!99^Si;nPRgZfMjox{@p` zEG_r-(&!jn3mp*DaA0=Ny}u4ANfwABJ&4TFbr6<@wg7TqbR8lQJFoeVYjiClPY6tL ze|43NuBA|xjIL$43XHD&DS;`A2tTu)Fd^VKj?U~e#oDOaXHH;|kU!~ji%YUpf9gzM zqZqenV>oD?{OKS*#jxLMNP$5-{$_g2FA5Z&D#Z;cP<$$jBko5@AY=_+ainLwNViSF zoh~rMJJMBmWOTXn=560O{2mIxyw5x;!@Q@fCe*x-WQmX_hTNJUSu|1d)wCvhvnqjQ z%zIHC*z=|#GMZx=f~TCVU1sE8$@{BwbQ;34CYDO!D$v^esnZa1wFWNfTaOt{9-q0u`(?t|K>+d zWwA=X*blT=OpD3C`H{G=6xkcaffm`^z<;BWGYvgIGIFK-o~FNF)8Ct~mh$&&`G@uQ z!}@z~E&r^RzeDf;MemOsK~>~O?)T~o{eE13->3KQ*WZ89-`~>uk{-YMyGegf)8AL% zclR7$4GR{FQY%#r@^5}*iB>yKAFI}CW-9C=y}#uu5u5jWn-{3HV1*r5rVltha24@C z-2+#FA%_PhS$fG{WqvPldEgocM92fz_z=9|ACN(^K-~2e3j+Do2jCA9%?lwMc2wZ+ zet6J5$kaOUsgTuUv!ckAujh2g$G5^dk_G<=8$UdSt8+kvM6B~6ED=c-h;^rhMSQ}D zSO?+IZSgnJyvT@KkG~WkX?`O!asv)Rf)_M;NDuNRuB|6$aTD0?Y5}v}=gbnGWZ~>6 zk26Jx7Yt5@GetbBJK`A6HiUrUx%abB$m2HpSe6z@7OaQ9tXfd4zuupyv;?2!7@~Qgy-AX85?| zORl^1^UYm(Gr1Pg57d`69Kqs`OqUYIZE3deEk@AJ#%^;65zA!_2gwKu`8)zN>&-QPdq)ZX1I97`P zxWdMEGNs?NY5bPSXZDy#lgoX5xC#Uk{3(G1iwHyeu4UNh>OLI~aYGvI0$0INK*c3l zY9Hr`s|X>D-syk{X|&siurx}tK>Y3$(Ws}!65I)>@itLoDXCb-;y)U(b{frPe6{DE zSNHHOuY;P@;$2eQkOC3wwJ7eHHN&6Iz$4xAh#>_YIUA3pRi5|r5gL3OjZw6O>c*K) z!}_`c_4P@8h7_o8=PP!7{s(P>^|70=zV1MM15%$M1?syS^$jmUQ=7zu88LcTGT!=W zuCxcL4cY=t1-*-8X{gl68NDm-hCR8S$K%<|lz5#3CS(tNK8)Cd!jLR5H!95V-;jk) zOVIDAI%LiLe$|#AC0VLI$t8jRK^r5%Mh8qtf&m}Kl7M7^dHN(#l=+~|PRUN04w{s| z%NXEbhM$v+TgK3a}isVEz`vD+y<5iu~i3acFfXA7PgvBRHFl1y;)qUO6MX5Opk}N#zoT@yeeSTU?@JZ<&X)jf6B{t3u!2Q)z#kJS7 zEW7H;MqCA4JAaC6XA!|Y`2l(|2@+#`P(9f;Q*Wyw1-gCri)Kn$iIPSuJ9)sM-!*rr zBBHp*{P%&GifF-;j>aHa7<&H%&jUp6Okn4;bLPk>n@w*#F{$V_G?)?mpXir(i2IkC`(d}~=~B}CW^jEE%Q%P4 zag^fIU1g(hF_xntS)_RKcu!H%x8ns@8~}aU!F6^BD4zQb77F!{79Y#t+$*d)_~Sqn>W6GK1ClkYXIW`FNI;Yq0WbKl8Y73w*GD zMhg^%fa19uSt!&3eLj}e0wjwhFPGR@ffmR&pLX;*?nI-q)=@ku86G)_W9M_GIHrh|rWeAh_l*MVWv@M3LP03Nppcb~iG~!o-JP z60yBxTh8MBgpnF%it*YgwqwcqGafMvz2)~Zqi$!Wri7e*dZwm0RPjx`hkONcP5+A~{aFPY$<72eV(<7j_63tWf(z)_IOoDP5kHKTEod39dM=5zi zt{nGQH;V752bhkGxC;1=M#Du9LQxhGekQG??}!{VKC`XM?6%&6MM53A6!kbvoMfqf zA5UCkX*F0<$1N3P)l9>Ct04tO-&18@$u0XCmZCxPt5BA7=v~AjA(nWevx6m)g{3Q| zcs?dFRUoX@yh?@8)x3NMM4=mkWPvF3AY|y3#i)(26gBKYD8U%^C9r4D73DQw2U=j< zz$K3iWD45k_+bWF)E>fH{KEw~r$x*$T3yLUR~LyzwD2)AnzZ36U=jQ&qX~-$^LyX7 zhYo4t+amkyo7oW6c0-KhXEsDTYIHON$pZB5Q5jtU9S;s^I>t(ra!OYt(((T4IU-#V z^e)mB<0>E>e~NT0BB00IOX)Zu@7k5r664cdNeLwwk7a3rWWoAI8q2h(-IO;Ah`FpxH0||H^w3&(M5l?owQ7j>{hx{ zlxI>RyJ)2@R2Xz))E~kFk_G3i!~Iq=^@OsPoeqe@P)8sl>h>X|aTJ1Nff$*r5P^uu z=neS*6bd9TH4hvkHPKwje_SEaa?vdxUcGUinNHQi6f`8lRY13m#zss?d+|3BJPeae5yvf9S|Xn_W2N&MoAWkTNT2~16ScrK#iIQt|1i}GybFL zkOz$BT21*tK=vo>r|?!FQi0;@q_`mkieGNFU%0&B6C#}HgS)WEe$*e-pN!JYB#Uql z9OmoK)DthbWX}x0(H{be=gwxKklz^au|$!IMY3R3hOm6U!Srz!ZAullQ8Zyl0Zr6B zz6a)@WK$B6_Ixy*yR57U3m8vV!9m$ONXO z8XTX`A|Z)e@U&>xl9*(Xc>bZDb|d=>gcTeQDuf2d+xEzWXmdl5ED#?RDFk9Y6B#4k z^R~I&!3vvFR;02;F*QjRixq!NWjp~(_~v{Ri5safD$2V{pEl4OA# z??J|!4U+Afw-O<)U+8wfrrhZxSR*LOf^hF4URw!5yr6b>sLzfEMngb2ABu%ScG2Zy zS$09PV13QUij3v+O{x8Y^RjKKFtf`5-$;mLLUg+!NEV3K4i4BwWF1GM_%frqj}FiKBmz zEKHsjV6rzW4}j?;dcW@VAMQtk1?O?{(r5DkS7BTO)($e(x{~)-x5$7s%CeMkWeQh; z0gFE+7+?`$YTh5{pZPu*wpsB(^-ANeXT7b4WG?-8J@r}4~ zrk$T|P1vanQ}W(i9p^q=Wg?etjq5D0dwg8f8u7w$V-b-dC3O5R^R zU0SuDWlgIN;409n{3)%QNJ_>jU$FqgdM4~Tf)5PREe9;mY542 zJIY)>NMw2S+dE5fl$68;qxcR(ovCqUz4-?lUK6uh5J{5?D~Y_61x>^woA^(H;~xG? zl(&PlPDmXfb%4|f(mg`z0;vn6Zau}myvMu1X^LLW;gj^f%U6Zta$x|)mY^h9y<;7N?{7OKAX(~e3f3Jjs33o~1XF_$@!X>v5)}I=mINdV%A_EQ zEkS9pdWQrhe%)3ZkSukt**~D2c)`y;$&g@r5F(yi%Mu~SQ0k*t5|At?-wL86m(}Bj ztb!S{GJCmUN68DgHfE0Giq7E?UDc2An68WR=0sNA#1As$mk**qc@O@~9>gMJ-=%x; zH;DN-^eWRr%Yv*sv{UBuZE1&O;rosWmUb3?oS~hWL5O(n8UKg0uYs?lsM?RTR|0m) zE%Zvz7Q(0DLkv(QuM~~Y=v7|yH70L|0mPE`GdYLBEjtSsEU+QMpcN?+4C)Mu!vJDI`L>PX zGN`e$_b{k8c{>asmb?$J^A2;PZx%3EWJ83({Ya5uu*{%13?LSiyJlH-@_DFuCi!A; zbO(Jc1kNTaiHk79vnW?e(w9o=*kg^S4*EQGklOuGwEMg4L*sr-LkHa!55cPk>5fiv zqZwfD=i9i4NIjnP zZOi`PeN3s~2)T*Z?Xn*M!~z>43?4*^|83ddy|;y8yq6i&*x7r$x54<8j&hwX4Da2gKT!NFd=^eap1w1iG^ z#A$ZU5q953q^YWwstwKK4H8PNq@d@5J~~FHpFFr1)u87!(lyN7fIs$G(qZQNBC>rR zPb8xv*;yq~k+L^gV}1U6Q$|I#9Ugmkh&?`-HKmAn=$+7mq6uYhWWK=8JPfwKT4?Vs zkG;Dj|3^x!{K?NPt!wOzJzmo7(Yjl5{f?b$n5%(KuGWj}%){VlkJddNt$QT@1MK`G zDnNvs(ULy$3EoCClaGBLk9gR}hrZ59l3AE^m#+Gx>ZU;_~2*Le+*` z)qa5_Ze{JP@v8>0sCHFgRAVTL&rqX`dIbG>f!3eWV5@9Z(CSkf6&`5JD0r*_u|V$| zLuZa`i>=Z_^!tVZz(v*ZF)6B1Sc?9c$w#67?q#;e;dW7NQ%W5!MfGDON!a6U=WZ^W zVeFB)zBN{=3a%DrJ|VFB^c=Ruh=M&zU=Q)YVj9L{i+FbCT?$rAPq6~rqfRjY{1Q{u zU!!Eq?Fv(Ujj|U>5>zn>0IsnTt!QYjYL@-Mc4o=s#6j4N0*n|K?NBrH(uhlN;R zJNJw&u<`QP0v${x^96z3*JeARVAW^&j`zT3{jd-V?EV()=PX#vuaJ*DH^FQVwqbWD z*n`E${Ya8vTk3~}SYUs)N6hvF3pOi8K3ib*2W!~KlM40_f&HWhw#*L;vA|YXu$x+9 zBbN&7D=#wJSvK393Rdl6dl*R)Y|H(y5DV;G#WCAgR>iPgV&qE%HfO^YMbgx(au-yW?yTIztkF$}dE7(#o^7elgvaR&PLM*Tk>>jgytvP0k6C}u` zI*@0)OOIiT6|CB&_YDthl^+&jfn8+5c3H6f1dAqw#yvCX0KhO)A_|n*=ANMxC}SOi z@qZNZ&ifUBSQPm4J7eB|xeNog?7|7^!~TGeJ?egJk^wRi0GcNq9kQ+t%RD;N_;r9- zboi{&foPTs#Gy9LSqkD%p_%bO)cGMG7KrhT&;%n2pQ(4wHh~qH_p&mnN4JhMyZ6}8 zr3(5z0{uNCNz|iyKQzPweXfEg$jo(@#-24OM*Wb$zSoAGtzhXj0fbEZcwm?LVIdaS zH>Sr0`*90)NMP@If!WTsVapWkY=ONNNfK-u{IC!UY_kRXSX0b)SYY!4JI97SM8VDx z*h4+Ajeb~&1$Kr7TV}zI2<(>UneF~7W#qusw_L%hZ&BWcBraP$60|2s^hof>(_-_! z_??*Nr~uXr;DI*aQ3~)t;d!D5aEhVf5em==aMbryUm9b22ia&93hf|4dk9Gq^whx1 zp{E938+ME7l@dKP3;mdS)J;M$Dh)4h(eAJn*x%hp;Syn?wfvIkb7V>T23jW^qx0w? zT_93@_zxGtT&1Nt{O9mOEj#P{VHm_x_E}5UxtFl6!BY8K3!P~KDsIXeq_X#qvc>dC zFRV+Y(nY-vavhSm7VE6{>jJUpvg#dTH_TgUQ{g=jqQ6ie_3=s!IZr{VGhO!G*)x>YGmIu8Yn%Wse*L9@Y+39(>aS0pqwCP&*fg85~^ z)GM0IbH2hS1+*t{2NoPu&L%1Y=uyL07(*5oBXg4 z3+%6UO~cY#7O)?(U>gaxZvg;!kagr-IXGd69;Cvq7j5*3UTlOKrHwk#>qL(>t$uAF z7Htku+9<=f01oB7OpGx0S2lv)*}<@j6s+3WaX*qIjL_kSg;-#3eJeJ?e|0EoC?!OTGxkN?O)6@}7jn~qQdv!mi zj?RJtVJ2if_zNp~njAkTr^%nyAk-8WEGoqJ0revfPFUgHw^hH3=^O7djmu@h&Jw*eI4^7G?UE zqsGppGw;bDQSA`E`LXgCY~3LCAdIf-2N!T6-rIru2mW#!y_Bjxj8=W|$uGQzq}5nN zpyB2Ke+PtZ)kx7{UnBJAZf^ejrJF0Ktm%Ok`8zYSg0drce5J5S`{*iqL7@$$v5J1wxkf)3^0X*_e2IP$yDVcx zfx~`SjWIg>w>nQOpI!M$-f9Z{GI_8t68{}i1J?dkfbQ^!Hb2~ly89Yi&v@{vrtu-h|QQksu0D5ILKc0k%+YMA6~`bW>M zU}OB^$pRHuyDGLJMWRv_k+nRn8pNXFBCTSW`D7tNhl}t&4??jY0b)UT>1Epp&66{h zmr%)2EJB}xC~0|<+=~j}Pfw8U&6D~Jb}oT63Y@x7N)p_HBnf)U{3QUffM1}&!^~M8 zh%Oi66CQ{LKLo@AvA2f6SMJEwqP?5%okqnAC&Qm;kLHbZzl84Jr9O!>tp-)1zm5LT zw*ww8DA;RVv-cuJf_c_&c8Eo-Z@*-lo%TsY)g#T5d+{5`qoh3Q_1Nw756gGEq?G^8 z;LjUO=xvWz(C^$>P%K0rtd%4eoK0HKF4Vf))%uO$Laj$AV~;yREL#8mU$NE$MYQJ` z!;E^Zt^})@ZsgoNsi)4^S#DC`YU*sI2fV{yk`N1c-U5%R&PMzfW{wlEN;RxyupR|g z3H|81svfYn9a=p(5i^aNwoy*?X`n!_-IL)oRS^N$vufxTzKVxbsVim~N-z3^6ZDrcK*|aw)+Hf7B zeE^aq3_t2`+8`F%&yUBn2dbtc1f#aCiIkwLqOo_-u^oiI>CcS5yNy1m(8)J|egl#u z(2FS>Ps0kapr5VL(F(XN%w}XiMGKQPc{}wJV#zye=N;xU(9dmQhHQv1c<$js2BikY zshuR7to}`N@{SHzc!fEjm z{gl1bgF(Jh^?+}p>+mbpgCW)d^+2vr4~9v`^#B)A56B-|>4yFBTzb=p{BiCUGKJd; zZG$P|R%maeNVww&<>GNih{Y67jcHRzB)AuU39M0rKR^~F@H>Yn>*h(lV8NZ$h(cEj z7Hg0sfnMQ9hgi_h)aYSmkw7@H#C8RtVu@os5S4xihy`NjXiO0Ce$<5NqqdU}JB>WV zv>eP)7xQi;NzkhDV?r#Ltr|1TtP}_*Y8h7$Dr)(H2O{r>fLI_7)et7womO);$Fdy= zVTjx`5AuYIJn~>6xf(w*#De^bzw7Fs>BjR3x7eW|lv}LyK-BpmAQp(chA`yllcIJY zPv2X0<9H!W$=fV667aXT@2*2n*LFue z|LI)Df7%=957k}_d1*-9M0PI2(7ESN#GmGNaNRA}JiP9bYaU*A;F|mZe=t0b=s&!X zL*pP3b&-g+9aeXf=yVZLuS<*b*ltK`g(B)B5e@FE`$=@7h^R9*d$IQy5j8AoFLs#O z2O`s86kS0f>~M$EVEk({baIE%=;%ES2E`t)-=5H)W&=LlH-emHYd<-fo)9RP34v02*oqTda8IG)=;>q?QuLMw3KZS$ zD%y$^NkjV;Ei;OqtQ8G2`9g$I7vV4uLb)FSVll-t&m~NOIf171XrAjYyIp+2xPLAQlzQ&??Y6i3eiBg{bmC;6+c5!$K?&Z$9g=q&}bw zGXps)=Q5cYD8tME-7legJu?th&1j#W-Cg-a+ezffw5q1ugxiRy9cH4Z$XhNbMXbP) z0S!SY7#JlZi}OtI6~28e-~GhByzqxKq~hoy-=nk?{E{o3`G*2m+Tj-TiAa&Kas_4N zF#*J4g2`tb6Hw9eXoG9xj7zfADq`S|+Bml4n`j!*yzzV;S!6e{7`MPM*v97%n0D`$ z$+niwls_e<)-USBHGNWdmilYp{9pUEkU}QaAZ%`tO^l3EDM6EH4@?U^(fs zJQXPtEZ_Ew%e`He14SnhA>!7dxy||pH!N=qQ0DR1o!FOmDtxte_!yET@EczBS{Y)o z@>L_s%JeNIfjB`89vGtNN@;xQi?r0d1yr5Le){W`?QXmkfn&)I@G?K zSsoRpyDI$a{z8^beia}V71sX6u>2*SZ=Te9Dwt)lf>2A&-}gYY`XL||h)-$=p(Oqn zHGx?B^cr0yFY94LW{&h|F~ilO*rP>BaU@Bw?DIoFED&ongv*kNX_i=)Mf>Mb;Sg7aQ#>m4`&EEgRG6t%ptCdu;vl;L zDpwE(u@=G0_ZG4o^g}=_5Vt+44C%6DVw&YDVfh2(PvRhD= z&o70+Dx^p_)remah((c)x{7d2#-o~gN)KB1^=GM z9YYKh?FBeG&rri3{S~JFvwkjX{k9Vq#5{$60BabmLXrgkQ9pl(75@Z&RU>5vdw)m( z^^_d|e>=Le;CB>Kd{XNWZf7_eqD?qhx_9sY^U^wXqgjfKV zBmtKzz(oS6=O3A2UID84$74KzMRW|Or$`|d!12ct46$PdCVlRA%uv58!hj1D;K5Rc z{Ya8vSnLOcSOCAB0BmZd%qT#_(CHk(%-B|~QJ8eF1gRA)@L*>Bm=Fu*?g`8)yqE%# zZ{5u#-(>?XQh@Igz$cL;L9)~j2(bWO{b<6f*rx`-b^+9r*lg801&G;6VtAGZu*?q# zu>c;L1jHx|fU^bgc$?v31$evwzP_Q5VYwd=VgX$Dh|92P7=ZLY!t6nY&6CA8X1&5J z<|ILj2eZPD39(>SB`~Wn5eUE&1aJ=5JzYTnx>#5+t@S_`H?!Z4GsnC^7ISlT}Dsc$`chdr!kF9 zYzlkFovfiVu4`2qs&U;GBuN;d-e1@di-xUqA{)=>a1)Yks{9WNdv&4=JX-)8jdh&D zhFGv?Xlzs1dx3&m*c}O=Fc|wyA89FVL$Lq(jfT0%PCg8vAmj zNK~UrKXZshg^#%^3=~Pgl=&}YMlW00y?>9wQ!&-MJ$P@sY`0~zOSx*g`cefB$z#4B zJ&pPH{knkfT9@zDNRi+>N#EJgo^``<49K+#9xHUllg8vgTjbZ?xiS3#=Lz`-8K zyx+tS3*^%eBq*1*^e@LdZ2bz8O81YyVsjr_(*6X>{5w#J_Jl&}RKo8)MBwLuj0j*$fH@|B}KcYY-eZ z5DUW4pkc%Lgt>=rFJQAd0Tc$eB2j`(sljsCK&;9?#^Ms7Z?=Jy3iYBTXyoHFY$P-s zXc?vF;Q_mN(KYJqqiTUoIb+IeA zthu%E!8xW%4^p9_O5aAdqSr+x;PSE~m0f-tTYTX}TDevj-JEiTSZqD=dtI(LSt0lM zS}9cZz7E=m5gQ^5?nH`&1u6}SQ=$+H%EdN{%V4{my(ikOGI=`;AeOxMxAP8j`xY`7 zwIRabm0uJx$Qu-g0mOpRw@KT{H$)p}geiw;H3q@q0kI&Ql0XP^bx_o8M<)_MVQ`#> zO`XAV*gz~;&)=unP^m|}#YNg0b}<>4lYkFZJA)2Y6V4oV^0{iJEmB>wZj0cpXp25a za(`R2LkLXO7S$W=9ZNwh+8<@KS3Pv@=vxXbHJJbkgFTQa;knBUmSZW11*`vFU3Yn; z={7<j0KazJQp^o-<|jWV7}EfAu&@)l#JlvGkRqW-gI^JdMUmrUMbIxW8Vvx{ zD*?KIXkSBH=MX7^Ejhf^5RC_&BI9d3impQMpWb*h8l9YifLL_8aiir%VeZ-zP~dBVD^fJ_zEbr}SQ4a9;laF1cb`GmQf>l#o2>%E|Oji(5pl%+Vh|8_B<&aB#G{2LO(~;YNS#5D^Ume#SVH;+&l~ z5v%4&XMLay+^LCD>jRG?NrHHvpE$%qe9hg;$U4r!`Tz|kF{GIwXs9%HavpUs(Cw&a%i+3PLT5oace4^g}=_5Ql3BnqE}XK}}fT!jw=YnRh=A zwF;Not3N5ER^`WqSa5&&Tc?NzcuEL1#he7~Kav@J*)yBIJC0u;!3#P##2$Ow`K8N7 zzKUaY+)J#EG=x9;>lu_*w#ijO`}lB8?q=sIf(JmKFvxib_R%Drr|cjWfBkY znJWJM4Gg)rJ?B)ZAn_?)g8T`RB;2Ln4+*hAE>Vz?>csi=_vmx;>BV)_oDNC|3+q{z zj{w8;UQB$R(gM95X))WQMUQ`46JpWgvAZ1N14TSxN#DcJ@Q+s`^UOWng@&(k4Sy|? zB&^Wu$A?((PgVG01?)UzJG7nDNT8n*P-kQ|UxBKT+50@8eST1g1@zfIhqOI1Bh;<` z!&IHkZFz;NHn;r}NfK21{iqNN>e)%u9xAsse!HGfza*%8+HST$p{hybk9trC{iqNN z>Z^Auu3=`^^-L_VA!-x^zvmeS+mIwdY{(A*u|WJlL&U98RUS=JJ@L9+K=o;7?6`{* zs5k3(p_yHjnz*~RgIL$y) z6JBPS%*{Y#SmBzJe493-lQjr;s2Pl*0{*bQ-2V z;L%Kjcmhf$ZDOe3AUHfA7KDLcYaV(u^M`AMiZz;9o&XAin~*5MX3$_cY#yByCE2|BqXIT75MW8!UUAN)x#zu;=AF zbB4X@_91ja{SH-|^yCUW7g7Ajc}$V{Fm#K*$H(ny6BtkwuT~}Y^{7AMS07?g|G{4x zQ=vk|p$5CwDzOp&lGd6T1g9`Y4Z_(8gfREbu7bj7O#p?#Cy^*o7!wA|DGZ2(O_9cu z#begnv}Q7YbM757f73@2ycPsvz`-NRhBngMah}v8ebt zSH*!M-jGLc!fN=F$fXGWBgmZIE3>=yE`_gRr1$#p$=aUizk$Sp|L|?v_cKp+GK3Q& zU8^8ejMRrD35tz=2#5vZ91Wq|ATOKDviW)(kaQj*{u(MW?nYnZJ~C4ysyOS9-AWZz z)8Fq=rNi%$5Q{3?ZxyzfSnD`~57=WTM8rM3hUq)od2dpfYJ7DEk|gMN`7t3D%m#(2 zUokV|tAUm}P5bJXxgyqz3Qj%fQ7Wi-@zGv1oGRErx6lo=?oF^(zQ9 zr`Fc^6(&c=-61XUja%H7arg?fZk z->e6<%a01Npgz!(;Cd5f*4EM^f!EzvFjwcTmLY|Dkf2_JB#Eli?MH=JP-~N@s~B}H zqx#nMw*oY5pK2|w$ACJq7{mfP@-ywidM)kQ@00HC$Z|LV6b5%AQG#Hv!E$0Thy`o8 z!OC2O=bI;;vH3P-Lp3%(#RJjjhk#fhW*P`7EmP+?cpVWQT#hNhYrjEo3JY1PIm9Y#fl;g<=O2=#iSs_{BV^*dpReMHbKJ|sInt22qZ=xz zZ)o0BMlJQO?-AMNNoN{v5+h9rs7o$%WOVzI{$Z&dcsAx{GxS0!TyN<+kp z#y&vX6iI_iMFVH==1!#nj7_sbXLvLy_iF&LXpl1+@c#O$Vzs$`kb~+nt;NqNb|fuc zYb&%v(GMIuY(bUj`71T5a8~7c}({s+r>dwxBvO z+AM{tVzfFB>ZBhPVnN*}iE77a+{DB|Fn5Th01exx>OzW)!#j;G!~%N#|L8&%gfQ2A zIa$n&AZ90k!r2?+m^(uHIVg2M)4K^VH;u;F}Y z|7S}9n?n*nwEq){5^PEhmcs^O!CI=ZFgF#|R@^m&BPhb_xHzH3onKp3sBxKJV~9oLUtOoIlerVm zCm@b;A=Y>x%KZ=!3&dwNgziUXS!;o_h}%byt0{Pu|b>zLKY}gbwJ4V zNRlu}s~;6&K|L*r+D(>dYnd;_@M%GH-u10fsOnwcat~^U9~ELjeXh&pT2)48n3c5b zKq+|Fau)$~>MAf|rmvhT0I`66Q-hi+(C{6ym|F$v5{|MFNRm*b%Wr9jMUjhsSZL|pN?IP|NLY&5 zH0d0f)QbVw0aE(%3B%s8G{gcrU4w?ok#g^Z?yjYmCV;}=nU#eECk>WkX@~`@>uN<% zhH`NS#cY-d$dqvwjq#+tcGwh=SP(vxKnQb{P}F5}b^?gLm*-(qY_J?Q5DV5HJ9TmK z9sn){vudW|#X=wIS;and-3n5xdD8Kr2Ixh>hpMd?BT2%Cy8S)`u_*OHqm){|qS`u* zM^-hIhU#q5*oS!EfiKcso-mAO5jktD;*a#gfK5{ZC=BjHq6C|AgXOS+ zSg{i*IU_>-?RS0#+>Da{I_lfxfk#s4b9pX(*@zo>wJYXXS)BT<5X zrNMIeL#+76SR8w}rMX%__?MKnuF4=dY#7klxk6Un$am$%2xu`wHS(DJ&Q`9v^VaGTSi^6Yqn4)HcF!%3o6%=(> z0w@fIktk8rbq32Z4#a|Wg~s|{M4bm-C{bq}tV7?+18(!A6Lnq-?Wh4&QRj&s)$0AK zK`g56xWbeht@F~Sc?ix#onhv$7c#6fGuW+Q)y&|%NRqHfFXiGHXh1BmD-En_w;N=8 zmTVnsP)HKq$sE}5BSB&^inhk#fh zPK_bz1;W{ZvQ|M93&e>Yh(~r8MMyjS?hrq@r$Z2Gcwm z47wVOoa-@$e=euS%;o&}d)gSR!9Z07ACeblu0n2!#g@$qP&KLNcmNyylpz-DAK?N< zRkYY5p>VyX+)OP+#N(c}mJTY3h^KVijj?M;ZZ^i^1{-7hsTK2Vj2(j7Q@kwLVT|N- z!Vrtj7q%%Q;q|>RcTNMDh6XRz#@MYkL>MeYibS33GAK^>3$dVN4T>*r9A<N>;zO%)h`+Be<<#u*Us1b6&(h}xTDt6p3pZ%EXjI~BxF%Ws^d0>p zMsdeT5R2k>uhK^1hU+(97YkVp*G?NE4Avk;!aSn}#W4@Wf^w=s@wsl%8nnLcQ@QSh zL2!6LEC{bOYaW6S=3YCips=SWfWqKmBucQEG*}KBhy|<7V3EzJ)v}JPC}xE8@+dN$ zpTh%U$@~3w-eK-AMZzkN88$>1O!qJ-HYg4Qhy`WfGS^ye)0<70$ula=8U%+2#DehU z1Oj~z?rR0*F)INS1}7m=qC83smcs^O!Fv5t&8D#Fm73@_y_^bPKA~|Uzo<}$*OlXx z@}dIY541E83<5pf5~D(*B|e8{M=9!RUq%WyPdex3mVy=yXVtm6XCX;~(;(&I39lg* zPKO#!nIk+9vt5YYJrF~F2#5vZ-X>)>y%@RNT8vyy+y ziUhS`KQ6?A`zaS!9eXy=(o20CEIoGD3}l`;wh;ah7e4EQr;(D!vcn`6_y@k@&^D*8 z-S-tPNoR(jT;Zv3wi`(jbVvMn5DVVvE}j+6c2gQAeC>VgOCJ*rob{)plm=@3X@8Ff zlm1=#5Q_$nU*a&1nz{*90%tPw17Bv|&RScALRD*RJxG$^UF7Etv7m-Y)Of!}#Ffnr z*XyWxHQ_pL;$F}97T8>9IQ6-q#YRJ?je=M-+_+M=8~QD<`<4<>chR9T0Tc$;BT>Q{ zS%c-YQ4kAOt-&hjGv+ZudY@5h@^*MYEP21aLi3=!!`w@ukg)oUDjOmUhL9q`pv<5+ z3?LSiAJ`~vuQ%V$-qTx@o4g$c5KG?2+j)n%ib4i?8zKz$@-V0{C=LUN1!c>(wViw( zwSW<(JSC;lAUHfA7KC#W2x0ErFBN!HO#+C{07s%kc~luJhYiGnH7$Wf2N>}XHo8uA zZG5^~mKH)^Dp^b&oZUCR?b$~?1cRnY_j;(1aj)kK;uZ-j?ln^)_Pn9wm=9v1RB^E> zAkHVu9s9)s^VKDQ!XQARg!yU=mSaAM1#8nq3dqR0takuWnK&nIS7>J^0Qf)4aR)cYYI7Kq_S!xeMm7-`^d z>j;&w{%|}RW8~H#+4FvFKH$^-}9#VeX_e$pUTz zyet6}26K=oVdkvCa>^TG!P@#QV*}ccCWm;WF7`fi|65o*Xz3<9P|2@7gUe-lNqZ|9 z<%J4mzbe|lB8D8b42fs3C9|Y?02X|h{)s1<&sL?0{ZJG?eI6Mnn3VdNKrBr5)J*6b zc%s<;CGB6s7~0HbHz*htfsQXJgemjGKrApfUML0|+Y4C_v~<&JZlrb5*gw~^QGSR_ znP-Obpywb&a66 zddZP5{5^%X-H6&3kZXckt4r;84{)y^7-9i_>3m(PV%qo%4*$Q$_mso)c}r>qU&U`B z;9lNBKpMgy-9ZP5k*n}4t|UTP((bm=Kit<*n{WGT{?hR(6f7MH#5vv3$bV{^@@)%S z7tr@I>9d<%)S7hRQ=1?A0vU+DqlxSPlIsp!AA{>Ka}fTtRd-X8Zu;9pq7o7HlBkzN zeIzOpQ9p_LNkm^5&;08&esG9HLnIm|(Gw8G@7Z@#F|1$JDV>_CVR!OV?pHMPq`ha5 z1+kRHSI*OALEq1-n%7pnBdXqkZ)n0k=rh>YFM*N5Osy!PU&m!7T9pz9aFXCyj}oK) zwONQoiD%BWm1u0~mrnuG?~Hztyesio9h*RZhk?;oD|Gc8hIL4is0R~%bch8#w9(}w z4D>~8F5+p`qiy)YeCABS)+0W~?oilj#5d1_J?Y1WSg`-zV3=pAqi=%mp+BpE*NK-^ zPYhJ=Kubo43Sy}Bb>3Z6y(6B`DkDYKuYoqQcm6bWM&8x*H^hgeWvJx37+iX5&)yeR_yO39+kk6zeIPLdqGXWI<6 z!!ml1BH|?3n`})y~sX=kbLM$kIC=_BS zB`T!RvXDp}y+K#)p!rhCnS)gH?R9ibl}$T^F#N@$0)~AyL>ROoMS|g=L2(#DEGTnhl<`Cz+#uPHrJj`9#|K&36p?ScE>BNTn1G$3^qKA+{v$`H zxACdq6f87Ru+U1)I0p!GK_JY$pLw+OB7G!(==^-#g_)W18$6nR<3Dl`ca(Yczi*qd ztH0#-%s=QN%KaU;_mV_A^bK(edGL2# zRJ{&=`{+C3_`4yh-hjXT6#wAwrl@)o{tnVd#oMa4N7dVLw^=fpR=vHgdWgaz$hK

    VkAbtyG6Rh95sH1ueJGyW^J9|1>N|i}d7ZrH&ceHVFp4njh zuX|D8$oX}2aQf5!NWbT{k(~a{!C&?Jp+$dVS&!sfH%?>urzp*#y`1*6ZMa^`*L8G# z+Mnq^()qNZmrBl{JZH9}-r!SU-~sQ}dJ67L4%Ch#i%_((jqKk@N$_puW811n%q@K~ z<$dJneQNSvWl@#Y@28eDpZ`f3G=7@?K~|(=HdDqr>2O4jLfnli#IeMeG9cgX6vrQ+ z?UbXpoec}kY#GAZw^)X+Nrq2M^^0s~Rk?kWrL!f;m(Wb8XVmAYj^22|jEnb_U+Kpp zih}S5uvmOuLl2p0Xxtz+s-$)RpPZ=P!GSDYY;SA1mVR_&h!JX9)(>7ib-)y_{5VZm zyz+D8SK}ru%GG{G)yM^O5d|N|?Z%SZgA?guRUWetta^PLzWIv zu(y6@4`eZC8gB0^xqZ$X3n_~i4w&}9me=#)ACisWkbk%Zu{46sU(tGsDa=Tc%`nU= zw6l>?rUT=JBF7T(LeYUPLX^ux<7ankzL(ks=KRH&^EMYS3f_yv&+OK`krEfM8}YM) zSlHeCWzCKQ$_CVqQ0UNi)X9l?c6H`_dd-B6DN)X^XG`HXXy_r=^=m@Bmz<10Jl-lF z9&e5I<`0k4t@-dccNMe^!1hGkz!T4s7{^|AhSQBmfls7G2&0CsV`_+n?r)YFx)V`u z*dTe?fCNv!#fPMN&SWn*O%q9wFj|2p83_a-ceuU4NqNU}==y)%2IDcW)ev zv0qOJOB!X*I3(|hCMOL8$I=iBg9V|nbZ?Zq@KXtoJ|p(%9Trp+EI`UMcP^sEXwP^Y zVnKcMOED@%V{l+)9)v4>lYok9so`DNl#i}sZ*RYV1_jgr-!Tf$ZHwnVaF1>67k(A3 z;;1?vvx2bDkdEz114$Ais;C}~YDW=I)Q+lPg4$0{o4He%riOj-W+KO^@n)jezG&#} zh;j#hQn_y_T~PanTe$BYbdl=5ML&$&?I?IUubz2?E}}UP;TG1L z(q}C_$=*#=4APFUS9&Zn-O|eEZo@Qf=oy|tECv5my=|G@!2|K#Tc3bsCh1?c%!_o9 zYMEk7hbY*jpZP7am~#hiVVSkaBDG@2`;Z*V#QTswsPrU@WDb9dLL45`!a{IR@~5_z z5%N3JHRA>i;w1WR_tPYMKhS!qnKD88 zIm%cF%l8mX*`Y_#_hYn6S$F@)gZy-BqC1%_M%t{Mu%81z80(0*LHy6+i z&a7Z3Q?Jx7K3gVMhuM{~{3$~_1W~RQoHD!@xdI)58Nfj(7=XjP@GbJLOnB05GvHl_ zP|kZ_-%%jNr)-p}eEq|5T;ZpJzcu z!39W}M!(0PI`knH)LnJ4(G&Hs%7XDR>NR;gj3Ac0Z?y7`a)0`0I-~g(R21BZlxd9m z464HjVnKbsMnyez3e>5Px(<#W|1(*sFIN>%{?2TvmoY%*_gi_2q+RamyEHt3K$8U? zC&*hod?xER$~z{5Sd?!#H7<@Qcg;uAO}4;-ih>5DOf%V_L3K<9v7o+jN{pItf*K3P z$7smp?J$B^^1j~6JIW27n9gXC1r-I?BV`(+VT0;0f>=WBz3(M(dsqfQiU}}{V?X* zb`4U%k0ktWTg!I3)(e(=gZw@~X)(_p(tkAlr1s$>{;!vUNL?~1Bh@|K8N_1!n?G;L ze4OI{536z0{d5rp{kX-5@=m%)9XBnrbcll0`k7{AF=r)iF>YFpEKe&-Ur}Osh25Y3Z5gfLKuf`QOGINk)wpjISA}GI=|UAeOwZvht2{8!FQot+1e? z;3}j{W0W_jP60zKsIx6p84}5qfsXs|?gFML&0uMurCik|wdmA|x`Q#}7AflFtpWpzJ(#1!7V0q8ej#8Y|y;e7a#)Sx`}M5mKfZX40TKhJjd6r&*}VFfHQXGG4~= zTM;#HXqEKDEE*zM;#(AfQ-g)=4`jW~iN>QM@j)!AE&Hr7$mS?_)rZmz zvf6@*f@MgVW{_fo>KFuKL4D~nf*NJYkdIkEqn6Q{uIK=w+Oir2uYPa}#H=3?VnJ+D zh-^a{pwp0D`R5+kc8sx5Jo8PBJ%0kcj0zFOGWJ=bqI(P+>_j~V$VDxcI47%elXj`u+17GK8s8Y&j;KaIXaw1wTW|G{O}I)gcVApdMqPx`eYr zc-J7UDU3(8ivV*U|fXhB86Y@|$c#a4sr zu!dMrfBFf{8n4apdYM|NsUeDFQ*$sNibB$oCe;#OiB*FUwBW1yv!ltVh*tDv1guQE&x6nS=EB1+sU*6&M; z5-Uw}=M*%=V)B!!jgR$3xwDT-FX&+lDhf_Q%Cv&6GN?{LLoBG9=bM6VQ3b1JkohIC zKJj*h&>qg)EJb`{sJuaUI72MxpHb+@JIXa4na+8{f{KFAAY~fo8iVR^hFDOCPga(e zX^|qhVwPq)u=v9)rH1p)S&3O|uw0{3%j7)m8~V`2bLq*E!d~jAfo;ZY`kUdc8Ly_%bh18))ouTd+9AcsV)Jew4XehG#5$OgW zwVZrXzrFVuR+VXdsHrOdEh=s#3F$Ze-PcKg| zs~r|p6dZ$;X_ceLpgLs*v7r98%2+Phc~1&VYUkZ+@^%<1&N0PMWSR0G-D$vErWlG8b@48f z)S*a&@pH$b5DT{;=0-!2;}1)>=yVGz3Ie1|vuLA1bu0?8p#Jb9#-iBFZEDur4@HVa zi_}WdWS||w5DWC|7@8`@#}7>>Ji~&b_zx-52)7zkhcLu~`qL8)VKuj=H|n6zq_Nlk zX(+N-4@Gd{9g5WJW+{Crl4ZJ64n_J+O>vA1vCzC{o~EgWB7Zwr4Mm=!izs*uw-|~% zNEfL?ky2NaDCpLY{Sdj#xe~V+inJn^)S<|r(bg#xh(+6(uC@h3k&SmwITR@q|441k zh77)AEr)FTusqNI0Hky3=F>mWyHX~U0f zr^K@uqWoWmBG>#>h9XUgp@`hafduL2Y$f^+h9adzk~@^Q8H$u8h9YPutf9!d#89L{ ztiLX8DAHk?JEx!_7L(suX$pE>lzZlY^n#viK}ErxNSRj9T?W-DXov;%V+s|9bcZ6m zsIkr&iX=H#T8j9FBHaev;S8~$-*>#t`5*hIbDn2GMZtYYnZ~)tpgNo(7Sx3b6`bqh zK}Ip%cV=Zs@<(!b#XTN#Tdz&Yu%xOCTb>{M71a+B*NyoH>K|pIOor_M?j~Ph4jyS7~{B^Ev>4)%U_vDAT#wR%#;`1`_s%+L_4iK z%ViLY!k^L#Q{GW-`RsHvEwG@X;8RGMW~O3;>X->)LESo6%ml~NI{_s4l?{;kni+G5 zr{(~X{A(K=}siFqmp#8O1Z9II+F zb@(*?-7noS7F$p>{zb|($EY-@j$=S9sJ}hNpe7fN>IEisv{q&Ec3d4|$@@$zZyNuW zs^GebE~4OK+#moD7k+Ip93nWY20|D~Tf5n0SR9=C|Bk46@$k#*ka>DT~b(eus< zV*?#($;`<@a%S#~Y1pUUw&7-Bpc?NS>Z#J&Ndza4QEi&?O_B?`t=CAZyYUXmu^)tU zjnX?Lb1+j&3L#snljxjEu2?|tl8o)(b(;Ux3ndMffB4#{DjKDGToGb1$WLi&5v{dk zNfUC#p?9l-8=#9Q*oa#cTpwMe7Tj`6hbU;* gb1uOx3hn}AkzQ~{Pq*NVo^Kr; z>q(to6V+?APJ#9u+9!IiMoTN7WoitfR16{ zL4Eotu>uxEfgFd_dcq34QF~KfC}|aCQeP-(Fd8_#Ar=i5D-8&pUMRVIpLE`~ zN~i(Mss?au6%HQ5!Be~$uR|=88ksj4b2x1uwZlk!vo$8yj_+~zBa4FDB{qESQHsP+@`-9NTt-hYb~fK z7|u>XzssOH^dT11FIlLGR=C@O@iFQ)c{_|Cmb~9-!Nguulyjd!b*uuhpk8dDCd#?jg7GoxH+egZAeOxMxALa<-=SwpIj^&z zqG1227!4X!hY`er+H+W1IZwI&{)P44>f2{&<}<>OQO7X?#G=lAS{;73=HwvV2pcS@ zDA*4v)4GshgX$OoVnMy}&@>~+w86edNT*P)oLm0N{(E#1jUrbI&2O{a9s|b~y$QdZ zx?~1n5`%r{Y3Fa`*rkjud$oy zpZK@hkrVD+0o{kymcOyT(-y7*T`{QD17YX|1!diw&XK0v)eGp8bi5-QAV0y8Z?bji zw|v9rh7)wYrDse4u{gw42ivX7hCS2WaFYc^`@fMg%?&3Fs^f+b3+il*swb_ctb!c~ zUUW+F({tV@ep*aMh9%{19K2|+o1o#Fej8T#Zl{u|>4t2(mS@TL-HaFjE`2 zv1U?eH?}V3pZ*gr!Y_(c_vi?`x(DI+Ix+)KG7S0m`wog}QzscJiG`;WAr^aXKG2jR zjqF}6PA|pH7E}~$M#{8OtTL!hDMBo$r^KkqMfM?qNgY|_P2NuP2(je-MwwxRBhXKTbvc^VnU$z;xv-g~dQD^dY7(gs}SK4`pxi9Ws zz+l9N2!oFzMS?-SL2(#BEGQ2jVC-Z~a>%Htvs+qgUSK+7Bic#C>}tqFt>R4jg<7fx zN`^*8jhTBj8>1nHn$?6xlHeUD~I{!>Qkd9?lX z;_=ZNOIofctCf7Oi~b#Jqkjgfw^1FB8**#@)15AC>7%s`U5EJw)%Y;V;y;=+9F>Ai ztBlaq!M z0+Tvv*l+T7Y6HZQ_Yqd!QEn0G$wzj4nl7TC8n>8XnFsyT4uG1pbclkP`k7*6F=sd2 zVut1QX_Q6k49lR=)3E`>qUU9^W6SfxOSq6`3Hk6C@=BS8lJk*TRivTk9N;pjI8R8$ z$z*>d3-_3jCbw-;$;X!7MXtsEiaKY!SatYW9T|1~jvfo?h+DT=D*6%cwHZuXs3{{D zk843J1aEk+AvhT29@s72wWeE8QE&rNrgatN2Gubu#DaRHg(}lEdP)zigs%9!`Zshn z+l^zh)Ob@@^|+mO&w{@vY?`19a5$hTl@t8r`X~z0DQzkb)6m5iRZcm&r$(j zm&P+|S}lhs#KLp)zJ}*IivQk9=Q-PgqWBLf(|DE|REH~_|9FXCpWk7& z*IO~OTI$z_DQ+yeJdgw6l8drsqHF4+bo_Y)*DR*^?|8Q{3oXk2<=^RMImCjBf;*5h z)hx#8T(cO|k1AASmUtMdw?iz(c8GrE8*HP*3N3{>WMy|Gv%W3rZk@^ zGio}d!|5kBE$?jZnmSI3X&@!a%UpN-|s+k`?C6?|xF|p&E zDKtpx@Z(>mgRZD>h7$w4UTOg7M5ju8`};?xlg^GZrk}5R1}mUWtwg4 z460*Whz0eQnF>|rQJUyYRyqb)9|eUAo>)-_3Vq=qIi;zdtwV!A{;R?(nd4~bwTDQ! z!4PRgTJq#{Kwg-nP6yN*^&O)@Eb70IHAbU_#@uAO(H2-xQSicRQy6WTL3NABX?vf};6Pq)e-gtp?R8 z28ac9wuPE-ta=N^$Ed^P?J$B^^6n38M$hj^XSCFUqVr#nGL2D}L3J2GEU2GSsBkPU z%>U4_P`=u+^t1F=b%nCySvhKQ?t7W2zcsBT?lw9*mV#JxzIukS6s@fFznpHVvn{A7 zxEd+bEY)LB9ZNwhsAU=zEwOVL7>;?qAn%!cbXR?lx#Z>%bmM*d6jhq}j;GQCD`sA3wBe}Tm822d#_UmAJJ zeW&Drw`--w%iFf%EYMxZs3->nKs?gn!+t&P$}VuMb{)Twen` zj!#VN_=xnyBNUh*>CojuMDDqUWOh#6O5aN;IM;c(xNUD*4H-2NnNvd`7GGVyhp8dG zQSN#|N$aE<4Y6!%F`c|=^!g?xR3*Ms{N~o;HOg#61#kL`On4`Ay zw{y@ptnbhI8{L~dCo4qD)Zi^|RC5dhv8Wa*)!-;muKmSygEU!CQ4k_!nn7v|s$&p{ z1@#}ht74EQg95ksKuD{}C^}V|j4G-Ku$`)6?Ejsr&SPdi*RqOT=sH#B=o1Rr>(I#3 z-<#!O{>;Lc$)W3>qdK;g9Gj=^^%NU&R}ZvH1&t$V0L*28GjLMp;DvZyy^6S?d|vpt zu22<}PPOv17nAD;EeRlFY1!g6XJ~1+FkDeptWZU@+04`92C>4Kd{{Sq&_FG>C8N<* zQZ%X2Xq_n!#|t2q@>udtQ!OYO{q8@~y`a^Cih?CbndSxc2G#Kbhz0fU8H2hb5j3n8 znA8P_WhQTj5yX;rWaS;@?if#J)L}tIL4=fPj2aB8!w6zQ%~_}kMr$k>Ul`PA@^%29kK|NWa!jDylqL13(=?&_45-)!70R?L5 zPQ9FvEZu7@1%0+?HCj2gfLOG;b((F9$DU8OMYjb-=U*dbnk_mEs$&a?1@-tCHCY31 z5}4E)*k$r|7(py~Ke?M>gyrGsq-bJ!xW|SFgJ;JmMPhNa+n_iMAQqGhY!r7LX04sQ zXL-2C(Ua30I|< zMK;5?RH}GNj{(uVB2>SD+G9$b497Q!x~buKpV8H^2*jf6?y;^Ejvq6c?xp<}R21xv zlxbetZ%`czK`f|O7AXs%Z&jb@@o)UQ;j_}c=E*Lq7i+;a8H_)g%i*vrde5vXRw=NI zHM6RW?3G;H*d!!U7dMtsJnC7=gIF|wY}amjV<0VVyyNfb_8PRHX#eZqrm$CoL3Qi} zv7mm@LRAyD>a`!$dL<{SHw$>`M0KMHhL45Ho!;>be_k;E+GbKY7R201U*C}{5 z8B~WS#DaQ}g{paC!dg`i3FvjKQdLC-oLs8iD%zwj)wUWH9O4j*3a|d#5T~WuGSEpO zK5RkJ`G?!5Al_k69pVrRYLkVki7T(B2_g5(Qq^m9@KwDQk=MbLC-0E3zA5EB%66M5 zoVuT&%V_D?24d0jiJitabY#SIFix?}hy@h|Pdqn;ZMqGrV;hJCb!m*6?4-8~Olk<$ zWAb(wK`eO}TX{#hV@XfmA#pfeM8Uzh#SV%0K>xHI662N*EzpGSZDqs(9EH-6?(x}bNUaEoUKlFwiaPc@gmDIC%3#znj6 zzP3X?Z`DDcxB4Ru!RR*ryw#}Ejl7*7z@dD&uBFsOd-dQR=9FmqcyN3~G`7XUMq`=X zX@8RWv?8UzVbM$J*NFdZ<9B%mTIjQJyU0Rxn&>jRLY>=8-#$=t7&L#%3FsUkKKrj% z8F_3o>Lve#Trt3k_}{jcS#(XOgqd7%k6f?eCm_dneOqSJMa0Rbv5svmWh9~F1n~nx z_fvR~U33i{Q$0%m&}YT?my{1mVYim2Z-ZEz?9EB-WCEQ#Y($mR9J+{teQ}HWuoqpp z^+ApkY=S1^t|n11@)z~kV{{SC*@9bCi9xzZuM%BGTc=7uEZQ!NwPmxo_LvYY_?HxW zbQ=Vxae!D5UU<#e1NlU`cSCh}ZU831?zn|L-gs_mu$5%nJAFl+`9s1XtUw6K+C8n82)J^@OfU8Iaq zCw8&JiQ7e;@JuuyU!ev>e3?D70p?)W)}C1pmke9*L&7sBg+Kq2QWE`!n^O`H3%4)6 zYD$8kbE}_HCDBY5QLqxXD2e5Ck?NT{T}|j6SpC?E$Ysv)xJ5}Eja*VoV$f*olmx`0 z?XO=k+Ok<(&n)^e*Hr!`#U4Wj!LbL#g7DuiLX=yvP1)mox`={r;1>2+N*Ag2nC@y4 z1s~Fny&t*Ec^__JkNuHLsy&8{wvIg@7Hx0bVeG+P5Y_gGXDTPeXq+23YPsRF?4mqj zjmdhSPZf*iQ~A`0(aNzQ#G+N;Y8B-wpHw#d09{1E;kbnj%jhE2hBI7EqTr<`)MI0G z5zToHx3J+8bdheuQKPM6Lx@G&Gha^H5SFT@DvS|;y5uU&v-t~~blq;kL?G2lsoUtu zq~b=M=*v*I=h6_9<45{9>nMfP)`z{hYsoUjg{d!Y)=)m4eg$Gx{x50WtLeqfEsrZR z57I>x+>2Y7`7XL}%`ES(bEh)P(jf}Iub*i_7IVIXTiEzQWRdEp*azlmK_M1B-~3ms zC%K~Kq-0Hg?FRNHqhn$ev#jUgRr3mZ9>!IcE4ktx3Khn_O8;*)(C?!*OPaMfEC6^(gnvVP)bk(*>P@hg+EV6uL+?@oY;6Is;Zea}ctaGaI)s z@jl2R)x?uVPsfcR7CpP@RCe`V9R+V(+go50HNYF-j|a1>B9xO8mFcUwG;u%?Uhe>Y z!H3ZrmCeWYMc|5+2KLl4i-OOLMf8}*Qy~`hUVkxGkG_HSm@?!3bP)xmxP=)@=pxmO zhgdp9!S+YhGf&b*H0KfA!i<~gBHfI|Mo-6#5R0DwZRx4ZsG9=ViM|frMhi66!)&f- z$w(aO_fw7(>C&2QvjDU+Z5M6cw$1KBZE-;1ltmm+ zYI$-HWgUyf!NRdh6ALHI zEqPcbyB9MYyXd?^xG?x2(j_MC>F>U)k9Q0a z57hawsx17HzJy**iDf)4!)@GSV0&Y3b$~xt#py@X2V8L$J7vu zp0$>qsZ|~`M{L^syJO3Zx3%QS2H`+Regjlql z5o=pdeijc~*&a2b1^<#_j~avE*aKogxaIH09-L2@8-7qKq11V%yC?w^2ERt4L=CJn zSPmPA1?wXUOV>GF%2oq}bx=LdQUeDJ{3#2#$}av%YFX5Ynuo!`}py7 zk|?%1u_phLQfwo}luofhET(+gW8}hOt5tF2Z0E$J8W zJ@uw(Kh*;6mwRy(9eZV4%K}PeM?JVl)4H0|py$9qNB7;hPc(Xs%($I@Non-zO|5hc z53vw>i^gO!GM6qQ%pEmY&;qPT0ENNsNR;TSmKiL^cn}NLRWX()5@`~UsUndEgW#}% zSP&{)geZ6BfbyhM=pqVga0^fR1YNkEWJMyYTutab0R31Nxy;!Uw{WI+AeU5UYBbt9 z_JCNlz3eZp?WqN%wH!7;v;-B8UVf&3)viCD;Nza5j)rH8ffJdIoU z$|H1J?a63XH|r(eUqS&fzT?o3g>R~Cr^e&?Zc-*% zIKGPl??0rC=DSUaJN^l=sIpY4qA}<@p!X^VZKI1QXvQrZ^kTYj9rWArVE?9sE>ZAl z{oF~&X3jj^!b6WkHmR*ykI~mL9mJyVx+j$B+GXhm9@@@rSF~h&*M0f$$$Uh!j_r$| zo}>$!dK}2N-BCf7x6X8J!99+3{l@+DYEyh<$u1s&MDlOvBqf4#u6UUqRx=MkljDl| zpO&p-rCes&%-mDi_uJ)OI_M&Frg}P2mj3OY7+V3*6K}^fvl=_O&1sLg+f-d|6KU!3 zYly{Q&5s*j<9x#0FZ&DpdTjzI3|1pi!mnEmmQy$o3)a2~ESF7h0>slfbQlE3T_F~P zJBE{Np1Y@j&AJ3o82k>25^TB*mcs^O!TO}aLL0BsN|3dgO;De0|99~tZIT9Ha)DyLW=7E|s2m=sIg ziY)k@YDG?_izqk|w`fJ?(nV@3vLT^M6lC;sJMTs-@+$qyt;j#Hy=JsTY#wPSVX&wXfIIw;?~87N?mWH=ivYEZkVen@pO1OHD!E)>Yv0$BHunJZ?1{ooJXxeM?c6dN6 zdGBWB9p&bddK~^7Nf%LYC~n~wv+2V13u|b)+0r2j{`Fh+%qU$%bDqU5dX~rOBHbtY zjGm4SAQnBpu+_D45GX=)$o})?Fzap<-M=c-_=1g*C+9 z(|JQIrFj1q?J=0J*x9Glewi+441inIo$Yktt2^}szkai&1HB8QpXo&wb8f*c>dpJhTlB%;~Ra|Fq@ z8WKGx(<0e=qk~hsAQm0288Wsa9dZxdNu?LRegRW#wIRabR-{POD|F0KA`VlC1!b;{ zB9*FoLhqTaeNp2V=}7Ufe3(i$+Dm7Z#q^`rf~GJFHoToi5&j4<1v)bW4d9Rm#1NxFpY&{I*5hTnGZRpTl1R&(`~aM!r(llNSJQYpg5+3 zSWsSn&_ao7yQqS5dn9MSlBE#yEGMI2cQIeI@tWR1%LnpL?7i07Yp=ET+H3E#&psE> zXm>wBU%0UUeql*f@z zps@H&4$kIyiuw%VhCT_Gmp}?|nOpsj|YXYPq=sgI61kN@V6V!oTRuD<^&``=^%>r-CCPaCr z{=F4LgSFeAzT!3dufyM!h825q^ktq!Fjb#q`&P4XQe^D0q}ZvU6C=ZprQqspZejVU zDdpo|IeOofS8;`-eAJ6f7F3_RLW}MPa%82DBg#_T;%(`q7WZ$%NxqiZkO#9Hklii( zD@mbAwqQe1g=Snf?b0N_zTC42mfhRDC2}9j0zF9S`Nt`x8V{o({I}s^*;3A;IP#Z{ zq^y<*m~kI)NOx&Jy1b8h72Q0*OpUI7`Ze#qx0WcncC$c$Ag-uq zsSr@|leU3)^fp%0%xZ$Fn#TbJA+#f_k9>-xn0PEQZtF*^6Pdn|@4+ybiiH)i5r8^; z0CXH0Zp5uS+=Jy?Ty=xY9FIu^v^;PXGly zcOa{el#*;cv&bm#N308(O3zHiQk9-30LqtGUyx`E<<0iwXp4`-b4Qeu5FY_w^7A6` zCEDWMsbss@6AbE@3i&-nwl{I30m_^B*2qX0d9nEAQ$robV>wWV8)28N0JPXt8Tj$F ztTb(E4zhdbHQ+QW#>9P*m%|`u>!6PZA&Fr5u~7Ngmkl^4V^v7x(~0ap@~N=p!y@CB zN0qhB?+-Ue!GXCd6nQ$8KOvZ*0f*Msg&Uh0=#TfY`AVWk9+Bz|WI@h0Sggvfo<+ub zfCVEVPX&EW7?5y=1@sWHMFJRte8V9fBM-{}Q-Q0qPU08~NyTBA-5{OV47D&3t5+PA z0EiUk0OPi3-8|)o>OAvtA6p6-aeMv96Bs5ryk7-9pj+McIlLHCu?AJc>DkieBy*6o zIEpn&F)f@$MhCzGkylEgN@%nU7mP2H%Tr{0@#meSP0b0Gw`q&N0^*_a!L{8`=mm_Z zCFgh03N+LS4byx=u*zItOL(SoNnOYm^D#x!f6yVC@w3TFQD`Xs+hUC6f;JGqw1IqM zD41qdJ;1^LUbuV(ha%!zc0w@Y?++_G=|O=d3=-shEGT%!I=}!o6)i=s4Hhf1t7nn1 z3}CdATq=oMpKUt{3m{FTi`ghP9CTP6!Hs)B0P4yDF()g$kCiD_a?LvCkVq;TfYD0X zVufM`_*?>Eah4SK`Y3`MryuHThF%c&CEoDsD?Loc=BRo)0EQ;#A`4+;!eS>jU)2JR zS!Dc{)Bu+Sxq!OOcC1Rlp(qj`Te*e z5dA+8(RaiB_~Cu5QSlQ6$YFf%MS2I_-GzEb6S^G*Xn?HMJ92z2bHAs0M>n#+sCN*} zn0u?}sv8Yr`z-myn(Z#lBI8_z(p4&MfYu8aS8(9MjUuae5X{&J9C}e4mtezFk-U$! z3!d>3V2Fz>px9us4!e3584FoGdWTqUHvsM4(J6rRqWSD1JuHLd$)wd5)=3;AmsA`^ zk`2qE&kXQ!lUYX`w|(m9ugZH;FaveGHIrdxTS4OW!n_mO;=f>RE>u1`v?@L%R6fCn%a~Ik{fuA#^tNcq z)4|rR!Zw-lxlET6D%#qqR|Ug^m#(BBLpFbszDnVy^iz0C|mG3S0- zI=sF^KRGGld5v?`3;4%G+eCfTYwuj%lLqMr!C*@ln6*XKC%9y>ujSzVoZK5_6QK=9 z=SXE?Gi62>!@I)rgHxj`XR5j6N{<^^EXu+)W{5bA&E;abMaXi};(e@Jfiwbys%-*k zQZJ0wE;=(d-PZ!M#591+Qt>E4K#mIPJWG)t6K7QoNHM1oS0{Z!7*j#mSAF&ht!4;F zT~IVFNxz42tng$z`LjjhYpH@LXv8dsND6%%cUOAa`&Admy%J3<6$Hr{vv}>m6`1QD z5IK0A^}x6BKIR4rKpFsIwIPzQgfmTA|m~;gJNu$ zP@>ahwlM{`Fv5cB&yyE!wn=*|(%)inbz4?qT|r_)D6i5Jgsox=NC?&Ch9We%2u#lD zHmHd*kmf&&Abf%sB)RlM$KJ;w^oT?7dve|44FG{AU6zk}qk%+0-Uh8M4vdg~u0Y>V zJ^p2y@D={Z*q3Rp&bqW34ZVUsIR~}t?^$*CI-iyt@Zf7HGZjWKRJH8pOOqmLFL%-U z>pZ#0v@m12wycf)VnKe$dSfBg7 zNs&?KF%JQSf$c{pF0!icW!&N!ZW;_9`4=Y}#hx(y2ob}vGR43T!GL(}UsG`9qgOQ^ zPb+9WGywC5m+1owaGXmoas9o*k-Gws|LiYMUt(QLh457BsjzqoMg5)%#SS>RpPZDZ zy(~@-$3$6Bu{ppi7`fFSc}A#WrEZQd5%+U81GGK&nF2bhEv{hLdtr;24!_PWu(%7FLl6~MqOB1y(20Uh zuQ}8M*KSo2;MF{c*~TC=chckvdG;oZ^8Gh8RHZo$+Y66Wf8c$i#Aw zCOL=G>NZ7~mGmbL!B~+6rJsEPrFxT6wznUpvnC~Pj!7vSl!8JDT{+v)jM!2oKWHwO z^56n)jmS@kj5t#IG-r3@WV&75iE|Qjakf zghS=yzIspbAe#`pa5Io6MoY(B5^DxD25x3Rgs+s!Xb{Jp6P)+4I3TGnfI#u>$l^Z> zEY>c?^iV7^9#&u-0^|Veu)$bl_yOi@nMIBb=>#ZyTp1@%y#n#IEe{SOiFX`K2aY^ev{Jt@g^mDili@~WC$g!NrBM7e#DA|82U8wLqbo%f#l0KUW!pO zFeZUTw7jDWu6UI_?eHV$X1Twl7j6wP?r<6EtX?4ClRTWd_8^aOE0Hse_QF{#>H9sxa)8$%;C01dYGEu#n8H>Rdvh(7pW*E zhb<(F4uC8-vYs)Evydjdk$lNz^ix%@-I~r;a^At7WxAJ^9yHk3GGDsC!*|(E2GJM# z2C<&aqk)_;nK$)DGyJvRU>nU<^@j7A#(MkO}l>KnP< zub=bj8+`iC3$hV?5?<^$ht5XO>Fw^+Cv#f7VA9u8DRym?O4TbpO@wu5h4-->k&saURpS_>#NJ&r8=w-I9bQcO*=$oK`o5^WH6An)(mvo|4th$w-F z5Wh=9BdVc=wWqYjZ?VPtM}d)NeTfZz%<>J;pdC9D&=N!qHh;DSv%Q4&wKS@x{$Lzq zgBKY?f%P5~SxB)Wn@46Fr|%R<21bC)M}M**!!{(djjezLE6z0n+C{9)29tGx{{|Qu z5JVuJ_nx+Rj2Sjv$b>4`7?7kW@LI4#fxecVrU}swW}7BNIGE6qv4|SW4^D}WdI^uR z6L5}N-Bz^aRm(A0btiQr2a&#=ggGEN zag1^|Rk-crZmN`SQO_b2S?-2lMyyz^D|O(;s*RS<``9AEGyW4W!0kj9|5;$MdPNq{ zEHa(|80Ky%=qdiZLpWZAq3Sd18In38cb9KO${XHSi(-QV?fgif@TZ zjV$uDBz@n0$X_GogopgMeMdFhLMDGqgGhrAL9{7b1?-OIYjJ}P1B|TYLPf=+1B8&@ zlYNDw&RC;rC4jvv0IaqF{P+&miGZ^YXp;?^+iZg77^Nm?ejm_g8#K7y1kE?TZGsl{ z0gcF;v!zY1Bw=-_j>B+2qjP+wP| zzva{4#O4l83mJBBE<<9%9gIfJj3a48;;K7>XrClqCd_Uxjjb0QUBK`t0|a4j({e73il6^v@&Xs{V3o zdP|n76P|{2_>b%`-K%AK1nNH&P4Te*rRLxE!aye6%Eh8bCp}KbfnJQ6d6MyYZ89u+ z^w25AgM5)o>1u7_)#onPp3^|g9~qbU^R4MvE%5sFQ&y!dbHNXWV%nnZIXHFoGX5Ej zj$(0#d1Y=vMB@&X+*qZw!k9G`(EyPTj)ZBS+#+W{)eYUdXI%C5e_s(vL(4>u`s zbpJ`jk>eD{C;(na964aUeN=CQ=pge=apcFw3JbE&5>kMd@#0TRXUZn$f=1klM(Ewq9%e)hOJ#F%GP5QHfRSy zhby*2c}}IxvA9lzF3$Dx^SLflTwe{Y(J8+4!tpejH+Rl- zb69_~h1F=XR_v)TYEiRkOdD)~CX25Jzksim7v<}j>nTn4TQHQO7%J>#NO@51P%w29 zYqlAhW1P6{0u041hTcNy#nik0q6~Fk2du+;s?QBjJhdFRg`|A0A6wHbp4x4m z<{M=f;;F;pY2p{~wDY1om4YV+LpM`v-d=_*cV0y)T0C`{JT37s+t@hS7FDYa(`B*s zdz4;GR0sRBh0cHEG3?=eW_>p(t3}W+Sf8wbe+lZJMaF&bGGafl$HB7YsA3P!nYaAc z+uP`I^Xbp?>Gzok-ZFEw_#|{5kEXPtoi>m@l)SA~*1%QjBcW&_y?A=zTS{HNM zpwgj33e-jRFjupDJ6j&hvO$?`d=;QrPoHrsmhc=LbJ`9-KDz93@YKt`uO$bK(6Kfs zvyB-5El8{{%sZsU9BJlwi-UZW;xX@&zn?S^gYZMR;34qy58XVlw=K6uhuz?8yv5V4 z(ZMri!i*LMlK1$bW&=scCLh$X9T*Zo8^8H=DiA)S>;9iWHF&fT7;`L8n+Ry3bG)4& z3j(~bToy>^n^W<}hx1jqQo%}z9A_$wpWdphf$`3qa?)ES;Y6VJ6zF01)G|*4ieg1V z@)X%_!o4Di)eWSbB-QptBac)qNrx@bj6iMyo|W)vcs&p&N77CKzv8&k_rNjWE z=2nVhlP(q5xCz_igq>-_GTUf1VY^IN>0uTpvu#*r8!rIXdU`yEs{t0=T#Mr;00H%_ zUd(6qr5<-c-_NVJSC>vb25PrMX1gph`td@%g^B2no|c=*a$4qtFjbe@z!fYqO0QHMOSI2e$}IG(2CwW0MnhjlRwciQao&k zV2t&!2aGiyoirc`vZk8_+bAN$Tu+KBEkY@9UE4PJ2Ld0evkA=gK$@g5%7k&v{}%<5 z=75oBG-Hia6K3CUZcP_Dcv@sKGS}l)bPfj4X2l%H#yp#TISAbU5xKCzQ4@;?$@)3E z&%&F6(Xq0I)(Z~ETEQRtB7In&g4rzKve!EcU-w5`yZ;-$DcSV~E|K(}@4+>xO6Ph8 z3nS5C1^(o9W8!?+IN11>s((44?fOSUBq{H9!v9EHMmgFtO0^}cx5^#h{(1YtQ`| zo#ED~C;!%H=_5(L(|ZHS2e3+sUYi_@4wD6qP;}U<1<`7uR^6rPYftZ~Uh8iCZ~$IU z3#`>K(Y-A-l($c-`x{8X37+osC9g#r{UbZz#Sg3+{hRiCSAB}DMI8g8|k=R}|@zpl#Uc`)L^nmvS>r46as zQ!k0TJCR$rXQ|4q+hd9spCorL-_xjam+vuUeHOVZ_B5;96?;s~2_lcmkIWK6sZ8P)6a@8LuIbz`<4j^Qav09U?g71U4ldA{BVlf@F2gs{oK z#-hbCPDF0p+!iKF7w#*7)Dp&x6@ zO<)&Wh%k&kogC7;&E*EXmm1X76Uzd9ZJ{>h?J@Df=&P)jLoxPtR(j?e2ZmjmbjsEJ zUy}x2qSZc$#l%o#x{Oj*%UMA)D&W(zCRE5#i)FohA}o7Y1*R-VYn}s54s;d?3x%YP z_8;jm>jpNkN{CgSKJdk{Nvj|gte0%m7Oy~pfm=PU1$bzRU~CbR%`!RX^5gNKz7_9M zy?pd~OaM?SLocrFfSMXjSu-_Smo(%$zJpXJ!N0{ztXt_A5f)L52zP#1O+)4)urM`} z@;Mh0nU3`cyipD~d9CBv!bPEoxst`5OCUncm?UCJc+EoM@$ZoEMTC*UcQI^z%MwI` z5=Lm~#j#S)$6Bqhh7ijXk;t$)j`i2qB&wpYAiovzFqJ?{(JJ0I@akxJJzJYb_T$Er z<3X@`T{2pXx6=kMToW{#Y9Yi1TkV_-P)k!553@tICXEcXOX1Ad%=KcHfOe& z`Quk#T(hIdtW8C*LJDg5ErgT&YE5)^4O=bt$X|iS-3a?Pfzz z-Xqr)@;uSmFqX%PK^~gg%-s=RsMJydQmG99{Q{*X{p5V5recKbuhcToqf+@I0!=WB zSIgw=_EM?D_O%RtMA`okC93&g-co8A8&W|zL8(=^v6NbYv{LFEq-^TwsYEf}y5aw) zQs;mHQBServ_12S)suYzq0D6?pfay16uv89v)xB1#P+q!QB~cGs<0MtZ0WyI_H~{r z2U@HWG#N!>oe$Z@zVG(Yw6<6#?v`J6j5PEW^Q?Q2=Ds(K1lv6Z=%s+EfzXt8>`mCQCi8~49x<g#8%C>YYy1*q8cBP1{=X}A zE_I;An(c-%+ZZ*L>cp6eGySSR!+82dMjIzTFl2fG>q$6cNj#{MnpxI}`xAV`-KSF# z!*GMIke;w&>u+D#BkNkEz)r+SEm%G@h>)6xFh(Qtv=N<-F(xAGSUaj$fwVj>4lWE10!YJIfmOkI{qC|yQAN=bbtW5*!leq&i8p(6V}xmA(TF=I^!`Z zHyJxd&uSc=3Wf`J=XXRFOEN7kj+MkH15R5EgYX!NQ7?39{1$$uVY{AZ~dsYJ^Ve$9}*mSA3Y$`C3`}&anF&^E!nsKl$D$%@0XK90v z&C+IU)vil_9+{Psu?CpWHNb+AJ;B5VRM2SBZxr&0wa98x6Y0{!v$T?9I8Lh@`vOj( z%6G8>kp<@73g*3CxnA>PDZrcoMTT0Idt=Gsg&%R{V!}^w=_@BJF65oAyb&u4m^JTD4(i>(k=VmU zfjw)=>sMxTex;hz8mwNMrKHtf*{OGr+|VnmG21DtIyr;)bYEFLh|EgN_toq?IPx@P z{{JkiwS^NB?fqLdO z*RB|Ryi_iI;v!q<0E`c)HVNj zS~Bs`8I=X}0ZIhUMgnK6dy*IaMIG)mAsY-Wtltn|$c27YTY*gxNHNLNXNr()?z$f< zPU`z3!Kgq)GME1hKA>fQd9=x^W!T0ZjJ`sYHF5YXoN%Vj&3dvyj?N0|yKyvB0}d_4 zWvM!6=JFR)AcCVfa}ggci3E)5o`hEO5WY$(6|SoK3rOl4VlJ@I!XIBVdf)$;-%d6d!Ry2 zZ9KLFxdwAp1ABc*ONBJ;MN+X(0z-4aNA9o`r^u}Ox&gWBk_RZW7kUJ%Hi?_a%3XP8 zeR4dCUYp9?^Xi+U>LZnY^|cR8F=eypqOw`PUCD;CgM{nr8fBCH)AM8lF}YDYC(6yI zm3p(t4YZDn2(H{^41{=EyRa-?#Zwq)0vF_XzT%h!`*A!396L0EWDbC&)&U}*TG?KQ z1AuyKeZ03;Ijq4v+x-vM+X4nvR;U@ z>1-<@SgHOjPZdyhSZ~UjT+4QH+YZCq;OKPu#+?FPa#7IH3Y4cN;jqCRTyW<7l}IwD zHa-_0B(u*FJUk1_X^P-dlOPq+!XJ#XFueQXqU6P+0O$~}xks%wmj-xD8GODnz#uNo z^JhvwE@IYs;#{P}N!-{@>A^gCxJ;zW!|$XA%L&)$#NZ+CgXAQkxT?X~YIaduKsR)V zfQmpwfi8D~QlK_yngR{8zK9%|4FPFLGKZa=FAw1$L*zaYaoxRm(!j<`=1wskBb?%T zr@xD&pL-mB5NB55Wa(&HL9lgSa@Wz#5$ca-PtemCy+sJ8KKQov^(lpPV1Bgr0mL*&5GL zM-$*uQ3qKO3&v=~(1xRSFzWBXagy>4BD3CAaj7jzG`nHY$ig$p_@=ovw*SV0ZnxVn z>mXr3nSsZ8J#f~p6LF%{S6+x-)UUTNw2Wq>21aiM?6#(<~&)fK`?+1g*@+FvuD9XJpf z+i{FsO$y2YO5y}PNr6XdNr1N>;5GpV(i=G-*^S-&PUXu%b>}I+UMZizG52E(d+0RX zK?<8yazW?zwp&I?%v~>sp;YRjd6SrPD_i23#<=o;SCPPHMa9q!mw*%pWge zAZWdr_39Sk@fD)1@rXoT3v2iQ^ z#C5&gey-Q@v*QuhP~7ss(JTt3dOf^{zy+i7S#o?+fCaCX!41ap@v|lyKcT36yFR3+ zU{d^AJJl$9>5x?K5W#z;7{VyU{M$^JMfG}jL`Y!p^>RAGsnvhsd}oZTuAg7Qa}_gO zKgYdQ_5#x8ARTd4JWQ6)o13^@cL5VeOmciq+nNhTF%!ktH{5kHvr(VFa$OH>=OB-& zRC%vgT6Xvp%rxS9_(#f=4cytafA|o^`FX$UBk9|j-+Jysp@MRL?z-J7p}sZN2$4iw z%Yb#Ewy4P%c#jeevp^hD?RpHr{a9CUD7&LyStQ+uoaBuc=Lew+`LUa=Extx9;&NdL z2R&mp$Kf#&z=@axDoc8Uq#h>|*ys0sb_`4w&kvFq8;RTRQ`5p%)vPfxy&WeL|E8Dl z*+^yt#MB}5(ZRRNv|TAQrA;@Z11KBT%QQ>*PmF(>>kYr;X_t+;iu&t{Cr`@=!E7}$LNw&Z^z)h>KKGk z9pi!fFV-;@;ZSOJjH=62$Ed=+)iElOcF2!l(*UMs>+ZB&j$V)^+ecI{2ynumCL*=< zzc6gnpHhQHY!mZQpMi%yoRve{YXA3&_P%0F75*?p^ABv9&8>Ww^Z_%aA9;u>8wY2fJ& zJ+LJh4QyG}JBKiS#3`t2Ac`9=c{07(EHSRaISUb2WF{@C!(2&=1UhQt3w_Wn-0Son z>0IWk2r0XkrVqt2xXu#wP5?^2KJ+Tf#dg5Ou8FwDfG)=Qz)qX;PTFW|Zy)E$ooxO!()>F~Ag%}Av6cG{%w}3|m+K?fFK97hg05lT>J|9H zb(XN-ff@ZV;u`kZ@3FAlW%sZy)HB(v75Y0X>wK`(Na2aoyOZnci)4kYH(ys-ifVOF z%EC>me?_Kup@P3gT=!kcm1}&dUY4pOfrIB)z*(kU!P(ci1Sb_pJ}O|ARl$JX3J$UY zoC3}z3e4N?t>3uLTutXY%I5bp+VMS&4%pKaYm~X8QjIb@2!rkzNy6l&rj2(SYO^qf z5^9)%qtD-fbaTo|y1rWRn^A#?Wd82o`Z#)zxSCgpvZg^C{epVs<(E3VJeIdHrwP<6 z7yra5xOjwOs{X6hnCGaU^H-T%RjB$oNrk^6L?rY2zV(Mx{X|*Qqz0O9`ga-gI1=`9 zA)h2x!wo?cFMmG?UN+R$1D{JJ&2vf-4%upUzQCu+Mb%q0r5$ALLpVG_iN`A3DBgoaC<4GJ@r zvQna5HCJI0#EJQ&!pycXS13%c1G7?L62ys_r!aFY%w~m|>%gp0m;`ZRUINU+qg2d6 zwVQ^?FpCtXtbduJ95f{VRl)Vr3%v^n2G6E zm;`ZRc3@jY#P#KS)zHzsA;4n|xN5+G@pbmF^1umu)}5)<{2X~$RFqNDng2{*SUs>@ zpb!vZ=s$s_;6_y~)*3>cr!kw$sI8#iCMi9z!US4j1AW5;V!Vl=!u21YrDI0yjuMIA zgM8}C%+<|YLLs+Z`_b>feqXQThK+ogU39&YN>;BKMKT9J)kpbtO8G=t)2@{725I5y z6|Mp}l+RnGe1a(DZ~F%#;lK&Kw!OEKRZEoUCCd>jPRE7|v-vB{=C8Dy?|oazi1Doe zAt*tE<)bHR7J(PlDS_ucO}F)dd(3B~U`A^%7UmobFOY%d>4haMz+y*^MOm*MizMuX zIZzao6_p$ZufN@{*r`XedI`I0d9T>PEAUdmfQ66o8pq;Fu2};r8{b=*tUN`f5DP3U z2u2H0=ZjKc)v2BePTZlYUD~f&-m7X6?LpgNP*Ghy(8X&4HjDq$yBQwbM3c8ijTLzO z8F5{Aw=(!R3?7CH31aCUvC<-ViT)N}3XBbb_3RfE_@!sW5Yu@js3TlikMbqvI!nnW z%BkN9&3c3#K(J#;CxUyKwKTGWWI<|}6%}H0Kv>B23r+t?a%6;<=aDo6PH+Jesgg%H z>mz~nc&4o_&Y)s?`@ZVW$*%4&Lvzegq)(f36ZGl%J4I80DpbOs3^o1gC4eQnxs2f* z&SdVl0W5Hs$#0yceY5q+YkoLfWLmD)`B0wH)|tc5SP_i49s>i(s9bf2B5Izp!16&f#tH;__7$uiDcJDcR>KPelc6~ zGW|r$dUkQkb|H>`eN!230}PdHv7t;WUh#@Bbdv1)wa^NJmZ%6H=vHKz!;YxPMqiX{ zi@NF=-VRkkqoV?*RRwtEH{yE1Z^duVKd$1pAJa$M@!P-ht~Y+O9+xGtyOd8~j_pp? z{5MqwjaoM^Ryeiy2Yno8yK%HcS9s~jgml;d1_)O4Kr#4^XM z4&^u{%W6J^J8U4vNhMUQrii=CP#-Nf@j4Y=kfa=V2h-I_7ioW5gUvFc6u3({yMs%l zurNp6U5bWeIcPMPG}@b-G-S@HXoMX!3`Ik-95hNn1NATOW8GyAyl#ajSq?l8@WgH& zo-Xrw!MEC9;lS@v_>$$oKbU5=zYp*7zPjRlj)O)LmyM!P$#T$WFln^^(b;}^#az*t z@1T*YXh@cWMk#2(@XBE2=v7Dt_!fojwBvNzPkow59R8GnoNcd1afkk2Ob66p`|Sqt z+Z}|2O0C5oL?5mfe75~RrtJUcggW2i!{=LknX>o_r^O$Bw2#Fv6CuAs7B~hlZm^bUA3O zRx~8bL1Qv#SYvhr)t^Hg^V50e$EwVEDxXbap4tU*&w(r>t{Jzhq1>?N{RUrSB; zK&wR^=`Si0`lnWTtVbs9CDzD{-Fg4a63xP>wPIkfF;5Dgf1RfIJZdk`92L_&$}WIa zA3K=Fqb=?xwU~D;0HRv5>1}|k!p?NWA9xIX-cr>?8i8kW7o-3;SkuvOz3E@U|B)L^ ze$+^LxX9wkS^fFQ3p*@H9Hk`GsC{x=g^av9pe$;oVpfV;$0o&Fy8|xJuBiP) zQG2N`mGl=Cb&DE#n}I#48D=b|=*~CkcAymj{A2_cA>}GDIpft)qeY9HsSmW)6Hjfm zG)9@Uuy55Jz)wbCsl$MEiM=Igx&;x2!)ySgo`7`D>XC;xc1BPCjI0NpJ0#YcL|BW{ z-XeuPi3>jJq5*@sy4@QD70*+`F@kFhB?$|Z)Mk}Pqe^7-KGgU~z0S!LeewZYYK=}*Aa>UUL1F$TbBe}K2 ziDW)Mt4~znmXJwp{>@cU1t+&Es$j(Ah$?uiq6&hjsG?{eqlz9i`9>VgN>*j5IE?dg zrxETPAaN*lqSOJITYE(WFI(Z|_rqJ(2M^*WUXVa$eO`qpSq`J0*#{3=A|5AZ7G93R zlPm|`DBzh2eSzxYNOGS92(lbPxg$pCvc3&12U{$VMQnT&8c##`#-MEYMty;Nqs9_R@?VZ{IG7|#}BcRBzRLA+0Z zPB)_!cbN1ebWV|%1{4|d`A8yQ$lh#BuODHvHw5Bj-duyPKI)FR>I>A^*t5ZP^-m9H zk#ne%0nOV?x>Av8P3B#9oZN;32-zvj#i0K*;Kp4C>w94w>p`w9z4^eAK*-f>&AWJS_110&Jmj7x9|gV={T3&(Rc)D>}6osaj3;Jq2_i&yn+nZ2j%Vtol$kU*}9 z{GHxIMP8=_!TskDgnW^-&-?XR7()v6wgP=ikgxxq!r?&i1&8qA?k`_9VPNg{g_y~0 z=N5@I`S4M(`bA$KXsmuI4Pidc3MWmT15g%2C5e`*7EEdIu1y@-eUGKZ3opoTM}EgD zyqJi0&j@4OfEouyhu{q#?gCfD7J-g>r;d5XBjy=E9YEpUdIeOkfIzmBq(GMM z4-v)~4}?hi{$e4kUN|L>OKq~uGlrOCyMThd$tKwbn=Civbem)eV;sV1JCXFKD-ZE2 z5=Az*jW${48EXK=#!}#N0=mej$tK%_{86J6w}dek3)vh+Hf)n^w#hQjs4&SU;YOV* z$|l8avZ=`LF}Wp-af6WE^>4y$8FG}^+HJDTGcpJ@?y>vfBQ1rtbN~de`O-O}9d3YS zfKNeJdStIjc@<4?yu_pcjc>n$#d*Af(+QAR*R*TWF@H+``AHnbZv5z(lUTa=yJS7I z2d{nM+wfQKN-NNZSh>p^ky}1|1b7aW5C1YR(ZTmjj*i{MZKk(Hhuu>UEq?edngLdz6Ffhkvpxa{LMkfO-H|_!h5tnZ%Ue1bSeg_w@?7ALcnm7Hk z57U!)_GU8xlQy`rP|Ci^?_A~tTmEhEA8y_E!4W9zl7!c=A|>M4lG8DC7s+=`7e6LC;m z^@5};wVK;NG*q7X-26k><1wLz7Z~2u=&;-Q(ig1rU0NqBb7#jztZ@`5z&ZjjN!N^9 zxmPWa)RHA^JDY=7abW<8>G@z(y1CsLijcW%Y89@zXp*tE(}fpC)i(s}CUv1SmaW<` z?NgeeIwiwxnS0DDh0L!9te-Z|cQ27PvWZ{`$3RXnQV7GSlVj3jIg09MXB5??C{MMi z=Gs&nkRLXw62{m>1dD1na!ipk8Ov8h|Jx+mh&=fk2;pNvn`jgAXPQI_V?603+JhV) zSOup{#)=ftX@J3tj#H8*;GDbkAD_NsP+?xX7F`dfCr8t^ayTm-**ZBoek*dY`EH*! zH$x7_DBvP}-Tin3e*~u{P8$%ySXy^GZvFb}`li!+lHaP{f62&?gXO2jY{y|J3;7N1 z^v!>ld1%esq|4b0RIP5TLy*w<76M4~*1Sm=yv^9EU8mA`k8(gT?~Td>I237tkgGJw zopzFw8@&4msLK5~A=p=)7=UmMySn`mbX2>Cs3AEFF)+)06`B{qo1@vZFPsrIUnI#+ z;IJ^j8GpQn2L!@7gz&Oyjz2Q|&riY+N94U$*T>JdW`*?h1Gre7G?2Fkr^@Z{>zO(M z`*hjAyno>?TV`^VeSUvM#j3ONt=O-D7u#$a&;rwJn2c2@4NtupFBF$&cF&dWd59Og zd!{(M=lY9v&nWE0?4Gmkwz}sWlf^PrrFg(QmgQS)Gmj$ zHxkn9Lrll!E5856y%s>p=W}yuWUNX`m>Fyo_23m&<2k}vU8f1KrVN;jEmE`|Hfa_0 z_M3W}R#{XW&>aq(LoGOLlBS`r+kTj<$dx4aSKagGkYhI9zKW-2QazQTs@@wPB!F$Rd z9mF5rH2w%Pgj?ui^j2T%;cNWK?Y?bi3d=Y8>3dfu869kv~$_!no%sIOv1bC{{IS0nWgrYgURk$T3Nk0)tv|J7L^`(=G7 zd(!?9kyS7KY)|ni^EO}s?R2)={qXlEs(d- zafI8Om3TdR#x|`ubL#=|%EMg;)1m%v?U{Ea>zST(crDgLmF7g-IQDZLay0Mo-)HO+ zFM@}lns*ysSl?c#e}-6eU?`e)1(4l8aP#-NSw3yZ_sMy8NZ&h4D?LK34SrV?e=GJ1 zz%zRHk?HZfA;;43@6r&!asp~~4;wkluMH_3nfh@+E8P#s^+=SgM^VWKRQo>sglCR) z(cYTYj~9#Nw0!ph?ixzZwhxW_ep8m^jm^tEysej6?!{nMb{0bQeWeG7*x z&`KT#m8X(5Z#PMPm#CD}F4n}9XIGY)8nr7&rAm%tWc6v@&rn{n2b#jFU&%QUCNlO> zC~?YTJyPg*ey#Lv%BTYnTZv*2Vk03o6XFzg@d+Wep)_N#7bRB}dqqVjSay=xbG_~$ zjvWX}A1Hx{km(+NC;8RfBjk#V%;{zWzLx|BPe6nvpTI`PO);|rq*}5LxM9~sg&Ux< zOFEe~{05a(2yalb1$3upBY_hP-1mBsIDo_>Ii&d^QVVjK`jl)G=9B6+++%`*>i$7u zYx(mI?oJ{<L7LB3fr zDLblhl4pGvsRC=u;a1Jtjx!b7DHj;W%3H|F_3g5r4Yj(Uv%pI93r~a zk!ofio`R6j_SDnQ;BoIXJoDvwpN{pP>Z27Q9zW9qrY^(ZRQAKW-1xZ1S~K@*G@v~aw|8p_Rx7!RkhbA+*I zIM1c9uhb<^UB;{O11P^ya(;*q;D=~u_$dBPB8^6dKSx8yUH%@F#%>ZAvk@@_ zWK5+@o z#Pn64!O0+VJ=yB8Q=VUUTXa-PF!`fk^&zeH*xZcMZ43jo+Ml7L$k#;m}`VbB^Jy}qG98xQcj!y~Jo?3W~=H1OfX7FhYc1iDYcCiUZ+w+)k$7L^e?jm~x7Cj9M2=5i}D2N|1# z)vx2M*OQeiWk}3~8Q-_5An(|MuNEZZFcBOg;vQ^(fv1542ITXoFbFWPpUsD8_G-^@ z-laZai!VAvA5Yd#wjR4?Vsv(9^0sre$L2j4#9BjmN_6aHg~=zTM#qD@kG4n0<4HjR z8gP4{8Vrj_&HfyAipUAwV0of}Ljh7N5{*omwkSui(C6*SYkU0FAm&D4-0bm3=O!T} znv}@vo_Awm`KiL>PbNoGPGfj>7bl??APT}!r%Sq*DpK@udycrNF$8dOAS_!#aj#Bc z#hUj`^wXd0WuxCh5l(Z$w@G*kcRprYL21yKV^}5l2BsM3Fa&1N3Xdx zI&*NcZ`)aztSR)3+H+4s=rX6pM-gie&hum9D~H%bhkYqnzL(-$-YGKFN<6!9+72>S zbef`^y&HlKZ}H{9$A{m29E^uIM?+t_BsvXG3FdJoK=5Vl6c?65-auaJn~NO_9eer zz3(!)q8@xu53A8$1X?sUj6=(M6w~gn$*Do&LBGahA%l6JR_-l`F6&@<@bo&4JzC9b z{(5(#GpHs@DFe9W9c(Mclmi&1N9{ml;NRiKZ}E<7{Lb6~<1anRR<7=7A|Oy!cQhjf zFNY_CapncIA$1+?X5O-n4l{3AM<-G^cX!kd(Em(@85rUB$`}>E7`4L<+|q4GqB?@{C#!v$oHaTKMgFBNK)+w!HTaewag^ELt zoBsq)l~o0KTNhkc5FNbP(nPzdiED!iOeaJW*RllwgeD}qT#GZJ@F}BXT3tEkdRR}$ z4MxyOu*eXU&Ix8>=OZ^z$e{Oth<1}usOcM@r@1G(W_-Uc=T zp>6n?_94pMQ?q&hE`CbhB{jeokA8_@D7-HhwA0@PZAfwl$?X7O=}9Q{Tl=3%K_01MLzKAhLvyBS@7puFa4Jl(NAYU>7wBdE%;7?sWfy#tCFpgpT0fbd6n7Ce5iq>K?9phaM0_{%BM zI``-mW+MIfOz<@NZ1A`P?4QI14L^i29v+QzM%Rxa6O_g^Zx+HvjjOtki*EL%EQM9G~1KU%jl~W?1zN?2sx#ElsfztRewhM4^2j7 z+H`<*?&Qxqln^-0cpw|#BhC&`>|%E<=A|O;k}l2rIzd})298UGIi!eu&b{7+G%*BZ zEX+cS#JblK8Ul4sXxJ%zt@Jdp9`}Ozld84g@eK1OoMNq@beg=sZOW7D6<7@e(a98s z*nBDYa^nMF#2VL5EFiqjQW+oKU5AUa-^Otb)6h2ItN4-o+W9H>I!+Bw?yp`XMeCBgGx#GE# z=`xGDFB^Vl<_;Q~`>|YT3_-(mb^Bnx=G}?FX_DOXpgOktTbrK3H*ufNZNXX@rU1~j z=AN1btZNk3RlpeBALD9ZNDzv>-iLp9saDg-6Us=zr?>lXDn8~z{*iGxcpvLo2ZY_t z!P9#~(OYoX{_J}$4@QT5B(c!CE~sV`R>3bpGfOrtbTc=!4&p)B4>@h*Qb+N+9%y(E zwPpHNXka@bN5Peq91BKA<&$Et^-wZwLKLyC3+UpcO5Ol6!#SC!qd!2<`w=B=(Yy$h zN)Dja+tJ`}ZWsNOwqoS{7Q9#Kp{G*7TPp?Mjvqv9=!Kzm(sii$@cvLGE*3!|l%B&Oo=BG#4OW;hqx(}l`fx5H$q zSp;GCCx@_g+`&x(Nn{WEpOS;58fVa5kb4v`8IO`)>E}!?gsbKBD&tWQbUz3`JVZ?@ zG0_1Kf6#A-ov8% z+$_4!t7L+T-M7k`h{1+;@P2AOlVWak&}8W`^kwem%eX85@NuQaWUYo@+QxEUp-#JY z7vIFO80!4Zo9XyiwdZ}YiNV=QsXs#|`s&4%+6n-u@{5(aOac85N*zf4O`Rh0^nXW` zY${bX=$jC`d**jUyE0zxqe|FGGC}}{6dp~*jiq^8b6igVp6FL;TQmu@*&qfiS(maj zZz>j{y+jp&fsWnZpk~REd$uQ)LGo-F{r`FGYSiG=Eb7&%+<-Qy6a)j>RR~HP>J^hV z)eBb?dSCRd^jlE9Hp|j6#$f0eldR(RbFpA5UA697xP^N8c1A&>6?C_WVfy>6jmzD%)PkK!Ow%(W*k6Biyp=0uFNGU0Jj)E zry;c7gHp_@OAZ|QI#TbLPLXi46&wa?`bzi(Oo~AQJ!icNbPpiO+t28vLqiSfTmr1k z%oseBmPE&ZE|2@!g9Jd_fvjMU9Yh3)QcnO1i+qz1%nda(?-s)Qhcebe@C5?v0Ex9y zsV0?RAP!uP62z=D~B4BYP}=*&S9)ro~3Ac2$2ojphhsLF+zqd@osRfXSV zdF3FEK^dW;-QD7|l=%f5L4bjg2IjbgSE2?L1nuVi+$%*JqVA8G zQF)~}35JbOBpRw3eI;8W(9xb*w`xN|N98W%C~y2nIU(E)$;r@g1c?&HzI^5(!^eK+bm1pV_(8LU##OVA za)w>f$shWn(mgC3Mv$vYh2%yMS<70Bk9sK?iWHJUM(NQUX2`5$b3tAlH(>cz7{F04 zNMYR;k&MjB_Az(n(VPXn767w=_OS%rhM8|%9z3*Qwb!GI>tV&a^SuPLlkWwGfCp;Nf?#S$RIl5fp&Vp(f8m2${%Sz zeg+|EC^88X%1c9$+p|KED?*W3*`de`JfM0U*N4bpW;H4V4@i!lBT2+QXE5y_1Uf;T z*psl53ks94J~SCrCgb)?Ac)%;c)U9ctI7}I`Z$(q2}0<}xZduTkR9A3wENcnClI;4 zgBw|oS4j%+P7EO#cVZccL3k-*8wNPIllJH1ZXN{02)<^6H0#r+=HWgRnS$B$BrtO~ zu58+)xvFiCQ%aeCJjFKcgGobGUdj) zT&LjvPL$n^>jU0I9J^R+_hWShPUg((!b;b?D^S<)+fdh$p%{AhtL%2HfZu?;r!GU{ z>qx+m-498C@BkOR==I%`y>KgZXUJ2fxz~G~~r{semyo^?YH6J`5Lx&QF`!A3*{okF? zKZXabWFAA1Fzb}5W65`T`#GwFO9q9>bXoA?NIpd86aEK(PU5FT!q6M>l%&G3=YUcf zcXDT%eBGMUAnwGtj)~}akJ>sjcD33%6YOHb-X-H}@#0>-k%~=ezQ4He21XLM_Vuel zmPah|isE>aqFoWTlpss($mv&&RAB7?^To)k=mo!(-$2aZz7&5N#MF+l0V;Rj1-T*a z)xx(U;eHT(8sWbdegFyg3}!tBC6#bO_B5v+_T{ zjJ}D)^|&JPDFGoj0v=jN$yq4B6<0i&!hoZd+y`I`AX>@OAQJ}N@CKe&Ui~k4LU1#7 zgg-rZJa#R$8d#%h?Z7HlYd1D7wRYmsi^}W54k#9cT6=I(KT7pdRf^kCD>j0tpa-N-id*0oqf{?li@ea*ePd5&u z|0_(2`WX>lmyT^p*zJ9VoU0#Ac^kQ*_AV}=BF^x~k?O!2K@s5KN(yj+OWH9H+L_VL z*)GMeg|Q4Xt%GZq@(NN(`;o68g*c!c<@n>%g=u)6!?0o#Q8aHSVgsxzY2JUJFLvS& z-O4@lEd!+#aTml^fSlQ{GlvR*S}E*|QshA2{qeRn{bVjT8|Wwsy)H!(>}^z< z$*4pgie|pWK^-*!tBbb4UYZdCEfmgvT?!?}JB&g#e=L<;&h=Ah_t_IfyE z_q<$y!{?&Iz6l1-lI-6}hS9?9Ibaj!qr3=R^{4pTMY33(4l~?D-$Pu4rApj0;(c1^ zp&v`9$LbK2I5`!7?EpeHyE0^lOf)F`88Gf6t3MPpx_xWIMxKlo6F^NNAMEVUPS8maJyPa|NEFz#!XMACDra-#mAAAg1T!zRn9HhghEU0R7R~gE>ZvG95RuExQV>0?F(sS&fPCbM#y)Hz z04f$T5oeP;z;+T0b;z!jyd7HI9T=}l)NqJbLLB=6o;kV{%Y}vddVl2R>Iv5$iDwN^ z-y7k(At!y2dy{pF+k8iEiV7UZKiNK1C`^LAq;U1gTx#Wm{E$-LU@TN8( z^AR_mf_trv$JsG%JYFr}y#p*aI?Gn%5jX(~Uu)__1vXT4iF&mlO9&gc%eg%G^b26r zI~AqSf(r9Da;CgbfgL{Cu9otq@swyC|g3^khrKjc?=m^eujUxhET@;>U*~ zomLYDYZfDYt0uIsrB3$Y8g0Kt^MS`#1uzFf&sASzi9#U+tYRRc{Vf(Al&9} zQ-{=g*qrM&gBGT0zQp=K-Ur&^`_V!06wJ-%VRIPDAq)reSQT2l68rKauHc~KX?}ja z_9fiP9_+ebAeCtMW8Yk~9!c8Re9#W*9uKOmL^83irRdl->A0)H9ho3NFuGDoea$&2 z^tHJ0%l-I-L5TSWR&XlCgX69jBMDy#PSq8fi|S2R0uW6_Kw9jh{FcHzdN8MbM*BbPKgcy-W>K>ciiY)aZ|J;a9j^F(k0_>rJ_2cFASLcFjLwKNa0xf|Z?7jYr?7*#FNmE{#cCg5_gRC;s4&1($9;Lk(Uvin7jtceDuhJo~ zYl^w`Gl%+%nggMIEh&%7N8~~vD7*BjH-4!%C$`kAzDQ{-(t}+*Gy*)HBtU+zs<2he zc=dGi5T|Eq4h02%9U|LXqZ_=1kp}YfHT_I{^=rQ7VAE-Hc`ftrdW|Ns1{zoLR z(GtPTzGV1@(Yz;)>kll@v9!>I>O5 z#!isY%`an_U&z8753x=UBNePK;bALLkd^UgRtw%_NuUQ6FboX84~O-i!iWkfe?n^f zs1`myrqzvxDCrVUOG7h;|D9LR8uu0wwa2uYuS2Mj(UsmWGOz5fL9>?5^y4iJrh2{W zP3y|`wRn^<-27|4g0)}AOU-HbgzzE}c^2)xMj99iEA(|T7OIVJKK+=!3mte4*yum- zHD1*I2<>ahQB`jFm2w?P$W$h{(qo{qSd*}cZ+fDDcFqrpcH^qPiq}Ja94><>M$UQ{ z9$#+AO03I!S6e(2!sE7_3N`NW0q5e6O|%e*a#$+E6|WS)zcDAV4sBspNI#CjYG3tf zY%yK!k=OPCTp>txp4qrEdgO*PM6B;N;N+3$!wG-%mP@MF4S;th0!aAr9Y5lLC{aN1 z|J0mL_#y#d#);Y1h?8${guuc(S%4Jj5^#5-i5cgCI`;kY+h( z-Ugbz-7SDI6@(i=_(-aijkDjscjfW4P+pf79SAJemMd2k@=_Axaqq*P_ynQ`h=aup zX_$>l-g@?Q0w4Zld`#qgxvup1<+?=WJEXz)se&krKKwbPk^s-dpgf3?ST}|ZB7hNv zj4d`Jt~FO2Pm@`|AvQn`!~@d{?q!{BfKxn*hb5lDa$o1k!qpco^&~)&Fuv$)&n2jl z<-Y3m1K0|}pcUDU%2YL?fC<|B7~ZwTXAw;KBjdg~;){Ay#kCI0^XsQtn$7Ms$1uB7 zg|DSZ*>?B;fo+3z4$+ixHrSv?)ZdN8fdwh7kUZn5R(1lvL{K(HtX*I@R#&0Uxga0J z4&C`i0ihjay&xOV5BWij$ykTr8P^I?%s+t4!$mEWSf@?59@+DSuKHS~aXb#vtp}2^ zw<*y^dK3wsBZ3Dz&gcTVMXNWsVK7Z-UrUW@{3pM3F}`zcE?+YQ!Y--#jQs@GStFnS zv?#<3#SO$W2k6FZa6q&ry=Zbg6wv027|qE`w~fYZV;s=1Coi#~fUgkN`Ox=$(ZB$7 zG_m@xQu3__lgI4!CE6&cDj@Z5SkFZpM(F=b+uOiLRb2h!0kRq(>n=6XsHDbr(P%)^ z0&VPqW?`duaf4B@4K3ER@daD#lVYG)Lx3jH+}>=66)RSJOcfOcAJIZhcv&{QhW7vh z0Y&2r^)3(%uL%h3|NEV}_hvVV*gpT~mk;c{cjnBQnKLtI&N*{t##29ER_scnV#j`s ziecs`|In&fWpoMSKv+zhs2GFwXI9CIE$>WbR;(sES%Q^}!TJOwLz(4url{GisM+Cr zwc(ZDLobbP7AMkS+cm@l_9Uk`v%u&iC992iM;P)II>H==n{+YHaKTgV!xQEv2iF*!F(s}%GbS%MSjM;!gWjFb9w!z&hKX>d&ey+-NOnijy`zifi!NHc zC|VVY#>}%t7TwDBeNncO=&~is64WmjCCIZ%kYtr0PoIa#9!s#{yHUhBg1li1xFB&} ze!d%VP9On@lhw5VXGJ(kkf&eOa{(}wUdsa0zIYFYcb-z1oZSc0FBVLvy=^y~>_h4r zpZdN>g(!OiO{BI55e2cX^KrEVdqD53izmA9etN~l}l%0DJ8^+1J z%GT!n!ZX2hpSe)cb^q->xCOQmnd7WS2kyUxj5Cv8_A>FcixxqHZ(%!1;P*HiAMP_r zOR@1N;$H8l>W%RX+Smr7;)*)LK$N5 zhlQ|d7>i&mB7B0t{ zZDFr3p%ble)d3&nANu}}4kTTi(`P*dh&dvhk`=@C?@s6Oj&LMR16OFAn(Qmgff60U z$bomGn#2CR<}7SO@o?+(y5aonsD6;d(@;jJtqIUP5VZkzpBae|g&w{nbQz8ZBESe& zZsC-;Qx`+2eGR1WYC|p>7%L}XIzbUI`^3AP@3ivW+hju&7rAW{f-i}Cc~L@UUleASi+ps|G|$2-Op zPC9;eOyR(lyo<$O=z2@s$$~sZiRvp+0#E%BWJ=VOhqwvd8Owj9prnsLnUui1U#v{$ zDV-Bs`2tkjVHm7oP0)Aq_){Q;7kc}$_c$(B$XmSyUQGEl$Rs#dbXom*0Nfdv{{T_a zY*-Y9verO3ktnATrp0g0@NSNO9$y>boiTKE29(?z8hvi8Rp&R9V7*X^nO?`+!?Pl-^jf|F zQQ|;9-XqST6D=7$5@I9Be%tbj?6+}?%zhgl&5aR(;%l)^=+JJ#J~QkmFTH5!sfx%9 z`u^PzLgttRf7t+ave?z8V$rh1h1JJNF1i27?ksyH^vMA z(nem~FLarfdaiHiGH>AFbGZFzqnG9)8@MCqq9t!&4LRNREFOL}$>q)XOes7LE;5z< zCPf&m#s(7Cp)X=YV5KMdiL>auV5jr?(s;pT)_Hw}oQSYFeQS-dIei!4?e@Tk@8lL@ z8wVV39pjs^k8y_M`hl$kB8vHag^Y+bt@(WyBMe#**fT`70j^eldjt1J0>AC;g|(q- zFsdfzHO^RB+#rdi0`OtM{xLB$a0>7jw!^FPvfPa0zOwaL`*&8)bD4(GPg9 z?mbG4Z=Ht^E;2yn%5|Tgj@cr)*VnCy;p@bZ!2IsVe3GSL@TAlED-CV9<8@4(oih!N zU5TuYtbx(az4o#G+Q{=4W3q7zE|%otsKPP@%#k_T_dI2vW7^qT39}GWDg@X8iT=IcG5{dx{ zNcOYB!3Rl$v4z79vig{C#6&Z;aKuthI4E)&RWh?c##~ch$5I%D>YQ_^Fmo#M)ca+T zR?m)}d9YR(RTnw`gop^u)x;P)TLgqWC=Rp658mNKgykVr#L5pd2fu@jNDCM{VD1d8 zm?7sPX;Cv_WhPBVCc2SHhmi@tfndvGX0k+><;;ZCaTAzHv&>`xU^qLi^}8Q%rQ;+D zG^!U%PGSWLJF?8C9s0r0h)2x**qNLQduDYGOn~S~$8F#V!^8L$4KPZ>8M7exC@pav z2@jiNqc`yL-X8bnDU;oMN`L2ZZ<_vyXF0lFB(fD9Du}|wjdBk@$y8JNjdI7IWV&Jp zX3Sjo$}j`b&*Doy2hJvz*F{hd}%69-f)gqC*9mOTJ_$1BC=t$ zI_~C<(W?LEvrxo+*m2=rCnYCQ8IO7kHlsSqr+{ZcYHIz?H+NBpB-qAcH4hC)EhIQP zX-bjkq<{dKPwv4CFE&qmRydh}t98l5j~{NGil(=1VOWNw32~s9&OUV6FFM( zzz&Z#Vc^;5&SKP z=eXM)Rb?owSR2hzPta1FF0Kmj@zk5$zQO=SU$&`&?(btK47Q0rL#~;}2*_KeCzxfgSuKlLK?-9#7UvSWT^?Wp<; zRq@R_%>p=P@4<&hn-WKzgomjLVRUFjJ&N;^`fS^Ax?s)r?xO_v>B)6IRiF!uyR}zf6gT?&eGQ)=uUX8*?|8cj(n4;Zr9z!k+Z=4s>E1npt-MC){BS;TV@H;UWW35vNrs z&~`&;3s@@t1_%YS&|-c<_o~HH9BHu=Gl@d4p!eYF;x8Qj>U9TsyqZ~vYhr(z)VkdBK05z=_zdv*d*=)^G1QMVzm24I9~lQ2yN4CPBt zSw}BG%rzi7Bt$bH9OWe##oPcS=F(3cRewNpbo%?ubA3*NsRLmvTj6TLd$1N*mbD-) zmta~D;;Abt{yrQr((nsT|L8v=kyGU&9Ym^4=G%kA@TnUhujy<=gEADQ_#He8#30ChhPQ@-FfrUplJ4A5FYr ztjtbS=WYU81X`82dOTb!c%n}Tkq<78r_zR@44gPs;@}I^wdT2M*)=rDMd3~j>-~WK ztJ*L(2Sb-7T)wOkKS;gz5Zr4R5L|19);Eso%cv1wq9F})5)$|M2C(F>2qurh7CPRk z-cU&g;H;9@Q6O#jzKg*ezy_QsPh9;86aXw~31tPTFJ-WtG@g;Wzy}ha?~|lfeW@7+6WPo0_31ARczbJzX=mpxd;p<%0XJkR6xg6lFc!jBrBUbgjX8b5JrE> z$R>mjE1R^aY<_BH!@%G`nay2BHcTZIU1sC5vS~#4d?Ope=xIha%|K#hlgvJeUzzBu0sr(9Vg!Cf{C!@`k&xmt(>PvHTPV4O&6&p8w=5`68)R@k0J zf)0oTGrEZci=sq=g_cOL$Pft@N|9iZArh?5QMc!+3#CY~$Pft@O1dmM)+rJ!lp?{R zLsBGIC`E$H;8fdPBrtt4=1HFnLnO!qC1OQ_Y=m?X34Xp%hy?V^fJjgQw#I>)NAk1N zLcK`2Vo%GCsr6R5dw3XIYjcJ#ej4ZMH-k+`vwjuGfih9OaUOX z`^*hvlPLgX>hE<+-#PU|Y3V7oVy4d4AMcj>+vfU2lEoldJ6Ia z;mA|?7oLu9i$7zzwEz)5^*ok>4{hg}<+hLUz=q#bu>5Zvx-%F4XjK!yl)ajLD`KFh z1wU_1#33dXLr!&QDHCSfcQ#O$e+36a&*FOp^g4x$Ws*fS7AQq)UiqKsd^M;I=sW0H$iJ z2%V5iA+)A*=qiCl!fjAd2MYy^bO_c0%d!@vHGSZ~Z860`+QBba+mTlVx23xwxNQg> zE_T33Rx=EfGsB&!AVg<3p>PC4;Sx;p(tT&!YI56=Pn^Fk#?Rd3oB&P}7|24s>iPN+ z2HtcN1-Y#XBs~?vYXE;6xAk>P&#e4L>Tlz={kx@>BpAo^)&LQj)l3MCDDU&r$Zh9~ zHV|ejMcpdxGgxKB!N=!7GY-wUczV>`lm^KXu}{ONZli&`J8Q{DX2ARvTr9{6$nnSu z6ad%a87vT$k>V{`fj>~jhNh`cN|A(I%oL=wB~HF%;A=sQiLVjw!HEVwawP*F`;5d# zmQ8%ke46-}Ouv)(1Z&~iZ!S8dU@ctsWo0R+i<*iVvxs$~;&6p1h1n;}u29S(#L~A; z()Z>+AQ$zJn8>i?C20DED3e4_I@0S`WNDU_{a@SeB`^qN&{b3Cq79=;v+vN8OgpaGBTN-0DRI< z7!qo(`-m}@#Za$?RU!30cn+1Z=+uQ#fBCY(s5$n*sH>}~9*83^23!ITO%B+3o~^j& z?tj1}$7toJ$-%&oPLpYoli{u}axzAdadq;s(_~pNNa37xwSsJnAmm#BG1%B?@+`!X zg?YG^{ua4cc(mlt9&-x1B9=?_zh7@8*4Q`*I4ExllJODO)SHhl0IuoE;B`x5`^ z7dKL0Ygs03yV*A*4C5;wsU=5JW^P2oLfsd=&mxv|>N-?x!@U!lZO`f3>=-RfRaW9k z5NnM89a@Ex(`l(pzT+>LgiclcPg?)XAb;;`7W=i?RaO%%TI@}YbF~3 zes#!rJpOOU{`O=^cC33@jT1xmTjmI|Keg*ZWWPcJ{mB68nd~Q?5tyb6pbL|IqXeC7 zfL@5~{|!)+?8)^j;V_JT{rGG_t_YL;{GFYG&Q|wsz&EJ79}iIXI3E8l zb))Ily;I-y`)}#gEq@U-xklvwO`UqV1R7`n^-SHrN}$UH(1oe{TM3$IfL@5Y*#I@E zYya&Et5e4bF6>NB6HjqI2Z-#%(f{bhg*#$<@niT_>N@WEY|tI8#puPhKQUn0C;gwf z{;OF@WFt=_z)^1dx7f&qDgN<)eM^7--P3~NtC}vFGTTe00ku>R%*7f|5|mKHqwhl^n-wc-5$61_80EGGmv;UmZ`8I{Y&?D zN7X-ItwO3n&E>p;(3xsHN4Vghj1$(tX9i4grQr7gx?L<0f=?h1>rS{Fgr`S2-w+-- z@G^dbvnE6SzCgZA_&X;{hCIL62zj1!BeF@pxk}6*-0PqJv`7elB9{!L^L4)55{6Yg zhYVc9z*$V58<^ZXS3P-WV8Y!O`LG|t|Ok&ui=Y$IC48*5cR{$KSVLH zCyhtGPACRe1eUR`z`*xcM0N4iP9 zst}vpCWzx)ox?9TlJKC#{1b4&7ryE|+XSA&JT?L78j&df3&efSFLAUg&n|#dI@0je zOX;?cG{f8eEb?)df0f?fur~l6`9S^=@t$HD z`oAAWp5`_IoNa(md5kQQPiQ7KaWcYZD8|9kOHfeTc2&4it$hqPc6~VoC&D;wON^k& zR4vh2TqjdLc0qV6Inj}$PC9WG*P(#EM}XtBu(fi%Uw2e5#Ckrjg~4SAIQTQN0q%L# z`{9^C6`)zn;seC6Lg!1vs;Ni)u3(Uk@=GB&_ap`BQci$y<23io#|m*m<6HsxPHLPJ zmY5s>g@#UH$|k}aHrOVx=})~6UyB|@t_~}+v>T$P^iFAunWcYjWR|9X^$(F*JQ+9o zPjp`S!8m|HKELW4@=8E-kFFg^^BnN@RK7%kl7+~;?i~AbkSLa zSpvpa!*!W0;)gA3CPLx1$QA1*1Q}k;3^ht|Aur}AIXnsF^VBCUmyXPoSuK)S0xS1Y zlnZ%QFM0%w-STC|X#r6Qq_fvRAf&Do#~3`Rm{EJ>H|X>+95?9NOu5&}3DNuxx&*QG z<$&q*Zk{jIE<+WNnG7~Eq3*>@(gilTu7XVd2n0~min`>%@8B?*$Ik#VMoSb!gcznp z!3IYN7?zsBdIn%SeWOWkM|JgioPaP8PK9!i_%+!i^guo3SHo<$>#@=fzv#$%rI7qD zejSHnj^+9O!X>?Wlkb8mH=>jF1`bm|cM&`kf|jW#;g`#7$Qf zm@cr94Ia#n^2hN`eD@36GD{tVmjl(g+O!Zc(}hT&h)qs6=x`g=W^T=&Yqoe zjYspI?TE^71`gu8De)Zhay&I2m?G=khfCKVepr-mJ_6*0V9e;R-81s4@h-3XqvA~5 znpd2WTexPld(zsDDc6h!(av^OcZL%_xHlyVdODuEhFIy4{XyxPz)ySm3pcqxa#TN! zhLd>>ZN+tjy8?ggrFOs%+#B#ZdwF(r8Coe)$*ao+va@bmyv?bsjffq%MXe6#yPX)htdGcu&P7pc;nf#RfjT-usMgajIcR}vW>8LhZG}h-l06a;rfH} zL(B*-RRSAc<_HvcnJ3c0+<;<#RZ@m~v8Jc^v^i&(zfYZWMwXEGWub(Tjgf7U&6q;M z@t8cgp~Ugzr|5zncf?V?PxeJeMJbv?SmD@BPU1sBN95q}!k)qoX-ahP)-1jmo|?tO z{DntHyGu@-opJ+cf1)E}ojC+%T!En{hq>r9V!%6|8iH{VX>e~YU15%3FU(KG9WKX@ z=&n!T#VAJUWCdFlSp;K9m?dy;EB*-!FdPNA-Hn{5WUv5dJsE3aOVBFXgWc9BK?r8x z*b>mP#4N0#|MOnH!s8zIen<5`(3|V1kk2#+F z3ox4HGN%amhLeCWi^ZSt1|Ap!2er>{(~4UpGv%Xi6AmhY0K#E9HcqKmHXi){2nHhJkm z_Cww<70X+BB+y>0NSiVh#sIolKH56QvgE~#^i872z=b`F9*UgB;AVL(dVn?ATGKj( zl7uWFdBnT)J#S)?6JE~JNtk1=0>vu7yT+$(xBjDCXhlvJ`i%cz2txBNI-5%tV-!fra15#0dl#w%J|s;CHY{=FvJUR?<+SV1v^H3`@;my#cVDk_Krl zB@NhpEAbJvGN;Ko*{rw0Gs4f(bvL$$g}>;auY5f26pIlp!jAyfuYMKwp;wx-V0b9{ zVpjyO0fET}EH;_J)aa_BU1B*`*dZ_<3gdp;h{=J%>uD-Be2AAFhYtouZ|r@hv>#8T zRG@x+%68Z1IhXXBaxrK;DgI8MH^NsgoLDf^crV-LMiRh^sKgj@4N4jhA53+G1h zk~YhDseR3OS!_mSno*0*sE`?zZAOL6s3tQi&x~q96poy8@(MnUeB^4lNyk6Sobh13 z{2~x1_#GvmX_w+sWq7RCqB_O*Ys2?`Dvj!Sn9TSWV^o*ELxx?Qx9HNYF4v(<(>l|2 zDoT{`7Xyo4b)T@VCr<-<qf|>?*$r|+b z=>lt!0ctW9fwj}-&R~6u1bxr|l^n(>K&Q=}!TR3;8r>ERuM7Kc^3lh+rA?UMx8XIq z1%fM3FUzEkPL8%n4Kq+3(i>SuH^jRL{=aO2QNOTS0P~vFT3uUUGkiAC0!gA!7jA)C z3EI~Hy-*8Gk)Y?7%XYm`3yhJV#|_X6wZPQ?jc$P-zb0GYzoxMT*zZLvDEKtTz%YR} z^-=K{@+2N?g=o6}5MloT-J@yQW6$3--lF@3dj3662)fT%_U%1CU4p6x=!NKh?vDa% zsR4Q+y6=>rj~SpBqWkLrji&qd`4$)Ya4NZwX>JFLN-cvb36Q+#wI ztYnO8KZS>*JoVq@Lf_gJx8z%G5e?gQ3~YE@P%T9i;=*HKo&>cUpciV3-%HTm2Iz&_ z;x-A|UMD-lh1%jOfWpD1b{nkq_P^p6evzKQUFXrQBZ2WaA?GNzLQGW}zTmZ%Az^4s z@Tt1EuMd(wT4+$(qtb3Kp2B30gF|4lcjDLO@&r5)TpkX|8L)2)OSWUe+lIaUb=t1c zaIXuywZr+6Fk3q&ykr{b6Q_vQ$AK&P&lzd#9mOIH7Tf&UMmpQ)G7JmB`A;z|ZWN`3 z-XEAS^&(p6-D@0Gw3YY^la^WrdK%^ZVtrwpxNi&F`4RGfOTjNLeCxqF|3jcSd=YL0 z|97nOJks~ywa&X(epr&Dt@CNLH;?Sc7?_u%t@9|jbNJE6WRjk&^U8m2o!?J%xCx?s zZ;#e_*!#cHI{ybI?X=Dh#LA^K&X0z1es7P)dA}?FLY8@4Mpd;p#xf67^Y_MD=8ePe zVfUAod42N#xn=$>poC?^u*}~GvfKV*1WiuEMo*aI2DpUn3jX<{RG6{;@qPPk>fm() z@kC!dODlcYu+Zn?MvUs$Ax_sQIE4;&ee7;-fXZC`8ANLUqR%CmY|&BPfv#rAPUwz2 z??#Fd(SlT`z*kR0J(a*bn(1ka&!db8t2k}*`nq|-QV!d{W55bmC~E$uy25YMHh*}9 zP(ZthY@N3G0@F56`KPl4%xt1*n=jDskvT#uMV94;$EZ;QWZB3p4HNyeZ^$yrL?4?K z^oSEL^jyqN6MfNj(Y1_Gl&6^{`XYT1@JJnbIcHby)2%MW8~1pp`3kovYNBgwp{tec zijCc^Y*#Guy(%0H&5hmNC0r=i3WVNzTI8*Uv&ul}oYB%&kJ|j}E=(AKMUTo;MHaah z(1>ibcdRA8mNAfQ7Yhie4|oE>y^m2pp6|+|q-}N-keT16CH@D1iQd~C>XwOViLWy< zX*4q7H!^9P%uHa==#mM)O;h|9z`ztQEMzQaK@@D1DW1W4EnvA_8cSD$U5@H!VTI>5 z@HFn`3*jJJ(HL&zZJzaU3cIVZtmP}jJY<;Q74_pB?8w&!ijk)c69X^Dz19)H89<;E z`X6^gob6vX_Q&X@q|pLp9)m5x&t;{tDiS?mn-&LsUS$5#_)(Y^PU45wPnw%j0e=9`12( zEzZR3>UAfcWGSY6UyDDC^{8;stSpu2guSRlSHOp7_% zyar~Uqb+J+<~iDmhBQ9ac@0kSJ+HxqC)r_+x~L&Z#I2BN0K+tsm%upRR~qNrrd|u< zyuZ)KtPK(12!I1jgrg@8B#39yfkZrgfv0K1gP~Mu|JWDsj*y1>9qs$d8qylV!cf0M z-Jt}2591|{aXLR3?Y$m``aLw%ALAiUG}Lb_z0Rkt*dfh=D|SSi1x-V}VgI0AvV0=} zX_qYDXxcBUHk$U!s*Rb5CET2iSq9vkji&u_-bT}YId5YgVqv5#-^l#%QYEnAWsX3B zmw6%`9FS4^ZM0vu348rfSdUiN3)&&m76DImKW*`qi5`)npnjUK(#=+Rq^9=%oe=$UL)(W7zmw|}5C z$FFJsplnTA!{I(Ce$E;d+oqNku25-UnHhZc!dcFoV7^kU-v>CH?jgs2+15O0=W##cd?q0WRtf(I(PpEx!9pM$r41AUp+`O9 zfgA#%rEsejAD9O-Z$+pCSFe1nC9M=+J4^av8^5DE3-@kev434zhs{wv4q18HL(Jb% zemg$=F!m?l6VhWP0$~-OiHA5bfoG*;Q}{|lyj%;%9!4k~INKywJ&;@Y{+K}0vw+6I zBkkIo%v32n;8oizT1%3&q@`9V&Y=_~*T%)&=9WV3l<(Z;9wBNmC82!-%Q7WNxV?u< z8!W57S8ZF+Ys%ADDq^{egI;-%O12k6N)#z@J5JOchhvtdKMHKka{-#MyX*`y{7rvw z<*j1T6UX?B#abFxq{cSml)=vNnT))n$0vp>|LWt`a;a%1_IgwE$%OP}N3iJc0HKnD zjZX+Yi^Z=_ZG<8=VRh{`DYT}GZie2DM55E;JD336=yR7(6bnC@T|oF9bV`sD4}%j_ zi8?{5&5D8yrU(e)WU#&okZ8c{C=kZ+JD4Vsy)Kc}pdLV`L_r4AEo2PVrvp;aaLg5C z$8=C<0jplN!5Ik!?(L;S1Pvh%mQ<>`Q`ZH2P%D)qT>Z=Jfz ztG=F(hdO%#f8Q&>uVePJAi7d0+sa?KZcHGtf<48Db=%15F@g9BZf8&$f+jZvynT%&_f*Km*ts^QFsWiLQYnvYBBI;WC9_fh>6UwG9J8xM3(3u% zO5rXT^q~=owT?)6og@OBI!?=`*;rtYvqnDKqmmG8k4m#WDp$ymd6m)aVZh1u zFkoeS81S+^L>kDfC|qO7OMdtI>CRD+@|ny878QJ#w4p6HIE2FnPHf5e8ji!gyz2Vs z=D0_!?~M)&gMx9&UpP-RCeEB*$}Z~*B>pA$kzJ&yQn+L>o5lagNBo3t;}s3kk8Om8 z=`R}Qz0m3zPya$0Ju6`m0IAeK~c4Z99!U^JFa%5ag3AWVa0ndSG03Oi7X%?MBXL?R}bzU9}kh}(eAQ?~ZJIE;h1Yb5UZghR>NkO=hZ#68!- z-GQibqtFD?i;yNf-k@$RLb_8o6RC-I0b;vSH}0R3x=ab`PR_Qd+l+#l)CgwD0)QwC z*1x9f0x*V>j*cg zOECR+T@ZHwpGjT52lFNF+YH>?4i`h+0tB*jWEGz1g1nIwB8~bftI2;%+@B+f-SO~Xaol9 zQwwAxWFl2eBj{FrvJho90>SiAOcT`zP9TbG#>~u@V4Fy&ry7X!kRqlLIxNKbh%y_2 zV0!reSj1_-=PBEOF22IW_h8&QAyqUG4rC}oqL@ZV5b=zfhA6WU2&M;+#_Hl}2wQbyVxRvf+&-^1k*qN zWh@a=fJji6FTpyIP+vtL6tw^;VyGLk5KlyuNnL{JS{KCWz-LmI@4-fiyU@Tr6NzG| z+hpOcM3hNgg6TIIY0R!|5kzoiCz^$&%|==hz&q^CaVJt=-Fh+6=jL@rG@5c!4? zLU_!JlH$lw`5jD=J>k9kq~es{1%}_jGzs$zV9+@Wx`6OIm@Ywz1RA(ocY)z|FjK<# z0V6D`i-abDtH$7+1LKNfSXTX*S|@^D+*$(vW>~j`*7GJR4DpuYC6yjrVCO4b%TrCW zOr1k0KDfv(;5+w_W9mk1VszpZ3n)Oealqh9(*~DEzK#ShgPa2E$!-$Sqv9B6XVPxo zq=Hr4CV%0^F@a%Q85MXO7Sk_E#Equ|w;BM=hvT`R9(bY;b_P{oS0XJ{$v2L-$4%x| z3HxBYA!O5IeapV??U-FG0aEQ2Kz|9a`7uY?>E5ON+$bk5mjW1UlR{P!>J$zZEvu)* z5$DL+umC&l|BeC?|CW3%WjT{q;7Q#tlm18PLnGq!xX*ciNC>B(uTKza*QbHO?m*Jb zI7xIjslby&lXjC3#r?4XBiFsB`@h9W?APf{o!l?GV+=W*;s@_Cx70`nepyy`>whxDTlJK`Ffl^5L zaA7`}g5(<@;cusee;O{#l<-fLCV4iLtHgsdEX2L;Fhm|f4++8kIivg?#>h7zJBqLs zRv4v6P!l<{mu#oEF|mnuk=TT%@sUn}i>J@@q$6gb^Ly%t8G|$gC^Wdm@1%~{h|nc5 z0v87{VfBZhKXT)L4N{prfn%88!E8COj*pLJcykda7#?4OiUisQAWj3dT@dj*m?vT0 zBqFdGlL_ID0tk)y7?TWb!qdF(jSy03tU*%7HY0XLOdUM+`KUE(!jxb~FZ|f33n~^< z7kmfj$|7866rl}CViAWZ8-xntPs#D zXO>X*@qcA&>-&)gH;vVBpp?M7cC^mQ3H~~ChRD$ zLZGnU!83h@+`z;UjZiVIDO}2urYkYC1=Ep7y^a##5D=ew!ly<$OAuXTQB($yUYy3v zI@Gryajx3II2!Sepscy-Cn#wGN@_xRxmkxcGEoq$F6{@vbNBK&HjvKHn&9ijr8 z@nTkBiP(9ystGyN`%zF)1)qvx5>&3z%U}wl zRFb1^mWQLG5HI!vcJc#mGoh?$2$r;4;8J(@0IG!EoMxSYR=wZVGVogI)yc+CtIzq_6P2U9qO2)>Ix?sR6W+-?BT{07|EJ& z^93Y_ik&o)36mscB)E4A`{n#x$cH!_fGB@Gkb0}Y?HXxLP;vvYEL70XRM38x+4Z@BhQFf&XI5W}Md5hZ_I((_oEe%R zlXN$A6aWchn-Sa9)UklmAjMw9VOp3!SWqAn6j%@?d(A_+O3g}KJUoP{V;MkAP>gEZlMgSaiIMR($+BQ*=GBepAX z97+&F+$^i035YU@OE7&N(?rRsA(B84 zmv6yrky4*(U`|7l7~(1x=5$1v#3h(Mste{OViv^ZTQE;z9%x|BMv@rf=3AH*M47}T zn6BT^y;H+VTGr7Qvy$SNeZN8XJOFp6dlL|o?)ixAO7{YT?o0`~Z@*s9oz~H2l-ZXe1TfA-su=PvuxL<; zD3iPd)7J@Ki_X>NHXt&{%h%vyNrV43P}d?&40-D;)C&-0l9yomZ@Qq41G|MU49Uya zU`V1KZJ-VzO$>P(E!2&OGRaFY-C?BZSv^ZYyO0hk$VDhVQ5sr`IQy;Km4>(lLRyz_ zpmM+Kgncy5^wB^)Ig{`^=oC$@Kc@&CIH3y&zk?|fq!=KqqO%JOzk_KKCQrbuaHVvC z;dd}y!VCtCVIQTm?x_C2u#a+!>>!lBb3T6sBw>AfrbZ_4QESP(iYz&b6j{bGcv*>? zjdMUi1lzUP@dATo)xGSzfki^u@#9&GZ)$lsNRbzf`(4>}q;1*h1jncbPcRS2JS(!1 z7hJlmOrlF=>7!}D6x}`RvyciqH3*!JxO5aJS3QctOdHfWKs|vwdxO2O7=HC%IF$hL z3AaPV;w_I~Pu8f;!OED8T_I(s`#Sza6RJM|_Z%cBThs1YsUR26s$!ILWgba#;X*Q5 zbRluNhM{({HDnQ~cJ6j{7LmPW0b+Umct6hXcvr*??DxaB11>PQ5N`5Q(KN9}GUVR4 z`g|4(EtQRQQRTxmMMg_090b%avyt;JnDaNbdV#Z7o;HrDRr%3qC>%UAd$ZFeXy@6*K;xu{M8o$o#daj_=GZMakkjTS%4V7 zfs&AV?IctkIv;g5;T5&~0q9#0-{Jj>c&dNd7)s_6f@cK=h~e1Q6UBIW)ik4Oi-8h+ zFN*vIhevq6Q3k^315pO5&}=MjQCINfcb<687n|v+Iu_tNIFs0;W*Q~}wbQFmkFqsR zq2l6r<@=%1vR<@+%X%fiE-vcDJd zL>PU{Z)Jy?i4QiBsrUwK3`~`XASGGdBE0jI*ZI~NHsn4W0c;d~tR`xfVBQ4rGuCX@ z+KFhDsO{uf)CoVn25LOqRXUyD!|(4Q8B9m?@YOr%Za)wnZl-&D_+3J%Uxt_L;#P0z z${p4K7nMxk!7FAsR$9Y<67ZInZFsWyJ{0!i={MYG!>PL?IMO*>{vmDv8yX5HegvmX z_=L@VVqYYZt2V>s=vnGk%B%)jU~o>v1V1bwai}h@E>b`8EECbt!_&N)$_>>y?y7gG zf)$VO&05V!anb3q(l2U&M1I4KWKHR6KWrOBWct;?V{R2F770h1QNZqz;V%$AG!mZt z1*2xY`3KR?Ge0qEflato5=IR8vdCHNIJEtMRSS;Z1-mgJ0n647_;ifD;#8tzm1x_*IIV%A`fhG-Sd5 zSvcmvQ0fs@GI5Yij`j*Gal3o|O0#X&S#5K&^b24#ng({2T=(kIP*<~(N0`OJuN`6A z7+Iq9X(&(lX#@%iuR_-nW($}k0z_!`n^5V`I}5l|DKu{R%T1xyBv@JARw z!lp1v8;7u+CAP9iuhL#?P>C65JmOF=I5ndD6_L_>t}%9u4aCnvrQYEI289vn;u#b* zWY-_9E$YPp1*!Cj%FY? zUX@+2;*uZ-2$<$Gm$gU8^C)97oP3qr}<1Cy= zpdY&mynNPLHU#cn^W48i8@{Vnma_;vAGWl(`m+|DrXgrr6uxL|s5@fyPaXh5&HiRu z2OHGiq=G2I2@1N7>cl^;?(_C^;pB9tNZNXo}Q`K z)D1x?0wdsI7pZ(|=K51tRX#NfzucxXSr3%JVeWR`IoA*I>z|r|m?7HmpBt4}j56`B zK2K2P$O=j9nF!89usWnK3MxB6CBkZP=%PC0@xdrONXEpmRb)E-cW?GVxfi`8$zJDW5?6MRm02d@gmAt5()NfW9rL5(dfUfOVEcJGE(CX6Z~siZk8>3`u223#yiafy zIj&DVEZ*n4W&(}l`awtV=@E^wDlYL_yTo3JhOcMe#srF?+OZw#((0ih?7FJhh3-Sa zp#z1&3HFp6I6BC)+Yp4J>qtnJj@Ki^fxjr!XHNn(qb2H*?~@eq;(8a>m5EoeazU1c zcNP!_2Spp6o_bauC0Y;WK_>+U=BNifWe4F>@n^(o!y_+tX{?E&vEo#!x zT{adq(+(GeVF!_N zus~VCv&%dyK`Q83q(didP_NPf?GX>|CY=>Y1DIp>X6P=Ceys zAEDeaG0W1*$IEuMu>25M6bN!@1Z?Vt;0o_5>S5iPB@8tmHAL-<*U%)m;nR?&>p87P5Z)#~= z{9Sq(%>i(GH=Cd5i)IEFi$0*=o&__}PE?7p6shGfViy*a1cD@^dY!S|Ddc4JP#P!E zgnC4?)gh_W8QYyo8$Xm(a*|4;4Jx@WNTp4GyUi|0R9{JoMA6BtuOtUY3tEu9BYLQ? zQ4yZb3hRGPmZZWek-=9%s;pp*B-OwlgH*4h5jZN!KZ7)@4WEnLqw}n<11whIjUijq zW#q{rJIx$)G5nRVdfj|-?NiTp!5R`+2O3ys0+M~fETzO+iO}v`o3Q%3NgyjIQ-hBf zuH7hV#;Cc7G8qBE^c&&C!3>Q zkg^sDZp42RF4$sGnRbK;%z<+w-t|VLp)5YJ3toN)b%}Srfp;+yAh;86n}xRy!8JnU z!TJL679mahI(BwP`2bR6$ji@xB36fEIZg45?=65d%gk`~%lbrMXOxbpDwJ7>cX$U! zc=;Eyrfv8XRv7jZvc)8;L>-8lW0Z(sdhiLE#>&-5gPaB$ImMx%UHw_!Hw4cF#4Q3o zmV$67#fJk7jQC`b=&dY;%PK_*f`z;ddOPv1=fg)M{LV=*)WYO>PqZdt=z!H!Hvt1( z8E@VLk4$ak5BVrNli;YDLt5-nkCuIMvD~VI>z3r3stn0?hf+g_~h4q zNbgNtkPJ`Gm*qPS9-5F}*c8<6;L!#B_9-<@O5 zRdbClZ_epl91fu*Sp24Fj?y!xbG)+KGalXk;3eWjbe)L8M9K;dZ-jf)`cp?cvQ+M zE7jb!QZubeF-m{_CKShoO2JBY5GTRlD8*vyK6dlIG^p%#AdFAlE1c8L@$zxc1_%+| z6fDkd{3D6@E+^6!&>)YY7Pl0v521n`;>n3px4+m2_nHrL307(#s?SGxJ@uw~)dpC` z#NzKjX!nVluzFv+WNA!gPSh+|lzNqbPjjLsm|k%s(m=h+>^e(NxJas3nV6zy{!Xe_ zP_^vk;+@wLT%TMi-X~DiO05#_4^Y(_RD*YUD(W{+&hu7F-D>DYN^5(dUD2Z~9XByk z%gRI9csyl3o}3iXBB&uiCh=y92V7|ggs>z2qVnjXWHqlRK{}NzqKQ?qh=c9308dNF z`V|Jc@B~MoSN*K)GYD)|YzU0!G<1iUHk)X4+nE41^(zwHQ%}uH_K8Zwbr3G5PcVgk zZ-3clHTV$S#^_8@TGm`dnQcZeeaB#=(Z<1Dz3=zHURVdAYT59D)wPOq$bLEgOB;MA zc`#Bgi>ICi-ROK8fE}~zVMBtR_0l9m&w2q={&R8-K0TFxm3kH(Kc7SMW0kB+cSt2G2kF_> z3s7>=8U5;~ShYf-cl436zZKJilC>4S1~CdvEQ)Fa#bOhA4@%abi2`IzVTb%V*guu5 zyYZyYZ8}+)=#|j0*ei{$*y86wIvmMh64bS%YK88}PQX7=Dyc=7BRRSsK2GD5d9$Ya*8Q>}`kRMhYQS*Te#IqLb6B2=vF5_%+) zp<>lv4>COsvI`aKAQ(M&&O413!nj4<*(zPsEUTfc?B%G<1Hm~Y$shR6VV{qu;NQ8= z^}xSl6|DlmvJaTqN~{wR+MRzBR`*?u_CY2^_=w@(or=~pM47yRVEVdr*`_nCCKJ)Z zIPrX(RJ0feF1~D{RJ3Zur+vBjgeD`L+f`{tN^87d6Cq9 znowes#*{?(ZE97oT}P67U1^qj0-Tmg1ECCXS!+3bJrg|A0?p#aAt07Xh0mX&L}dz?0sxsu3z!#$fDBvK ziP=$I40;%m%RpOYl`a1wgy$`&Vmk}BMpM4R4IVE(4aT!5qOn5|ps;>*Wjba8zq&L{Jl;wX zk5`>|DC&y|d}y|3ia$&AYsquOBXym441bLeH87D0GOCSnbppPyVFBwCf5RJ6kCv$yiSXTumONR6Cl6(~`ZW~R$3=ks z1`+UToG9f;7tyJS*7LTF0Um#h2r`{-nhu?$;vd9#VQ=u zTqN_pSUwWuqpy6tD?wh6k9qQO5)Yib1R`Nr@h`jZY_1rZ&NZ_l(Ns;6tzWBbDDi+2iq7C9oUjv_|+&a@hzT?Sav$uQCVIbyQ`ca_UEcv3Be9kcc|c=Bc!+BWD0y9r<`Jf7`S9 z`+J1YTA+@6ScD!Fq4y(HoMNPo9EV`@SP>im5+8Wr&@QCF%jXBoms7?|u^Dy3j5=XP zm77uV9~r>$?Z(R-Gb+i9NMWD`FQhdk2ZVN>1}>(dW%cLYH=JO!(!DiFO zskjy{@#KQRS6)79L;O-5s%Cb=m&5lX{|kT#pNg& z`IJFLzxFCef}-ZmRn#dpiaNd4ujW)5Wb|uGIY3aFoW(HuAP?--O>{+I^x|zcC6FN% zZF;4mO_{4`_tq*}ZVhj>$XTQhd-_yl)uMk6ygXPt|5{$$ed+QO(9TA#SRP8*V0#z8 z)Xi5lZp+7=-#@v|zHBYt>O=VP)YWc0brn*4{m$8lX46E1yQ)7(gfjrdl_`!5w#N{5 z0u52eAJsMuk(%ux1j^`qyy=+C>iEOfy!yKfufjEY_Lr`q`D=jPfp688gJjAwlSP07 zE=XN?6$cK6f^8Tg*$8KsvAkE}O9&3Mx$-X?>~od`$8`$HrQ-F*)l;teBMf3r+jo(X zQa5Duz{8*Z=*n9GyA)_x68Ripn_^{kW22GC9!~3$s8S>vV9x`vQrB5f+s_zKX!G{n zaT&Y~N*`9rI$*ZE60PA#xBVPw)CP4E4`|$k{VhXxIRy~6`B9(tvVUEiaUQ0}~xEfsj`tk+_^C_!Q-VidrWi_z%+`WO-ID=|f=oGd5v_yx!HD^lNPT6j| zsnXu7_z5NOY%zNGD)!J|?T+i%O-J-U8=08>$J?Pk+_xaeWXl-i4?H^!pq{%u_te+y zx+88P`{+b=*NN=77|g`vsf*ii2TTa;(7=_MbQX+gZDvdcU-vy5IXu?N!WbjSCn}3& zEK!#%>a&;Mj*nX+Z0MbFpl?$)(srSZd_FJ?M5~D|+r`IK3b)>frW8%N+ zPKdEAhH)+#T*K6RG+X5Zt?26ma_b_E^k&(K?gW;ru?@jd{aQ{l?h!2;@vLBfQ?Q{v zaW^?4j{e=NXv21s3;NVyJP`|Bqh!zFap>uSRSd|%lz#)T58a9B9*n%?W(#9sNGS`Q zkHNYZ7zJjZ25hRtY+^MhEJe$i=}vJ}O{AWv3g%_9TvaeDgXM0p-8ZDtF8ZdH6H+k6 zN7f5p*asUOe{yz^r6zCq1tm0lqj;ol6p!JX#AD=UJRqfQ5fA%T@krh#9;w^KV^EWL z4B00h!}p8F$Pe&PvWl3#pQo6-zC8J$}pf5 zyHaTQOB2UGDC#+Xp({YCMk!3a`PZ$9W3_R_@rO!V2d;Nl!^CfK+ z$JC2!`o!V%c#Gf4Bk3`tZjHP9$GFu!ertkwCT(@%si?!Zy{@Nd!vd48=?{#yMtpe; zYm{&igBL;aas)c67o#rd%H_fwju&}h5?WPg zp|0_{*Oy{UFrCJ9@C?sV3hk=^>6;Z|S;gkMZKYMTSY@iIZy*Nj6(_~)D8L4m_B$Pr&34JSW~9<5M5K(jGTfOA;|? zTM-Ttj4dGNaE|;Xq6OJGKjI~M%4<62y8)>ccq)BX;}(_|&^~C%5ePsN=HPKhVBjjH z;WHacSoN7GD-N-*5~Uua86lXh2M|XfDH|CL+b!=nn6ShZ5;-|tyX6fB6Xv^`WXRy% z@@|9)i$o_-wD{fZRVdBy|9VxFYLM|9BA>XJ)-nEb{BOlbodXcRYJW>SlK&|lsqf)| zNxXm$pkwF6=Xm2&_EFp2_=J3hn|1R~LfAMzG=wAT@7lm~>uq1o*HiRf!FF=rK|i>H z#QNo+ut?yX=aNKoCN}~K05+!t;GIH))(W7zj-YtB?RUZfU~fjaOax&C3zIi(c9gvZ z>4ZslrwH8g>LZ9-2YxHAm&V3K*)Z~kARs&9RU=}WCJrFp3ZzwH*;n^H+K;%*G`J^N zXJWL{yw9>a&@<%4zRjqaGbfI}gHEyJcuCD=aq*J;0AG+~{%3XZ{VzXdyYq3}a3u=f z0%SRk^esTsf_s7w@$QDrcsY*$U-Ls;o6JjyKLaM*JB(W&!bmyfW}?K$QG5&^CNmnp z9WVpzm+=c12RHC52g~2o=e6OlOuLQkZg(J>t0j4~Ddi#mD3gBu7S7)=l++*?5|pqT z^4(KkV66nq#rL$|(_iv=SqSb-IbVfq(I@TanGl~4;Ts1GeD zhUpD}!tC>NfYBduu?6?J1;;S`dBCAPlHYh4m4poGF^FwXGhPP$;T6P?{M(F|}e*sKSaXK_`jcJ z7%s{%z`m0B9piVgJXgrD z7qwpZLBsb$!?)CWnB;wh5Om@N7cT37R(}bV`3@+ZHoR=Gz3-A5J$8~is!yP#QmMZf zk?Q`yC_7|kaStRkVteKfpu*eVL<-eDe^e6l+0ksm#6yjpu7v7dDD5i^ zrTsK?TPW>cj2B9K8)>F$1gtGo3K~NLh68T+0Fo>uv9`R}H_k8_WAOG`lFZdwBL=Vx_M&8ID-YCim$MO1Y!$M3hQ<^?ZPHE&zU1ph3J zR?V9c7NwdWK%{2dj6kWHuf$u7YF>VcRL#BLk*awyz9ADMS+pLSY)7!Enm><7lKfX9 z`x*G^rkelDF2JdpeCI9{-5IWfHVPdz2`gdHQQyadI%+0n`>y^zifrNW|e`kC}x$3NX_j6&2WwN!^_?GXT zd}k~&im@X;ik!%Ih8sCQi0^1dmD)9d7XV7($SY1Z!2xi9-Nq7Bz}!3hQoNPkr<6rt zISfNu27Ag*1baH8HktQQ4-LU$D9n0%8kWO#(&QGuuG8eUnkKis)15TgNJAT@{Jp|n z_{Iq`r8ADeJoel`{5cVyu@`p3a|nqOJ2@a^ITV~T=?`Ql_`t!c3Q!)8+Jxg2<&oSM zcOJD%tCIub%E4qb?D~L~)|_Y8nN9a1}Yv@98L? z0IwI*AehnqHTG&wa3$h^AeS)P3GPEnps)<~Y!1W$PF?uU_v0kri9+3W5?p6YEONnm zh+O1?b>M%)4A>a?TG$z}*f`~)g;#0G*Zlz<**+Yo$*lzK#enbSJrcUAq42g7cg(PudH+Q z6$_thPEKc~j0M8xgu9rN)fJ~Y>xFBijvK7>1D~I^J{lXQa1S0U{(Cp5>18E{ z`#LAjIbU$j#m*VxoG&`(b(ilM=RAvpj)eiKtD-6oql|lnajPrUdY-{_*^tV=x5NgI z?+wpB_?>S09cTX6@iVX2#|D?%_cp$5*gM93SgknuVax6JNq(d4-qV3;&-D5E%oF(e z%xNA!pLqg5pXu}SnJ4h`nLaOi|(#;thFlX55IE;)= z=454<6F$S7oHNboeU>?SnK+EEFwWY*x4}kKh2Gyi%EqL@i)Fp7uh`(O*UK5!1Bc<# z)10i&niIaroSeSq^v*LUZ=2-{JZ(<64u_hopK+k|>0Q6Ksy_T0+uG_t%gY+A9dDGS z$g1Pls~a?@ShMciy#w5eW6u`H8VjqLm7DK-jnQW&MLt3LZaP29ASYC}I4M4Ln33o7Tek)yHDb4Qv_sJTrQD{JRpf z-xC-yc2o1ECAS|+yGj;-n})|nv+2e920>;9`>Sc=(Yqqa+yP%&$O8^XJ05A>04YR7 zp1=RjSM>Zt2-#(Z-Roz|RSoMVA39V1Hot#aFniS2 zL)@}AptzDnk$qqO{>k?XCC_U9gW}ksU`_15i(RQsOA=rB5Car9bB& zJd59ObVPhoht`$Jo}AD}YV)Vk?l^1>QWBpxEbUuVoo7PRSHbj^79BVw-VcXs77O#A zzq2;BUE_uEQ+cf8g#Tz)z}4}JoHT>Jtvz)5 zjRy#e@>ksXboBN*fiyWikK4Kx zB#2d=4&6>w+Ev24obid)6^!;N3lNBFYNcH903OsXzgY}baOPV?$}9@W?gA4EUxhfi z_=6qS#3y$+Mv2=niSou$@$$5U8&%xIH0v{Q zpgHr0o@HXm*&#pZ!AV}Kc|B|>lIo#_UaAElKj@LEUaCbsZ0M5eiN#*3B_RuvKr>j1 z9Jo~P_O9lyp1(C3zQww8x(q=<5!wbc1g>5h<3(E!HBFJG=cV}NTp*q}p$Y2SS|Pn4 z`oYfP_#J(Y@*v1sc-2p81r4Uq%o)O5Q?2I!O)#~>Qenm#;w7SlxM#^e;u8zRFZz=}z@kpHzL-Cskkdp6aV!N{TD1 zht@mQS7yDZ`r5+lcy`SJR!*#4&+=*914@c@_~DqoI8&OhzZj83^EKCbYD}OF&+lZ? zvA~x|Ce2rdPTj_Dd6+Bi-wZ^@R?Rf6+So-iebrZDGWXjvsB{f!s7>p2rtv`G{qZ)f zSFq|Jg?XB<`YBta`9ecNHk4i)Na$U{z^#_W`NyU_Af;DEEN6*gl1i`TFrg*YG^sa+ zV}V(Uj}MK|4Nvts+*&d=aKTre?lKTVfe{!AOknN%^ZU!YMQ>kct5I_5LU;pvN?75S zrcG&a3j>0((;1aI<235@417BIRDOC0-wqU#pJNbb*PNNAp@(nwnHipM-kBkMx{R}O z4O8vVHDZtV>$1t^~ZMibZ=WEsz>*>)6>1ZZ2Byy*E^1oPQBnboKqJ8~!^=K}DA_gO`R#t#mPw_lm!4ZT})QtxIMN2|RM_KN>$IkN7@ zfm`~OIav$L3I9QH@k}kqgPcM)h)!<4ArXpfOzPw$upmueQ}80aTx7!&C7Nk^qt%^F z(~A{>X?j6?@G~*1KyS~@b8f1RF0g|nuy`+6%F+W=ZkzL-pm&={cp~;Yr+3RfrnVa0 z`}^PyPS{L4HUD2o*SjqxGO_tuPw(dPpm$TSFl|m%yR`&5j+QP>`kpDmT z%!l|2RyBiO_25fxa!R&86DDm~4>Q~*>O>`5QDltMu;FP_uN6hcnQpBE_YzcR{TwiG zspAb)Qn5BFGCuLM!-~Jl#ZPkazDjLWWK!b$#Iut)Q+S|Hn<@;1Pi>n%O<{>*($T8n zvLD+x$a-&vYs5f<=gGOy^Mt2(o~&QU+aLCU3j^KOxwDm45{6iOJ`m|e}-}Gs><4<({mFd&uZ~767St zdRnVnpjdHBk^(fgC6g~qE40gPAmzoejn${c0_A&_ckAu#LJ}P+*BxUMP)A;ZA-^+! zZ%gKnAF?&E;YV5%e;3x8IG0~r6RFzs!I~0O2GqkHM3#w2ZhM;%Owwg8LdS3xD>^p0 zVrCWmzh5zbJFVS{`CokcE9M;hteBnXnUoc?j!^;fDYhc(2#EzUNM^Po|Kf^?h!43> z+lqO;TQOJApT3<)u&O|T&Q`=*F$=U}%1mS~2~V;Wfzjvp_Z@$ zb8<#4O?Z!3pvVm-msZmumu~&$1*XJufj|m8G2FCSS{<|AasHA>E(`CUuF}E_ZIDtv zdqi5>5`1BE>JscNxNRJyZ>|NzOeBwP3GR|yg1fX|f-{m!a7JJ7w{0a3Lv+Zyg~YxA^sGi7;>Cf^oPaLCSDC-~kX9dTjdtuD+K z={o1PMS3;<#P@z~i?sYr8Ma7&46Rmer|i#6YZ_szceOHIinqNy*v`8TZVdbz*{rdInP;rwOun>{fvY}eGtlT&EoUEL%e)jj(7;8q8UhV04A-x2(&&PV93 z#HuBDSnS8=@2EJP8#F7L%b$*(d3Vp+k^Ha(t-h;8*KuT#4a%9`I2TI=4TOx^UEe(u z>jh?c9s7mAya#l1MRrjnBk?n`R0m$VSkh18xRhcpkA}6bL#|l?#Lxc#?xctU`MmdA zf;EPV0wUw2&>3G`ogOK!4oY-6Cd&97m-vCuCV6X}G7vug-ofoNRh*dV5|^o>bsd?; ztKfU)Je{GYjbZPX$k}#G*Nsl4iA@%zTkB5N8JX4@>5kBU2wVP_F>pJU?bnSqf zpG~)R6mB7_OKoSe6La6YOeMOM=V<+|?rt#(92eOlvvlrLOO%n09x#sCAWW)_I0#=B zgyZmS*GuP3lvz!7;O*|4x@bpko#S^+ho4#37?uYosBgaf1x}1spQjwl2XE>E8snwm zY&wKC*Bi$E{fhetx62wBxkrn~cJd57GaJWa34B|yOJ?IFJhDXBtnb`7U%^GeF1(Z{ zAJV`Lw*||x9)6!?#S$zgSibI!Q{@YWhFTuR&`^U(NMFlKNM8dU>{FsE5VFdC@^U77 zp_ht+xzF)e4-})XW%Uq~Qq11Ua-L8z!EA1%<2Ppr86dmmTeOQ3X?|Zsc%4dTAUixt zH&LK7SEV+CoRw-}@LE|Yj&9&5JDd%e+k)lq1#`FYUA~IdVV5=!ySPDY$POA>#RXQ9 zU&!vFoDXgr9_-vgD~@gn_8C0aL4GcnyIsJ!+~yULIbgS_Jo3CF1UCvyRyB!(xy>## zSdL+8cHX@f_O`+xe&tvbX7~Pq!e$;oe-X@m26S5}_7Etm0kGOFRWH(?k;XC3SAI)m zjH3EBj&m(o4)G`o_UZj40IB4>LV3XNC{VV7;0FFys?06aCj6$N4)9sJiP8?bFM9Hy z3R1s*WO?=Tj)Kl)a{}M!wkoT9dL5rb*wjM3k|24?q3i3Qj+dS_!2{ zgqkAKlD|9>k^|dJSb1aszqdq&@Oww3fL~0)`DGneqM}HtoKYM|{pd^Z9aI~?9K{4f zN)`-RmXKu+8K{uJl1OFXs6=H{@gY#*cW`*0n-83aR==yL9;&jn#~yswdhMj~LOW`( zm*@RGw_i`fc$aJJxfjw>RD3Ztu%Sbn z^i^%FO+=_ z2L6wR7L|@f+*G@{0rdO5e)s9Ys-qajswD?^tk$hr$Qx_B(CPi&R^-D(x_MF3-8;7x)>2uGxyC-=dNovvhsU#tE5us12z}W2R z^YG)$cg_OmEX2{f&VkL5em$E{j|JA_N*~(~1^`f@ymaav!(K`!rS3jkgO$14L!~gr zAa!rW>J0$EdA@mOl@nbh$Xocbd-fEfJQWq9c8i$hlsz=-#h&2G{GyXI#-%uvyR<5HPm`m`YdfD zx)1T^>s2zd;sXIGUFlW@anBocpGhB_{vEXCNumVxCF=?nx5SXaXR^qxBeh49AzN$< zWABJ*U=Qd>aT#Urqip^{dG{y|eD()(*FXyf52m$5e0!UFt#F6&7>&?&^nMDjzpJ~^ z;80G)C2WLntY|W#`Xc=TP3;9lP=y;PAGY@bM(t>YW?5+eU3YahqEp&HzL=lyOi~QX z|8Z@X=Fd|tjvVoe_=w-kNTRa8Ds_k?nW~M2hw|#l%NJ?E>TO{KDGTMyq(B;M8_+LG zg&w$7m|Q~IgTi1N$O-dKei|P1-CNfzh7r!+%B4LL85Hf8OL#E%pYI26h8^nnkx0sA zoT5^h_fIzh^dlUiK2+4fvzYnFBl$LBwU}?CJ{H#h$i&MjV_2W_MRacNso(GL>qrkt zVu7m_7$~vdEA2PRFIaCUWBAZ{KHUlSe|h3)BRi4$b*W@WP->=s1oq~V9=q&p|WIhi;{b5`_lG-nyEXpV$lRCw^urnQlr=Xkb)_H0jNtOs(NpfwCw zCU~&?ZI6e19+nR8OGx~gEUS#h2rp5TM#zsy%gSd@gPa^z(01ng%9S042d`hp3cZ1^ z^36tJl*gwqe-ml>rgduRHp-KL8@>?%lv05Ur%3w0d}k1!7(y4^e1XQz#B+t4`|~5t zNT%_q$P!VJN9ik3k;E;~*p|S1e0Hd~O2>7kDwuz|lVmDxt?2o(A#9v%`_ulN5Vy@8 zKZre%wz=aHjoe6-C?HYwurKA%%&imB2R`3Cz%vAS&+ea}(la`CEgRoy&sW^pa^E2; z)uAvryaCm7M79bX5qyiNueJnkG^~nFjnxdpDm{`Te2?3EXH*zc>5)VsIGL41(+fz` zxoaGo9+_y=6~+!1wyqD(;5|S#nmm367%8A7-_zY*bpkuKwR)~_EUKQd+nibH8F%;O z-N`~OLK_}?xv=s;hr-y-!Vek?xqW(g{#z5yEv(#6%+|sWwiZ^tNzls`{Yt94H})WV zNvylA3d3VFw1gJM=4zcB9-F0g68nQp-6OUFicV9QvFH7|Xv+|*_7LO3Eg=>g9H)U9 z7q_MjWMZ1)?Ag0G5U~|PaO_PZCxOeESqzMo6SKX6+q*9zjEGxps{itSP4&Pm98$d@ zvcud81%j5Sd<&440LdT(*%d`&!!6&P8R6-@BwMC!QY6Z7Pllk+&vhDEWT`b9vCa-&>+UUxDHPD*V=c zhVd&nJ~2Aeh*Q5NC2L^%axSR58{A|Vj!p6A{FPrCsg9bclG%Z8kaBHc2Ek&9Vu1%N*)08rXYy+g5U3R(w*4C>jz)4Utv$UF zoBgOLl>=Y(s8OY=M@5w=fF-CLu0Ol;K~3io)n6!y4LT@V7pr>IC|1>@qFBHXWvg6s zT5NCzrICZh;|c0VtuiAmflCPNP;tG5x0@kI2ck5|aTB<}o4_MWB)>?RE1I{_L{5Nt zI|JdCK`$8_p8TnPJx5G?p6R>3`JyLPuV}2nY>uXMAGl}Q`ikpYrrOjE4&UevS3Mj0 z_O$ifP7WWUyq{-m4Vp@a#R7YNh%CU)McP9+UOR-l5K9fh-zB=KlcUFh*k}6nM3i|y zj>KcF19ffQz&ayD*j2^0v`p1Z>@Xq6L!qK`2?vify+*_yEf|-ZRT$gf+{s~ufdr(v z=#|0`RslY(f}_M(P2Y>x09h3(&Tg5iN!?*W;A3(=PtJP=MyvZ;NyV{0%~wf!zFw1c zZSmLymJfT>QicCeQC9Hq7n`$+V=+r=p~Jb6uxbb-RU_wT3TGTQXzX5PTu>$>2KsQ`&q9!(F{w9=rlcVs}`(GIj}oWdAkN1ofg-oYsEUS9yPU~Z&-u>mcQ)Q`ji zcImeTOP}FywH}e(hzCK$3K+Q+tRS3^ZoKEycrqIWhq0O2C^$xa8YjOkN#7)*H`7b# zf}d6#BC^qATR29rPIT0|rTH8Kp;!Yk*E|w030C~d4os2F!7J88gO_in#0gmwSiVA; zdz7v@ePM?RY63c;aA1m&B1917Xe`QC-qn$amcX@)Mr5B4*a%_oJ?8ik-$QcQ_DfdO z8}YfNCmHcQ5*M8o&|n7^uk%ECxx403Ku}FWeBw`h;P>}1M6t}Pm zHm&TAQ&PFbb0nD1Xv;cSiGgdA5Y;-A=&prMVqa>>b?7w#h{W?f^>W;r60VDz`E3mx zLs~D@)Di6vZifv7fa_|HZ;mlua}2rTB+W4((cK|O<$<5|$Yjk+DHjpEl=_%S>{(hn z&ebL#v>Yk}_at8VrE!)Ee3sk}A$!utU@ltwvaDZCWPcGX&H4(iSSF;5Id_@AG^anV zlRfpG?V?%H%EFWIljT{4EKGZLcRQqhTd-?)llm2p4YDgOCL;5ogo9iOb>C`j z8k;^5Sm_greNCE#pq&%xil`-b%W)N!H>sN|x1=-==E-%jt@1u@UnKb#Pj* zV>S~4TYspL3Z)VGXRxre@(1@|w3V3fIX@6Rt%E){{Q)k9!DZj_Ou@(psdG1jTE5G%ReyXblczJTq`5Y*&yLeY+HbpI_Hwj!g9p1}UvoFD zSpM)^(H36NGBwRsnvDn(?s-viZb@x(tr%pqt`_CHgQN1kL}8%SXj{RXwoQCEn7g`p zp`ZT`oMGxF=8WHad6)X8(%@=Gi;X6|jlW)75P29nh^{FNxss zweY7w6HzQrTeLiVfuYk9SVzy&c58^Bqfa2j>5&T!TI$weyhnI4K9Z*$=K*uR;3Fwp z+O{2zVovh%gi`64+iY}ePruw%i=%-;#lc7N0;tWNyNt0Yi4^$6t9GicH!uz|Fzh1x4$KVe9ybb7x`(EGjV}7-3rHI8f06Xs>LvSXb8z|^3tQb( ziwmz7qSYpx(-J6?r{av3K!te%g{>PVumXev*9RHrza}w{l(E`)Bd@8NkhOtyW`!m$ zbFiLt1M4^+lI*(g=9_Ow`r8&@GjK@Xj)UbT_4Z2;-;U% zfNuveMb+WKq~)fJ!j4CD@7P&Dx8#dqbl9Hlxh!7PwRKwEJsHJgdCUF%<|2OZ7RNiE zZCo{cVQku)4F1@(kN7Q$P1|Q58o04(nqllD3004BwS9zJ?I%ldl#@rf)q&rfEs_(; zx{xon?RtrDm+s+7$iiyhK<)Cyrf-4o9L`Hqh4DKvmVLFj^_k+o69IB3 zKqjvOmE^w`oT*#ztQK7Mm{N&4iaL2Ew6#fA!Z51-i?%px?^i)yB~%~q(VoXcI9Ulp z&Q&Z~iP76qDxm_%lmeQs69Iaa7?JvNvh>51hCU@zIpoFf=*eB)udb?U;1rwwt@IzqmfYTyA zicM6pqOj#YRk=gO-r?2vsiUG}4@Fy_i;mqKjo;ZJ8t?nKS|b|2V-J_q9-cC=#YQRf zzo^=VZF86*>YBr1_%bLOuo8rPFlA$5^`lC_+5$L_R0|x(hWh&8GO8a}n&xw2=Efcu zQnAN9P+FIMxRq(t)D>ZLY`#@2Bfx@7Ez zVr~gNu0AWL^l!2G)uAGz`Y9Wd=fs|}3HX#cD`sIf`An!YWpiQmLPc|C<;s-B6G}@} z+@cI5OF~u5jV&}t3k{dbx|hlN!>#NvYi7`{cA@XUJ@HY+9b*kE66beZx%tqvx{1Z{ zl02j~>r3L;Li4Y%ddUnm51rj>$qXS-SiO9Pny9eX@)?4kpD2tiG2u>OZ21iB2=Dwy zydtkd{PNcPhKX2JwxC3}t$lUXV>^iMRrT1*II*h7cHua76N=O%qCai@C?{ALhY)#vHFaG-zGOM*4tYvK@Mq#`rto+C)xtPMHiT}IH>Tj$o^SP#ur@>Mja?+SY_4iW zP&a5IvP~YiC|ov*YALJ-i!S2ZbROR*iVV?hpovX?Iyn}uiRK%ys>sL_+FL9dx55)X zkCwKZ;#K9R-~Tvp3k5FOW!B;|T#H`;8nk!@gQR%uc7V5Tm^@tf0>z{q31obicGuSY zEsZd1_{9{LY}7?+)H-dX6`c6fhIBU4t4HL&HDyII%@U#US2dwv=rb_zV#B<(a817TkJjY(1Ww-MzqrG1 z@*aMZ*QGZ3vuaLjas?;8u>K@XzEx?|k|`qdv65 zvK?N-XhjgYYU_bFy&iBUw~p@w2TG;SC#QNqelc+o{t_D>IuW%7A`BO$tmDJYxkVhVM6!BO^b#H+k~E@lSvN06>Pkby>si-xy9l-7lii_dQ%9(ZIfQEn4Lu1$A(}Xe zP#PaTLVBioS;8w;k9|pADuf2$FI-mQ#dPEAM1*<}dLlvsFNB8R8bW{h11)sl>IS}V zYP-lk##*Vj&9xi5u%ud2J~Rwp@MZPQE+*@|Z zJijq<09<-W?2N+L5L@jEV+HB_q1h~7&9pDf;3Jd2P+@FRXJ^3>?7v~+a104z6)Tj? zZxFZv>+&jmi#oec|MQKyk1 z@0h!tY_Htiqa^lB2@ll7kf?>!njE_eor9)?SH#%ovP;n&eq}q>4p+Ts_0nek8fi#O z-1_ehPX7rVOp$#f{EXt2h&RJdr!FG~G}0kOga(g(#?Rfw6LnaX}k zFjw-}@LL_Va~h47s64RQ`BziW8YzK5DAfC93oL&nI4b8qHyBg@hUwAs_S=`!qyLda zrbo})>Cz;m#YV}A9l@xaulxB-kG}t#o*vySg;WP>f+{3G)J~7?YBTs3Mj|e??dWzA zeTDB(EZW(WPtBc@-5v@*bDK%dw@fS{S^549pJ!!Ze@wGzFPf8~47Dg zdy7-mS#iNw(llcsKXezT| z@^UWLzQ+)!u^i%fLcPCX1)mD>Ehj^Ki>Xt#O@1s|xw?len7J&bc|M(|=Hp)I*trOm z9o>j!#G)CtwP~6P_wJ>^AguWoDbh}w@T(-GeSVZdYK@?j@p3K_+K?MXv5}pffH;~S zi-v3fHRX_#^J^xbxt`;%(~st%^=qBb8_nI_6u4G8Irz%c09i*j1a2jeqE2u6G!e8$ z;N{l5o+Z^ejW`mcaE0S7ksT7F?9$7+iGe)vXxck&L=b1UT$FR*OH!D(-0!q_pTVb1 zSBHx1t2wVKz5`)=V&eniFv(vP9j?^CeJi4#D z$+IQ#;GXY$u=D+xv7m_|=Edm92cH&K(X4rc~m~D`JyPu$$d>in-oEvj(F6$lD`(l9J z3AyaQTGj-sRjrQ|a5rNa+HWOY7G32x-^*$%mQ>nM4dgeJaPo!oD(wYITfd6ZYOS=T zuC(UGErIL6RjTR0cjT$)+!B~!A!jkAzIRx&q|++iYay(4-2?@G%?sr41?RTwt<+f8 zRsKOg|Nf{^y68qbD^Lla{&gETfk!}r>BxIctkt}ssw;=l*l{6S_m3#R<{C%UzgPA$ z*XZPoch2{55`8Dz8kSQ5gs9kF{_T329?~ivxXs{}6$x&3PN~cHb#nsW!0{-Iw8G)f z3tZm00+*dGZXz%wnVUi{bD4{rGeVAE+?Nq7D?IU=ADx+2Ijl6WC-XG&58k&jQh87BP?edtnzWcZn#*_iP4{iCQmctDMGr3k zw!VAkJ22LEm?<6t`(ZyN6PbryLma4&w9nEtcyGdsGu=7A#9_fO)6+7o8d-S?0Sts7 zJ8y_Sf?b_U;11q{97-6VbDnKV2v6q{CsUB{#V&)ntW?je4Lr=CP?y-^#ZOt*w^yi9 z`eb#aH7Ke44Vq-PJTDL(-p%5-PU%*VCeZrTmotI08c@^~YkVTs@RJ>A6dGjuh}<72 z_yas z?SAd``dXo%_ke=2EIw5eR-GRV_DVU@R=UwC#o>myg$8rgRc3{%!C?2Ce?Zk*@M9y} zznlXMvS*!sv*YB&qGL^(k@)nPJ}1u?y`zXw_}Qn80Y)AH4BDD;t+5@-TV)ZY$AY`%f|S68+>% zpg@{sJ6L@q6?&jVm=sWhqhjb`nawcv5>oM~*oTO!@`wFJW})_pPc6_IXc7CZQy19>RTqAmKp zfnVFfih#xf7b`F@*nS7v@8|dh=WQC!ukFw4xy^j9@)4~t5Td79Yh@jW%f)- zp2%cov1TMnn2g6f$?!~PfHu?2Vyk94BeR)i7Q5m!?!-Pwi=7_J`W-H^^_d;q8Z-Fr zuVEIetNF0R-|CsgZjcR093 zGIQ8TzB%mp#G0My$eWvVn^f;1f*!_Bbn`R2aS*eg6p?yO?ZFCM#cU@Mld z6`oOSaQOBVo7lIB`C8!ztLdGzipx)pnzM;zJ9;vs*r+p#B{p#ps1+{P@M>CwL@^qB zQSyz=(b%SF?1SO41~WmsDNEvuMG*;dJkEIXgj=4;>fLO*>u0dh7qL^)3r7WQgziJP^Y7alcg&*f$XmX}+)(|F?oB#ZV z|LdzZnSYMMbX~_>lBIRdStOFx8C&`OFN%h+TP?Gi$M0d!5|E_ag7J&Z2PcG(w1Pn?NXx$FpT%rQ{y&$?$>1N0MXfW0(P@**?u?{9H|%hl%?gs&o+W(fcOYD`&mr0zu!vjJCJ`4QHvB{XVL?Dcl$tm~1_u%i6S_N+XVSNg1P z&$U!&=2KrW%5%J}>#dAdOssxyLkJ8D z+R=JO`M+=L`sMAOc~n7h>$7U+nw3=1>R)g?IgiHR;X{E%*J(JHrSH+uBV|=mk2;mC z`sc5RZF(eM+v?Ux&+2v6HY+=DqYu#a1puSe&<9f6X7sAy#LgD93$69V`MZNNzr#4Q zzAPv?j{S$hon4*zdm+kX3JJJlDq1dES$tjzp@mb`l36&<%yAgFCM_#1X z@j*#ps_)uEKtoM;?LnstJ9&|5n~zf^lH<9p);#Gu6W`R}86EiUZ|$0Nr!w}-sZA#< zcv0L);nF0%eCsM6aXHN?XsuqEN+fOJ21VbuS|Skc1IB1ebUZ~4=BVGkCRu9GH{na0 zB`w=mN?7e{Zv6L6tul&Z@2QI|N+G4wb zXa1SzopTGPDOvb*ew(fWtSWIl&Qe9dgu=HvTemWX^AsSofci_ov zWS0B#UtHkc9A z5|~SOr`pRNN6i0yd)bQ3ZZb}#DE)J^_TROa?FSU955e>7WuGcB?xlqLjQovGo4xGF z#MSQBAksUgrzs=VVDU~I$s;nE-A)emn;I3_#|y6i zo@siT@RmUEEgDVcvY(Yl=CZ@hgSqTFXD<66Z!-2DB=Lx`b!IMWV$-G?Le>Tv-%d{D zIi!=h>;{4~k7I#4e6hevew$)8@&A}i9T?}Oo|jDhfTfmqiY#h*PYVLmG7dM@WL)?5w@umblJAAXRkfya{PDhQ4|66jGpYg&W>U z3TmlrCJe6Uo8vT3zBlfT8IK=&^Ab#!R}vImw*0B>FT6VWnPEHyxQu|<;OA(Q*x)?# z2j3t`DsVmeQBIv$%ccwHh(aIwo zgEeo`0#>I|emPMdjo*DNTATKM`lr;?Q67|x@hIv>d*tNkh}aq%LkAagS5ji^M`xtz zRtr{fipcxuuatT@l;Ysxmp;u_uY7kQ!b=wO7Uk)DaG^qx6#jYu*9=R5`0F8h6p@f= z-Mo-#J-m>o3h*E(+)H#>f-^k`DxWtAr_w*3t7O4zcS`iC+h|7bCSG*9Y>g7Fr{KeQ z%GMzdE&GrxrHA-IT!#Sh5Te*)f)cn&2ot=Dq@^$LGx|l0Fd^Sk29-ZYdsKJ(miY9p z)jiC`QUD3*8^J!mPjlaUD6)JH3ICEyfXYvhTL;$4H3R+;A{1QeD5Y#IEy3=yiw6tb zf@K&4m=g8{S}41`*QDDn4R)Q^Ybp&-1WZnO!==GKqk4_utAz$VsANle$=(BO(O^gu zOOt1p^cs(i?|=~;e5$#TnQeoP4ux2A>E#jiG;e|MuO!BOyvGov1Z!S*LQ>8t`5k{L z@0DXevAtM=js0ATG$OY8^6Jb#=q0p5dm_GyJotED?rzH+npQWO=e)jl#AZ&`5jRUP zr(;Yo>@?Uz)7FuM&eEXo97*&eEuFPPO`;$45z585$$ZPk4RvbvH&yRn!Q};qZQ$6( zz<_(64L&A&cM1;Mqqbb>@lH&i85nF5hCgE)8~BzD4=y7n8?< zjK)f54kk3vMH*%FGdEiNlb^EJ>7{LWO5Y}YG>6&C5u}fHRwMNCn{;*dLu3A{&!-p} zT^|}Jk6qSK{*Lv5oz8uvn-~8`4?L9jj>?nJz7bDZv&z}7p?Q>_(K_A~`iI6pk);yr zkKShePZQ1C;?0ZwYQB9MaBDRr-xnHBM1iiMw@SD?F;ayl>%vgUekjcab$uwqi<1JQ zTob5tuafEtSx($iqHbFXRoXNo<0Iph-W%A5;Zl){hlXV?5|tT^Qi;N+=aPh~nPgue zxL}r6(?u3AP(a)uh=Cn{@unO>*)vPYql!GI^qM&m4@jFZTKTdc47p;F=9b$VoW_aG z9J8yRkdX*#r&?f#%73t%3su@pjK1d-I5X-3rBIN}w`{vY=cKt%^v`g#r43qMsP4oPHb5Q3ETnZnMgslcB5t`q27=AZ|S& z{Gsu#v^qi453T4zq#7yL4{hW^)G`kH5CM>>5EGskeb?+@Ry$M8V9hny1>3M|oBEsy zuG8&#l}8XKbjdi5)>p3NO^#8K+~TEa=bdf@pUSBTb(tVSH8UW6jxbGT6dq_Td6lO) zc1#m0{oqoq0$DekJ`;Ob7pSGda*s^BKiZ2b@X~Wxo<)sGZ1mp zXcL;c{D|V$2B-hfkwbSmQ$z6n+Z}Pe1NjP45)mGr7JYL5iqGPrG@)J-3%QulD*0p` z!GzqR5G*7z2!3*x3mZuoWdlcu_C2`nD>?R@a^}GX&)5Cn4t&hK-b-e`VyaeW=K~>Q z{OQsmT1!`cji%9{Z!B${rSenLD3o(w)-+K@BzK}3Asrs25dZE+~m`mc62%1~Qh73Xh@npjgm^Hx!^%J10kI zlLQT3S$Ke}!(QD=R8E<>v%|9}Oz~S4qaFaLnstIe=*JpWnJe$%3%&0PML(nJy?`G0)-ygnr z)whFpJOEJ8{_6~25dx%OS>Edk4VE`4Z(kcX-qhA5bg;{`WKBdXjB<#Aq7UU~d04!I zN_dY^NU1jVg5`UO%>L_Al>oWYZFbo|p06(m{oX&=gyeiRLfrTgUHOUB#Oz&*%gKOYHND1>j+2)(bgSp2T$gLV7t;_^( zxHD$$;;c9ENO5g9Xq7!Kj!;^7r-X;_bKMguH1In=&p~nU`38zBw=zG%H(EPn zkv*@g1e0F#=%EDC$TpIYH%X(5A_1NtS24T9;>vnnnpzvROA#s}xxt#t4z*_y*bipD zwmUP{pc8y{g-FY?Zse{!#NKe%@%%#kQuYogk8DrKMHwl)Lbu{rOL2^0mclEHi1EW--Ub znT$-#@ncxNj8%z0?v~k#$dD27&i${6cfanM_|SWKjriz*1dK(;qn>5?rNQ#_otpEE z&g}G^inN*#p1D)2p29PC4sqc+V_e>Or_p%AJMSzYJTc>o-nxvrJ)=;44toLikWHus zUpaf`+qlGVC4{)!$**T0|OM%V=E~8hT2VMe;Kl>j_!1h>+K2{BtZ>O*d}Vj0Qlvx)mdCTq zBN5n94ra1{B`F`7du*jbIIu^H2gEKfQ1eRW)$vio5Ft3KNh`bq{ou;lI=8C9qD0j! z-esdnHf8|xMiy0_;Z$k$0wxqM5zKhi81OE$BeUd#A-PHy{L$c%dh26d^;)l9E&hkk z)zA;N2gI!-M+)m=T+7?yJ9bc-J*hz%1<5j^@<9V+4ZT;lMwW|Gm+n^LgH*5g<<*X@ z(FQVHrVr8_yCRrde%=^SfaQ_2v(ML#q3iNUhI|yYQxq7Y?wA*2NVNCx1mDq_@mlWw~V_T{{(0xb5$XcxeLVGM&AnCU{b(BPpzaSY9Z$Sgr- zwOA0Di_b36E88zjcYy;>myDzl^+~*Z!BPo0%98zGF3Y!4qt*=VP@tm#gZz?62ykGC z#IP(Ui%`k9ba17tyA3a3mcPIcPtVM^${C$9hGrcALep$E(^z)-E_qSjx$$Wdi@@i9 z?J+Ms1O!$NAZ_wC!a_Bx)q7;rmNYbbwOUiYd8<`t%e6XfR75En^hFByWt&w}@g);| z$n505HeJs2WUf@c#9U##cHZL&LPE7Be}Y}9^%nThQ+`)IrLLqCIzR7CBH1 z#yF$t7-_7zY>X&z9aD#$dl@J7;b;-{F@m?Ljh`?+gEoFo8Fjg+ZGT4}Q6#hVKTSHJ z*(x7sYed<-$e~A!A8>0XVmNx7m&De6N~stjG*I8aT62(i=-6X@r9+cSVDo_5fX%L^71tZR>{C+XxXSB>5pi4%a2*c!?o8 zy1TV50N|45fa_rIbZm=_tT0?7j_f_19KbfnbbAQ_co?q*wq zE7cZd;?pZKh4YDw^uqR#gx9##?8>1n40I`jSgIifngkIWRT7UmD38xtSOqafP>AFr zTO2kaLJ*2@QlTEf$ZBzF#g%IYpc{|o-+>&U<_90N=Y5cjw&QySkfZVta+1Om`5t?_ z^k3zBIEFSNw((LJpF=+5vmC=QV|@OGhyFAsC$V`%lJAi~#h4*uXQGKG{3v7=p=tZt z7@uGJ5e1I%iMqwy9{v%-GqCQ;_@JXvrg*gD!NjWrJn;#PiU_19KFL)N@1Vt<_@r>I z4K61>fmeZ!d*TyhDKGHh#3w!QK^RiSC(K4ej){l#q}!>bCpUcV)Y6k#j$27ePo^3@ z4F6!8c!%seU?k#3_k5mX3=34W`fK9l!|ZyK?mOK+85U(EjfV7z=$D|E zui?I_jIyG@`GpZ?*ppuv_X!E9-65%;=>9Hwm>nN+6d*+uQ;}|>m?h~`8M8>ZZ^SYe zsG`REa9fmR8^qc;m|Z|ByCvO(FVc^czo>v_qQmp=cU+1YRQydJM9Wq?^iYD5H6?kUH74tnL+TK8@#-}+B0R(}QnBFj;E}y2HB+4DAgKV*4Hs3K~^8JHEu{zlnJq(h#Cxd9LU)dY3?JT zgnj*S6ZrG&6h6dQx$H+~k#b<2JBh|G@2ROyHEYyMpyc?GHEJh?&s!s$RRk# znjzO4opt=knyGxX@gqUUkL-WmpA5c4rMAbf+_GJpi$`O(z3T27$IrKkO*EIfH;SF1 z`8~0U*mB066QT%4X1(<^6Ckr*JxyerV1>1s<;mY8(7vA}S$SfBQ2X~JD^Mi3|mxk$P`jXV>o2GRKrztpQYf$Sr#1VuVvg zC)QLzEOVlhJ$}P4h!_LN5PehQ*c3Hdgb|rAS8F0BPtib{1)8*}6RaSb1W9k*#8%D3 zC!t4nNI5~`nQ2O_l~+t2V>s`N57>aygAdHMnL|8a#I=*00KZ-drfb;PA`I_kJi#0d zD~BQTc)KuZR0GxSQki6#?=t5ShUL;DXJ&~nAlp^Ii+E(ysQ@S8X z;XP5FTxl;zK@t0~r-()UItp-3C3_`4#Yx2CRI@{pas8}NPf2_0@FcInv|Z}USPBv) zeuT+bxUMmr^4X*B7|XLJ7*rlsbmDNIX2D$@c7^8!QmluQd###NKW z=Ht1R`MIa&TAnFkoozxl zs`|HGj^JgZx?T%4@h8y~olM*^$CtLuNfowWc?K0V5z8Atbj*v1SX%S}nMlfF%8x-0 zLJyj<7f$LyQ^G+2ETK73v3`_0$5hMOAd5_p zzVpTcmmX6D$DypB9!t(`f%neyO@A1dF*X4|L zugl4ozR?7agr#p3!Ao*INe<_DzD8^16J{3Co`E?|jYNJai^>l3^D!DDhKH$#9`vOx z4@%ggy7hHKNE}WbtcYMqL}F5uu5;EAl)~#E0O7=#u^pf{(-U~4s*8Xa*=S-zAl42b zJDim1c2)zm9fRX@G!OYg64_LBtsNqUh@_?-nrXrkMv#Gc$QPD4E0+ePWROa9g4|si zCf7YIm_kwux#^7_k+};)CaE`?S>UodUS~wi<8_8mZuZPcE)Erz_4@MVu~Z*uml#TIaqTqk`t^B z$JvvD?iRl`d1#9L;eETgnk%h3Z`qpNnR=KphGr@{mRs)+X9Q~wp#+ZEvB*x|eJ8=( z^!oXjUU2tF{d~+ucz39NeqViaSNm|eT+wdvee0zs3g!-~Uu^M1>lYKxG)S+nBLSYw z`Z`kJ;kgr%;OSmp=h8(f;c^$jk~p!x=vW@vqb%IT9{pSJR|S;0PBdi0Xq&h=>r zRDc(j8`VlYRo|#qidGM8 zR4YYe>5amk=dK%teKa@&51A(}o)p{QOcC zRCyFm_>WvqC?gndi`)rUeasF?=|Yrlg|a@}syjn^6zP6GF7jh~hpP4`ema=tu{7|z zX_;wPS1+>f>cFVg#^GeU_-J+3{x3IWau>qOa{6Tb;ZF(fA;@|J$DYWV2LkS0g@LW{ zih`rD_f|BW-*MCC!_(GJ{95XMx+tFh<)pE+9(@Ff()4;mLTh`yo_|zWX8k@PCcf9+ zkdlvKNNnrc{KI$ty(nJvJr}ce_5C z`!RiVgKtLizpsDpKJ8R}GCDBxe)`0EVG_Nd9#G4p@Gq`B#%%isCV@rVy%Va=>GtyQ ztRjw94uM27zt_>o>`jF@{gS zjHoiVfuoRdgjgYfF2yfUTuF^eQAxczs2pXj-QkAzmb(B=2E7Q&Y4Q~Ew`>WFO=&8rz*;s2&xV#nEMIn(0u}$L_$|cEgMiP>=T~_51*nI zKb7p36xcL++Eww)1I+UKY&K(hRFpLaVp0`RT)MihY%PK{KRVpnTAkPO6sm-iH*`pFSs6pNVkTQ$!vqz`a$7Em zP3-4&AAk9yRxE$$mL_u8P|M!{xBMMVE`Pah`O_xr$tm14#43E0{?zrKwGL!3{dqnZn>tANQzy4*``|Dq3{U@z|Grje%W|O!6)%fe*9B=)bowEMT z_Se4$z4dRNzy8fT#rk(tZ%l^*m)_&nx6Gq52&Q4nGmmzIvud~IX$P8Jb704ETk{$| zcFp_x#p;fnE9LabIrq1==5@!Z4$S$7x8~`z_o+JNI`M!1`}*T2AG-c{>_;EhAKe@e z;`PVAl>X2PsEJ}Jj0ceq9%S=i>yIbp^vS9GjrB(jPIch)C;zWM5R{yhKRj~S^~VKw zpQ1m4qrG0pc*^l0YVRZuatS9OwN~_~&Y8W%@gN1zLzb*=P}0I><5;CmMrx1eL6j*m z`f5k-cd#1zYib>Sc#u}E4U`M6!ZYjT$Wez9 zR^N4m*7nfqQkVGM_gq;j$S*75fouSzipwCvu?1*z+rVi7KcoUu7Fm}yvqNnz;8oT> z5>QOOS4?h7F(Ld{#|Iruc*P9xiV@r%_)|(sDZ%AR|C~4>-Ctfiz*Xdh@201fRF9(m zWFJCA?3EGm|4L)ZEE{57Fz>i7D2dIB6p+rbJ|pq*-?)+}o@Aw{zwYd|=1#7I#x4?? z_*;ovYYWs)lL+B|T5W-$q@byOR*)Klza)O2P!jUv_!O?=<)&0n$r5K#8|9zR2mdYM z3_P__Kr3P)A0AsJfR3%2MW8^=m74-mITK62<3KLvL;w5)jM0$-nyj3$RSk}<+H#d+ zbhJXlR(S)-&#ECdgAwbqRkmQj=u~CNGR1fuMc0n9_DFmI41CUNxy=?IP;I$Vh}SuX zG(Jmk@&ae26lfID3Uv1KvI&tH(filIe?yQR`{JntHf8joSfKyfD_tFx$sS zDsFvlDFMV_<4H@n<5bbu!OEeg%R4hz^%-t>5$mzGfJlr~WVf5F1CGlvuCg!?AU1BNd4wn{gV{D#r8zpl3 zrb;|c)hXB8eZ&9z`r{|>dHmn^Pu3sY1G`vTACKp_a14DB9cx{o zE+~l)y6&p@Z;|mdsJ~xoOwO0amId}(y^Wkpf`bDA%s&S1XW-CC5tRuje^ILmBIPMF5zglSBjFyD+~Qj^@jF@lrx zWvxG7)*e4!)~3vtwa3qwwf=lr+csZTw#}D!_PYK#XZ*?fM?F&=c;XTINW|&FN!CAg z+_GL!93Q&%>e!P0>JfK;4I-+~cYNGdhi5@WMLXT?8Io6}K{<~T*HnpS`)hb| zZ5HF9#D&Y!pEkM2=ZmzOaL1WebzQezP!g}$bB=<>IG*j6$VeDJk3XzQ-tD91$DY6A zU~H>97>j;BqlB}v(b^g*J)l{;?dt+Un z^dP^FnRt=fC4d&-To3Sw_;djrSf@o>N3jG_C#zCHDre$B59CQWlH~%L9DT+a-r)w` zvO@4+86N^?$U#|e2&KY~GET3Fr|ax^XsWFyC^CxzIt`7XHj%Y;)*6ZPDBtG`Yopb` z^ug4wQws5gN51h%#f?lYP6QJgNO5DVI7QYz>x%m;piWWTR=>FFPb{u9wK&axSDcgZ zDYABlE3V+w#qIKo>#pMHyOZ?5o1|&i12o1xF_LrpnYbsNed8uf1byxs&d|)kF*65= zU`>UXL&vLmtlcRJN~j>n#(h(5=Bqt-xZC3ndr;`V#U1wWxWhkv zD}_5`W69VSgD80a7K6AsqQx%!q?|tC%9+L>*5I^h$WFzJlqJ`f|9$+(k%TAzxZPjx zP`f(*5dG>&`NJuBpUfX#*2`FrzH<8H9IP?^@EA^YVA3D|k3WPqoq}Kg)<0c;Jn+qv z^+$E!OAoc<59y2lK7aUNPwS)~%juIdvfB8=GMxY859yzi^M@PWa{crEttaar#~;pF zkmL`of9g)jA9gqX&{4hWoa>%G35ytl5FW=O?i0oK8It58Eha>X3zyAzD|PToZgGjS zTKF7;Rt}F*M4`xs&x(j+No5yvfs`x*agQxIOVf6Bva3`a$LJWW+Cy5+>JlSwbj6KN zDNffkrt*!Gk|owe22cWQod;1&$~aB?fW_ zp9`ShICdZ~RkQsHhbD4B8c(C{BMim_o=?#HY@MDN<;~~MuaaoRsRAg;mYmmf?uv{rj zodfGbsvV?6Vk7@XvQI2@Txy|Xl7%`>QjxVoT%osqY@r2yp_lwCg^o`xbW*ZV$4@G< zw#XH_@2ekI(-ObX^&~q5r#F%`?fQg<`Ru(Uhouz1mC91;`*@b}%dnK(y}FR76l=MG z&o}jbkqEsXbpxWI=x3TTtHTfcW;g6u;37VFisV3*F#tz#DvuyjH1UI`MH&W6nb*Y& zkH*%lc=!Bx#o0$UADh-P5$TZ0$5Qlz=}CRuhe|jH14r{ z6!bvOS763Afnmq@48L2WW4Zbz{krIiT^&EszN)HDk_yb1kj+%pNm!9@mrS);Th-M0 z(vzAx$t#lh7PRKCo7gv{uoF8Ov9iF^c3#rUfZHh6>U&o6zj8hro^7cXaeOH1B+UwQWu*ctlhtwa*kk>9051oZe}HcFgF~ zkunk1#VMgHOXbxgHHY~-2CFvg;T!XHk^em-1wGJ*be zE%mFf<)2+xdF0HBa^Rlc26xGca32%)O z)trki&Nyx;mM!c-^?{ogBqcfWI$4g7&aAiroW5I^tm{c|x^tWmdZp|W5c-ai88&|- zX|lduJ{I<4bG`cB`$^y*_EK62*IEf5SKnN(z88{G^*!HmP~R)5@06#L^*veNj&E!D zWZ%}e?_15*HCD%w!2A5i!n3jUi69?$BT!H=8=5(TM;z3bOHSy^(82*$x3YIh82}H) zZ+75{QYgW+OWGvj`t(AJ7I{-oR0u4Cd#1tPB%R<@#TTk{#CjB`F7 zvdHu&Lw3ZR^Pj=&-ml$|GQtP7L9aOxZ@CqK$bfnW|8W*bSv`Z^8O;`dLHko=onZ$itqAT9&Sbcwj zbCXxZi}Fx;*1=5M{l>F9HIS!iTn0vZj|! zL(YrU^wLBus_t%AM<{MyFHJ$6gP+$+(@0^9dX4kK7WEpBuQ)#FU8Yr)@f<2*o{YKZCUov+QBW(Zd`p7H4YY9}fL(r$ri+SNevSrTVdaOgAJUhVRrTtovV*1f zl?r(s4rKk;LAd8R)%OMv%jWfp3MtO_5v2>zN>>qeKv4^NO>$8p1_zaED+h$?6}9Lb z4G~Vhz{tQItX1STA~z{=$vH+Kh+NMp!m^rk>Rejb3c#->7gv$MK=>`%)wL*bIssI= zrsC$3v7H}Y_{HvBuZj=aMhnfp*lN8jxpY&t*=MQNRL!7V9q+3Ohidv;%BPbnI4NuL zy_CAk*isi&cYgRIJ9&Eq^0J_-hV`I_7f~QBZl@P!yLLXVM2~nFjFNcYeQhO1Ym+5X z;6No*H-KKU(2BB>u|us^*Tnl8mpt2$RX@!>OC3igU~)>^#+Qp1K-aHEoeiLdV6f_? zF0Po8v3(7DPNKdmoMt<+YJhhc;Gv0jfWNF(VjZa&sAwNc0j>D5fT`_$U>=QDL!PBh zzd8;u72*S#Jy5{_;Ub&Tm0Cl14jRl##&-9x>imkelyS1EZp~Q<1C+VL09jju&Fp~+ z_5smZHfp1ltI!5u_FK8qzZK?C@c$$3ZQ!G-u7&Rj$-sb#XQF_qf<_y!i6EjN1_NzI zXY>qCkSf@qsI z18O~CPy%QOAm;tAz0V|*kXY~YywCII_sg7p_FjAKwbovH?X^G8vVT-N0ZcI|7>e&hqyEZPS}?=j0B zc|x^_3Cg-YrK&qz+t~&gFFbW+F&o{&>021EY)3rLsE!jFOZOncE-&|)e1Vhr*Z9d+ zv}ha73f(#Q@~H@F;eOXX!=u^ zyTI=U*+SQfHmO{JZY2tX+`jWACCWFTq(rHrzgwaU+*P9F>Q><2XL0`jq(B$Adx46@ z+atRA;Of}t^of4Pl@)lg zqu;6-N)cp!v3oJyi>8~7WU!vy7M?a^lldnPfUTNun zv`UIm8f)iClS)n}02?tRyWGd_pJ7Ng^=;LuhGg3xv?)$BSz$IxIU}2$#3lE9D}1Vo zevbG|-J)ol(ue9HAw4wYCP%a%SYO z;CDg(D(GSCph=Ni9KaPNmd7jsDa9V}U0#!jLXT zeZ$JduBHnVQr&IQeeprZqSuXOxwInNd(Im*Lscc+hj*p!S1lzFzJFH^(^RbU_IvS- z90>Pb&y!w2J-M3lf|Y7$_g{@Sp36C5f7Y?E4EcttCsvhnev*$4KqiVxvpyghuq(Fi zRIz?<;tBENWoC*Cta0b`w3OUisV=4;i(N z*0f%#@njFrRqyKa#vBT#$MB9)Ih1|KI%z7-vd+<^QrXj)%Hj+vcgf!Tf3lXxj znt_yQ!3B4#L4GN}L!!63l7>LhyM)B-U)ELBBU)C|y&volS(SQc38ip0gQueIPCbDshELIX zb5~~Xah1uRb*0MW|5>Z1538!Kz8rFq7HpQG(y35{nz%_7F#LU??% z`LpI82!El{v$JYcx<>dj7vbYPg}agHZZ{USW={9+YSb*=uQPbRqbOkJ>28)&H@f=$6)U35rSkp9bVa<)^Y2!~ zLn@O$>yIkanHBL1m7bloT%~Kd{Ud}?)5E{32<%Z2pEZcA{8@Lo2zyqUQ)ARlqF{6J;->Mi2HcC9Yo zU7@MGgXiC^h|g3ef7TT$)0q`9T=9{em8H^kMYIHUMeOH!MvI8L2><$H0rH>GBA!y| z*;$)Zx<@g-d!uNM(OSX!q-E60C(8BzTCmFoPvN|R|*yQx_m>uD=cLK3(Ux)~dW$TD` z@tE=3KDQ@4FSlO??TqK88&>mgg=~a^o2s?ksKxV{G?&I-%SB?CnqSUzyV|sN*Nlh@ z3S_)p0Ze}rpr6Y0iF6FnA*58MxhAY?mBzuCZP@dAOwQ~@n37O6 z_enruRh=p>MM841n@DJGUlQ`ANJwV2deNyh8iy;PFZ6c2E(x`&WG$h>9um^UY6%JU zUUX@`#;ztOP(StTMMAkQ>zc+S*clR9+Y6YvWSh(&Dfh8syIFM9ST>9KjzpGj`cF!e zEqlk#d|IYK)hE6Vz@t6*X&Jq%4}ERtJ$!$Q*dg=&V+vV(!kub*-@oj1wQi=%b8l6$ z&OGfw*t%VwUZK;L7V5M{JLBm?g0-Di`CYIohjqFu($i}cw0~)Zf)gL)F#(a&5m%q8Rw%G8IVn^?#P{h0C8y71_za_iRUlPPYA9vi|DvXF zZ}U9Z(fFK##~|jG%f}^Zq>=MW#a0=<3uXbuNmRlPFXmae?kq z>qVQ&-ib|6EeAc5+1rx)U9oM^7zf`eWBg6K6vu>~+oh5FtNpi$_|zaab8n8;kBWB9 zw~VT3VE$D(3dq00qo1pD^>d9+J-Mn;XH;V>hW4_1K`y>69kw@DVJw}nH(v)BEM#}a z%ANq7lCllv|C6bbmZnc+3Mu>2e<~_EyQS&_Ib1yP+(tDaqo;hH)d0$#w)8*P(V;rH zsyQtz>imWuWn;hAHPasMoSkp^e>iX(-O_8)738GYpSosP^($i3jjGG|AaKh+@}pmK zaCW|{B*fBQsiujuH=VY znM$WyLZ|hcGAb>G`WBpPKU>~}8rS}|yewgD;geAtg;*IK`>SyFWWalPJhB~hL}8q` zTV7_oD-@q*W6}t2r?R~(x4V-M!+50z9^;*jGLK)jDTl2bi+N-BOq55iI2T#z z`z3Bi>)1W*mk*b0e&_;1S#M5|jiNI)J1agLNZ@YodLrcW4*o#^?Rw}!5_cK-;)!Ja zCrQq17jA`ulY7mkZ%N({1dzeMps#<3A<>L_{pDV?T{g<73*zbpcRwH+AM#62qrNjQ zqx9+Z%wrs`16enEv%aY)^bY{IzjN@@fl!xHo{A>3*9TZ*U`5)b0x>wgYWp}u{HyKS*1b2z)iXH^M&g4HN6KCt!7*OkPF9WAjkirCjFOMm1#Y<6r9 zF^eg8CUV$x@Cak-kndfmngkO>S2mI(@n8mPGY8nk87XR8us2+EWWk?lsM9aD2Z5)G zxunB8jO7pFC74X@nrQd%u?mBKh&+|PG&9Yu)Jb$`wmD+6`j%5tv9vzLhdF$A(UiNK zMx2a5nkZC`nXVSPa|70!S`A)A4T5M;X{2n6T&$2E|6vD!Q-nX+OUj4og`x$eQd3hR z_A(_#4nxJ~r*&*bNBDMqUB59q)QXpXPJxe4iATpPjz63f&)k7PV7xhET<`Gz`h$G@ z`en1|_<~od)j(0xyyqn&mrk?PeC1Xn_5+44qJpzcikoR#uZE3hPxHTXQj+8Jc0@Ak zJo(JpYiNUSQhelIsW$04=M=P0rm`d$^|d3_8GEUUlh~mzjHwgfDO6;!t?bH%d1vvv z{lSGTF|rB_=w2aT=}B_k{VMBf^+98*_xF-Tu8`zv%PZ^V-6Zj{Urs*I;~)N-Lg=6P zk~~>elg}`e%C~&)mKC)b^2xosPjzOYg!jlc{k_C!!B#)-EJ2d>FqsnrRd9xjZ>l^= z^WH{){QE*v%`=L@?0B;-}TKEgZzbjqdWOuX}YLS8!v@d*P! ztuyUWuW&5S)E9rv%7_Z+0@dW_%OPQw@a*p5#!lClkEjV+B@nZ)`a&64s9>7 zCcH@?yPax#&o|kgdJa)j-}D8Fw$9t$T?p~1p0xP&9Yy;dDv&DUi2ZJ?|k6f;YjLFvvcuiVHWf1LahTSh8H|L7*V$2!W zuw>*(<6^2`!MMvko7>|rgiw6+F!x>d?CoW6kr?-e$2TZOcrMEECo9JM6(m9NMx&7`@ zS+1!!mhV@MNIpnL1M7E9raJi`n^U^X=SQvu)m^sS`A|W6cti&$(p3*VJ8XR%uKzG2 zJmOVMPzyHa%1C;PD8bB5&Ld@_tx)uoI=IX3o4{WvnjJ{&wq9Dr=w?MQK0|gZ$%lyi zTnzzt>#s6iD?NK*Kd>9 z*E_Zq9h~WgbuQ^lud07%6MlWMXnA zStCy?(d~Zde$m01(kIUrmtYDVMX547_EUKk<6=QKZKPtZw!#wMnbtvAFo^5pgh zXiA);B^EJHE19gQ@EJ!ZF;%7SD9uu>Fw{Z21^V zrQtofZk9O$Cu5jXl8)E%UclNHsCRIbwgh&yN6JpATk_@NV1MRohhIWgmyFm*DF|@eXEOiigZZD8W2wbHiBp z;*%^Gpu;{|Y^bPp-p+2V^QQQmacQA=Nk^dQ&4+SJ1lbOn&C8K=co4&uxr;gwE0`VW z->%#dab4)9i{9W{NZ3=(Gl<`sGLWO;KKeT2jh3VQbSteTAM2pE`@8<{G}HPJ&vz{o zu@P$_o8_Kg&)_FwJ%Ay*x5>2b+E-hFb*L$xx#{eUOy+qu$lh!$#QQ(`tfNN4roFU~ zMAKSYG@FP0=_yI7D;t%y5so|?1Sbf`kz~dfw${k|MoBiUBg9WW zE%D{8wJL`T{N`iFI*fJROJ3N|$=m7WnGN2ztAl4F>5jyC>*XJUFfqSh}+uto~puytRLb1#7n#riDLp?-2$ruSTplB=OgHg$kI#oz}vl#-jIQj&Zx zi1AlYQ2La{HhAY(JWQed26d8&Nr*i{)W)%%k0^GT2rfEO6& z5OZaH(c63`mzA2!{$Il72a3y3#prG<3bCQ;%D08LP=({=HYkeqFqD=$K)4btDZ{h> zn0P-g?W0OPB~^7L#$>*WTIC~#K|x6st#R_p5i6cggjOG^Yx2lMg0Sp12x4-HkwoW> z9T}eM<1@yj5jmg91C=BGf&^u3RrPk*nEZ}uJzYUWN!16G@L|OI^=jT~7$bN#7T=>v zs3u}A+;x?(f*2*{hN@KrMa3v$4Z%98lZL9b1evt;I8z~^_6C|+Qr(W7K-HlUlOWMz z$E)g~W1DGJn#Z`KjUe+i{9a2?QRg9dZtwpRCMiW$eWfG_xDTgHEg#=ygr}Qbj zsnR>$6(UQCocp5ETfyM0`*xbATO0IhZd>U_l<-rzZ6^|L`@`)JaCuP6rY>%Myo#Oc zjaxz2o!gHadgYdtG-~ZlRVA_;gb|Q%>nEtV%_p#rGT}CdV3ONT7HN+H>%4nAd^H1D zQ#^Ql)0rBHNVtUE<{Ns3m0qA!Y8kyZ>oC=xKr zUd_X$XbnM^qO}BFiq;cU6m6UaMXidWi=b#wij2MqMd0eyq!y~$39ZNywmSu{%*LwE zrE21#70xR+irEOQPNBP|*kvfRrkL`~__Wo|@$Y4L>}y_lq&w$klJh!9O~KGr8niW% zCV1dnz=&z$;c~u@pv!qPL6>ufpyIr4Y8sp8vO?X<)jh@x3J+Q(&1a|Ri2shOMIPi#6_ktzenVyqmx)mz>V!E9) z!3Wa<2Gbsnk-JR$2)a!B3A#+@5L8URcpKF{Oi`2zMY^S7T`)aU6h+tdpr|5AQ7$O@ zq9~uVV(>wcfI-n{9xg?N1YL@X3Az-S1QkW&g`#{#(Rn>&RI@~6G^3)sjGjnRGzAoW zQB+D=G5DZJz@TU*50|3Z1YL^e5_Bn=Pf$_x+gqV%w4x{nic-zSNJY^ri@Q^_CP`5R zDEgwPnzUl@L6Lw#(Fz_eMXLz96s;!cQnZGkqG*UvRH!H#4n@j0lX9rFpH(P5>Os+_ zBt>gM(HBMQNh<~)6bTp<)$wpCY9#1V)I`vwXdgjEQS4h#6jT&_t%rMoEp(u%}SsFa{f(M*CaMY9PiieA12ie@N^hV_uqt%{ef_@GF@plB@*m!kCqU5e@mx)e1MR22Pga+>tsO}s1L$9j4nLFKy= z-As56br%XXG&$qQ!WRx7jjMfB?#xqu;mR5dH>=~jYj zOyQ$ZDg4&c9)d~N5;l2LrFHtz5GC21;i{mUyBD-!c9OqBGWNw^F=^7)KqX+%Wb$zN zn?g`Yb5mG28QP6l zC2hT$Tv1@ougCY8Zm4Wg#-)^cI%B$Frc`IVG&$Wco7a@-hPk{dJD+MZ1drGZnQG{? z8FSZTO0B17LJP)gHjiXAGdaau%`=Kzr<6;6v^hcNquq3V!yl3y%_W24wwD3(eA51^ zxfL+y&xLR+Ej?+Oo^%wq)g-F^)Wy+dnc^!eNUM2Hs=}(0Ff~@k?K<}G3x%T2>giP- zHjzzjMQ|oka<3qDf*Fq-%-!ouwcJ%id;Y4lMIf9uZZN79A1ukh-j5UmYe(DFwntGJ@z$sJ=k5OO~9y9*8(o9W}fH}LziHz`^lN9~wZ%RfEi4xr# zMa`tuaHEq{vV@`N?b}60<9krlnxv=|sJQmBt;i#ihMH5MRacz zd1T6}T~j1sC<-fze*3T8W#mD>Rjv7f>WiWr(pG4S1kCy4H6kNMsY#0BA1WE;lPJ-> zQItzsjgQihk%Xb>&wK*OzGg@diu_56Mg!FsMTMk2rzsLJXPBahQEHN+Qy(abOcG`A z+8ag1q}AkTiX;q0mnw>4bGyqZH%ZYHpg2yRH0|A*Zz*Yi(3V-ioF9!988J#tQgpwj zXf}xw-5W(SNvp}%6iFD0elk^LbmU*UQ#3kB(OjVVlF@w9_GuXjnA1K+C}ILINzohc zD;ZUjDAB!9R6$xzp{7W}P?V-9x~B(4#Yu`*0M!>ot4RA?QzT%{_Y_4;046C4X^Pg6 zDD#57QM8)08dFmwVJP~S+eAh$|8sX4O-WL;7O1``T2ET;JIX=|nDg4zA|vLgk`(>- z0VShG5+%Afit0$KDb*B77>eGxRVXUzLD9@4MNL5UMbSRef|?=$bFNnuF(yn>bg`z$ zAyI~ky;0OmTFq=tk%Xb>W+;*&L1h*CYY$)j0K)3E_rYbQ)BSpvmgB(86;#Y1kT6@5 zCNN1<#W|~t^5)cjE5noMZx6pfi0I7^ zs*v(JsZ*}Y2ikS{^dr0-`>uTv`(C!euv%&Bg-d&3qiG$wt8<%Q;C5CwoACgH_BGGV z>CUU(2NAT|HY|e~+1FRheFik@33*}(gL&qRcSR$JceZk$Vdu^X03_EFZmuckORi2b zb>7mbn|F#+-Amr5+`Ka{?j`T+()V<^Go45;d7p9f&MoLA@B9UB-novym%M*ac`NfO z$jbTd9%S>4J>pg<$~BDh;+1DI{+x?s1;BZ|Ad&UxHHr+rYC_mq+6$6RE|S#%FbX<@ zk~PFV<5D6pPCy~ys%c(SsZ>MFRvovUVXibL|~jpdqMJ77fCY!BYHvN5ZCU?P+*+v zdqMJni=-8RtNHIqNjq_Q&7w=(x+zFbUvVZohg>8wPP(!eBy#&rsf$Elob|mR`P4^GP7s(U=2KRzQ&VzsMA`uwpo?eg) zbCJvhAhQ=Fvjw@UCj#S~-wTpF7s*@z{JkKVPkf$>L|~k~BhHk`NEb;30KHXlHSwF= zDi#=LMK4Ikx=2>^fn*i&tu7LQac=Gf$pjb4>OPRHAwF-vR(pYQ`uBn)=ptF$2a@%~ zm%2y<#;L#jOqoPnBz1itX(WD`i$q|Y1-&4d>LO_ZV0bUJvyb>fw{`@^xmJ)Y+1gAv zaQBvO`+06NtvzPh06ssxZS^d+x!5=P>~S4a@~!kcw~%(MM_R{}TuG5HJhRznPToG* zCf6*x-W=I5-YQ#8+s)Xa!m!2oo4w5i z?KU%hPo{lkB>pcMA^R>2*L}W_osk#&V!)zr2CNpVHBjH|i#fi4wVPexdzu3Sn*wRa zIA=0o(cnNV;j^5ewVC{T4pt9Nk8Mk{t_)dsc|ul3Ua0ErMcLNJ8)UsISU>^I!Rm|= z$*opf)aG)vf3&J2dUmWXEp7MslMj1i?H4UNdTfj0CU(e&BOy)0Q`KQC{{zo3L~N3X zfXdJIy1eRe{LYMk+ToVC$!am49hBB+tZTFe)jK{eM9MJ7A1!yP>dL)A>p)^CKh`c| zU8@ycRvp`%1`J6b2Pi)rtIr6GZ;xISuwDyVyF*nUM4f~C1Cd%<-S{mJ9*<}2qDFkeGedsFKwXkQkI zKTKs^7_{D@+NiFO$2zK5lUgedS^K5V!eu**b(v=c1~#htIp_;1nFsb9v~~sd90(3< zik+m+;`Eg7-X zzQrE~;x`>f5#yz4T(^6CUnss{KzpdFW6_YH^@Zg44|14gd#vCSewvJI%jce3Cykwl zi*Al3(gI5O)|)}=m8!Srj|i951?&k+>;L96%UaHg{=sjxswz5u+zS4|Z#>&juszn2 z7CX^V{#OCDqE%cq;iQ$-~o3LT8zBz{`fsD@FOW4DnI3w>n zCcJt7?ceriW+;=AYHpnPY@Iw`CyQNS!_XXML-^3g9@Ba`#RA#qnD#j5Ymz7AvD4GM z*ORTwR-lDBDHi~{2Xw;LY3n#=Br*avz8)sUv<|AekQQ+@;&a=Kw24?pnz6i|Y6;j= zGVFiN7=QFZ_Bc!J1yr-;BKf?*Ve3#pArL}+*6GCH8|I5e1oyuH?Nz zc5s}r2en(XG7$|Oh-rN$hg;Bt7dJ}hq-alE1>@K_U#xv_`K5d%`RdX!o{bkmxY{#T z>p8%B#khY=%6Hhk$2ub8uPfhauBupHeDA2NhmER<3?J0VBRU<&o^_1R)ESjS7~RNb z{v5ksFrHT&Yah7y!od2Ot`p%f$-rTn96QbO{+4Vl>nK}sZ}%_qt|Z1-{wqcD z-#94L&pC^%kX)HkLeuSMlKdJ{&>Fn4tUJlyJx7t;YL;;+=imAvntX6M|4jz)4oks1 zzZbjjsiibYL54aHI~o(B2x;J+85H0ar4f@8aaqq~Cn z1o^7~Ag?Q!>jt571;|6z2b1G-eof_mf&rf;iMp}t&et^to1`G)+ik}<6f>kMTbr&NA-z; zZg9qCj9LxZ`bZ(4_k~#z5BUJ;)NmN zG8K`g>GBAY=hA>u`t z#LL6DMdBr7eLF)+^ckbP-|=U9=AG@9>isrviA?=A!yD#JggAUE0Vvp)O2P1ph)kuT zu1HsN(0)!;chHEm1}z;XNh(;9)YG^{B}v%22_w2aGf;r*w>?n*#YLg3T0;e`@=~xP zWHkor+li_V71W2)UI`Rz3CXs9iP{q4CGB18*`l-91(z8azddB!^fx|2MR&g=V9^I| ziH!fmcw`j)v0PU;%ZxvzD#o=9qh_M?@dq=+PF$kHoZ0GdhN|#Ws%l)&^c2wJ_o!?N zG(nhyoo=m4r5`gc-^_pGF*yu7$~(y50Kzwj(1IthOx28=oH(20i&U)0LcvK>A-T~Q zmGu(I^Tg$xC2b@AgS3JM&bs&kpirqu`j!#8?)eeKyx2rO%+;u~Kegs2P7wm0Bx%R-7X`eJm~h zRGfwnE*YW^lVV8cIxU~^<0XtI5?{CKp+tP%So@^%fqW7~fD)O(qDvNk;JyiNi51B| zbvNSFnBr4>R9P@~GQ2SiQsYKmRK!7vpAw(a5j#0>gOq1Pc9~^6H#TFd?aUupFV-h6 zif5j+>jdXU^62C;9tl`m1NDb43Z!if?D`ye_$ZA>hJJW>m}S8{ZqIqd+RUk_ct*cn z?eH`c{tFRVN8klY!8`dwUIkBLm4%bR)NJXf=Qb`>bHWiGcNL{fUbLSG^i2cr`elb6|_c+7D)oVDMxeA>pc53SJo>CH6x5 zK_9WBJ7Xy}6MP;?U7S505g1QzHrDMH=YOg=nA`9&oJI5PbJ^07Y5S}<3SPCopgCQ2 zyx@3X{O61Aj>K=yh{SL32kh~I5ry5-t~cX9^wAUs2krBMBZh{oJ%RdDG_kir1#gAM zzqaTS>nw|WX#v|Gu(AV|#~xr0wa&BpL)A#3Dj`Zbl7PoP7lo9DfI?RA9-)B+?EYw@ z7$n4ANhC%2~TRTV9XlH^M~w#a>RBt z#gFuqpUWe&Lzy-sgxJxAOzJt$cveRS)R|6xK|lR z6Q7X8^&|?i!o*PJ+9q>8EQvoSk!tdL78NURKVjJ#XYah(^_=X^>aSQCWhK%!?>Y(T zd5QCMf|#E!^C1pt*$z81uA-M|le0{l98DM^%fm3azFuJbHe=Zac9hB#3P-EGk5_YE zX?}($njZ6{nf5i0@Gche2h-_%Z101-n(?`rwqGKDeFtZpo~4&)Il(kIU%ts(AkmY7 z73X;$k*=?n%^>AMSX?lEXLM*yE;LgW%OlB=&tJv<2J;puSKInKuC{R(uC`nW<@S;H zKn2*GMA~=V*lg zl!CC~<}(q#2#p%ypGt)~*VUdtw(hR><-~M%wO5lBlE0Qx!o9teaDQhBw@^YV$;bQm zbhTetiuRcr@1F=K2MJld;LYyDd;Hs}uJ#hiXM5-I=*`uR#Gg^tHzKzybT~JYH;g_g zALkqHi}GBq6087=>*4}mmGn1VY|@6F!1ED*r!uwOU4d96@nN0#E{VO>39h!czZX~9xI6urk&XWVrh36d z&r*naS-?ry>%hUS7#wK5F+MUsu!|31&&eAZvOWs%8SGHnM}b{vV}f2bpAJTx5SjT` zj*Fk;)y2|c`CRSDM?gmpTIBYiH&cppfk=rd8QpspN|aAUWw<@(r4sd7LZEzocAg#q zdWw88%1*;elTJP@LCOoWQv@?5m>%*7 zGpx9m-wj97CDL`2Fd;QqU~&Rh1}rL3!*#j%^Ysj-sB!9@x|gAW`*s5(u*>VZUS)bQ zQ$$3^kdw#`3VJQE!72*q5coQA1&qrx@;cwaMnnq04GN!h*FikS{6*goC#K~%BccB# zL@+m+8WoS$(hr0^+VlO(P`i<83XX=h=o@_d(;mE1^^r{bUX-?L*9iegT#BGZ2Cb7S z(;|9I0aIP0fW-%A?_xUbggEO}Dz>s0A01uw<4!DCcrIcY$%Jl82b<`%|4vI>&P>+q5Zy~I33_U1CVi(f2LqtHms@Y8w-{eoezmO8n09d?wmsUf zqe)JP+7sUKfYqtNmasJWj?9*U$D@e8a|@H4xKzH_slnwhP!4+oQ_HEAa>V}Xat?m$ zSVxbQALn}Uz|tGMuRyNgy>pAZ_`-69X`ME$5=gO(a7z!v%Blk+Wir;QB%Q;w*yvlL3 z_L(ugJQ84^2{YWyGI7j$*(_Nvlez9ChYHaL1R1vWGT9ljUNYl&oa28=`x@lKmvYcQ zmuJL&CNEz-|ByFY;+Jd{Y80jWgwkfA6msVXxzXhE-WOr(v#|A=^>X~KG_&lK^ZqU3 z%rr=c;}frAP=I?wWNe$b+v0|WgVwu_poNFQ$rQPz#OM5e3X-}`C}z#4e{vzxddsQ5 z?HC8%xk7>l?vuIK!_IUtqz#WO76(Q0{xf-H{@*XN-YgT&l4U}<`G%&fmkCAQe}@Z} z8Mu)lXl;uxgp&^EYkhK3W{-(&PgCqT|Ac;>$;>2DXq5$Gt0mrix>+R zCE59RDfvs;>AAeJutcw)6oRAQnaeAS5TUHTe2rw^naKv?ljM^NX_IFrd!Sj8-zK@2 z+`DKSlDw(+Bsx3MK^HNzCy}Wt=_@cv^=u;U~Z27^&Iok*1s<`nD<)5ltTYxj;!E4hJ zAFNP+fvK#1Gdu9P?furit37TTVBRM0(SCMjo-DGRUwfN0%u9sBdMh)}j1Tz-K&{3{ z`^8SAExuXylR1^7)}rkmXCt9wtOsP~$uIr+-Hr6b*_nAd`R8sj%}&yp6}-D%(t~*! ziF`-&F`3QlKXsNzlJFpKhL}-e7LdD42=XYUp~bf5vWDAje}F9A!cjh}Xt9Zpyum&W zqw;YwDk9&biZvBOph6ZcGV|P}i@O^m@oWD4!~L0Z6Uo^cs1&jXqi_zd9%YES$CFD9zlj<|AQ?ZF#_NwZ8RAEQQauJB; z<90%4RNut*@9XL_G|*Kp5R)`Q>_y4yYn1A{1=42*cr++i=|XLB*+j9pNV z6lQSoWA+Wcmd}8+y(7u1zf>sG6(v)#vaoxKG>BtCHuk8s0Hopp8-jNkWKXPs?TXl4{k zor#!caC;@(!us2=&i&mYic&7j+nRqT?&FHUx2N46wvN;HkLhqaXhk?BSOjXP-%av@ zGjWtlZp_$$RuSL#j3{^Uy-^q2Z|rf5O?BmGnO2jTzRSsYWss+0LH~|H<)gO;M|a>M ze5GtJzdQ&~F0?vnTJ_GqV{oS51z9Frcij6uo%4U4k#av~aw`Yhdg%St7N65$oRu`? zvpU0(oQd~qy)~wen@Sc9HEJ^RV<&Q>S=EE&b0-~j;i9bzaGu_C&Ox0_i|a(*ll{OZ zFI68Km2v`#1^TbTa<-zqK3w$jqVpmmLR^G{&WoT;`sdtc$QGo-KRVCsXs8~_g;ABe z%Z~!Ta9CtSOE`9V=AvPd^dsc0$)#Ay9ghv_^zOH)v?C_6HmyeUIBr~>->lkU8X;~l z`<6daba25fWHLuc^%&;yx5DXr*;`t*((y68FmND4i2jj61Y-|mcq+_1Hs z&C<~!fICm#DBeYb1Yaa6(LYctu2;}%pB}ioTYXCY+f=ok;$E5P1C!#xG2AKBwup~| z=AumQfN#F$vYJ|GAxszUTn(#Gqulv={gf zTd&LfU**{t$`WcGF^os-i@pw>i!L;3`pcBb`;<~WfSQ+!!a8<@J6;83@jHN&XDX2L zf|3!(6BqC!+0G5eI%X~!?`pzHC$?k|?6qq7IpuKN;OT|%kq|CuUNdPzq6IX^q^9gf zQ|JyRp(!U8Fep4>j@YTR>G+%B^jAewc0ZK4J)=-7%I0~WilW%ZP!#m!Iy@EhWY;_t z_EjVHuTP>Xp4J{anYQTG*vZ=$mc-f%jmig^ zi;tbmEFWmv157KlIx!@6a&q}qrX8v_%cea=)EY`(+gCwpx}e0$fEueoOHq7@Xdh9Qbk`Lw*m%PYv{+;%|xd85iw03{X1y3l%K z-D5j3iLnD;#G2F2YN}RmFjisO<;daBGBS(UB^416mRL*XQ;Nx}X)jqVSfq#?#%pcG z_xFoNg4W!bluYUhNex=_X1TzHl8>=O_L$wKHHEP~K-LP0<+MU8rxl!ty^x)01k0P% zX@yS0klU*@)H_X`b)8mcVt;Q+ChC%mDn}5b0<{!-V1t}frA2+`9~8MwZA%(R$u%nb zL+h8YUCwQaCDh1r;S-jds8)orHf*gILFnu90RgOw|LaIe@;_hrC)MTu2g1JqpUHpI z8T^+wW6V0a>5cyrA*HhMW~?y;3U3qwKIj41*xMarLrI;Vp~!(+~H+Z z{uUksZ2>B7Qoss8_(GC@EXlmiirCYd!uCc@ct`Y{&=@XxyoH(r%KCBlnoFsKGs$L8 zs>S_v8DB!RD=&yQ+&D^?|p>p5`PWj|7cijj?uw9B6|xpC!UIpz4}0l)jE8)uSI+6N#6sbzb2( ze@huTI>#e!eB9uKE^5-%xv#SV?8W2RGnfEl=Z+XFK5_J!Li^X1vs zL(Odeh#DdLH!`sos93J;TwJVCn{z+1ruYS6yJoepBA%1%b!fW@>sDypG}BG6Zkk0X zY17VjZCZ)7+_h(zU&^=3HTBGwKB+s$0phU2HM1FF%r%qS z7j=zTN1PRdmBx0@DNXU=_Y?=UT^vN`{2!wJ^Dla#z8jSXaXV5uQG9gQ@7ST4J)J3L zqVaO%_DHHCGf?qNUL#e7mo&hPwf8q3ok3r;J=8wPETb_E-d6EJsi)&WpsiAYWkkQ# zvn8(|mDEZqLPM>|D1S57m>a0puPrjpPfgquYxE}*6AzH`ghC$M>63C^h8&W0T=@!3 zn72GDwzD%fQ7E*QUme>?AsR4oX%~&>e+iB7`w|757U)-{r04~*kA3;?(lI*QL>v-( z7&QqQp^pOwvS-XOZHN?ZsDnQX?|97mvZJeB>NIA^H+D zLXP5J+?(H3AdTq1s`poTUy~6X#7%v5;PNE=Yy3v#TTF;a3=dC3Xe}`Ex?TXBrQ;c% za=%@GCF*8kaDQv4F!7>_zHy8t;EwX4&bKB2ROSz}Ey)2U@PZrzV7tOkM~v}sUja+^ zZth@FdvrPpb0y(s5+uST;y#JE&W*ShPWUj}Zs*PESY|gX1^$e5xWB{MU(`!^iR(-3 z=hXQT85~{%(m{gs!*QyV^1SfzcY_w!U$m*MGR_Oo4E0f_G%EF}6KL*I8l1x42orJK z9iz4~@4t`=OB2Nt#G(v1$RlhFK1m#oA;|`Jz{dFJv;iS@Sunyzw=D!tAu% z&`tm*LI_l9T^hmh#3h};&E0?-HE@ndA9T*Mu&x42TXosejdW2|RugU!oGX4An)(eeXs|=aHC`=`DyekKd6@(Xp z@Dv{@qC4Uu_!MM=lgPkv2RIbbN2mi8XjGCbzp;z*jPeYq9wAjHVw7?G9-yh_QO-%> zzDupvi&iBDCqWZg$xt+%mLxgvSLmZ&UK8g@y$Z_pN|fIVu(m3d7#4j3kuxC$SSf+T z%3rc7i=&qaa4t9;qbIP$Dqyie(&?yXW>h^ue3(V>IufOYHu4mN(h_rw%IA13LGaRB zmBcIao)s|eg&HGYzNq8N2(MS>t(Gk8k6ObMvX|xkfxwuKK=r0PSqIcWbs*3oQ6wE| zA`~zRDr8I|Pe0EM?n}_uN>tF-tbWlD!gZ`E6qg^MGL|X&V*5Xe?eGPv^;-!g3z;p| z6xy9u{Q;0Kq4xh6tzu&8peIaiif9u9r7ekY-_tf&dwXp5==8PEu+5!bjntDisSGe4 z!&fubT|XL)&g6NOIKT_Vgb6R~OiZU_AOR3T~;Mx|pWkaUg87a>>rs zDH&mV9GlH?{l=O0H^SC@Ux|HoiT$;RbwSwv1~!9~Z}81XUpX5vz9~4nqLp+p`E>!= zm$&HKrv1%H)&frs7&uqtE3rV7J=vP-@t4>ahGScZxv<3k`ebXCC$|gBWIN*VPaYBR z->EJQVFZoI?ImR$K%IOpWPB@wal9@7O?;x?fL zI+7$XAxG@QS|3HIsf&%pA8{|+Z>TJ+>N7)7``k%PFPGF)#VL6~XS1Dr*v_zZA-*== zq|BitM@ZpV$kVU0Rdl86Q52WmCMQgKCaGaSXDTW(p?r9f)KqENS6L4#*>oZ3z3d3{ zXhCaQBXem@J(--WnXFX~ai}S117?@hwcO6NK4!d^_A-U`(ws6zU^I0X&i@vgjGm_N zYBGMBOesy~Bu(Z<$_X*CuxuiMlCqthO(w)1A=*riYBOh(sN2kJ9iq)#u0khOn>jgb zK@RvvE>v8FgW22v4@>zbAxU+uXi?^)nBREWS3Zj^SZQ!Be=fub7(gTYghdL zO2Y};MbfzbHycg~?D<+4p13V1jNH069lm&x!U1h3B!W?mN4hqxLVaYD78cV$Vq7?l zsoPMPZ5Z7f5uc#SQ|;y3v;;*|5;5|VcJ$4jD(1GM8RyWBE=g@i$=qQ~S)t-IFKM(Sj~xhDf|8~HgW@tBNkq>a=C?Yq{~PS&=3mv*v(wf}$6 z{mGI;;wXlhnzs$p9tt*TAGDWy-7$4Jtq}Lk+NK%{pMI*jmj9b*C121=9wxI)BQN`B z0zpe%wO&&8%JoLgFx9{C{fx@bsixQ|x|PMi#7@yd?jaC}&+UkPL4To6CbY4+NeI1+_|#1%b-?P|*?Kic943WXV_4R1W}uY6vrACl^)*IWoOZW9;Jep)W2 z=h%F7aO~8;s6p$E4vL-XAN9sgdCLcu$a>#n%(h`En^7Az^@63w)Gcfpqvr>DOH#b3 zQ8z_RD5rx}j3Atbel1Z-q>9wckrkKA`FpbB>P;CJCGymsdO3k1N)p{;KDPDJf2K(Gy=TJ1vwX1fosJ&DjVLDc$M(nfc+UH+lWtDwi zMWCc?v#4B1TpHItpDn7FOPW%>Z7P83RTH4eC5P$v}q2oH44+L zb}$={jw6p%7h&#UCiny5(u5EYCEb`&LURwtHsfzh!*4&o#JU@G3^BH)O^J~x$25q` zQ%xq4H$PzvDvFjZYe||XWK+7 zb|pt?@E}jjfKEk{D|BtnCFS~_>YMa`WWw^&$@o7Z@qbeDOPxrmd`YcQYAhTZ&@X@q z@yFU}qG@8E+G(NU?s04icb4Ya?xT6O51@IrOY>~^mY*FKtHV5tQ8`Pzj+8c$Y!7|3 z25AVXZ4}dgX3@U*ow7)*ajT~Geq=KBm=ZrQ<%!UASbTicQe zkzdw$jpeh!+y}{u+#U^>{$s&q$^K)C^fKyuN9DLx53o*pFFl*gUB42rm&yRqS}FLg zP-{b|9mz6w{VZ&+Q&`S5ZEUh$QZ97#XP*!4*n_O@;I1=!CPy)$;;c%WKEh3zL-P~&FD&f1FajmoOY z{Y=fjY`ctgeS5hhx;kRH^p3UtK%o6>0mQ}5Ry=pqe40SNtj)yym|TIjr83qoj{XDR zkNswpalz8$gxp{~A=mk?=Hq~x9qrHWedPnf_AiPl%2rCBBvStyK4Gkt+Prl0Lk=K4d z$g`ms_L>PKl{mvknR#NlZZJTK;QlqfI)Ws(fT5|ecL||0z z*Dtc4*{FO?zsSd{jY>I{j$~xoK{cV(yhEN*c`wt3_6wpac1dd_UL`fCkB-Tf8Ruzw zrLVr}$AAgMD5XTo9JY`=OslP#4>?YB<{(ev3inNRwv-X!zPee$>8HaZ_NomJ&Pq~) z_J0ap;fAGBFY?!Ndpg{P(y z&AVdWVluaj?Vxoyh4WvX{XgM+$nW~({0H~+;QW3f`sV!Sq$cY+h4r@0#cW}2Te=zQU@_5$&L?9V!`V`3hclQuTDG_}OA|~^}yQoh=#zuYmDIL(3lQBImoo-_4b4pirb`D z>j)Rsh|cNa_6O zWFA->wC`P0V%JFXV9StEwVZrPGptZM4WOr;bK3yTO`4=>d0)L%PGk+K;~^7Om1&g5 zOacZb0RW+XM%A-|TrcENR3UFvRW1(^l5B?ZI@NFXlgMV6))5cu2n(y{Fo>v=is@Z>rhhDD9B7ce_G2zq)9S zOau3b!$Y`=0S@!BzviTsB--nhU_u;#Z+VP3=M;vcHlM!PS#k+yD}LWAVNW8smUpo| z&P#xEZ<5Y&3prA3ddn15h z0kgn`ao)q}ln-JrSzlbg*dwjisQfdT)y7bU!=})gKpfSxHc-U;k`wE>=i-S;6T{FlVlAUFC=qz z;#_tdnUY`)Ih!a2iZwq+5Zcv}qV#;D@b5&1NomYT3^`B&>_E`!iI>#z?~+5(`b}%4 z49iVDERX1U6!(jETz9o^QanR8Cm?+1Hyj|OYdE{MRNhvp zH=NR1X|J_&C9YCKcu~gaz~s8{00KZDB5!mvuBP$lV^Rl&=)_Fk$~)7NQmOQ`j>qpO1i~*TdK_UPCp82L0Ei8^hyj7uYIZ{<9jUl+%eW7cPr_GhwhF#7OUEP5J6n`QeVMK3-)7%u-v z_K3ATV(oPPG8J!aG;u%Eaa54=TjGeRCI*RG@<=~rj@YAp_cvAQUCjM`rIF8DPlGI- zE&2^LO(l0om$3e8sFgkBUj;9Ik=MT7C%zpXO-6JS&E|4K>CwEvk}v*^YKmS!Kn!m5 z90DsPFp$6#1j+}+7S^G1hN_^u8f#aGLKL7}q79dK>cFEIjj*+q0|L<@?EmL-0I29E z(q!|-Nx*B@NXFLZRjbYBa*C2cW2gl=De$%;c44FQ2>yk%RvDJ08=ruYM<4BJ%b{7xRrSt{%S3n+?=?jiI--8(f>-;A9h*V~EkR4X zmO(7{+mflaQ7Y;tW_!_-p|$(uZM=T-vE|r#ddM-pA23+xMzKu$Iur|mls7uRvNJp_ zZ@>b2PtA5eqtWs^dg`FPM)yxcIyMQ}yQ66TD(Qb(CMb3$E^aTN8yA^TAub}@XSmZzWl2VuN>e>PKe%vvc^?eu4bJm}Ag)gx~5=(9h2 z^(*yfdaqx=Y74Ld-}WB=i|!M|Vfl!#{3WaUFXySMj<#r(i~bOp7hM=<6jn`lI%{;N z(l3V?gjG9yIbaws=aBD~;BnrMmRBEkKsWu8m@ka{w6fcJp z-OlWDrQq!?djL`U6Zk@a$npO`#XrF8-o33X2L!CoYcq01?WQ^O#VdEnE~99cUd(== zU5VSY7m~J7z?|1650d(0cXP7;>Q;ZU_%N+mGDsaptWTWVgeiNP6Wiz^zbBeg`(xy# zJUh+^U}&$$Qx$+f{paU1!tp&khpT5M*%=?sWMtmaTq}J+VqC5C1c@tar5{M-*Geyt zxVUzeJkGEEi9F7&eOw-ywU5ceTPxF%su;?6mz%PLDCc=5Q@ZnJz2U4rGqTOyAL6Ip zTh^<3FTajzEC1Wbi=EbNsi(Ubw8{}Qxf4N#4R?UW?BK-RDK7`l=oe@pzb7kXP=)M4 z?ag0RLupXCON6+U;$E^WwPVcg^@5f|Q3309&W+PKm4Yo4|Knyb%26b74+BKWUs896 zhzYd3uYBn0Zsp|y+~B>~g>x!V+c9k%mNwoJe7gtlLw(n%sR71~$|7OEyB%s)V>W2pC`QOh z7l}!2scpi_ur!}T6yF1c#xr}%Jwk>~)V<}c0^Ma;Bv*=InMG={a^?%9<-ID&K~bgn!qmooP`9W3k+>%I#35h`r(hDZ_$?RDeSmb||GeZ-tLT6g&lh#F zs-&Bg54H2?vtz9NY8@jjQJ+qup|c^3>*YU{9aGM%cIc$l$EWR6b`zWVovq4dF8GR# zX7l5W2FhAXiavSRk00tbl96HD@x0{wQD+$MCFy@F8Np%GkCgu{lk2w=i?X)6CXAKr z^5&$8-j_7dR{_L;GQ~vu5lBO5tL|bsQD;qKhk~4d;PeCIi?vx)6yL1*iVURn%D_LF z-5I!(_cIw-Ni1HNa|LV2y)zQmZjiFS*2&s>DmZDyb6Q3Aa%93v+UMfl7RzuU=-N0b zd5p`QOUhdH$a~Q5WnOWcFddH9q!p{N=dU-by1QJKbk2(vb(EjOV1cD>^jB`tXgQBS zZ2>f>%KtYOQ4k#YpLFwYy9qAwUvnfnBA2Egb-qKK$rMvydq)33>@+tjCxFHFh9)w; zt&{QXwS@G*Izd(JxRJGiub{cR!_<zD^$tgX9@+0A*a906pdI|=TvQ> zo{-H5kM^P{_Ff8%~p{H2RI4Xg|2g7R}znoOGEr&Bh=<>T#Tdt zq!^^m{W!5h{{#Iv_}gCeql)Qf3cgOrx=dtniO3*B->}jL|6TfW-^V@mWo770eYuLb z-t=WiQBq$95YqbM)p6*{@#}P))|V@!!d!i^PNnEeCE}xo(3j0*kb2Yl@;Q1W8M^f4 z4pid|ec3xm>&wgF*ZQ*MH=X*DOF>#+{s@@TmudC?3;HtUYf4|HL!j0dL$EY>gGq{& zzD&{uyZSOgzjf1>RRhqMWBtFPzWiw68Tzv1P_bTr#|JBKc6$KKURwNKG#$rBj=z6wEdLj> zks;$+fPI`A4;P(YaG0Gb{V+_eEIcvc!Xbi=209iWGgTawW3Fy|QWH)WCN<#{A=)jP z@Ua`GHR0=06|N>MK9QmcKR`MS-c10D7RY3H)lDc>fHUgMUy|d(lA_ZKzlJN`dV{ep zISxcTwArH`~cKPnP>~OV%G*-$M!#g3~UdcmzFC#qL?gJ;^FXq`a=BK z1J3W1QqW+ns$4Og>grP|JCOdK?3b~g&XH(lYk5?}n-~_l@tu}3y!1vb*Njb!b ze@xqkTt?-@$U?&aFi|IU%uutPHeMyjB6@l=&j4E{frvZ zTL5}`wf`@B-vSmQWZ$`*GiT16Idf*_%nSyKmhYkiLGN}9QA?R9{Vma+2ccP6nY$qu79Ufs%#TT$ zVzRP!?_h4!?{RLX<>EN#cPRMRi>F~wxZ&ljrR!g=6r_>S)_LmVPkZEsuR!%Sq4 z88%O?Ma}Ag^u#&fb~Nr$ab@gVkXXhW!ZyduXc_xe8Sh#7!o#gH;x|BEPu*wM&1_m`I>`pw-vO zUVC@tsTOoB6XazP@P+UDGnPJhD6K9!h`hXv7K|3yW}(5NXkD3BUqxKHS;qwkwLg`( zGOCKO@ty*_aED?UI(95jmBZQh*c5&Mx1n@1NFIoH?hqkn zD%5Ie9khxR5S+`71RXXb6Af;T!ETG1EbR_oBh9lpyt57iB;V5UZ$mXASjI<{92Yzt z6Q0xX&40F1`Wm7SrS$xpw(yKZFM;r6TKU3va5#bRsD@SQ_8I0$6rfP@&ejJRG?aF( zCWdKK9PA+mOG2^FWI z-$bg-j4*Q=jz4d-74uTHZ{7KnrI`OgwaGtEIvToLU$D0!MZuYh>jCkE$wZG1ls4c^!o1hVI?nkvC*9 z!7)(5%b43A-|>R53+;uvKea*C-Fr8L;F~8^p78Cs8#q}A-U9T$6M}cTb|(nI)2vwP zG%0?q$xAxWd}(=lC*f71;^_|5F1~FOdD@9oyKQktGwb)tdb@2;mO;~TGU^Bnn!g{y z5&nq|x|v8a`u_1eHRwk7K$r)k?ft|Qw=(mBjJ8X@0YO;f*^TBzd%0gP(03bLa2>~`_Z&cKPpGxO`q(+cyzzb6h5?|+?-d?`WiCW-qz+4u5j)D~V z6HBocQWE!*DtM`YLHj(>-hme}uI_{K^n$WdE)Z|0$%B3XYA2Ffm^=uXy~mLk!B&1d zZsj*`8tOYrvW)V>$>TctET4s;?;826oP)}h$>-GhFmOEh#P0T!*v`H}KFgmiGy7gH zpQ9GTt|`GMPUNhBQG;SEqXu6vYNpeu34tq^sftm9WesK2ytsEaCoxmRph4{68#Ep< zXpRWv`0{ZdV;KuDoai(hfX?Z}5au>tQY>>kS1VE}YxIxdJ6`qNEq%su&Ks5_`%hJ4 z&{Qj5IJ8r#ANMfv|Iz#{sTK@fIP|zeh9(t~uL^nPb-R!es*wJ6A@^H_#9J`EQp*XU z87G}17R(}yBuf6)0XYl=G>K)w426zR7L0$sSTM(7l18(}He%*bdnOn$r&|aT^3mP^ z*6{Q5V8`IT%(=u7HDx-VSEfwFLZ+MIzMX8%$Z}zdH3PIZ_6lI9H6!%TWwj2+nmKA} ziZyemMG)^Zgf#07-+My{T+a2z|&}+uj=f^$;$D z9b{&p#+H%jkHK#K6yGh;V#9 zUl_0X??O1jKZ=fe=7&pN+ClrDiby6ZIxa}0g9&kTTpmZqO^S|!C>>WRI_|XT;FuRt z1D{-*6#n=**g{idwh#r4wvgPogjOuw1Jx8%=FkZWib@EtEkA9e@_9$BL};2jHd z=+i%1=1|49R1sPY=zk|dEnlaI&|K6o@;c~IjJ%JXi`}5-iV@>D1yvI3hZ{x_{)rk3*+??_KK32USST~=WV@buFnmoVrW9jg z*_Sd9MY54eRp=>_2+5#oNimV zKS;(W*3TorC&x@K8qB=Ql=U+Y2*vubnB0c*^TSU{LcpuoZc^lsp^$41^)i9305f3z zfLk&1=VxO6jIWB^!7|kT5RR%FmD`O`VWWJP8z-hP072t89!Bvvy&EnwdOw6uoa(~5 zYhRN@#kT2X|H*WWm)KHla`gTY!Hn{-+w6Ng!HiMursHOO8Kt#IzFsB6s_9!HpQB*a z3@De+F^e%;Ux`oMC|!ZPBURqj$m>>lUqfD(%KN72xC~!L=?7d4?*W=87(Kb)kI(HB zj2`41KEo4?EG~}WbJqj|yC4nTo>-iP%RDy!xGjp?J$aAsbkteZ`}gxe030o_H1-HS z;Q(&*p36Aa+Ny7*gr&as;M1z_FYu}Adl$Y`eaER})_1IYN`1%3r_}crd|LIrN#$jI zZ%}zz-)mJ~)b|>E+4a?ym4;M(9jLFWF22I5w)onmDvNr<`SxISeeTg{UH^tpsp~?< z$@U?xC1rh0$7iQ)$zRBW1K!ijz8@n1-u9~>jKu_d&+*BPG<2IJAEKGB(auNT3OnBv z$@eG82ai(bd(6%^C@$X(lJ7pr2QPQ#`<0#V?6`b`CEwMO4_gf0lVD@3e8h8`il5hgHW+yR7&+Hf&%Ww-%BYKuwxHL_l~EU&ncp?*++c)p5CXPrrlFzE+-6~&V9Se~U8shs%!`$=Upp+>PI+G`{6(!Cmf&Fl zfTCX&`Z@=C-;XP0Se5xQmX8qvORPb zR=C<-Rkh5u8GE|;p)P9omS*BD*C9l4D=a@@slxD1>(3Kh8Ux_N1FOGh)#sAW|4z;W63w(Ec_qngKs z%H_sz>&%Vc5yI%Mo$YtDTE7j}?;`xl`J4B&=L7U9-4n=%;ZlMfW#5_-qS2nmQIW*` z{R&K0p{Oe{VHKA(_$Z7CDR&HGzq))Htor*CGBNPif=!r=7Mwya=kX2DlmKh-_JpT( zgKlJ*j;;Y)@_7x)A~axluOY45TO5PwUC{y|3|`)aeLHRDOqj^(;+?U@H{;@+`m50b z;so&9bznN559Nl~aUL_U04dti0^hzLY5tFCS(|;kemf+i{&}_;MHNyq20$(eM2J~^ z%4A^{a`4o2E(9$XyM2)%h>%6m0(<~{3owYyvJ9e(4HS4D_d*K33Mzl?G3SPmt}Ppp zwII`5uqP|CGS5}dt+B`__=DT$5SPO^l$sHFn{l~}%ViuoaYken;|ds8z&I>oXGBQ) zfR)TxpcOzS6Sd4An5o8%Iy^u2)ZQm2N;ff?7TU%BFwrTzmf`+BF z9Um)U;p-^j8n7DsKcz-DToMn2C<~$b2W=vL!z@~lVJ5-lx^!p$YQh{>pT*}29t z7f);=mp3`rEIZf5%oReYqqJ4}+2zPyitOEvtCE4Pw1FG|Lbg*>2F+iK1eA}z84=F8 zE&0&tYM+gJ;qUHh(KQK zlEW+S%3c_|}$| zWX@>fG{x72`#pJ52agfp0+@LhLRNPgO*3|MBJ+%{1_5~&=`*@oXEF);EEbRpjEu$}%7n>k><=j$x;zJ&|e zy{(Z#K*lJ6cmvy;=>9XhHpzDjzJZx4E!WrCKXNBa>clbl+wc+D3fy`yzHx^h=+OM|;S%f(z-8C-J!q0NWFAFSG3w3h3NS990=Q=+7y`I%x(an%Kdddg zcHjiuNRM!lZnz2&9y-Cec3>=938IS-ts{D9EV=^GC5Rr4XgwA^7SR=mo`~qtvFJ&N z9*gM7h@KdYo>4_g4A&%W*~8WNMB?OFVvQt99;DBcbjcRY!n^ZlFq^gvcj_CG$5I41 zS~eofH6xTUlp}XzVb|#rM+DEevBDPwPn}iVxFz*CX^c2XGO@~I3C*)!a>7m^6ePv>tZW+^^e@lR_{V9 zSKz}zCq-Z9(b`PPHizE)R|+Y@TM}>;p|OUxU6Bwc64*9G1Orlp*UTUob+)|dfp*RR zcv_m`Mo(X89WZB6_d-OxBTdKKeW7|A;7g5PUuX8z3!(Uy;yXddM-}lDum>6D#$`Z} z8OqX_Jwr^nz&}w>m};0!%H36Pm8zdZgAbWUU>7qAY=e80w-_+5_VbwdJv>KPk;q*&)6E6Ha4=0K**Ouk{Ld|`)!K2biUq-?5#OB@I zM(I3H@J5WO2Vevi@$Ign=r4($w^oM+3w;mjCXxo3W7OU z2xwpVCT#4(-aM`B;hQ=yYpRAvV{q#`oZT@^Yj5kJ?&T}Z>i}t$v)=vLELY>ld0dCU zTI-CpT!U~GzXdvbxr*O(Vb#G^ydG-|Ij-W?#x1$U>pNbS36KLztZi4%Sj%Mwd~y*d zy2`-S1ghI8-?$}vliw(^QhwC9B*JDQ|DPpHmBOJ_+GE``IHca>1Nvney$lA9nZ zMrlptGKdQ071yGan2u?^u?EotUQ5%8P278rz!f>Z?yPCwF&#gVP_C~#ds;u!@k0sa z<93*7yVvmdnPW6xf(5A82@86Pf}hBIf@Bi&38u-+2Xu>_RVbZVOL&_&t!L)e z%W?TMl>h-M%JDKS?PkJ^CQK~?gc(bi1(I@ub0T3DN#F<0Nra(cOPI-oX+Qw?V>+ww zORyIFmf^l^7D>of3D1{O3A{UpM|;2(Rey-u@nT@NrfySganjuIswAWgc9)1_k3r& z3XDFJC3LF5q=A6o3NRpw2*Gs>LJT1Um!nA2g@ov@0+YTA2p*OJ#85(TX_^pN&zmpz z!4qNxA%tb(|K5ECtk8woMVf*4H*YDyG1 zX)GYB6vU4RQEfroL5La)0;&nEVL?m;M6H6DM2H0z#65&qWI=cdQD;Hi2Z#m*F_{o8 zD!!(QyaZ6I3eS5$!e|y1UgSp@pdkUR`;DZkha5n84W)I>u|5ibBj-oBA z;|dSU&P9;Wk66&W1qI=G6mrtcm1(!R=vf6^h>%$)@&?#}l2-Gi1zIG*d9@bgPzAX{ zL2|S;>(~ugs;(dxOAu|RAQxGXqZQ<93UaJKipT8FE<$dz zrjG?#Ai;SB79<~gLwj=J5oL05#jG1>K@L=qP7AU~K^9t&Llq-9Bn~XE65ux$QlJ%VL{GQ zkUv$BwE{WOf?S{=@30^jDaf%FWSxSXq97Xta4q9DB%WUGRlWI=9Hkh2tINFb{% z$gqO+TafJvvdV(&RFLx(Bp15Px_K64E<$L}IS86nH4+Jpib$-T%y&L75$LCg;MlSV zVKyv+urO9Q|0e0^s|sL_^I2xVgBvP?U(^-CLlNc(T&Lhmn2e#R!2;I_j-hF_gwbOe zX8A3M;LtHzMJ#r%MT8E7V~Igq=6Ak^2v9Upg;zM=5EOHqlN1DoJBgU@#Df9~=O&53 zc&s88JKrM;zq4AQ@H;Tc9EqJ3mn< z7bz5e=Pre^PK8%EKeJFaD1bRitpa6>ikR>0lpKqlttw)%bDu)FNulsNzfmYdD!jtk zWuXi!fH}?#_F`&m6)|5aV^G4kQe9L~tkr0rxwfWe~bRg0Pn*Hy9+jp6}CDFs^OS?YmnS?oF7=& zS|kjkT*B!75?<{5ir{|dCILrdDr_MMu5j*>+!)p+w>7-8>q~gPGlv5JYT7B`#WJJ= zTL$J}Y=v(Wwp zi2Dj(*r4raFS|E8-a`zMFxK%_f#9f6eE~w)=Lg}NDylc4Vt8y6kVEEge=UYWQ&AtrqV(Wg zt~<#FefSl|`(z;s`{JBGB+#oUgB*i)feO!w1MptqGLJX<{_yd^r^?vmRd4swOha47!LAOfj;~YW{~|6u zHDFblzl*HjTI;vQ`mMq*mZB;uH-K5K3>fS1q$gZin!>N|cHquKDaCv&j5L?L#sN0?quZRvx!t_Z&7Te^JPGTnKvmR3tdnfVm6m6?xCL;>aKq#4Lo zW-d{&&#~BY zMg&YG3aCY*tlwJex5oOd!mpAjZRy-c0I4k%u|TW-O&T}&xI`{_6hFAko~?z04s1%) zDU6kS*2%Z^6y119M+gTZTJZxt)C$ZvL(jT3hI{6<0`W7z=-WD=u`LS{lKp<(Mm%!v zYWytQ<=c_z+j`O!!Tcq}=E_@N{JuEgcNwc)jk|KNI*sJ*S+2a-d|NtPjXRL>jm9wE6GZa%9Jucd!+{1sKJ*d)rvF0 zC2Zet__y+~bzl|Oj1}g?IS7(@)ls-ixgEOdWi8MJ7RX%+U*n@Wuo^}3pR104aX`E@ z&@crnW}k=OAJ0y(8kc~2opxsqoIZqdxzm!*PwW@d&tFJ_c!$~&B2)*QZke10!CA#= z5q!3Q2gHRM7mwWgOE095(|LJee{_cEQ|x0vB}ySvb#r+Uy5(Un{cC|j0JrrfS~wzW z{s?K&mi~jACJ+*M4MJGD-)QTHJ|Vq@-i)Ce6d~}wLAjPzOlcns!H zE-~E_d+mNpsSyfaGxHGY1zo+G0>pRIIa`bpz6#nTb^~^nJ9|#q zDycJBXvZc!yH)q?8>`LcqnMFj3fB~-H)EJ?O^d0Qg=u&arZb4CB#Hl*+x#E(LJ{aT zDy%lBW*ZpSq6y$oYxA%# zGu~p`)O%7{mNR7sST=QZj9t@5MCLpKrf(~ zyTFzOx@$?TDYVC+?e|ozK?~<ko{~rb_9yV;;2XzXaUw?PZdqFg39YH*$`H={`aiVm23#6BWJ~c zIOrp0#poz2=I;|$gl$&L6;@ay$I;)V{!_L8In+0YDDr65hv1QJ{)6L^T##5H;g>?h zqSYI`!vZQYux8qc?AC6KK0VykJw-`2!P`|I)~H(d9DR^djt@N&rRXS7)P`%%1ee3M=Y1c>C`W>CUOtAkkFj@SJZ9XT$5t z*X5XU9sV9Oy$lbS?Z;QXHZva+9(~-~@N;`>>?rYb!-YpI8HXc{thV9MB@E(oi1mpR zKW%4P-_==dC&f9&cB0oVKN0go%(1?xXf91of?C@mp*9Fz`#qcSL6 zf?P5veT_;}KK~+>kBz&NkW0~)!y!hHwEFY6 zanJ=(=rkipZW{eLF)ERhJEV{3cEdd?El?URUc+UGlhyc)40UH>AYB}x`4gK zx6d)<8vH$Gx(Cl^Ld5n##1{6Vh^^A`*j|VtwiT9G39%b^@YedqC*(__C*T|MCEwM# zsq*y;C0`H!N#rXRixgt?{8T1Twh{O}kuNSG!W~$fDT{>-bmkm;qZ0C$G61-Ipv@*N zI2iD$!(E;=+#OBqG3(}`Z=%DEXHTz;$mguQsc>Ex!^wrcRGhY*^8$h5a27_J;Onn} z^N(>jOJX>=q*Q9k5iKxfY#2PQtk?it{PryebaoPh&W_(U^+U zVc|SJ3Fm!LoYxX(hx83q_o%_6i4S)wPTNvgMWDEL|3eh#fiHnGS>t_)J&}vE*CHIb0lcy?MU9OA$dt7VjzpT$S#FjwnrO`LR+gJUN97`& zDQhY61XH#ps#`w&LR9fUo6iZxJSWqZjfRJ1$yl-M)!eO+y!`Jt@T{tXV2ZZNVRK+c z9P|-$;HD@C+S-K!Lv0SI14EUtU11oNfdhC%%Qoh@tfVb{h*tcbMhM&I2&*Nbz+cIp z#!PFDE04M7D|3BSO$F0`$MKJ_4g={GOh?Y~f5kyZ=}0GY)?6b& z<_rKU>+@HitKr|~_!aSedm8GB?##|j|JrR}E2mLv<={2Ms+m&>x$2GS*z`Tw9tYEM zwMErNFinnON^exz+B)qV?BO^}KfUu1MpfEr`Gh#=HxD%_?Ulx;x+KcgGd>fp@?4cz zD_4V8(Mf49a5btiKLx)nt*H5*pbA_$V>xou81^9O>pV;I?*Zd|ou{Xo#}}c*|2Koh zKfH$=EMBUhOjN~MvW!(rSxvHAQuY^OW8~~U$Q^m$0_h)usXB%!y>uOQsBz+|I84!{ znuCoKIToh0($yypQ%pY6Ec#gTkvL97u&9I{FExrmr!m>nNmo69RiAyOO{8n0EnT}I z9?=>8C7>{AoM2DQk}-EITP3P%4#oj{%uUOIzo6h5EC^G(_uEkMsetW4A}<8XXeS!c>H zF{{8@Y^Jnna-r#anq6qxp7t9Q3b{;XMEag)Ga{`Xza|dT{1{8q>v0=XS~=?6@pYxXpj$YNp*IT5A*V4OIl&N)xjMKDEqnobtd>YVI2=p*LDyXV@n zaLo?k!~&ZWpT~3JM&X1A11+UlNL!$$Iko@2AT)pmbWgz)Wn{YQrxk>`anMIx{hvgu zU-7Y2f1tfi^t4rdZE29(z`V#Kg1doWwmbnC+!0h@uPFDFm7}&EX0{W$HpD|9cPcj6q@#b4~7u(=mt(LAUPWp=rhgFA^f*k<+DcOka(yLrM6->r8A+J# zB&KO`m=?z{(JLesldZ_VmxO5mF^!DFbaD(+URq4H8TTorD~?Ya5snnZVTxPMYDC(> zX_EDoI7|jHy*mgEAE4akR9=d%mDq^sx>njH*r$NThK@E|IvtyCl(`?kYLxkUi*&8Y zwv3jNji$9@GYOU6Q^iSd2`)nb@oL^*D8e_1!nfkzG1azc(=v6ns)Jx!9mABKsWzsx zObsS6RZzw>PMU!$EmLofBj|{kdVZ9twIN|@oz2u6rQ=&m3FkpYsaL_Fte+Q2jIJvv z5s$4aaQ|#!75-@H))kZzSd^p$(sx~338d}1rK;M3DO&A=bzR$~SKGT+Aqtk^9rN>*E}s#j40f78iDT5G8?4*H1Y;xAFs zPZ!byqlI)370dy3zD~!K9{79Aw3J=cx$rxNwepM)RkdB4PGt?%Jht*q*h7NM*MM!d zPHeM{z~z+OwZ%4D!_Ah(RKenuvvA}jmS_eTWySQ8n7NE8Zosx~glC7WEKL{`BNAM$ z7}@O&?g{9~idEEZ;rqzZ!rpU)K}p0c`7}yQ$!JB4loQ*N*0CwAV^NRSNS5CG)mfuh6ByFu~> z$3zDGXJB7Zwynk;es`Ky zdGC{Gt29`mEuG5lXa9;r@XaJ55NALo7U=ospM_pr0{7%mFHAtzZ^3hoXrg|`X*}1M zPS3TYZ$IP`t-9u%b0Qv@it5!J;A3>qdRGFL@%b78Xn5Xy=j+6+Un>w+S0*jE#oA?` z1Swd)D>lA|1SEM1bBwjZx(ccBM*aeXBe$JNVqOwV8So#lyb;-ZlE)4_cxGXmoP_B% zVj2^NDb7YtzdmMLwrSVLeh`N#KSopf^)VY$+V!zp<1qD!VM@O~cF5JCTjDVFw=ty~ z=F%G3=15u2)({#xHbS zSwu(u;F4M_mxPy}O@Q*c7XZjj%FwVc_nCf&0U49C+90=E<*@aD2hzBjt-Q-oUQur8j5N;Akt; zjbqwIMqSfjf#_Q4dX&7#C}=xBUHk+j9G@2&-kFKmaEwvOu{`0 z_zYLW3xU%N*|dT}DIr{F@FryeFPZ!ef>8X{O?C;|*hNnXx8(;3wel!HR`> zIuFw{~zHA zjMqmUg2Tl)^R@%WyTZ$U{GV}=`?(OL|L?gt^M=FW;@x*0ii_5v$fzzF6<*mJ0ua76 zR~@FRY$3GrNDF+5yK$pAMfAei(3|9S2a?RdKuF_vGTJjThG&%E%4a;6-CcQfPAxMM|;myi~1`IjKi2kk%haVvS&J56VzHf3k3!yZJO7%=+ZbS7p= z=}amzsWYj_SZDeNc$UzaREGG@WPvC>g{Q!yokz!XxLUk1%cu$wC|?k9h@^1xaWgBAVlpIO;=WQq-i>sM}MkScWJak6Zh8Xu8o(a``S)U=IdwT#ZxfF*S0Qki{ zyY*pfHD3gTH069B648h-Bw`aOThoS(MRoKky5y+^*{M@mVK>?1NR8)cfQS;MZAxm? zufN4AzOpE775kxxgevwRr&+~siM8eF%Oyh+%B65vtZhiz2)P$Y2WRalq{g#$7!f71 zc1UW}Il|h#A{j-b2|#-rGJH|GXN$^-m!wNuGWyxMWq`7wV6@-!*>$q9Q+mX=-cT>n$4e`0a}U*rm{d z*9&;!{2N;s+a&S3NVK*wrroZ{IFV$W!uZr`^-O~+cEC2WCZSrVD|~BT2EI3tlvJ%3 zQ==9j3Udce>3m!J`}U!xs}U91hCgx#!F!TH9k~yc;0%0sOQZ>L34`Rjx0Ck7$wX`_ zk=R$4uyGTko=AZW*21jg34R(wwS;uqi@k%THgiimG>0GqWiEvt)?%RTj5F1Jtma_f=@?5C{(J4xbd zWPtm2%p+q#S{BgAOkn-V7AfDB#2u5qT&jqKa-T#5n><13+;J;p?_f#?x+8-=b#Z7ksiprbHL6w-RG37NaY%xGLZCR^du=LSJvHiS_Nhds%}I@#fv9gw z^>wT-b`Y|3#qmKM{3kpskPpc8udnM)QgCM^puds zxQW}7h)$Ird*a5&q2e3sr>S_yAu6G}eSH%PI=nI7k2l4Vn)U9~sM}Jbu1bv>iYQdD zBB_F>A^PAI%qCErG)6dNCCXVSHELaI=_^vB77{nS0ZGtTu_-+tUrQy(_E`23D2||8 zQt@7!8ueGaqL)PW?^2_xQloyB8g)x*)X3DR3lW9NO-kbS07R!&&K9Tc*2KzvjBpa! zAEZXTnp%2YYSdGTpehm+r_3KpOOUM{ClM%)+c&1-El-Vd<1xo1vM)%DIt5YSP)!m+ zJrSMCA)BB`5<%-yOK(bz`e$nCkEce>QUui|5mb3df^H&E9EXOd;=K@2;tGNrluBD7 zcM$>;gejMCLFJ~}JTYbC7GA!@`pQsQqZ!s{t*?~5m84aNAwslj6w%R#g(y22(e5`D zqNMhEpV5i^yd^biNov#+sZq02qoyFrb}Qs^S)xa<7W@Iy4=x0kA~l}pPK0IEP0++C z@4ji#*uAZT@2bW%-G>OLth#MLWD+ClQ=>B39Et4u3}q)p9fYazjF^wW(;7HalFR*xRc;bMTS- z5r}_m)Vo#-79cvcvbL)Zl~;UaH>H-oE;XuOYU%Z=^dii{L_{K@_vRfA zMMg5B$tj2)J}g8f$%xKRL8KoRq7LviVUo225v*ELZ(K+Ie@p7{`A5^L!=EOTa%*~0 zu0d6Gs^M8VG~&gV)CcA$(@1!r3_@3Pi$ z3a*~vZ%JIfnv<3b6Hr6~7e*mMxX^7h8LPtOIxBH-Ran3!Tlx|dJcEy5WfP{};UC|DC4-{d9Q0^U+5qcq%aZ-*_tg=Wah|kuG{o z!|i7>T&WV>etwPnK99idCwA+n+rSHA)~(K|epPN`Z>iB4e*1z$dMb1$U+lc+S~g30 zxUJA~LGd1=Ekt0d|PDG z2fq*VKml$w?s7FodY0y`cUMaccoZ(xmOiagw;yofHe=p(T$=rUY2LQdYDr-E7KT!I zq~T3JTNiMxlR?n*=Sn-nbgq18cJ+q7!T%VlSpv-LBfE2d$Swdc`djWone+P zVq@TK$Z){}it5P4Pb+c5WybRKE8USGKKsJwxtVY<^$_%)nIZSl8@n+8%lcn<)-T|T zsa_#~!)As`_w6deW%YR2vNDXvEpc)D_)a`-iOY(2dyH<>X(n&?S}1Mkzkpk z_i(vzAtL08$A0)g#b(m!+if&_hxu{IH=H-mbnTg`ZrwbYJ7(+m>Wz_0;opJ%{BQK& z%{g$_z)t?ga&uWHU_9pIA^E9qM=!WCm_I}x^r}8=?|r%_^U+)&FU#ItZb(+(J}ZiQ zfNr$v>pOAm2)I}2jh$JZ%$dBjF!EjC0jzwVgYR!-*cF)uX;WhD3RS%UFHA<2^n5o$!>?vcy-6F1K(=Z3VGR^_Y*6 z^s?+PEKcazjeuvZ;4bYwsw_KFZv0)X033j5p!$Jk<;2$&1raw-6z-GAs_Lo0PC6 zCDp;D7#(J+N>vX^;_sBRlst|bk)sFmr|amy`moNaXOx*aU1h`E#}rH%P}y4_=RT&t zZsc_7%;szCk84=ltYW$mE5ePZ>4Wts!5ZRUR@~spep_F^4~(65W?6OzlxWWp+EQ;(x4XDW z-IaYsk-MmyXWhj0Xv&Ay(-WO=K_NM1+RES5p)epmVahiAJ$2fN_&a1uF8*FP?dZ03 z_#HT94gMBQe;I^~f;f5endt3-ze6hB#T&|vFFcJAPy>^sJo^pL`n~12kamzKc;Tg> z=mh+~p$Ip@zvr$#24uL4eqd3w$^+M`4_VLhaT~52mos-hT&r=Q5JmxYHjb>lLPpVx z0e9$MY0g?zbt^J(+`5PZ7+x!ZgLgysdd}g{(JOE9__}f`d*js-kJ%3=%QOy9zOLNL z?WBmT6aA(1 z6ZBH_@m;u3k7?Bn2rQZt&#@eEnWY6dY^lv^gc*VJmfGyOWcVIw5ZRVR=lQogD1N~U*E<1<{r8NFrHw}spZ+7S7=N7^u(XCi@V*``KRLR z2N#3A>xIKV$V6+e(Yx333gBT~Q#M5M2`Ts|06)I6H2-*ZG(4-S1?HhT9&;3`^fvsH zvMY1UthTdt-_~zXs`pq^#Qke&g^qVOhIqP8$IxgVYkaZsle|^B@gDMKSN1frBTup~ z`?f)C=D$S%ochA+x~}DDgKpQxE$saL*^cJ@Ij%GILWvmbji!yC<#ic|=vePQBM;d( zhA`x~jl9T>ibC9V@l*nZJu4kLNIVb4c9O(Cx;Y{jMcOp#F(P$Rsc-y5x9RLznX7|P zxr5rbtusdG8n>}Vy;tDc7$TtqNT_FAr+x<9;z_A)H0c{Z)AP`Y@6UC0w3eNb4Hy(w zme)`Qob*2Tn3Z7T<}!?aV{5UW%dIud!ieJwRx?G$9>OZT5;ij*nap|lwrGww6F67ZPtn4ysz`rX{Y%*hfF!j z*LmTzevuv$H&B~(0F?MTi?rE1I#jN${413QyWhAz0o8l=b$f?+%&8b1b3P{Boq< zi@Qpyi}mi8M-)vN8mB)uCleaSJ(wdI)!C8x&=wx_X>Dmi^>LAh5K^NE^@TYSs$i)1 zT;KFQSyRrkRX`tTd@<|n+p?)%cs@HH>SB6N>QzMGwdF@FZksv6Y-mdFYFmo+L2G4H z;&RrUM#bt4UcBg#iaJJ*?%T!j2ewrublA^2@mvQE-)Me{ZutE>@S3sF#HW$3#FO2Z zVz%aWg)7JN$P6@g_Xure&I`UyuXn7k^H<(m%Z-k3-H-4vQMRYM8FpAd^dsD*oU4!9 zfM=txwO)tbX1{&pHYkCeQnlvN5JiOwz0BxX*>D?AT83W)GT+othW9nsOOU^ew$%i% z;cc!QWIP>DJ&ZB6!)1I^zX?Jrb07B2#jpl3hOr;O7+^n`s;KbrlyrrLzS>^=J|D-y z>ogh0=-dg$==|!@M6O-#7bVbNW`p$p7Z9DZP-`%$n0ei4tSijE`|uAq|glWq@Wp4ML*$dW zkYJwXp2VP@@rcz1CK*RT-FTo7%)4xE+0lgN?_L!a-! z{wcYdpXaj@F4JC(7G8dbL#`QcUyku1Snlxcy-N$8PwH^as&PvWPSFgUa$avacr#Xr zmZJes&sv}Y;WBdp4+dyU7s;9U<|>BxuLBQ|!!SHcTUxUQJU7mg&9?k744}9wV zfv35Y1!uHfSNGW7?U!~$j5iv)PHo)RbI{v&?dt{LLF;0Ctb0KC=snpp?k#;-4JA=~ zs0z@ys-l5EcqeWr&VNAC9@&%)&a#_LZMOum%p6{UQZ{vX3SCjh1?Itnt9c0ra2oolsg>GHb^|SMi4BCnHZ72Xip+4)peh>golxrBoJ=s!-U& z=jX9QSg{HEeG>ZP0_gV^=y#YZ;}=0M*13$=iyM5y%Ra-KbIqlk+QM=fHBTS6SvM+c zb)yusmeN*S@OTsweEaunv-+X4YW|&&IgvLvC*#5U9Woam6Lts3Ib0pP+{XACx3L9M z*tqx9LF@0@Ktb$y&z1cq1_9i!b{CD}#vM5x<1J6{H-+fk9%D5I^t-fKJi+KOejShs~)Wb5uLH)~W}~JInEA(N!O1 zgJlQ2e{y5m=QhXJcnl8TVL%+u2`fM~{}}Xc);IDbd0>vI0~Z&e12kZqX+bAgAOn=A zac7Q&CFBXtB;_Cjox##jqHMTKvS(FcW!|1u{W&>0(1TqdscHTNenB^r!u zIR0J>hRwqj9EkJgB8+bs$nq=CKLMsU=KsC}1>RHe_b5zTQBYMihq5d@<;XxS0|)r& zu3o^`N&&yA>Iuf;^lAB~sz3AdbKlfX$i!BBf>E2Wq+lJYXCjsXCPFf)t^G1UE*&w1 zmEq!ytNV47e2zAA76PTlr&pRepBCPZ{kKrruwP@butqTcxIYZ4AZNn3yb%Um)k{n9 zjcHO7rZHtWtakrYDx%pe2J=m?!`0*(!W9X>6Zy)`AlZZjyh(*NcI|Ot0e6tgaE-N+ z@QJxskSdGd=azCJdu?vHF_%$o15o;0E)Ds%;-S6ISJLoSXI(EpSZY zag5vUY22PujyG&66y>nc^F8JtNd&F+Vi?6g2vk zFif{#NVW{qEcEIL#)$mt5wzJJAkX=08Kw`g3)+ThCJoa}8m5^vOfxOR6y3W8%G5VHQ{=vruXvI8-`dI%n3ffK3w@n~D)XC0;2Aut=lizQyQ)P8M2^A% zSR^^^q_%BZpeILB^KtefEHXW=?7*lI;_7&rC3%aGHk*B=)L2Vt!|njI^A3Y1?KiOV!iTl_Gp) zQ`XnHX;)@M!gB}X*v)V-AEpVj>{*prd8}`3X0?RaP>M%ealft zB-9uP|8EF2L^e^VH^m8c=_Uv;wMpb}os>&8_zjrN!Ir=_2k0$en;c`R?km|LKfTp+@&p^|0rF-(Z6Q6sS4i9`DJ}aGSzA z!E(IPy9pHG5l+y?M^D;*!tt72G&=hmgJ3y=rkeg$)<9YG(1X*URCdD5oIs){fanP% z`U=hfub8Zz-BUYzWC8vc;(rnTm*9T|{*MKIOFLw#q8#V#y#PEJ18YO{1ync<1>NY~ z7YXj_h02O3-$a`P+S$;>Cc(~BZL<1QLiR8_HBl5dC~E_TN9Crfzl!0(s(Xx~q8(v- zLYv(5HAR-jPrNRL^`^9$+raF)vPG#=%j}NLSI4)*Cho-htj0H-pmWB0H#$}7cwo&~ ze|sTktYuC$Wet%~d&;`p9;xzmb7n2t0v4S21lsX?!oyz8CW|n&8XuclJuUT&&7Ali z?-PJi*Pp-`sv;6|wy5dgO=@+*9t3(CBQXe!%FW}9gZ0%C?S96tygWOV|YV&qWO zZ061Jg?9Q{a;qguH>AwSc9!2PQ*nG{gexJ2k#+cjcA~*X3DgB%Vw2iX-cjV_VP5lonmz)wbMc7 z*u@*-X4%WtEW6u#s%PA4eb`K8r_3b#u+|teRkkZ>ICo8oH0b7lonNCHQ3mdp8Gx?= z;AQ4tRA+e6nryFPrkJ%DH`(6QgKI*PFZ>$6jm_cJ_>G(bbd|q>UDZ!4a#;dbQ+cby zmYE`n;B(R_x_g~sPVOK?01>v8NR((9i7QpD0;lCSotv<;pQ3+ ze>aA5^cj2af%Iv?t2s$A7pbWL-U~X1*#v;k3}SKGnkzXF@|X{)xf13o+{e(Cx@4{t z!MCfs1@9MQuGEM?snLMB(kmt7)LiLqsE1b&U1t7@bEVmFbESG~u9OF2xZ-lnBo?z$ z71Qm_X&T9?lJ_>O;M4+xEcy;W-aR8ROFG*aIo3+RWkL87@q-08Mjk7_26Lp@jA|=K zsgFkINZl*JM{ACBs+N<{cAlqurZTXfKD2@DI-j0YQ2bbkE=Jc2fmCIeHaoc9>oTUI zlGbAJf5*Gf4-cDneEXNYJMI_%o_7}^@3+OfZM~0#caHOpfOnXchtS+<4Q>%1F}UR` z@(LWQErVMk+P1~nk$c1Cr^Al)pfeo_&gYQ-5IFaq2Amf_h~#(&I+fL%X8luczu_i6 z_9-AH@Z4!GWw*t?tR)trqIqGL@rJL-(eatl(bz5Pq3^iwS_|VX!!_s&U(;RsxIG>? zkKiWMa`T^7@AUTd410+3Hmz^DxwHWc!k$NE8!rFIj5twpWqvH$8~N|#uWIaJ%O3|> z%HQvP`tRj$3-W$j@;5H;NaQat=>L-Z@lv%z$ja-d!sWg?c6nOlmzZd(l~4S&{WW~z zKh%z?l;g|$L;3g}@anV>-Va5y%0F#s-#^SigwflHbo?y&RS514p`9*RLhfqEOA5*{ z%8%&f856N&`2sqI{3|nh5|xW;1#Z zK?&BB!S+}4?JDpMfA>Btn`?oSpfP;ITUFp_2`p2AObI-%0$*WhsQ&<54?jqb8_is= z%5QemAen90_6ypFa52EXqnbu~vnFWoG~meF#;~@cp)!vyTG{Kv<{Ef11~-$h~$kXun= zdfLQ{IZR@@S`vx*3{S9un0!SHmUD!RMU@sAJq*VJeAj1SnK%4ELeW)-7e0(W%%Xis zMc=E6)>P5ChGPgYwjI^%IMXVI^mWg_$CpbxTXlc9l*DT9w@q*VE}(v&uiC< z-(b48u`kyBV84rxuRPhm!Q1D-n=&uHF|)D&w`FJHuB|NphDx~Sw>eH~ z@U>)O58$C|z)O$ufo`4z1eNtz&A|p(X+!-`)E{&4zvF^*EIu@Fb`FEn+I#;Q4UA1t z3a!$3huIKczCsT}g`ao6`BoL6W1)~MqlIXJ2g!F6cS4zyR88QYRee0JbOPnOCBf*= zs&aV*>lByEZy8pTI4_;dNRN?&<>Jzo;tfW{V15`CMY>tuQhn`(r~#a&?j6WqtS*FM z6h&*|G3L?ghquk;fEt6P4*HV7?;P9+FX+s~VmaFSARJKT2G|a5Gqu1{$aUMF^kBSy zE^0F#?0cGpkk-DQab?bdF4OHCjH!!(pFqR3ytp+FkJ-mbAFJLTqQNuM<-VwXRd2F&@4D z=n+(RFE=}SuUBim~m61aHe^&Wnlki6~Os!eo^;m?#!nDE#8fhwK+J_IEboc>rE%V}l7k>}$>4 zZt-Xe(%`b#4d<{a_xd`&KmF&yidI14=UPEV+Y7$E*_Edtljd(jm_@*i>ig64f_;7) z>{H=e)!OzbdPU!J)`jwm8;rhZ;mH zmNyjN4wAeI$*2d|4(LilTX{LEJlIYY$PX-1 z%hl)gc6G0I8{fGPG3+FQ7v12mk#m47hT~+0$QM9(x>p-}BY#F`l+FLh&!S&<;;Y$l zibbPxn~HGcSCzMmci6>)$u?3&#^yRF@1xfl1O9*@RE04hh!11HZ2Z;yT!w_#SdNn-LIVf=ePspE96^us zT3|OE8Q5S8Z1jHt;8)Uul<7+)%t>*q2&qljh!yw&>&J?!ENv_u>CNrP8Dl?ugc^LlF!jX^P%FMP;!6d?0K2S0Pb7i(eCUC`|K z7{AfRXe(@Xyom_TGG64LHm%w5G9u`P_agr!55cgT?15JcoQsYO*4^OjmTI3um9Y=H zqc1=9XL<*X7^Zln&B~HKj}Ry~E*gs>T-~o=fVvG|k=}M9DmcQhGYyMo`aUy-(e*LZ z&W#Nis?XZ7aF-BvY7C+5RqCf5fF6fVZNQN4-Z@E|`z1eXyQqXR;{uqHE zI+`(HI6jO4!|)f!2muDZ;!uy~*G1I3k95G!vcQgTz@~R2j8mltuK2#_JX%5}jFbWU z+M(>3otpnwRtYj-53^zeov2!~V`7UMcwR)qc9#dIIML<1RhRz)IiW~_RP!rk)xA;% z?9T65h{-f&mjQdL6&rXQh+l}J!|>cNY$Gno*WByDDZJ^R`Qbu?Q4+)U-l8d9-`?*} z|3&cT*6t?d!3*C|_U@UeAM^I+?;h`)zOF3qI$sxi_g?nyz3kn4rFWnFoEo>~RASq5 zUl)7#Ui9uVz_N@2mXQ}c`H#VqZ}z?1+V%*0_dU&O-2OR!qvQ5?1i}MPVDG*WpH}a_ zhFRl!HwG}PcVk@C9y%Ar#CrFM$P96WK_4}VTRG#GG1eTgzX`n?o%wV8l1ajNcIJ-| z0Yn)u@=r3bbmmQhLJM39FtG9(@DG*br3GMw=0A%cUDB5oN3>ae5H-R;2y_~K{U|~8 zFhAhPB%SKn^GQ?0OGa`=Y7j1xM zhXZ(`6^qPMy)`|1to@J~ySOokE{h|0KSP%tkGaX12AA=*2Of&XsBm2s@Nv~bJKOIl zKq35PVf<}J0sIZIAUZ?8ryIfkNW@I6P7l7sPdLQk6C1a|Uo-`Hr5TIAaYD{Dv}2qaDzpMzhw`5Xb^SB9rcdLaT|H)_Fd95nXr z8>;yyVadl89M$F9cbzu#dIXFOnBl%v_+u=`Zqdzb?ETM+WI+R}@0!RSZt_Tcb>x%i zcU9yg`&(Wlh^&hS8&I1_Lo_%VZ5sJUG+4sm6Vc#M27kw3b6_C8*(y?6fI<}cm6fqM z(BDop0*hF{?NJmwU>&K526Gv7MT1-%j|_rgP&MIEbw4%78s4VUX-s5j5j14X^MjA1X&ghmN2*=8l1=A@1wz11|N(DdDJR$ ze>7Of;7_AL;edE-vS9)Xn0j?IwU)ulqQPngi=x3v44#IdZ|b6oOXlq<^8-2 z4>U}`JtXSnyZ5v`rC~g1HyEY5p}Nt}@cYT~P4A56YUa7n7+9g;(lw7ZSAL=`EsbEm ztxopac6OB-Scct+L%!WO!^=4M74BeYdv=ezmnMD#uj`%uesZ2~DJIDcbj=2LzwDR^@ z2bk?7sc6K(v)?=GQHQNPBnzWejnWsx}6 zy#5n)iomyXbF^p|YKxY@>1jnB!Ai5ceLMH6woz!tkn$I~LyKcz0CyR?U5#5EE~1fW z>^Swt5ubL9uqXPuy1jkj@6ulN5FrXkVfD;9eDX^-mrO$M){SM8p*G05GBYq4G3CaL z49O!>Qzb&t(EwcstBRi7*a#17MaSJ!#p>jEPl9a8d+DVHHkm{HvC$0I7tU4Ma_uBn zM`NKN*v!u1lQ$jk5Vgim5c6#gbOQ)k`%Bi{2=FzDb8yuhRK{^pF#q#Ni9}>GuN?LG zeJ%21?FEkJNRY>BMjDro6>Hgyd#t8C?PE0<$KxNX!O9m#DEs7Y^fH5+&Q`_Bt08atMZ63eOD3zlRrrbgkWpqpnxBU5i{u~P!a*7tz8AMc zb3lBO(YTZX(a;*a7(s2)CqINk%;sYhow$c^BvPKdi+c!1B4?=PLb*JiD>q_{vTwwY z4C3qVHq?z63S=lmls-_gw>wCc9{+ZSY6x{3hGkgU1VL`mm$C;uaoKL68$@Cu^e?y7pyNtyV7AFttquS&IJ zK@|t_N#n#yBi*W69aD z9GlWKS5#)BBA}e_n|2+fR`dzVkQVwEc8=4EfYpM(J6O8ag2qOd@fr^NhW}8en(>do zET_^hNK@~9|5NSxTH8Tt&Vj-rJ^VeWcPfT-lJ_KZ_Wy|FoqljiOWTv*G8@%yz97Q2 zqFIzzTGI3Xr%1o^lY=rjQAn+`aa`k9Qa8L?#)Z^@g?0h8aQV1T%Z&xBstckFGs8C3 zWSowgY7F6S5?xHSWn!)D=!#zSqL5Af1jfW7m3F}Bj5}P9PtJQY&fCJ;;nk zJ03OWr7*mpCYTcd*%_sBy9-lYMmuhv$s&*qfQ%h53g9Il%8q4B$^kQ`0U6*%&wFBs z$<9CvgJmhqITofYm63@+n`HELxbqrdU`pa=@cW9HmN1!spdNJy^-!5qQDSgekN-|@ z+|e_y$yF^ub_tw(rs)OuL|5MGYWWt;r!Af3WEnV5llQ8tS_P<%VE#s6ISbHM7;o=X z%Qsb_w)6op-Tn`A-vS?1as8hs1OnWkp!iNTDi3YZV8up%?m`yt$_4|7^;xO3NUgOL zb`>j+#LdcHmj$gw?ZZlKRetTSm9`22ArF)UP%)ymRIM(kxYxA`K0*Lt|KIPKdw1{V z1wm{7d^9_E-gD;6nKNh3ITOQxlWuUX?!xA6;t3W#<_p4ocD_#QJq#KulIHSA9-HdheNh10HdxDz}Oa};aa~)U* za31T8f4X7HHvGLV7LOXyn{XCkG{y&Y)oDHQAbTbJU>E_RRTFCmv!`RxZvyN-<}k*f z-`stwRli}(jjL>JWWp46jD3&2Quqw}+I(;VBDEs~H^QEzDjwAbdJ2Jt=KS^4hVg5bWNF31h8 zj{)0QB0MM?m(<>}rn&zDMl#0Tl-CRMEa9zCbnRhCJ;0C#=XZ3u3a;8X^iCD_2=S_xLoL68r@ zx$9#LJ|;oe?Fe$yMJ$8&sMwz(NV2JmKb5~Xx$7JFdjo#w;`eIA z&%^H|cYX1E{9fv=uj20*RLtLRp{fP=Jr`ZA!tZe8=kKYa@gyhmHJ49^srpcGfjE=@ zB9CWs0aS%?pmdXWny1KDwkrUK7l8tw(R|ofRsNZD4^$C7OM~>#gQ*KViQ@6&@WeI3 z*E9a9RJ+f4>{9FB3@wD{Ek8u`w1ejn+z4YiqI(Sv{gnxtcQ3$0@7)yD=F!K=2 z>emRC_~BE97v_oeUbcP-9rglL&QtpY1V z0l*M~X|`ch4j38Fn6Dwm8o4?zEtT_9-w!e}nm_n3;~NF3k*c%?t@{Z`?dQcHYJ~m( zOq`AHw?Ng%q0d=u8&TZt?1ofE*f=))H$#=#Vp8&t9X zWRM}sS8*;yW$-*zD|d4+RuodyA(av%9AQ-|nj4AcFx^A}_&4&8VncrTzG=(#4^1_) zxH-Qtd=QXe!ecessaIIIOzzXHVj623215#H@hkfik z;L{|A9cL!WIe^snsfkhcP_&x&IgjmO_7U1m4@cF4@!S=+TA9XH0$*bnd6dDgfFGtJ z!B|dVi(8qABrdeNQS~@;9Y~y&ZgzHO&F;=<7L{`R|67Gd14V3u09u|M$b;R((YSl@ z8LjbJO-ZEZ^yf*lz6v?8D!iooDeLGmepznnxK>8sJ9yE&KjZSt6M3Bcp%!`of7KoO z6{qm(X9MoQkb%r5ZgE~W`hOc=@B?A=zZ3zZzYl-48g|H{Ct3{+Fle{j!z=VVtYSU9 zLNB$7_3#Qkfe{%M(9HTs-JTVk{BaU0O%TR65Phy3dJK zVq2_Oxk-Q9iVb}OwKwMv{gb-9Rgzd}JNcqBvaLqtLj69}oo*DDbg0ZqmwWa5P#@Bz z`N`=txm~}_k#=@EP43vQNvDZKMm80&DAEC3#qC{<>4fje7q#-X)U@5fDQ=~Ti=Qek zKc*H9uH!aH2H5H1KJGV#>Wp_5e_L_ej{CSRypKC2Q;+6kW6(qDvOVKEj%qaDL($IE zXyiI>{~vkTz8in#GR_$MA%b$*{)+<#lac=az$Y%EhU?SvUt!8tOx64o%gaiZ%4K_B z3E|3mzFSYO*UMVwz7y&HI5P4k{a5&0|~q!&Hg1>5qpg*xIyYjMzq+MB_M?7)H}b%u*GxfE`+;G^%Nuvk?(5JlRdH%z17o>F?2QR)e=c-U{pcGvo;mi))+FciBIH8Fu zasrp2AP-e8kbJ8OKdpQ+H%13!Gpeu)`|rjMR=^$X6!0zMirn8?xC)hOp|^o3-m(XV z0MuIO@BE0|MGR5h4?-ZqUT8Hggpedh1{qm#Dv8*L-L_I;Q@*xvI|of2*g+d&Ha3y^ z7i*!WL!d>CbNuK$ivgRK96_;yz_s0hsk4?2G0ho8Fya{sNO!3@=2T^Db9dNVsoM2! z?yfiYILD1@^T0*4${v{$=ytX<3R(bj`G1by&EXz!uD5LAMoee-`1_!`q2?Bl1|3X}Pm67*!RElM%dCI(ELhs-B!2 zkb5apx>-_BI%`7V+`x3JaIU{wB+Dph73r+kj^&$C1ijwHzLAgZqb3F2O(F`yW5Nk7cro$7-niZTP^7l#N7lwIOCxY1r(T%syg<=%IDK4R z6ew5@5in#(hKJ#vS4WFmyLbJT7oe&l90iK~{vKE5cU0v^ zRT!kIsv|aZHAnz;xdWLL{5lqQAXA$YMku~Q6`$J+=#V}CV*JhX<6Q(frzu*M7wA}_ zqd!>?|D=%H2?KBB{;8NSoWgiTW7#@`nd1S)8(BG1(pJ%M8&g>BA6FPsZ1L{}Y73 zwq%*HROn5Fn)7c#eJS49bW7aTh+}49kIl0Y2Lz`$+ogpr*aLxJ>eM*`Uq~YdSOE7~ zN+bbx_-Mqphf%F#A`6@oX;&V2!F;`yw!RCOp>b04&ydGIc&@FFwvdX>7SVDkqJ?Wz%KTq+H`SC-;-6&>CSq$VYb4%|?iJh!r`~V5!z$Y3 z-q;~ie!EQ1b(SfQnKl-uYI>}*Ou6kcRd<#tJF~gO^&L8t(=G?)Lz&~s%4{gZb&5z( zb(~@#LH+he5EWju=phkyJXxZo9mRl`()gV*fZK9&bN-FU0r=S(zm@nDjoj9=cLe2T4;;UCBPMCx#%1os-u6{S5ij)nm63QHQPnTWB@$uobKH zf2~+?om~r&D;Ao_Pkqs!W<$gO83S6t+qClafF8W`I<$bHfA6RM{g0{SZ)pJ^tF(Xz zp#|psB_^_+7H}sz{$jn8A$FjS|36W-GaY{sJKX#$r3E|#zan^`_0IM9h@bjzh#gL< zL=eUW6gzmC)fPL9T1uVcxez-b1nt`SBU56BlZGH8r40S>OE{!deE0v-p$SWo`cO*c z?6vEzeG+&CZG7PQuVww#H6Q}dDC`Qp{bKOBB6nCtAExflOVK`AYoL=#=6#T^ja{!g zU#!*jJ2$wOcQku>N7EP!FB&X@ekC`FHPy%`ReTs-|7p*#+l578746C8gjTZ~sA;#^ z(z7FuH)^sMM9j-tDIR`j2o77Mxa?qd;ygQ;gS#&q`<*omO2@wak=+{f zwRd^7`n4s0C4vQ;`{M@kJ8RVq1B=cW6`T&y#6{}#3naui=M+u^hRn*Xbp`80*isKYoXsTQmbF2n#2PKWiR2-i%4Ds zYb*0|!g+9AI%QXL`SG7$;hA(fe%r1ed)Wm1emd^5Ntg5f#T%V_(x>tK0@BkaKB`c2 zFE}X)$jz+1)*VAWg0=px@n2c%r>EC?J&9Kj(D*Dz(H^e$osM?uDS|t8&n0SDHhLYp=AxKr0owb&P z0e6CfoFBk3hH|i8RZ`rW zmtMPjfVHXZIo+ir*`Ck6tJ5WWQpfGtH+Y4`T)f>>K6nMNFtDPhyYg^ZZYbBoW%`Do zF3RT$YjvycDhm(ZMLG+vvc19S!;uq6klSjXd6>C z_iIp*Isr}%vgZ#T(^USoq{MrZ&#NT=yY65U^rH?!KZ;20F{mzq zsKjlkpUO}_cGrn=)Q#>sQI5JEzf_L;HsYxqb(yTTJj-OV3J`|&3fzt62|M~Fo@#0~#BmQaLVDpf%a0GBZ zG@(|aXgv2x(TchP=P|8@W2JdHHEWZS4gDW79lBePzpNd0F5~*kqZxjCqAt8?Dq2J>dYEdi% z?L6pR1rUJ{6t8}WP;f8oAltZY+IEny;X~O$#%2LIxAO%YBS;ync{Y;NCk3?T$*Ip7 z{M1sP6z!T>sZSR_d3;o5mjN8+j@0L9roNo|EaoR2kf_W<`1ut6z_%%dBlyosDPqd6 z@P`?jgKbD)BKmp{8>qHYjNmSQ&Psj8`8h518RIAIFI0QH<2F6`^chccothV_ z?=h;J4g5SI^;yeLQZ1$eOZ)51V@KmMIm@K?W!)G%+WZg!DLZzkxg!-WHvgUq&oMXK z;ou5{%%@YI%lY|#sm}-b`B3UphP*iS8D=V%z3OTeLW$X`=^2TeRG>2P-Qc_iSUpxV zb&84{neeH=ki=M+IX3w4vKjhblsFHHbPXyA5tEA&CBXPLtN@_;yf~HxFYaTtBT<*| znUXcP8w6h8L3!2{68y$q*DgrJY>Q6cE#>Of$rmnyf<0w1Jq<36eQ z1#bGm14~)CEUTb60T=?S_2n5jIDu7%(XOdT{C5eS)1Nr)~0uuwdoyZZF+}Uo8Dp8 zrgxaN=^bWmdWTt?-eJ~SJIq>ZhgoawFl((HX05futhIKSwbl-^*4km#T06{IYlm5D z?J#S*-C>UZ=Rr)WXE`1{{UW-zxbYO-+kU(XO6lG{B(oOyjuUI#Ye~J+`(70|nV7Fe#3JtD|{5EW;@$9UF!lUr!Hd)cD9}2x!zi22BAkpuiA_$1g4@0H3Eho( zBY7oWqZio#@3hNH1~H@8I5w3>H(DM3P0-g&A7pq2)DwA>w;jQY?t_obEG;w-A4U>ykxBh@2{d!gQOyo1o zDt39#iXCqiyRv7+UJ`owmQwLN{Zo!sUSEQGFuFb!mBqjD31aEe>{Y7?(eFIYjIldKcni7^R5C0%%z`rpG0;Na1l_V+tZ6mFNW|!74bI%)XGPqr3=+U-iZS zr*xBf0b-P^q`hEfl&hqVQlWn%)SUlhl@k!=jS~4VBGa%iCm|ANS$LMk-?YEtV)z1u z4W3w#!GI1<9yS$u!s@fBsumCfRvwnc`8Bf-U#t~#h_zxA`^0)G)*8mSWVs^~YsGph z*7_wdU)t-TSc@B(llnLS55-!qaKZ?e9*VWpy%fszOsq9o+Uk*5D=^rt5DQkC zn~E)5OZ-@b$+8Zu3$|2|kXQ$rk~4Z&`D>=Gy@fnu?#iRlR3l{lN-k zqI?2V2JQpxj;|(JJR|swtkE7tGq^`V-Gi@W5zZ( zDS;U?H%$r^M6G)^61)+4Z3V9eML<)5&vBRd;>&scYr<>Y;!rCdjNY#Eus_!Q{JWaXlkP)ZG&t&|p`dU`~{`;!quXfUL zlE1{vRK2IA>OHQDdJ|*oXRy+xR;AlIsk9MIcT^P`kNLsH&soobjaLf7Mg9vg#XL{r zv5Af7i5Cwd@kgtBm_`85kKvgIR)QPlCwis)JT#R*&Eic3x$#8fzbmG-%4^Jjszj2{ zc#3Yae8!`-_`=gq+3+A4U8j-`#tXNIK`_>(+_1HzBp`CR#_0@Qi{e;18CldrCW>&v z%!@1=kf9{lb|wWy;@^v%Nt_gHJ2f!GiXmd+@lBPC5}ZM5?iKr4hE|-6lpOp(m^o;U zLBm6(+m|DGN25!ry!>EeSV8h05`N`n9{j2Y%`K z%}3{tEe~G?aom(0+RDD+%d+ugz;>i(mxnLON#Lcfida}p2yX2N?8( zFU?JihD(Z=l?x@LT$U?*emXl!E>R^X+9loLG4LS$Y@S&zP+X#*2#1sx65^^M9Ukw4 z4WHW((N^NUt8yR$KHmAzs|ctQ?_^tM&8D|}n7-39+}Yn&LK1*z}^ z6qV71-9RycP`ENTG1ST#J{EW<033siDp^NHDdGzMM4*sxBU1rJ?kn3d8*ifu#JwY4 z!PVBcPVU3C$ixh)S{#H4`=y8lRsH*hgIKoGf6;u|446VUk*?`pM-JFDZ^lo(SUV7- zt>F~FWF=+Jh9X(KKuA{JS7qnlWV zAa$#lJ&i;BA`Y3Wa5jwp5Fm|!$vFlV;OH%ZX#*C#4c@VgbM64%+A~m2HXN%)YeM@X z-5k!MP(I}yO?>!>e~j|WLhqmDv^S5EMqeu@-xNt z*F|f_;M=I2YNZ&Jcq(k+Xq0B2{*WcWBL~#E8Dk?tEsI!NV~3Z+Rx^>FICeb3H|Q1W zNM`XLQYX!Q>@)VpUxGX1j12LMckGs!3=MJzVAArr%J%X^?00y71ER#;UL#5W-9_N) zjfD;9Hh$XhL%+MBN6@)ieBcXM{>vOtG;QS*M059^4TNK$2v%Fmm;?VzdiwQ79*!Yg zp_ZGqSbQTsfmIPsD)DPDzt&oYINMNkE%#-BtPQ2y!FTZ_vozo}9wt>lb?ksI_mc`^ z6+`$Tw~eA~*6f8QrE?cEps1C2fH_gVpI~3SWq1|bXFTT}@vlH1RLJrPZ)zP^tne8h z@|05#c;Yg5g)He3wSJ_@h*V?MuIIutf^-T$tV#fcWhDj)fj$_y5To&k;tO!u{#4Xx zZ`lj}0qjmH3cG-0w}>E=oV>a9#KsBHyjfnjPhLoq-0{)8e%=uqP|~NB$Doq?0_=Bk z0GtQHXaPIAZnWuea_lX8cGjTOz_yUUy}x~TGBMYUSwW>>VQ{S6i6cq_jw-b*sFnYN zQ~x}eAwE=Zd}h2QIX;fBKuMe|F!5{91!)j_1{?I{#(X2<6_7LM@)4J^4Heqh&tRGF z&&MiQPzNl9WM5vBuf+v!MegGSk+qEXmu|&mb1SLH{jkD#g414MNDlm{seOP`oA^3> zOAyuaz=T+s;>&%zB72opMDnJw4=icMwRB2M?d*;mGtHYYw-stqEp#x?2gS&YvZmWS z2`QcFU+|!3pUuG+9;k?{D?qn_jDg+=6L~8lkIF!Cnl13|d7~oty+q#%gX^l0go~8>R1S6(IkcJ(8ngDR5F;HN=nP~{ zH*__>QVsc3HQh8cyj?^4Qw?F;>z`3IIrwzd>T#`lMW!IwV8s&MPo7{?~o5FQ8SWF4d2jUN<%2)^Y&<#tRBsw*%p^b=isW%XirfjKZ*|YASVRhRTz(bm;DFH7m zXoZ7{LMy+^f`UN#V_1U_jSk{elftQF-;NyHoj90)V=GxpjxDODa}(%_@m!)e9!H9y z?_38Irc)9U(q(F&Qb^b8|F*Vr+(^(R@VMj70hV8g-%6Cg)LZPBHM{? zYomxAWUd!b0r0i!Dr_1z(*Cw#4KHft`n!N#1Jbkp|wj4?!c4r(lpyT z!DG2!yl zuvTA5d>#3|hI|LmMQaq?T@u92iL#8{tk6UJ$;N3CW7 zrlQHkA*ADeC~>2*%o`A}F~oTT~SkO&PRJzEjCu&(yo6OphPkHXLVw=p#Au4^l?ns zcUm^uKbZJdx`)`zPwS7!1Bug7a4<$?trXx^-f5Bm$^0`|K!=N6UqkQP&r*Mh!z{tG zUJARglDz-Fb^d#h$hGf0-xO$aq4SrBxNc>XTViV?=oVf1rRmnQ{7Ox@|5A(8j%jVo z^8>A9vq_;y)e;ew!A688sKTI)qk{AO<@Wp5(6^v;n1tU8izASBeDno zNa8TW?;+6B3i+l$`wCCAD?AzjYlj5DKFR>tb^#c?PjSZqW#>XvZ+-hO$FH?nySZ7z zgn~GTy-f(3Q0Pq=Ys$?H*{%yAL6B38j`1>B`N8GZ% zBC}QhMVyWVM7hFZ&=1uRZRHs9u|+mX3>?=qW>j|K=+t)~X3Ik)Sdx!K>a!yrfHO{a z)uU-C>nw%EWR^wBx{lHbI1R(!toXtfN?9d}Qq~z;EGa8r7)C8D1kdf)LCR|1AE%_P zJUD|GWySwCRSEr?cTtKLT820wf>j8JB+rwUVSvr)?3n zHI?^GVqaZ#4?qLq#VX>5p4_5qPwaN@Y2tzj6kB3LxPIzQD9MTVDCD#ALpsL3Kw!pG zIj&q{`0?ic%a(jX8p;I!jcAh+Iy~U*9r3Jn4eFoh%YEOH_PK*w@;qVHhsbrfVV0X_ zTqg(Oa*e!8=4v@^5G@%jt8FUJPWH9OYZhXi)hY?-sxUfb_1!z-4KPp;Y3|a?KACkY zZ$%*1jbkp?aAmqhQmdCe1D(b7=I^AB*DcqLe`3ZU1O8EMxe;i9o*5<+Qqq{pUT%1n zK~dFf{KIQ(@fx4HjRSafMCjVScobDbE5v^ykZL&wvH}=XTOJ8CxJA%NeU`-kKw#_} zv8$qN=dJxKj6LvE9T;H#8jT~Q4!R&X3;tLhK|AegVR_6ZyX_FOLrf|&ko!RjpgZ^5 z0BtXv#`89~vi~_`nDL^`g3}oQ@9OHHtKOJD0vzK_n-0IGPTx~428eBCnKmlQc*WN+ z=J$T0rqKc)wlCr0r;hT`e61!1aD^HwB7Y786oGtRSX_+EcM0i@6;RYN4*r~&4GwVK zVX4Jy+(kUZPaHoKJKxU}A%0j3U=5T@n|N5NSnf!jb1V9sBQ>6}Vk<@*@EHfyCbfep z0It8k-D~rwgv}o|B7RzdRl;j5CUsFR)t*pb%V;)zjYY}p@u&f#6an{$KeOBBU_{{} z$6-$uyf`7j%xPodXV)+ z=k1EW`B`2T-;~cm8b*;7$s+{xjaM`goYK7~ z&tMs$pV2@Oy<}mE;%B8Z&=^pq2R{J5$eWqCv-(sw_D7%%#h%8jp2p&%*wbFkxzfwA zd5{3&QDk@WmFBT|jKb24H-k@?X;<_`X`x#58{;32QFIvpr)vCtt+7V(3nZ5oT8OHW z`8oJ1-3rW^w&Ln!6Jh_c-oA z^I~7lT$CT&`{r{{e(>;6?lK%kl&Z%J+W<-+Jy#$%eB0?Fi1@37@f3>myQhf;fMX4j9+IzFO+2I=LHC2oYJQZ{H25kgJQxxgn#>Q zz50VJSu@e0zVnaMzE!v9On?$6q!vVSOI@q2+(7V0F#$5RD{)G+WTM`}$IjCOn;}`R{R&yyAYc==dr?r}QDgeVT1k%;Z_#^tAxPXwu$z|d=32FxH zi>}@^F(&fi5_~CdzMxfjQ)(uk|3DX3jFv8>EQ5D}b!e*ai{L`X<8p)pVE5X0_p1Lwcb~5AqPwl~h7N%GXF?OU&iBHa*X}vR zB)*8T3_qeAumJ*7^*qcaZo>^IvGd$3B|=8dc&NU014Fy96+Ba5-BGcXIZ zIzm`I-Bs9Cf2)2=eSweI!^mpIasdApH7c$GDn;m`%zkqfxpw@A&nerg9-&Ubeip0x zEz#&8gL-f^FO_-+3abn{4|OrJRi3TP^Y>BsRuDL;wOWoE0V^ht*~xEVbf_azJwry^ z;4f%$Q9GJV5P{zSU99>o^)C0s3$Ih>YF^MVhZCwZzA~sjwV(?uXphMjR|j)KD!tZ| z0>(F9t?tyH-$&GrG6C0AT<)n!%zQI&9kX~Nqe}4lBq?G=+F#N)r1 z-vw-FUH2g#Ta7Qg&( z9wXPL-~qO#nk;a*y1{~nH@G1Wx(Y>A0+*r;xG-mW$D5C{oq2-TpQZ`iR|lZsa}Noi zWAE(&fG$h}NQ37>(irSLAzq3BNF+kW`rwZJFU9dpTO(gO3E#^|=BqM@LlEZ6g3Sq> z$w(~izG`VR8%~F*hvTf0&@U%6;$%eSI-^ci z&{XNTHfs;fr$K?uTi^=!zXQ#Aw;vLkH!bb~G$*B@c>^Rq3RweO&2;n}uBMI_p_#dw z@g%iH`bp)OGrgLZfYO;2@VETm0noK`4hbM{v_o@_tZUcbGyKp7fH^%d2 zDfi-1W^8&XgVk2MW(x7DmNCa$iQ@@XAYV?PPu1OwDo*sSdPLGXBHc(3M{_S^$NwFe z7Tk77n0^-N0;b$rFVjMFgH5m~PA<pK*l6Jaxa6RTYN7>TjY}uYyF8N z-C|Dcqe$pym>c0#$bDMJjvpcJ3m-dJNf%7f{`B z&oTs?$9(S;i4m67=A90C7Lr=JI14e&UCUbI*L2x02Ww{_rvL`UOJGRHil zdLuq?JI3NU3L$YW)oybM7xve#vc!k{4QDE@kZDD_ zac>-bQtKtnsypUW8vH8G-5<~Dc0R3vzp=0j@K}$buI(z_DgUkhpap}5pA}lswUeNo zjcE`GS_n?clZPin!$CN8(*={G>o!&x8|W$Cc#4N8Ib=Z~2L0$AFs*BYV69;_+Rut# zKZzo>COAaUYVr}&9NL98hayQ=QZ-t|HizhxKnd1DG+2RrPMw@m;WETw(L&>wm@T71s{YbI9F@d*^=P^}YSat_@9&iWW z&i3TK?kW5P_ea!tVsEsSL1C3+55M$&a7Ro!K^b9KqODFyj-3mPmm0VCmOe1TXn~=! zvj==ixzo3o@eFiB>FZwOS0oWiMhZ~j_Vzc5hEz28BC9ES^BJqTt!Xt!;ct%+rabZ# z<&U`EECzCj46?zJK_adQaazs);&0Dq-WU1Skrcx9WnFJMQ+)p@iX4|9Oqxt`osPhk_@)@F@@ zT+Mfl1T?d1u7U(-Wif&k(JM4>aK|p1=1+HPfA8fn<`+!IpMIY3`~i#^H&g!3acjeJ z@c)=1{we04k^JN0pGy9j%7MXIlIrZ8JfcHFJDs)~dAD6}G|<-9g_iL~AM}Lg1Y^PA zMwdH0ZVuk%O+^$-#5w5*_}oVhv}YG7kO(}W%ZQD6!Pr7Zv9N{QsNi9mWQrrHB^xzjGavIU@QYA2OV$8L6Pi~gElzjpt^BA<)96^@vyL68RSmh zoPpj$r_yF63r+q|6k;4S(oqPkK6~u0nlL-ZYm9@$!_&|z@0w@(dn0ycP9mQ_Fy4it zM>K$aWy*40IgN~;?mtLZV4aTfO02Q3Zj2{%KSo%bhZ-WDwa`n@wTdY#LRd}{nuGId zVc{@kh2dGN)wB-aJWavf5CDBARW9VK`A#Bl3^YNXHgAPqy*`Hdgn<6F>%{S1r$etn zRbSoEi*M?7dSPS_H+DF&RAL+?B-m?Ua`s@Ad@^;)3NJ+A7?wBk7iNkN*ioPcAGwp! z00*zcxku@dhy-&Z0w5#8D|5k3%g7v(TGRkr6S4wr1p!y2Vl7nV;E5Yk@C&J8+9rL^ zUN}Qu1_@*~k@q_>19CEF|-MEu37!ok&==FswaW=wstTuZ*tHleNE~GesU%M1RZxTDQ}*!nYrGfdB`^lo#qx?iH4>mu67Z(6N&-G!UPX#B zA;p2*E9}LNb`o%A(Icgn09=n;L-{u&F@fB|A<P>sch%%X~&Sc=bJ;tv1(i^)$MascjvEjrQ%oX;_QN4p6 zxvLgX7QR$u;R`4WpCq#I1(b#F5Lx&F%EG^osbOBo!cPSt7=$eRJYJhcWq{tu?GS~Z zMl?C=>9@&>p}hJ?J)At6`jM0i;ea>Z@^0Bx8L zx05-3wQ$S-{$auG!`qJpZVrk0zkb&qZu0;)Va>Y`nm43{<^-P-nuFas9HDtbN@(6p zp?Po4hH$eVz(1^cb~_>FR}1m`rX3c<=gv72h&zPnKYXM;#AgEH8M1V1MN^h87@8?d zZ|EpXx1y9R-THuFuN{!*Qk1^2omBIy1@hN#I4mF^d)tu!*&$o+_1pG9COOCuv9}`! z6tSntfhA&B0DO$+H8A*5HU*SrD7I%!_+>h9`K zXDdCtZ2h{+rV#p`c1PYe9C^(G5D~h`7JXg3CjkiIC zS!qLQQu#ZCV&A6Ht)gx3$AMUH_ACeVAOm`gG9aTO25GjqcbnJZHvR#5_BN>dM;7xW z2n-sp^lJ&%Lr%U8tQL)MX8Vji@$eb>C?atn1)*6u##!}G`#V%d<@M)v$D!24rtYBOMbyh&PFAvfk1P%A0?xN+p7bS5S{lRBt6S~ z&{?_8wp!-n)m8$!167f>#PiPxv29!;JHu}pk z;24U=ub7Z+$K&B=MtPeh7eZP3h!*d#`w0B(1%8Gzb-?iRhQW$qh#ZnO>MbR)_cFU6ccB}Jl3 z*_HUg;drP3uVX}2^D0v-`hH$OJG6G3xp<;77Z>^Ns9&#ML-X(A30rHu;>MWaj-E* zFWUk?ztKUr>Ww>c^|D>_&e9``q&hvaR?6zeqR(V%g6oIq#@f%+>@*?o+*eeuS|PkO z8jUTG`H$EF7?f_EuKl=1<`Zqzs0j|PM_Vt=eOE8rIF}x%5+~@9pGz-wUjBUe#0*^4?W7L0 zC)Gc|7qKVQ5AV|LEB*vKnE89>JRi+@9^hUFRLoMdo#>@DK0fjL17ua`SNeMJVE)`W z!Gi-A;Hexjjna)q?})`htV5gT_wkMpODS562b=Z>5BAd*&H(oE(x%^MQ$uvc5A40= zB{zE`{Yv2Ub_kXhazTvvy^`5`w3UCM>}F3>6{7qjcq0&jYn|R#lQHq1pidLJf)^X< z2dAGAC6!UtMfHCN_nxdR{3(j7tvts0+X-66OuAM-s-n2p)md)zYbq+o-sCT-1cL)( z!Eq=Pd5R>jacAyokSWlVftrs*L2{X=BSWg9y1%R_?7W_XL_MKo(F?uuWI!<4Tk{>% zr$K5wx1`eimtg_th4M5vow6s={dW zjo1W<@p7PxH@LU&{9AmHrTl<_SWNct7qN}U5t%os^8fb2nXQN(PWLmco4otFbEeN^{=iX6zUq&GS-1^Y94q`uuNM{fR7PS1YD% zhBaCrUs;28*APq(z+dRDy08zPeSfzPMv-iEC)Za@+geff+Je8MByV~u=$?#mVCO3> zrorkfZgI+ur7h^SZfuFiVAEJ0kx#3uWZ-{~dU4UU+$v(_kH0)NyIp1gUz(e?)t9@J zbfqF&xKMKNp%WoD^iF$DA+#pig+$)_$=bS&XNgBC?sg8Uwvte1jI-n9ZZ~^}$t=UJ zR?>zko`2Y4#ogY&3ekmGli8#hcvZHz?_-cucjyGb`L1>!DNY;BsQRgX`t z=!!6x8{0ibEWWIw3#7GJpq+5;=QDQ4!#9XdRY%qrjGY#`hCT<#lP;Nv5H9IWAAXS( zIF8W^3UC55zsV#y)tMvG!D0jz5dg6V;><6=o8Mk6gdy!$p=Fk0tq*URfNa*_rW`nR zTF*jdpnh{>WJ^smlagl6fN_h-qiw8$}%tv?r^l15>8s;ZKlUXk!fs{ zL?LyKrSt3Sx>!2xXrjNXd`i!ht8ijhSwI$ZwCLs!6!CM4BH&oq=6l&|>7mN~wGVre z`x8hc;g^7nfq4VkQKO_NgU&j3$5&q4#V8?Be6VUX+=RY{%QDq+3qptLV^>7>mJ5#@ zy{y%NZ9%K4LeIY<8WI`T1sb)-lv&qw0Ye40qMl6HqG`Nidm6JwFQ*@<8vYNT1ni-J z?_XO3RZ%cot+Nhqf}_Vl*+Ah?rwrAZ-y#}GQjZxb zvVQTMc(m8zp}OO&gpcA$Q3Qq)!C6@X!=BRMapm11QMqArR$qrq)=8cyj#N~x^)3=q zZdjZRXzqcN-v)NuVqd-Rk7m3DZMJ^rJJ?vsL7tZq77iQ&N1i9FvOZ(8&HcXnbU~W? zm14f!3S<1MQnFg4hV;XSqy&cmaJ4EMz>L{{R+rcwq zx|;%8K#&mr>DygQfPIQepRzD~_+4M`8}X8LUaHV8c^L;D^VIgIfejg_ftxTxM~b|i z;p|P=JFU~eP2HUaxOXZ6xAJXKs}QRryeu>5pSimOn6iW>$bNc77a;3q0Z;$tmkWa% z(lGc^PehAd-NV3Mx3^B|0tRv-dg@*KMD$05f?J@rj7uf+F?>jRFqM8gLe@3ZmSS@r zz6eC~N7hPlQhuCsxD6p`Hx{@UyakqHz1Qn=cvQ zLN3K@h<_RQlW752hMWNB2#5>5EHfB7u!`aKaU*UYX$FI5A!q|LT8{5icG2BuhsA)aMiDBg_?3~Q&0nH4PY|CtTU*xn@5oF>}905*6zT`g9% z3v}v;=3C#9%WkoO;ox8k^U$~OtF4U4-PLy67G`r;BBHiChZ&@vV>8sIUkaF@dt zrk%w@2SbFmq#z;UXDWvZpU)&k=U^ehlshC==t@L+d$Z{cyKErF+YL!QF}X<*j=z==?!qe zj>QK~-I_BStfdW!d|c>(2&kDQ$YI6eHePTy{u`DY@4^J--CTF!XP8{3wVPO&vb4Ax zWBCq4C*wJqvVd4{il3t02<$;p#w=p&B(YY5Vpy_s4=$++KSmq;>}3in`2+1wQ2ZcjDK9k3!x8}w z1NgOC+I}y?s72yB8nt``P`n4DmZYb!Ic3x`59sy8P+%0?1CGScE`Zt_oucV5YQgI% z)YvMvjaxhr3wR2^=X(0N!yd72@wjN+;?d=AB}`mk$Wny=i}C+R(Z+DtT6hf)&^5XX zxEOzGOxN}nU&qzg7tu(z$Op)(MM7kw@2Kjn_@X~vAPPdA7Xl~>>T_^H250%#v%0pE zcm(MC)pfZI&-kUuWV>-Hy8~E57}cT&16z+$+`mm}uq-~}?~mwu9NAy#I7W6m4&XO1 zXnBw9Jx*THBYO|qx}*184@^83d{GmRtN3gO-m%F4((OFlRTYz9(8RZ4-@{zC#Y4(e zqjRj)ou(Qm9HmS(e&0rBN_1MGoXw3gwIaS;Q;iu@ZPibu<7c@l8i^JiI(}W5YIM2x zr+VAoUD5mJb+Z~$E{k5dRJerB0p_lnPg9K>#8hKGO*PIEQ;qpF)z~Da8uMwYaTN(M z^1@W(G!RP$VX83+-XTEOI6!Y?9!xa?Sx#GxyZsz^&uulv<(Z#g&b~~|*Um@Z5weOh z-aD!rz>0K3?B;*!?FO}b3V)1s=l^dh9MMe`XGVqx924#_v4LKrRCVYyp|J5k` zry=0u@KY>5>z0Gzz{?O6g}7E1_k+fw=GkmTz@iMIz~GD7uJAgRg2=dD9A@BFy$Zli zz8FmA-F7=3=#Jza7<%_rS?**EeyUk>@V#L0MHkBPBXNZ@w%Cq!Ib)0L*x}CD0y}n) z9s6Ql@V$jrEcD2hvHQknzUY*&FIutD7Y)T_T#xEdq=I^d&saxaM?ORR%DRoWpamX= ztpc2QFJ(@PagHxK=U~!!28y_$r(lo~S=YufBykV+mlGi6NiMr2HS?isIJz83b z56f1=nxyA2eI_RTbTl6UO zalCla1Dwc>k#qSWt7I5`&?Fc>hY3w5b0KRv2ugg1GO% zJ$kS?2X7nCY8W5w=hES)##gp}_AqFLOI^N*DtM`}b^~idxgiq_--dg<$p&Pc^$*pd z{^*bndmVb@-t(58yRUQ;b;Dm^5$cA&P^8L2H~hs}&<)==3%cQ337uQV^b-pc;TPz2pvZ%~i z{Mmh&_lJfuN8};mj7jmFQqMMdqZr&rS;M~k0LwWH#_3`p$Vh z3iGNhdRxBE(w4l2fLmNo@v^3dpBd$VUa+?SYK7(@32H@N{S==WBhyyiG11r;+>rzP^{>_=PHs68etgixXvbZ}4uQ ziW!DR110ENLEvPoS#-_Cu33%#9A+e}Blup9kduE1rcK5Ox6Z#236rebf@@fJa7R(& z`*~2o9Od29M0n8+%48k=MYI1-AGFf!rHDlviHR^~{Q;|Knd zSbFeuj}aQeZcN(*fN{ z3yIc7OxV-NhNMXV+fbem%|EM%N~HRs$VpS2M|gX&{iE>sO6D{dAqRRXAiT%|RDh$0 z(PB``jc9~6*i~w?x@uS-%=*jWLt&K%m=$F`1TN|;g?nOYWU&qnuk)st^cCF!- z=&t3~i8pp(cen{&xp2vV_j+r-kA49`#!vJZ8{yCja(~*rxnyWiWg37$8rl|keV9w! zY_fa7?FC{!buq}lnxf!d*X&E&wVZkH%Q5eshAb$$`OkyKN)ht&1_|3$jH{{kTz(kQ z0>n3mPP9^CmVgkG5~WrlYMr4_G2-2FPn%JQsjAROgvMwqeRt+s(;iBOqnz+DusFOk z8-FjqGiSOXa~>#!bq^lnKx(4*baa9ciwY-!U6ozZBeV7Rrj2=7MrbG!gX{Pg78e&| zXx1`Y4>W<@3UAqnCjDM*2#eiHbR7qX8>r!P3bM4J+dkHc20wt8KD42mvBKi0=VR^K z*FM(PZ_Jsl&EH(T10Amlenb2saTX6{4Ppvo))9Bx6a=%iHMis}=y zD8g3JMvlpSn+oQvA9XAxz>IH=}>zh_%6<35y=7+#z$UK`RrY+^S%3@3&Y7Rl9w(`<5%eA^Or{mA1XQ+v@7o;_x_>6MF zT6mF%`h3x)_h6U`e!VWM5k2w>(ggWo97@S{YmE-qXN^q=mjGGis9#_tT^utA*>+kW+~kS&(5B)Wm4FVQlHnw8(WMV!3aoMBa&-KepvjX@$DeD?z@Bah9PmSm z?Td^BNePjpSaV&jFaT3M6_K1-%)YGG=FrXQn1_fD&7m2Hk;yieUWp*umLD$RUK`p? zcEInL=$mml!igTwi3W=+t0y8o2i9+gk^$yA04_xhMx|q(%_iy}bVTnbL@mKl3m4BX z8L4m{6nv<8H@**v1{0pO`^~LgtC=D-3tJF>YD6 zf%vOQn~X`5oi+GgK`;sYJ05Lu2k^)-Q-AqAeNVG&0HZLGI%dY&0Cr&^)LZo5h3Mwc z;=ktbh(GI= zh4}n>dj?X(|2T}VE8_1mEaK0>fjXZJ>TD7JU;gb#i2pQPETxJ6HbwlUauWX!Zx-S& z(IEccl+0=3PiO)4PH{woKwGhW9I>S4j3%P)#yYdsz{iegICV@#+u1?ppK(N6xe@y( z#&%p7_anMzBwk0SP>3Y{=LjkkkqBd{nt#r^tojTR&$M_^W4pNveAlt+*8^*~>PKg; zdNu$N%2pnq)nNC| z!~-g_OnG?73+~IqB_cX^cnkF&>&P2F5RAVJJ zhpG@tEn1dA+hp3p2-=G_U51IO%$K!jS+^|OW9x0urWP&TMRc`j8|+2P!C_U+xh1t| z-+kgp7A*}&(~CBX-HNW0%DHGS_<<~1iN>O>mdxozOK1U^ZgNCpVOg=4J7T#C8BNrK zoj_`JI-*Z^MBCZ1JO?8 z*0r0vz?F_gI}${z6P}FCkjs)4J6f$+#-&$m;-u8R&IG^=4~L}JDj6&s%`9{QJEevG zi5{D~#1ue@-a78DG&T|5c8!a$<9U27KpPaP8xDm(#|NHMtt7aW`;Ph;GR7b1OLbm} z$J@1xf;ts*@t?Twcp#3D*H{?i&oFu37C*|s5icFZr=SKJb{I^LJiH7)I<4>jhEBJR zg^kcf##re1N`f&KYR<}mZs>J?q0jgs41}H#o1u2E;X)=3EmAnt#d-RHlp~L19RQkC zcl>`EBoc9GTc+R8O_z$))1WDSv;K zpv#!P`4~4~vT5gu+fHNlE z4aAK2G(^X!9jE*WVadwYP2)b9AnWe;;g@g}T ziVzo(!#5u(z*!`?uS^T|O7H~M6{RyzL7}eu_}Hox2>*&(cSj$8hfkaNv2H5B1H}XB z0@cW28~yE#UwgV-NI5WET;wXUglumbulbC<@$sEkoKbkfzo3<@tSxcXbvt zO~{s{WVscg#=r~ZQRprQ+iubpxppJg7C^jG4}x1WC#V0 zVQ8T|$m>5gc(DK6eE4{o-(Op)PpZ-@3(WJ8ZhhpK!|_q2Ps|aDpIPG;bVt?5i%Ccc zvxALm*xRSPwb?H87XQn|k^b@yZ>Yup8`PeoCl`{RV*Ms7U;r|6|M5t~vk@N=V2&(* zL>5XRlNjV#{=t;D6(KlWt08xXP~X5HmUNckB`01oh@lxhx!GIxl6L2vsv3&Z`}p6- z-(vqe_&rJepbMAK%y9v-pR(Ygqbya2u^5Z1{zKj|Z znScIABeTqE5iz=o;SNPxauGgEAO4_qeX_duD8P$0xqyElA5x=4AN+yu7;wH`Q5?-+zA>@$ED(-3Q@cGFSWo>My_T4ZY#-2g_0@LKeaS%Pg|1ZQxvxf4IMd zaB>575UA}b59^Z&96h-tg_smH_{v`M{{syNwpxQMK#2n8HjhEF9$B)H>>^&s&~5b!QNXCRbS&0`=e(lQcqzEOD7Y6Q%3tAx#;+Wa8TPlnii3M` zTjmCFVVb%xGNkr;){g6?(pCXu=_YSkeH)^*;O9uk>>cZlPTCXPH$z)^EFz4pKHjlJ zsiU#o8=UWg-;^^EuYA#IbsFd9SpDfNfF%28XFZ`48rSh+RH#J)(4=TafCc30H!+?;IQV=$;U>FHuR zjzT0{{yfBvF;jn>Y-FLY=G`NDf%}S3YoMRZO>lFbJA6eY&WC;+g0I_!ZNJUV50e+n zH%@%4hTS_IMJC|VvN&*pH*y7TAYZO38}6Uyjob=keMZmySTB2X)(5H@;mq2kxK{UV zXc(LguS-}{!F?kF_nR{jVo#27K*@g;+cD{aPXaR#5ct@j0@OHC^KBHC92X;pUiO-H zR{{eABS~coea~xp?#o`|3Kx7Nc{sG_lok>$-W}Vnxn20csagvAp10AnpT$BN;L5 zNyUj`dqUu94NDB?9D6>j36-l|Jb;<>CMOh|H3*>K#5|m$*p1TH#j~4)-L2y$U<3SzF*7y?TFEK~~m+TQT#Xoq5Mu{ji{t(H-+&7DTHOOT~k(YxcQ%T{hXCdz{4M z?|v?a&N_P*x?{DdEmo@*J+@{qv*+0ySH!}fLQq8i_kw%-_^&3(8m8A}5hXK7*5Ca{ zDX0L20N^b1FE}gNh;^E`dcI2rI>?EatT(Vc0T+ynno74^9mz(3`=dsW@ml)+ z=v82XwsQ8w;LaS;eb|6+_Z~j5jcX{121?=EZ{|$=KZmLW6^34k$G@=M?FX|+m!$4U z1vV7d?DK`{>M!rq_cX~Z(ivFD8;ej6wBJ^^*HGXLb-{*a&nt{<_Ovi20pEt6jlcrt z+U8y}GM$T&$i)~a3i$8Ib%$r(JP!9m_hiF~oIVvQ0_FGQz--ZVgS*K!11{DAy`dI@ z@2Q|O4HPi@jOO^?mghl4)GV4nTodkyrzqI4KiCFkfUT%oy}(s`FYbeOKvxeh4P8fj z)Dy2v$(HZ^g~*njY5*HgM@d@?ASPM>FYCDwc^z%?dDT=s6eE8;31Va;*DOT>ulhZ3 zr#2-E0%g7mgPWVwHy^S~XPAy0>~AUuBR)d2=`7(K^G!zBb>MpozG2@g0vTIITF=71nMXy@{xK~ak*%pA4 za0~beYRnX=Gaydj$1i%^hI(MJK)h{$-y3Yx{AUE)iUNgrYe@kiQ51o(s2Ha*akc77Z308OK_ZlZLs=}f%6pJtik{nv&C(E>NW5t z<9pBv8Ny*k11;#Na2E0DZ`l&qY;>eq-ZUPHhAr!Fy1i`<<>9Yd7xJ1L>Jf#)i1-Ah z$^R29(VfXZ@0-)lUh+#ld&zp_*-PrL>FU`_3G&&?o)+>&hC80Ue4sDD7x_LAQ6z-W z>z>yp;#(ylGTsu+Lpa`Bz3m@Ya>0#1ndfUy3m!8hm@pd;ouGb~QNryGzH`uc8P5)u z7rtE{mMGXwBKKnD4B!BC8wxjvUBM;<*9J#_DRwirdl{=Oykj^wi>a<=__&p~@U(sS)Mbu0`9_P;L zjewa;t9cw{tXHBTEQ7OZ)GvY=zWu;8#F^{x_5)%%y!`+gX+KN>1~-i#wXza{B#KR4 zX}vabCL|u;I{I=aJ^sz@UdCe>& zfi|mY2ruK;L_DMxz!an$?%B_8bU6jAq`U4jo$~w2eciyn_5U&VE$~rQ*ZO&qK!_(O zC@N}HR218y@qt9!49@6`&d>nHTCI;Om9{>r7iWB30t1tgISwOOq0&}sYHN$Fy|ul9 z0W~C12+*PdMe%VZfWnNU5>b;7g#5p6?S1Bv#{l(;e||qQ=j_+nd+oK?UVH8JXu`Gl z0+e)y|IYR0EdM)Kn^EIOTKlYjs>!$-T}MbiWRz`)J~Iw+m;;?qLjI2aUm2)%%eY2wSQ4faskQ9Rk?g*5T*as;7md;SqE! z)zs{JfKa*%1>70Tt3@dU7X2~laUYRHIk{tHsQs_<9*axedoJn7gqpKBo25qZ9emvx zSmwuDfe~DXH$*>r1#gQ%>nK+Uw5|wA0!O8x?;m@)QPU*womI;QINA{2g@{aEel6;M z_jSPjdF>bXX6BZm{7#nQ&oF_1B4 zH*PH~+T}J@tit&-*E;}zvmvSo2#SIw^R&c%r|Kji@jRBUhQ!Ip`pS@CBbgw}<$-tu{dAs_VQuLmslKl#PhQ+X~?zoT(mj@jbG} zq!~c8mVhOZcnA-Q(||SwEVEczGfU>x*rlxsLh&H!N&3)`k}BM3Dzn6Ok-|(T`kLUD zOwqAeyek8Q)E#YfL4jOr_03D!{P)p+#yb$R@Dctq9)O&fkMN%{7^gx$!hgmrEF3<< zf5sSqfRFH>@e2S|$2fIHWQpr}o__=(-HUkm-O6aF(kIUxA|B=;-8 zpEn-bAIJB<)erpd03Swoh@n}_@t51OTKkajD5?pIC&c3-H&V<^y?2OIouopf>K6o! z=mBy&B)qYY+t>@U7X5+~R@lQHQo>R6@d1JS^X#tx@<~aMzdO1gkoR)j(SvZ%aYvGH z=zyt8%J3OoOZ-VRFTW`$u8bcL6#qNxD?kyupM|);MBFdETcwlV{rdFKl!M_@1)2#I zP7R;h!q`^6f&K@?KVQ7S{{$a4_d?&>aXA(HHXHcVYV!mHq~F=fJf@ori(r?(;PE1yc( zNTyQ>^LZ-yRxAT}q-TA(^uR#p*P?~y@jpHRKRj)KkF?mr{mO5Cjyjn-$9ye*ibON@ zuP@$p^77kJ{VM~Ijb!R0^9jI@c4X+F(q)tW?22yqG6RaKk}~zXqKo%mk|0tpJ^m|G zVPY;^db}(Ciz&fK37?9$9^q3pqTcc$%qxJ8S@k?9C}F}fwCEx4A3$LJ_D|61W%x-~cHIoe#siv(zCJkQU<%|+w({Gxrfki7DG0@sxf z@&W-p+M`;@)2-y76?;fBIRdn?oCO0rI`D;}PFqM`Q~Ud2KCF8YqS;fX^(RvtQ!>uY>C~68xf)Z{nIT~<7{n@IQt(zhKTE7!; zYuu4iBmx)?h%KH_#rhuT-X8z08__&2G?04=V_LJBSc`>)~`kbWeqmbB7mBi6dS5h{|ILn>NwvEy!bvUWY0K{fi=%U zV9gn&f$pQHpBCsoVaAbx?z5*Cz%S8^!Ero~LRjMNF*64@2RSryN%2AInIjG_+(gY{ zI=rey+v4!*5j?;$kY9jG1RXO#dD#osE5S{C{*^PlL=rppxy)7cU)3&Nu5j;~^l~+n zUakz~5SkeMOh;J`xC?e0&qofaU(ItSq(2s1Ph2qfc;}~`!Y(o;pRq1~tgp8sCZo?7#Kf{*gPs6nt zV#q5Brg~7e2HoOU(3RMqx!CCBV;;?OX$Nyw3VuBQ55W(I#t+{x-A4|8-VDk&M-o*v zvV|iGab;fNlY>~MrZ)z!t38TotkKi2@l@4`4MS2F1W$TdsOb)?{W2{3CETpAIc;@^ zQ?2*sA_%&0x$vZwH|QL*GS$FU0-{wyllc)!U10pyhVj<1hA0=IM?LMWmobxP*Ku#= zSQVsfDo0IgyE8u8i!MrolAq{xdUsdUqCH$!B9x>akoQK39#$sN!z5dxNv=?Gq10%S z^HMt9Uw@UJMC6S=I!m60J@v;BEl4Q!7K8a)1cJ-T@pBpPJlM>hmp|8r zADuC6I23Fbp&x*>2KaLXcs!4_EwZ}kewsfR!B&=2;Ib$utOQ6)jo>H}x4^0#Z8jiF zPLmMtt$I@1gAM3RE;jKYzRR$*^StNbZ=vrnC(Y%K0VHF!Sh`3n(P>_$&E;}tiA$hs z7@J|ex%hU6^>!cMZp2%lI+|tFT*Y+lGcCFI?fla|i}9>E4<%Myj}d`)YKWc!jyra; zRLZpGWtCtBeH}|@(rX!(jsvu>&qbb@G~KI7)5RDkglz{wnpi`T6NLyGVNwWHJ!ZUn z8OxDME!dFWdxbx{bu~q^q!+uQ_wGm^3(PZ!LpVfc8%yuS!LbkrN0+f;HPru&z_}#z z=1%2C3XZy|-I56(ZSMZ=1Igcbb=3;n=0L$ZN`+E#Y0acWjGD!6{abNMHAtp4t3m-q2r92!d&tHqnDl$9Ea7t7^+!kiaVtF~D?RPh~1c(rj0#_#Olc-0WPiR9WAp zH#|LG2(*UD1fRYewLIotw;6YtZ}C`kz9%wbj4LvDEMx@UbF*+?Y(KgiA;mAsvX`~# zx3X0sdlAlY|8#Y)adoeBmwz~YeX@stbgQ+ZSA||OeZ%llT&eIf9!Q*}76UXiU&qU0 z{QirGFN=YPAua~rw*=tD=(IC@q%#%RJA1$-jN5ABtM6Q?@-|vfRh&RmC!%pDJB(ON zX{cVws+`y-QHc|q={qN@!go#&ADLS0(;jVp*_ptf==U7tA*vQxORH7#gv-#iq9f9a zSf|38B`BJtCoP!W*m@h!uP-zhgk&i(Ya{bY} z3jpK5%cbh9=POP0n&0a2c|Vd8EODQ^LHS>=L#o77&Lu z!o9O-Fr% z4_Bm4cG@@XMQ_;6Ng&8AV6vt?5<3o|EW$bAX?fxMKxHR^%DzT=<}lZ%QQ5bc0IHWp zW#4iXy=^y-v6;ISmF;5p*h^4BN6}iFxhG{e;LfoFRP$B4c^RneXF@eo`w9W@ERzM`FPzDhuR?Zxs_IZ5OHkMlcVbl!7MqwRrC}~O@aDo6YXo$#& zd4(H%gYWv#fMrSCtpk;WY1?CJ9m}GzaS6k!-!^#?th0M9;HB8mxqx|z%DWR-w%4*< zidepj)(*)++=5Go)FlS)m?LrJiUhzldQL04WK%xPVB1W)fOp}N?HQE8D^>D3qPP7) zq$Sf}$X|=nI2sr=zsJM>{mHtlM{mLN)dBX-=1U9ly|jUZ`=ls2kT|CfH|cXJ`c8Td z03nCErG=@2lEy&iF-Fas_-6VAg1#oe6#$j!&Z1ubnbyhix_iWM-i8)=$cF5tXN$hI z>G%nXDZ)Jve&F|TF4!OQlYPrzETDfK=dmW3ET_Ut&M=lJW69g;AQRseu}WT(TSB96 zLi}=Araou)=yRf{ee8;KG?XGzirfVe@(SWvmDBvd8ThEeW$ton$w_z)B^kJ9f_(Q{ zsKWmfhN`%7x((r}!S2y2wHodMR(Z@8Nmw4oP{?d z_#j)w??9I+U5=Ny znuF}SAF0du#}y6=$N@`_1`W za7lA_uG@SY8>rK`cs)t<{pW)hbBB+0j$W&~$T|5IZe!b=;juu3hUm(1!g)3@&FanR zLq|HZORUCocWGG1VkBeXYhlt0vV>vL{dYV^G18T;iOk6=SJ`b`EYi{?;Z)u_A;act(GMUC3!T;8JGgw9~PF zwPMs_Q1@YULe8h%%gKe>WY0zk1XpQ_Ek$)(cn%99A`Qr%`H2cM?`u$P!62`?4yzk$ z`KC>(uHAGzgShf8^IuN$GxP`nmgFN_qp|EyajJRQI|7rr8j}f9g38o$sN<(GbDQFq z+NA65+&1UvO`c%42mN)IzjRkI-0R`i#WyH61AU^)ntL&yGoP@-G{0waYzP}mAqEZp zfdj&}%===nTh9qZn&;GV#_CMKS`J(BP1A!$% zq%#M-X+Z0a=fU4UP970nO&+(chx@m!tvEP1LXybNun(%h2Q%&FOO4y0cf(FF4HT#W z?hxr|;MezH8bQh$oaV2vH1Sy7fSs3^3tKU&FT+KgnwUKp^+8RqJ$%~>wvLshs3&d) z8WL^>R^rkVQ~ebF9{hZ{!{h#)N^~<&AWj9Yf^Dz38F=t;KETbu?GW!njFjeP;Ay%U zr~v>VzrP90ErDcdYS&y1MH0)+Kra*hv(UaWoP(PIddT2>^wN<=N-rI0%!1`+KqDZ1 zqWD(Vu@z#XpkQyqxn<1PI?_LTUX*~+>L;oyW%d2}7ZHFmClg8~;jCmri6oRJ6AC54PzkH^0Rttr%HUI;=jcAQQHb=T1Eo$5g{Srhu7kv6Fl}y}Imb{A} zXQXPeD>5S+UeJE|7tWv<4fx7=ZQ}C&KU2&{2V||CnAF=(=xaZlI|Z3wm*ADBNr| zxXM>e-;7Q!wynw=05o+hzSuu~OgEj>;pTqEu!~pO$8<|N6dY8R_k$H}lYaFMT^xHjvWZ|as~6crp4#{kZ0J_iP=Um} ziWdTCePUcI==Gt)xIZn;jB$&=vw2T9>K(O#&U~l=bq<-4>K{f3_XWTw5bmen;6YkY zPONl{nlngf5}JQ-uu_cpW17Lk?K5{gs#o0%)~}P#$`{5p&e6NztF9IB*HUTOGY`xW zMgu54k9|;HEyG^BVG0gV%nB4B0k_*jpw5T;{SRg|T6k7qUMCo_+Q2gEY`9)UDDN-I65*qa!F zC6HM+wSTIWh0XTR;m{OlGi0J#4bE;qmlJ{Pe?rcwC=Td`)l7x6B-4WpVHQ~?vnjB( zV|^4V*3^G)35m@tD<|TT;>01ej4}3Rb8-PR1m#02p&I)Y9zbH|6O_;_PL}ZN67l<# zK8EC=N;X_KtrV#E4mr{9EG9m}Nx?1%u=xll1-D|R_y{KjbVSQX zI4QUSx24z;d55ok6{LD6_+uM^?;Fc$2Sf7`nh4E)Sx>pL1uu1K4FC`$m=&-H_~T3S zVqeIFr868h5V$@`ft(TK{R&5&LMQiVI+>HClfDN3g9KtB)+uL1EQUv_dXD8- zXQWMixgY?S-wC;!#Xs)lobVtAquLTVx-n8^tOEDK-z?}q`|AOL{@td727P%FXYo{U=8ZvOvb0?9+VQD}R(%E#b9;wx0iW_M0C^Kf zoY&j8KtoU80yly;umBSqh3b~5D)>7g(6eg!Lyj~TEehW>dAS&vi~B{i7h(zJei3iI z+%JMv>{~R&MiLxgZ;ojh^QpqQesKoyr23ue%f$eCB#d3s#Q;(gjGg6Tpx-`f7X$r@ z&r)0roN=JtOt{BNbusW2;CTCf;K+Q!(fm^eI4Vt87KM)Y?Js1&G*wbCU{}dCCk`;oyD?i{ayS z2UhI-OvR$V`T&P?P_{HT1jNQ#KwVZ?3TE)iM!6yQ3Yfu0GOaM?6Ekjqa=(}%{n-_* zTAl&8a&-m+f>t!EFaKca6av}6<)0_m0B7uwn5~>vrUe(uK0#jrUcSApTPYmZ^EOWG zwouq`ydh4$^)(=zRq`58sUn+8sNJa=ZnGMDu?}g_1ffd5iLeNt!!5PBSziHe#}x1i zaJ1IYgenJ{P~U}S0qd5%BR7%I>|hh|E9B-;x`WF)_vk2Y1u>9UUFGZU8U&jN7%=$? z;)7^jm5)iB`EbUjb*qqs6ap+T>8b@P@}IsMOx_35usS4Wrcm_bwV*pUmVQAP=<4uvd*FGvv|k(Re^wiUf*3(1Sw zsJQZf&|n?b`;DmPrPvxFxVn(&g3x zHgZk;USn$kZ|St3fWY>d31fS?r*v=-AzHk{s-I#OD9<}WlE7Z`;*g&Ptf1!kp}ISS z-+-<8>i&><`e4B}0MZOq{39j(< zP{YPOg;`ZwwniBrGTsm@0_n`XfkvJ+-+_$T9sWxx)<34c>P{HDBZR?CQFz%mtD&jy zz{PrX3#PkWgW|yO+JU|oM4RVd1iw0M9rPew^t}`4E(QJ1>V4e#h+#%XTyB?Cexta%%?hHR)%O9$>z7Nb4wbt*!U{q(o zVALHBb71gv2&H&PgYU&qpYLm^iQXDZdyZ1wV@`ZD!w`X7ybtmJo&b8+|9gs~Ixr7D zklFzCTAaCoI)Z$3pcQZ7=ZB*Jfc^~9qRsax^4D`nf5dd;6 z`fe%EDkmN{D!ae*2@w3v?Y@rL{$3rxehG^C$g2Gbz?WjSBXDsF9YB=h!#A+A} zkpG*jfmJi$$uj11HJtkE3~;q*)0WE>ZF;I0n6O{kMB`6o+0R>kIQxJ+I?!1F%YK^1 znMlL9y~jJJ1Yuv_?aUTwh@#ZpuV5pIU5MKOVqjLFdQ%qE>RM40S}EOS8(=E}&3_0} zpWR498t3R|H&$Y8!xO}_8%y|rD4n^lILlk6zw69ZcOuaIL`m@kAyu>|i1%()w*9Gn zKnS$p`}p2g+V=^mfVf4e!aEv2$2%B* zM@&cHy3R9a4z@9nCN#9530Q!zYw`2@hExeoJ5I#h;bgcABysdE=M=a( zDcb}Vq*#a7{OpmPA@ z@#Xtm8Bw*w+WHT}p_EeqH1+1k$MtOTPBfW@5pGoAN}D$PpzSuzQ6tyHn9WLiikjhCb(#a=fsL9s7FC;m-}&8nFwHuFib zy$do>Z0U2Lx-H9lk}K>O4z%$hqNRgdcvkEI-giO;2<&fc2A+j!MSMqG_mf{?yf64Z z6MmrCj|$#15K9pNTPlyTR*YZBe3K;N^tl`ymdv%g3pA01VjGd4 zXr+GnGevzhLgpmAkd4?Qd6QNYpcSB5f!%O7d!{saOh;ubB1PC4`u&;vJU`S0r1}SY z)9k1uh^*elZb&cupjDWsXsZzQbB+4tzd?tjqi3Q{ayvNMh-^hS(2M-(C*kjqnIo)< z=#k5)pRX!bRmMJJqk+x~B#Osa-$;y>BXOC?qsmEGKp5ycdOBPdont)604}K|2}^x1O5q2R$RDoQ~uT1xu471_^x^wyzxCe5KM?r=ePlXJU4>1R|+Uqi=ZS; zM`{j)T<$#1sCgSKP@wY&qh>uGjHLnIGRX$ph<8ueQ3)Mk02{Xeor8=A9}{qdg>^Mo zJ4ZVffoczeOSSm`qVeDi$*x`muh2|@YaLwtK;9;1r8q)9gG7WXt-}w3%N2m!-j(Yy zo9fR*J-GR29am&hcl6eOQBz&Y;gl)0lxZroFW91!6sgMkjH`Ps79W_24e=x3Qw1VF zgcoN9y}EE>Y{hsPBtOs6J^2qJC`sen z6NFh@?TPIu)Fq91chOXIZAI)q@rx|q(PVsQ7DBoZyM|dJu!vPAA02qqlzB#hCdNGv z2@;!Loe(S~t#ZMdX=ks>Db)uVFKWv)lINvfr=xQE`uRYk6@swyETs8*X_Y#%E0{LWL4XMm3;{5CO=#cmMW4L25t zY?Akx2Rg~`|2E?w9;So_?k3cZvB7(fv2;=y_PaYQy7m*J{$UZ$H0=p=Aq4O;ObfYVL`^F>WWOct=63jWCISE8HyK)0IGzK;g!#TvmZT^63(OJVAqzuH4GFK{ zkw}gCl35gi4>6XGr(*g*2O;`7K?^6r!p^4hu`V3j0S8B?S&cjHHQoz>NpcF% zB1+S-2&^{_xXyCVqPqq;M|&2zOiyKe5RZH4cvjgOH-tG2u{u!%mJgAz*5Wn35?n85 z1YE6hM4@Z~RQSx))7SARq)%V6GgHY(WCI6vd%>y+cAz(MC*-wv)nr_5@?q)nvlyT5 z*2Q@IH-!?cnyFjNr%+;ZAVas*HkV{unigePBC4=-S@zzAnF`0g5N+5)#M5@o-rpdp zdP5@6`bdTmV&_|=F_mcTGS|9Cw_Fw(nuSv>zzLh2wI1Wr7Mvhs8-*J>M8iAwfQOfz zd3cAYzqiaf(CL`?%M8;YC%VJ83SW;CT_K)uqN6}8mWyY}-m%5X{$D)tbhib+$!%Kk zsrop_^GDL-f<8v75)iQsrw%j7_B0s1Kz?NZ6bBd6H#~7@NgUzyeLU~#cw^opcKvDu zu6LPV;8vbqB4`lkj6~XSXpg=g;_U=HCx@?HjSJE!T5iP!=_V{=r4%ULo^sO*sMO|) zOdrY3;rn-zeenIWticm}e?}<;e8YPh5VlzcgfCBT1q!#pfKbA%wvG2sKT#PFehCA@ z@vH17c7wQk+FafLQd!!7&}qJM;0A;PUE0!ToY)T@RNZ?}7f=Il_-gbnuaZANlOHzt zPy-mB0xQ^Z!9hdxi8=d)Sk>aNr_NYi8qbd++fG z3gvqOtD{W>){l zMixr<;r{^Qz7{IXz$d}u_sG8QK-lg@&=W+Lst_yv0gAId<^zlIW9xWH!E7J;b5QWN zho2;bZ5=O{qN=zhK#UKXrc@veIv1FTr|=gZ1`ZmOhyOd3=$1gmB|J@(N{Wn{YoRwF zwk%)OS2m5(9-_yOvR8own8+~=Z}Xt?V@1vYCTp#_cG>dyZ)B@WNS*R zpQzfeGljcT`>dTQe8oO%XA1rHImMa6h)jK6ygxq*QQ%Vo-rA4CSM0m?qtI{PQ~W60 zaBl{9yTiX5g{g5xydz;^wLQg=Lf%N5X&+e#sf>N(U}&_3+#?U83kCbg3iabuKX9Ee zz&QO9cvQFq9u+PbLtJv1dC)^(_|q2PK?ycID?Fl6a|%A!KI@-Ihtc)_0x~dR5S5zn z-?^m4=o*;U$>*fewKMnct;AyU?{Y1I22oAQ;N%Cvx-zUD-QvuBNR@ji#0I2Xs?oJh z`6)7EPoTl@vQ|98=K8}r%jWtgSa$3@jB}+!=4?_N60BY}*H{jg%{3^~tOk_xn9_9# zmgIke!`#c@OWNjI7Mi(;d`n7CcsWo>>)@2MI5duCv75{+(>B+z;kO2pB~3^#bt$7} zGX^9^#%~CyUKQOr+v#bx*e$6ZB(gKcJ#C_q z*E?oAD<)&M^9{IB%Xud}9>Rm7I4Wkl$`{ia6|-GeP{U7+*)AE0nC+YTVF40-O;|*$ znC+i?GeAMdY;QH?z|l8&8JZI2(4S(*<0H(We~Zg8KEfROggo^Kb7%+xB^Bn-=VA(3 z0Oru|0;oyDRSpH0lu`VoT1C(QA6lnLGPrsgM}redH;!&e8b>QYRU_(`WgLy5?TldC z6W!(jbV5EC_2Rq(j=XPJ_k@%jk;FJ!Lrt6WU5{8T8eq8GCHD%r(H@d; zqYib#R5}@aIbkZD0zYjkt+<@a&`j(!@tT~zY!59QFP?Tla6A*Ipo4@XO{LS}c+}r} z!_f#*tU+AbkKuGn(r{WpiYtHLX{uhZ3$VnBq;g6o+v$Eoxc?7rr+*`gJKyA7Ja@+d z%|&hSpyz_N)9G^&{#)<45KC&}bebi#rUiM>N3;N+Vo9xIw4VlTR=A{14eP$281CoL zlKM1}t$vd*zxeh8g87*>2MzN{yihoN%;o6ZXE?&qen7O(O0Cw;m9{@0^tZ;N%)$W&KC!Ef9MBT3Pd~ z!J`0Rpdz>{D{CDX`-iA*oxFp;6KbAh#Bu>cVZ>6ctkGVm76p}+HQstzS>s^Q_c{AE zVnc>=HuHJsaLX+jz>~@;&c(PAXVagf_E(n$u$4@GWWJUKynOQkb~C}yrdnBl1vuWm zpFT35a6CCv2i0{9i^33Q;LHq|rb=>hP;&E({gxz%wEc6Y!bD(LS-&D~0uD5gdo4<< z{!l2RzT(dG6;(KCl6}Jd3nxvocU5N?Z1jr7VnqG5#&S<%e$$=j_CCfeJ5^8o89&W{ znF=B-is>xLG{QPsI3r4WE6Re3wBg4ceu$?RIK4)ICDRbchRP4tkh8 z5k~W$B6#E(7sSk|r!)-}>M(U#hGSK14}Mi$tytWuipL9`j{!qy7dht>L42Yjis1iI&!7x*NJvuzzNwu#skyW$)DC zFWywkVQD#jEp+o?` zloml;%(r3SjmG3c#cnpJ@aerRywd`w+xs-}Hk)7!tplfr#lU;ZW(1cB$+O*Dr4GP4 z9QvNdPx1oWvm3YP6z!|9f}(raar0Hc0VdcCn9lfRAxRMVpt1B9Y!`;;`7}g_MJ;=b zsOc@Xkj`l>NbkW+ThVIW1t|3BGdQLG2%OF~Y()*qGMln2n1=J?f$f{2|Fb?O2I%=T zK$m3rHzgD-6LHvge+2vPWVCe2unfFg#K8N5C20oUY5ewlVrBcGk|l;=0oE^a$uj0$qgt-CQep`CJKJ4tu*X%y+N!OZ4CJ#_9E!M+UnXWZYf8miBEM;%73# zIGc2b7fAI9W{qX7H++J5#X7&MyOE{eL}|D&$;z5>tb2+of>`A1L_drsacgN3r%JfY z)iX|Xhv!PBd-Pms58;g6>-?~u^W;kD&F zM~BOvAtgSBx78^@Vi1gKIZTB6gYWyeSA4-Zg6%Lz`4A?w3JWmD0O3~$-GZ}VNqZO? zRUcMM0w0EfYnd~!CwoSb!uFU49Rsyl9eV+?IMHI}po0I6x0FGFc-TiygeQKE+E?!i zyGNEX)XY30Ec9Qm7+DF|3%)aK?RMOi_y(43aG6MpAMI+kkE}!)+;j!j!Xx<=BPUtK zVX^;fWMIE&P{k`)2ND;q&uHK{9DBo8j2s1U7{RMX@J)Sm#!vW_$`bGO;e{+3=o&G7 zP@wC~8Q+H;miIE%RVPA?nJ6Exus&A;_t#e9==P>;->K5sLLbb*;SU-8tYAO&=kxIS zv_Tuem6$vB2m{ATosP0nU=pBGiWl!~>PvyGC5<2E;d1c1&W@LWuvy*{Sj)-o%Ls?F zV`D+`HakLqR{Y%b>=I^=iT9|aQhfsx)xr^u9nu(5OPFXuE0pvf2+v+Ukc&uzKYAbs zsiSnaE0E%TAbVACI1+H5xiAaAAi5*)GZn#|OVsaUhvS!!nVjt_Lc3O0WFi#5%Zxcg zhhbye;11_)Y!%D=R*c#`vXW-FrGYM}0JI#1bA4mBZ(QI-E?mT3JbZ$ z4i<|I#jFDGqr}Ce|HmUI?TlTI;q1TW-%!)0Dtw!}h4cYm^^OH{gRn0^Cjwh`>V|lQ zT*fdE1t8AIE740cF?1)ljyL@#|~Oz$h@ASB#vs=Oru$jH5EX@SS!jV(M02g2V0yAaN-B zQls7;$^mKyiLJgOn0}iA+IYcKg~`r{iZmNp$UeEk-jU8|Yjt7J1Lc7_xd z&WCL*B*@Gmu_IEFlc{^rX`GC?yCe$3ydw5NO1du1(WRi3!{)w zmTHB}5mz>!VkYZMkvmOY2sC_DY#NfWP@JcM=r~WopNdn}{8{8gPpdVB8a=Q^5NMIg z#Ttzy0cNO@Kx`U_5;B|V6CBOk*K2NX!eX#?h{KGU}T_rwnasn+_nk%?k zhR4AnsD%MBS(kw^OYBj6HmwT5s096b`HiqXRg8cDT|;IJPOiQ9PyCUS0kAyXXWf6< zlcSgKwK^UjMJPVnDwL`d@S6VEF4yTvf z1-;ddbp;6BE!5wrse;9Szb)-J3@uqDAI3|+C0|6QjIt8rBcU*Yp99HKY3XtyrFA5w z5caevnh8?68>Dp45UEZkhTNfW|2vR|#;~H$7!?u{wDf4w(4jp^=+!8~xVUPgRXeLE z488&UD=Db4^s>|JM*a9x@aM85z6VM3llUGX$pXIL01VUk4nsEJ`>j@jx$r7U_$mJO zf$z+W4&7s+jRv3ZRto`v>$`yf#Pv(kah=}*-u?mu28#NQz}zt@iRbbA`dH)fn-ZAM z(lpzetd~4@zEN>U0&YhVZux*)8v37-0sY-wJ;ni*n!y>+U@0>9zcAW&TdgWq(Kj-I zWTVhPAFRlANOpolLFg%-vYqCV`2_Q|Cn`ndo3VSUlg2NifS!NcnMUw9Nn;f$ON(E` zNkeY*G+pZ@A=Xu3duB5oSBKbQzlGz=?$N%(*_^Ap!EL7G{S2xookdrYxDmZRO8X>YmBYa3JtzYWb6F7U<|$N*g7TAo{)mBs%FR=^WnOS2w$ zp=SR@F^nHQU3nJdAjeD}9@veKJ&IL}LeNbk08nTe1iNruDv(wn?!rMAY8{?5@Sbke z+?*s0*cLe(&gSvLDI!wApbRMTFf#RYTNP<}HGY&)GdfefYkJp9d~g@mVZWs5BviC5 zdmZxwdncpjM_Av0yDK(lEFtX9FC(qpuh{Os zw~8}`+lCv7g{8m-{O}a!3IB+D#bd&4Z$%g=?7t_V16*bfkp?(l+v@CS5;prBY!OZ% zJ-2cvL|Wh$HJ81x0?Ez6D|BXauo6$gWuL9KKlHy7J>vN0rS|m3}p0z(FI|~9wSPw^DkF*ucnuyCcuL{IxAw9Am0ftSeY*>|I69BTBj+uwm?ENV!R>{MCF3v}ff zHKiC_3RO_tq!0yglN;!FH$fD(V-fWv3L8jclz_|#UW4_YnL)yPf2cb(2u9YS)7#=m57A!XcKcM zaJI=xicGK)$;5b^#b;Y*agqr+j3cC-dv-SdUNIYFV&VSJ;%r`#i7VQA;F%FD$I9rB zO!zG_!69NDFL^vcCN^MiKKQfvK3RqqnaDz`ph40&$;4g17BV5pAQS6T)-0DYL577- zlC9BtS2FbvDXCUrk_qa&^eD|_WlNqUL2MfY;kw?Xk?KThUu0qoORH13u;j55kZ^Fv z5n=>Z1&`nGw4*Uc63m4PD~JnykPT)=gP-)8&Rf%u-;dMPP;b(~Z-E$06Vh>_A{|Ui zAstsHNCz`WI_%ccI{5R(yC3)HsP~xu$W7d%&eQ2~L@0*85Jmq|q5T&m^w-LY3IG+B z6oZ^oI$h>;9G75336Uq0>324GAEqksA|-bah;xsDQ+F}b08`BHcjW3_xpTPmU8Co; z^39w>9RgQ)`pClQXvj`^qc@8g;T5&`5qGu=Fn8h-;{v#|)fsSSi>I-uiz414w|RFV z{KfMfCRMEv&cZAe_m5#XDyBCF4F8d}q&-^;V3S#o9(F5xiaC%G_FW^qf==zC=bfak zYkPL;q_444yX^gR3VsDh%=+%J0^;@y`Uc`clJQG_mgD^zfH=P2{)Qh>vj}Gr=d%Oj z(E(f^0BOwem`WVE;mV&ypvDITQS;fr`Me`JpUJtju_mW0ZBlKJT#rhbS?YEC?c#nw zm|@cmf7oL|88s`xboH_6?m=>3O^M#>l}x_>yMc8mttI@EK1k35C9eY|EP}3#lCS{| zS+N|vstq|FOOT6NkP8(3J^WIo6kUK<9(H4gL6ww;QA?*35gBoUe<+If^tsW0SKJzlGp5YC_X>+P<$c|#WP2wABeZ{)GhsZ0Q#KrFbfY%jopI> zHo5tLPFyJK= z?E^x)k*u6*xy{|tiB~c-Y9DkbS>)UMFH+QB5gTOwqm+u#E`DxnRX?9&M{$Ep9RglT zeF$JdiW1w{+G`AOb%PS<^JxXvctxeimv%rHUhN!x6Dh z0PFsy=81PZMfTyX&U-4(k1M#cL_a0)Q7H`5p_l@{1?Fw7$}~M*9BADex_FYSJIE#D z3O`1{866p#??q3c@TPOPQ4;|HbHU~bC5-w(_6QVp0-ZM-bLL{3&^jljzY?7jTYHeF z@f3L{O=8TGL~LE$$xoePArNSbX*)L@M=GNZJXaYF-Ot19!;E< zXyQ0FQGZ!>pm8})+eYBmcz4xhS7c@JzjgL@1zU`onaJXo^tkxp7;K)T2a8L*-wCwt zM7Q$1#$sHM23F?)1hL7;$1kd7r&hZV$tZOczT6$yeWv%Y!0t1A$2r57;|h7rjG;Y? z7o)ff1_ywZ6OJm&-!Nw9@x%3lC?Oc`T9yR`Jir(_%>3(mt<@83^u5lOgZ?R#_aVr$ z?ho&s6YxBh@bnHKEWO7-N8(~g&>MJS@C|9dcoIyA*=9UHHOG4_bD%!ad~qcT!qi(g zd^3vnfWEW2LZoti&cKtXtS0d{crunwo=7!;(~PB;SK@lqcRZmRX#EnZ0inqgu{ne; zuhd^+r!sv>BSfkH@`)9(*Q9ZofYM?=USu+mLHEqg@MOSy!%RayrY7u(WQFY5LcCbe z!u;b6u()Qj!+aZ8v4ytA4-u{9%dx(ExOa;4kN0}$y86!XKp6;SUF9uzj^YHJ?5xKt z{&nZd{5W50bp}^?2SeZoVm{DQzIxgwAb|IKnDuZs4H%53UH z!j*zAKROcqk{Rj&Q@^t^Thg78rR=-bM{B@iZ+$dKutYlU2|v!xV#A$U=`pW!T54u; z^U>rQZJt>>lX-0U>!R zAjzZ0FOo~s8GOTQ$Oq9%1#lxPeu1l8nBzN7cFmemkyQv&-J#;_C8bf{U*eFTm-?Pc ztE!zZ7&Q~n5IQ#*aE_}1{d|(mKVf2C-AQ=0b*%K`2c&P4?ZwmZ1Wlc&?lU|^B){c0 z-@$H;7~aGODpj9l#iPFHqg-<5!J|nAyXVa3_xyjNS@9lx2Uf)j0`UfWdCYf0vPCpv z1O}oK+C`QT+>0u%SzpR1p?Yvh;rP(tQeE5C{c^HqY#R147O3vW%KW z4Am9Bt3rRn6P~B8C!o5xLENc92MX~t${URUPe2fhR-ogAwNXFZY3{N|uIYdT_NT_2 zvytsE-oF*TTMP6GtvV3`spBno?i#>O2&eMK?|SWh z=dvsm$p%`vgIjzh99YCV3?zG{r#v>Tr3@-V0)*IG7y{JSjUdZY&neh}FWA&R(Y-1@ zk)2-ycl7Z~c}NmZ$P^6o9-dBxO%i4M2M@qBuq# zqhEVEi)}P!cMETs7+!o*%2?y)IU`qQD_4_&J!e3JZI{ik?+NUIOX9zwsnnv!q`c@+ zSqOm+`VPnFe8p<|0{_Zm-&`gpON}2R_CZD7dk*Fp`d1CU(HP|fQ0!74aPLYdl2P<^ zcuBDNFC2M>QS%dg9a!itZ=Lor2uy3QqhvC%y3^_h&zeGdtON^FiM*-U8v7IYQH^DS z%kg*h)E?`s&jui~=j+fEisXW0Kn3TlF0K~i!O?h0AZzkl3SVQFBNz9zH$ZRZ%WvUq z&rb!u9Bl+wVJ2dT(*w3R8H+pxNMIX#59MIWavn}hL!Y(yTFSh|evpm~u^$Tc-)h`H z0n`z!xGaK>w_UlfbLoL;b%Z8VIwQPtT6#HEFD|C)#Wkk_TRo90OToK6L!DVpA3PDx zhdb!iB(ovU)6I_8`2=+gM{TpCg<>8&U;BhTi|> z?J}QJWE}iW#hn*Bm&+;xhXIC|i$1vhc9tI23AA=6bc|(54AG+fj^>h3LcaS3RJ}A^-P|%&d(%kDkfIM zZ>LxW+-1YeA=ZagB+=zD(#^?>3%#exw3LG34YYQ!Ym?Q7UETAN_!1wS z(oP{}8grE8y$dL_S307xK^Ttc1m(qjLM5o>q|*4=_|$|-y|CgVQ;9HQNPxydEYyPr zi_+o+IM=$mCzQs<-~p`XJ4b@`%mMM?Bvoen9>v$98(_8{|AoS=_yY$+_|yRtf2W^j zoi9tkY~pj?o*_L$Ec%RbrxdFVcGfG4p*>K5ZhM}cm*`*?n!R5 zLp<2b+^Q(uSX6g)->GZT%&h8!{=WX8N3c1$a22+iN{>) z0+9|hLCS+zNjO`)=L+m8^&W}81HEu8v!~cQ2!96|a-MKDep2X)3>`?%t$`-^lW%mD zubsBW8E7s9816jV88(&|qGe<0r(k~24E~}S{6#bPi)Q}L4>!gNG5ndE;wvqnxlHM< zg5Bg^u(S0AyJ^>FhTB^p2)*ET=@x-o&1%`d5Soz4b}5j}a?@2`92yFg3LzipH673K z=bWRvWQ?klGUGKEht>Im>osA*jfbce@PKEmo|$w&e(P4cgAtZ8rad zoOnN0Osbef%SV8}w*h}|0{+5i=Sd|A*&aO}8#**wE!O5^m|!X|3`(Xa#-DhLl!N znR7*MsnQBc*&g5zE73=`Uo17pP$TSu8Sm?14w7N|PEEiAx<0R@Zt5z zWCy$x`~G;c1&(H<8jUfRwkD$8v=a|$Wu}2K9TeLn$v}BEyBGmC%ZWSrw-N_(Jv0%s z>GSq{0sxUXK>}NWN)5Egz*z`}U)51(9Wv#gdrCWeE#5(9Ec_yW!uhXvy*Ie*9Smns zbNpHU_*S2^pQfvs&*jhzis4KMVl-)snMFrclpN>C=*PwxO{pdl|_Wc3y={r4EF zUG?}ucJ;u=dSMA9ocL^(_b5G+-ox4h^eR$Fb;@kmTbs|5493SgBUhB{gJ*krJ7Yy5 z5(Pn)-v9tF`x&YL!*@WZCIL|8#@zbAvDOC;w>~h?|2(HG+j|v4CM?AhRK|QK;F+m3 z4y&LETRF79yyrQ)Wqk2(!Q8_KAzz}*5SAItGGG9t48WFeO=feTkQj}BNTHwGJ~ zkFx3La0*h4`Tz&oy;=~K9)A_W`uBsd*4QF;B5Hv1A^qJZ4}#_*eu16|Nr3cr7g`Th z!by*0ud2vJm4{_Pz;_H%xeze7vH)^HOJ$a&)kv~cwA4RRrt}KPnCMl!()tj@C|G$9 zx2pE~{b2lro~Q-)M?|f;myrBab<)LiJA(*b8+C>sV2_QZLx)?=omW*4V4?W?R?+uo zoQ9$Z1O2Hpw^!+xb!i0UsOt3Oe(uixhkyVAi}pD}*t`YN!2K`~%#YqWUES30kpXx* zo#9{A@{z`?uj8TpVq89-V_tj%X{3&80yP3`5_p?W#u%b??{_pFgwcR0zFXrXdb_w# z$^(}HOVAihxM9c}##R^hwaV?&CJ_cjADFfmmxR$H{sVgmn$M~i(kfo(PZ+niJC0~c zfmWnr;^Fo8KZy(Bup~^(wPpg-ANvvrtQruaCJnrI0UDT{xFn0dg7?_%(uAWkTqn8< z_hs*c{|j_t#r^n7G<-uF*S^@^!vt%u$Lw@9MoS!Km#cASDJ}OhVGRrgShY?ATIpI&_gL%>_{Ud>f5pr zjAU$s%K!&IQ^(i9j+sS!Y$16zU$T0~O%`C>hbHVr|3n9w05o9NU*wl;fgPJ|(6w71 z*BwMyc*ssAPj`@20$XG0^2C{fG+xj86z$6{{uj+B<L5r5mLDxVz0xEAZ_ZEslWexVAF}nYtFym)At4=ISYt<*>zxcdioF7 z{2q8cxPUirTMgz4^y%6Lhxu|iKMR#j516nj$Vd0Mr+lK=yARqd_HG-V$ll#oL^9#| ztT}(3&NEj-YY?s{AY=CCA#`aWiF#83_>e9qIX0O_+iN?7ceDkX_t_!i*auZ@ zY!BzQBMz%Lj|a8736u*HrhNCe3eD0?rQPhZxXL9J1R>U3`y8TXsZnz&CIN3kevO*4 zTr$*%Y!xm4r6RzKFdeunsl|`%ZATB#Oqt8tg>^0hm_Tf2QQl&OoeWHtfxM|mNq1cr-{5vCN)s&9+9x)4$Ic+*V!-$h3vUmeM zE^@E^j}6CvH8!sAWE-N#*D6VULnX5~u6+RutftQ7FUcuwPkHAeN~gS}X3V25-z`Cy=^#RnsmD-j5z`CyGYWDX_RbP`Yd z$Muw60ml=)idR}6A|H%rh2;HzuwOp7raZ|9e>|hK4<2}BPae44;(=p*@W2+wta;#1 z+}VHb%>&Q;FX4fI`kxA)W&*>~c?F}!jx^zcFYm=GK(VjW6<($&akqB}BS&0cD5>VJ z`l7}OveX}I{GDSmU{v%!e-V({9fIC1gyb#U+awvpUe-75Z!-2Dn{=N;a zb)*AQ7LlitJbYlwW_b3p_;#Hk>^5A7!RyzDdBWM}utW;iEhV z&>iS^sWj(L{vg5S|9BF({K#FF{uGe|@?7%wRGDni^4v{Oodiptl<49b-VavNAI>jA zTR<$u>UX_wvHG2OBCG#pAtkxnb-D*Jrj#VtZ%J~&R(lH|#D#;SpNTAYAJ{4Hb>y}u zCt3TCFYGC+z5L3y?t|gtFMqQD?9M< zW>4M@&9C4ZOYE2_9PfCvk~)xTd`S;PI9_V^_cf4XdJUwopMhA+?FX>AjBNuyl!~h1xb;e*(29q+&!BFozfcw;9+pGov?kgVWKQ z@=%Kz?_Ntsc5~x_iSR$M0>;K-2yyGEPlI<1ZnF`IBDfQI-jzM!EVT>?TT zxaGeM~Y6|O~^&9wa(vavRV z7bAsYz|`-Qz2h=}2u2ct0L@=BwSQsiUPCrCL`xB2Dnt|5Z>(c`^n2F|$GH0P-W=oW zBOwW0*^@P_XX@YdL||^gXw7nkpKC=&LleN$38djuFfT?c@uVE}e$2hd-u5TIO#%EM@Ui;7^w}B z+*p9FSHso*@sXiQkh(S`$>3g2@uTl4C2eqB3^6K1HK}q`U3zEZ4v0}b{O&CE-PX77HKaxQ(k2wcZurb z_Flr&&m1Ai<4ObryqtB=kAyhYUesw1Nvb7KZL|2e>u(T>^@DWe_Esu^D*e**johxV zps`#kOh75|Lv}f$9?_;m!c4~?I;Fs@E!L4HQvKFRK&)et2j1tM1hduQB(s&!R}WmY z5qa6(L3LOwxbT7LVsrjWOv0;qQ6ruxB}20Iw;K2e`Wn?(U2tfLmKW%v-m9YP(6Y-c z5D6U30-E#hMkXGz0vCB%%y+sye9`UFqM82_{K8wJnSV7NyhhY;wRM}#c5`3UcNqnh z)gUbLT~{-te{Ah^6jZI9iYL|DiFhh&F!PVbue3H84`{6seNnBQmfl)({?@mxW;WwV zHS;!}*vtm}N;50*fM)JXG}DUiu~T)YqYLTqC{1BjwI0ixF0ogWwxerE;KDqbXXl({2Q_It5LsP8c@9BCx==JWnY4*!O-X$nUt4qjuisMRLb2CStU2FAf*Q{K%;Ip)orNb3=U)86 zU8k9UD;_YM6ZLS~qjLaak_HRQPGh_cH`9WaeMHPolPQ_!)aK2k#p-l*6JXt`f3*KfnV{8d<(lJe1v>Cl6$+ZQ#vwgjRlvoxk_#{C3c+_5C#hqw} zy$@V;2cG0px8i}H`Z0d_sjKjdPZe9A@)9urkGpSykE*)X&kG0yJW)YWu}v#JFnWuG zw$xzF;EbH1Gl&XQtyC&XwY5rN2GPqSVKOksVL)%C+NzcI_JM7!v=xX{AOT4N%2PoE zg@6h(jAB4d044MPzP0z6nS>+;wc7jV_ak%8KKr@$+H0@9_Ik9Kg(NZPOwnger#>j7 zsyz!&Qf)6hu-YE@Wwn|3MYT%+5gEa`ZC9(bDm8us-$aoJhfiu7jj4B7+axgY$L_F; z7Yx43^bt*v1*?qfP;7?lDjq?n6teJ1;PCc^gu_p_p_@oTQO=p~2U!0|!XrR4iXVxo z*W!U=aO0O_xB|Zz!{Mv+7;XfN?8fsP&l4eIx1rh;YTk?11T}9L)T|cNya8ow)T}N* zA%&Wc^#E#4$173u6tofdDb$>Z=QPxO-yx`po+&hPWyEi26E_dFiJO1b)wGVA0$Tr# zHJuz=ZLoY7PXd<9@j$Q)z%Rja0e%6NokKKOhG32z@Z|1*MTItxjvwKMopwvSWs#OAug2)$26pU9HL!!xv4EJ} zxm?Ez_9%YO%H%|qorj~o-gPi5jnT@kYJM6qKLY`tnob8c+wJbhlVE>C{1P<&23}#B z?X>2?bd^Y@9sd5aHw1MPzr3=*E99174RmaHPMr25?<*Jjg-J|N^aVb11sseVqqTz1v$la7V-KB()s3Vcs z;0$}~;4icPv{PLXN3IiP;db7W?L}Ffi=tj6;toCP3TL{FXKGDY9~+5P#oMOVScdLe ziUm)@*Rpr&6nxlKco`amAHYXh6@B&~>VVHFW(xpqN&`>R7(pq2VoirG1n$owD+Hu?}*%x z3yodxxJpm93w7b@VY?e?$dA|!7ddbfP!%n3S#d~i3%u4VUgMcJ;Shg2ezyXeYn;}b z?y7f?VY|C3j-So$ssjZKZ-7$X>^g7u9(V91|41)9)?Y*GyI#wQl{!)KDNJl0wl1{ zunxUk=MWwafe3Tm#*j)dgVFNK>k~y=f^@S_Ef(-@;OX`LfaQGqVC+Rq()!PvKzguL z?toOG#ZtKxt#}GCD?u*24y+b%+TeQpwU{5M)(E$~$!o2_Q3g&u8C_r{^uN*gD9qqq z)52{;#9v9zVi{3mH)BOqgUL6_JE2E4;@SHUw*5 z2%mwASc3C?pm*b{QoJblJe*0GI^CxNDiQyBae=C!07OBlCl1e`63kv9qG}@C?b8%G zyYxGQ{M}0LL#VEDdTYcyZvswW+XrZHt)_@ztxSZ|YE+7^gpRGoa`0Sr|A9G?!9l55 z6iDiDX#~G%nR%sQM)(@suBomtHN6|wCKbvDyxB+2NS`34Gb7cX zqMd4nArb-J|7r@b2GS-x{)XBNpaGTcaK$2|x~+PgAHHWXxRxN8qDl#%Uk{NAh4cYw!Un3nAzT9Le;Z zlcw*Q@dv0<{~W`{!hamX9N-M6%LXiNz2cp*dE-~lof3LAV(wyD5<4hcW{}DD+-dZI zbgPoek7-y0=bg}Qo8FP0z`E&+9azaByZS$@j=IJ+P(Rp zgl+1S4BLbqmbfojfszP|bPyM<`?6ix4X(Uu2qBep&tK`TnbjZAyaZtNhL;rJ5!Sti z+cuzZ7~49#tm(Pq@u#~hH2swENV$4~{GH-3`eot&kbM4udQZP0ut)4Sq=bJ)@XuHd z3@3NhS&2@K)1rrSI*_4%djV`$PYBfJ1nTxXLRU{8!uB=_w=>*k@Q@L61M zX}LXC_X&~QvH0ys?rGE(8xkn4klMD?Kl&fZL=VzQbXp;7FYGZ4J11qqax7Zs}@7U13d zC1LOLxPUqfpk0KRNyu);xq@pU9M%ld2D7G=FZ^eY!xR$K*35iNP<&+INaxR7NqQq} z2lN;>X`{e%?~n5I*EU*37Wc;r5%w-RaFZ;BU{?wBE}_7ZJj_GFpzLkZS}%Mv!^>IL z3>TT!Ql*FZgIs7DGtq55sPDwEVJudts^h^0NOxKjVI^S~8HABg z>eds!R;&@Y`A}IcWkJzw%{OPuPNWr1>yXQ;k7gtKL7Si`LhL3Sh7U*I%@qc&bvooI zosreqD4!2a4nD%O<#o`k;A62-4%26O9Bh=|4gfLrTBH2y7zZD(H-hIJ0lZX@HFx;F zTu1n_W!Gce4m#J)i2i;+lU{EABJ64OgdZxS+aKaf=>z0m3XwCo3%EJ?^pS6B*K5eC3>51P2G4t+@(FhhA-2Q!I_3s+l_;>86LFk+7y5S2+-vT z%U4B~{D^l`M%ANGS*3=PJ5ZCu@Yf1n`OVi#>~y$5`0^m0S>GXuAISMM5HC(a{I&mT z3GoSl_;UbDsB9VjrdBk#ZbjF?DEcmdI6wt?udFU*?hE5zK~lEA2jQxczOI0*Uf>a? z1(2uWEE@aU`(GxIA3xY3AP2KQ4Un%(0oiwHOCXaR(6Lmgj1g)Gsz?+~c>;&9OB#>PGU8Y74sZP~RO1cEuf0q$@noda2AlwA;Z;7IuhI+#l(usJ`F z7qxC<$KYC5^G6MGS}o8a9?5injGV$OUBB#S0F+pnlWh+XZ17~vbGI3uWphe~X+d^M ze$#qX(wZ?z{@Myw($10UIew>|&klGCfTljHZv)zIwY*^MiAZbLq^j_>V;WySPKl$cC%CtiY!$leR{;ZG3IUszz2{|Ud4N3%g= z#c2}#=1>oa`092`gvuA?c_oA_lc3ZZJ>{Ruh0YT=r>Pppy#k4ME@}!seh!78qk9Kx zDaM&Z6Z&S=j=^`789oVC5>oenApx>CIE9nC|M8D(pyLa;Gc|&VvvmD-x=DWFR4kut#5 z$P=lDkIU@RVT|ZgTe%(=uqh*C7FK1S@_B z1C(2UHz8nIXi^B6YuTMh0|C=t#iTXq$G(R;BLkKsw-_ZPXIKGYtOVQ+RIsRs(SR%` zn6vUDY1Z3sWu8z{3JGR07~p4_$;YsCn$2WqKr8knjs%#=Ok?gp(2KA{eo6&{E<+mB zCVC?l^gEae#DeC;xD)G(cE<8?WEP{oz_dCDRf2u-#c=H?g10aLj$R?2-CEB=h0kuC zz@=|I^Xyi+ONDpV>i*22q! z>oxQtHWvugCfY>L#A(M~dJ}qKY>T64BhUn#jGhVPYyy`42}?dXW@8SOGZ^4%9<{x~ z5w@xWsMm2II)FM<_~N~6{6*wHneV5W1DBgd`F*UUX`ruLpsCMPBhYlojBXO4+8R?A z?^5Hc14wOf5y9rx^PQm`=iGrA!ZoA`5xd#A%gn9^h1`Ph=;gh59B1hCUL)jTM)`U| zl#$bW@pccN=JdJ&4^TtzKi-%zXT88VP?cpCZ%1sAtEX{RdpMQ@|1xB5)-`Fp?vD7|xJ#J+PBb3@NwqkG3L z#WSe>@VngMGaRMkCp8*N{=wrql#v>%OOe}mF$I|6p6g4y+{9fE%5LKXca0T22jDdi zj?OUGQb*+4cLRuDJ^OrI1#{;ZxV7|;*g5vO!`Lo@3I8B&Xj9-AiZ=>6{EKO<96YL^ zGvbX}$P~b;E&mWZ2;86=GxuRp$NHc$dD~8L8Y_r(MN-?vciYAPlq|rab|=2wYA04K zTa=gHhg!RmTiJ(4@kjbFGFfFXt;$PVsS?>uW5u$^bgjlr`mc^%V^@@ytY~mrMcW6DgtX_z=h$()0k1~jIYVsz$s);Iy$iq zs)bN}Bn?_pOB8EI4d^V^q6y|%MkD|_8EEP>^};~YsWZNA!lRq9VucN8Df)D>=q{mu z-+|Anra=f(KW;h6XcB_KWWFVQN)3(*z47zx(VsQ7Yv9;GV{QUHP0KVCnaDk~!voZf zO7Q?MZ25e6fEV*L4^ZN?#@hQo9$~oGCIgV#{FTZg&ZE#A0d!k#|4wU<%c_e;UvFdc zmx)?Ac%pc=U)FFlQPK_u8XJ2=6*u2o7o>UcpNX$9k>h7h!LTe+^t5AK)$IijB%ggi$FG@c*?0G#Y>)ih%6^7 z;ISH_qv0VLw-4t8j$CO3Cv*cMG|Z-+L#{aGFSrtIY6{~!4{SM0mtJ1rF9M;3RvTaC zb7CWbqJ2D^_s4h)~tP?hBy!^kM#g7AtZ zj3t+qga&9Iwq_!zY8eej=`a`@Jp$H(s=D|_0DbrrobaMBAHtDf3ePa*mq0cMoq=fd z{7vAUzrwfFpno)39Y$Wkcx47MrDw552*%PdAB+uq)RF;y^STuB*8uA1h+=@})e&0h z4DdR4KXtIM6m#59hK)qU0E!KM;2IiN9F4Lzn`nlD{f^>y{^@ypv zV-wL#+Dx@JO@psZO;f>!&xb~pVuJNKWe6TW)_?Bs!4dt_!iPoMgbzOwKIgvLE_|eUflm&OC@t|!YEPFkz&YZd zL9UQb4$kdteQys>fo<)JpB`-7DkhQ0KaK%`hUOw>5~UweA1bBnv0TzuF2E1a+bF*Q z{gbFsgRM5g_MIZubJrl!o7l9sI%qW8a&J`5_twK`x78Bv@bCgkDay}72htDi@1ke2 z7_PERkgcl=H0css`!=_1o3>1=bf5^&?rlvqXZhQ0^@^@Du^~zP1uX@bRtaNWeHcjA zn|5^dSO=m@-~1TH-6)e}xD@1apVA)dcP*?%+l|2+?V-1v{Xm zL__hEn!(ng(LP(()sw>QcWXaAZl_~Osf{JBW&duH(Cy_mP*?#rMG_9}Z)1i9kaHS% zx!3^QfJn&qjsos}ymkQHe9L3YkrP4UZmcI;7JC~PA7SCntM+FvgLEk)kT})w90QAJ zjuVdEn7IuS>R5N&(SK6Lr;$-u%-AkGg+*c$8GPLeXjY=yE{3Nmz1kV9c5w$Xj!srP z8&5cZ8}k;BVd4p7<=_t$Pu%lEnc)T0nJJFU!4}RfuCs@K{eL7h*i?+3~C3(CXH?#+wG#;-6+g6IS zD{;5xVcI>X54t)9pFXQU5BT7y0w>VEf8!b5xxPK1q$tWIdp{ja`npmu$zAn-119}n z>KIIV?`Z=jJie`a3xnk?#Joy81=d1|dibQ+zwpejk0rl8geRVHrrv8+yRG$NWco22Q9)oqu^%+NdxLv|jgPYJDf-LtgtZzlD5oH-Cb$WCE|R{B z5uMnG1z$m8e_7LVJA9BT-RZp60+OrSv zwmV4Rzz~BYgLO{@xmcLSH(4)>XXcNbAxSdB0!2M&7*sGHBrt)!bW$g8P{w0jv|OM_ z36=|uR?X)3U}g_qNO@0Tx+grJ+34Hm*JI`V2`PpcfYxKYpoch%2~eKr?Y*wm8!lUf zZ(gN~@RLR~;(@_7qB;5LIPKHSA&qFVM3peg`&}B*9LJ@V zq#OmS*V2e)!JlA6vkoJN5zY6boI(LRpiW6Tuj*Iz#&3yH{%7E1x|VVc>KAf;^RjQ? zljx1e^r!3I$TX*^-<+n@Z&bdd22OoCrd6=E_7q6?oyWTya2W{d(yVZ@Ttitc`j2ie zYSHb>?QY)!tsJ>dS4a!D^9ztQshA^Rz<7CC45^c^BRywz$sU}jV*MPyYxSJR5Ll|s z&Z8*R!Wvc~kQuE+8^lpijuC7*m|vf!=YWHuPcDsupT}TWU3-J!cRiR5YuX)58TDir zX8H3M=J>lKjvDngPC%!R?OXhtmrcZwKRW=T=CfO}HxYmLgny1K~O%iBg%P^mlCjIAT1qZVFIZG@cv z{L866FA0+ zKw|{=uUEH+Gb_r{yc{>6h%)7>1hry#nzDM;Wd_M8tK)O{AyIx(7A$7OnGcFz#%lrz z;;c*99*$)P<$(VMVjz(|q(T+x;~}XV-r`V5)%j4AEo6f$ct~n2lLqZR*bWfwo=E7K zmc2QmdlNU&Q`LEi@bOTcs;kw8;_23oLa5KdG=ot84cx1}*(~5L*kq#>9J}FF6LCyY ziM$CFE|my#$Mt@wq6M#nEs8>!M<)o%2m+OcS!aPwO9B<8cWuH%?b?xG6k)eZoKhO1=_4!*RC-P6qj&{2+P#PIjmJ6&)1QS%=Re(Zk9D~8x0{f* zJ30}HA#D;$aATZH`qcmR90 zwu@ z{aLWe`4N-{mRAxFtl$5G;0q7p9(kKZ}blO^HNKR~|nnogLx(f7z0KYQY83|KKOn}hH zW_CM564UG_v2ou5jbEFX%EYwAHM9c#DwqOC--WtSo6uL1T?l}p+g@&G0$PGk6sp8@ zM}tzEI8_}_LJ>rt>^y*ZZ3>uT%QLeQ)1(&*LO?2W9Kf(IvG~}m=01-QB1hk4qKv_v6plLJ$y8Z($jQ^B5dIw5W9hjr7 zn@)O;icgrM%44m~Q9$NM7B?sgrR-GOOKv7CFwLt42otdgCc=X zbD9L3q82ns+Z8FAbT9PnV&mkN|33w|A6Ik;xNomNY2ebxs5wpA)YuAerzI&$yH-bO z1c_8dcGGmMw{4Glaz*$L*n}q}=0}{QW`yTWY`U=>Vr}!EG7*!Oc4#7QeyN>_AP)m8 zq|T{<*@j5pY+;Bbqi$)7P}ysfCZw~mzrmPFEcS5)=E9zA6RFHgKRGjnG3Z02 zy`0ha4!>u!M&`JDoXVqULetB1Bu_&cN*z8wq+xCCAq~G1X_oxs6Ol$bb~yTYO*?R` zfE5nfvqWwX{(Bv22aO7W*mw#z$OVaOmAklV;us}!!i9)Z&|C+TE?zV^5iuG+u;HL2 zC|dfyfRE44u=)~~eEPWIScWeNRERwg<1k#SVyENDscLt?G9U{As26oJx(#&0W4N{? zn`+dpd8|Lwqiv7%^bz@4%;UdQY{}W#ZM}lXC0=Wr*Lp*4SrAV9aP$q#u)Db0ce(Bo z_y-w?-CY?-(as8X)Du=MgjEY+X(4_aG^n#6wAt<{jKb%u+i<<)E?z&mo7Xx>lYuVr zZus%h3}Qw|o#I<<3c;6@xUAJyJX-2hQ?`Jh!avjcJ7>wAeHc#^7C~Of(c=07cON1p z^ki@FSQZoUL+Kx6gTB*~=quvI*b1yld%iO2lk@eTjqWuFQPJk^qbP?)_orWI2i=?H z478V1i`-P8sLe!iwK_th5k^Rf*1+P#ia-|Oxn9YF=Bopm+_q70OB*-LPE*yvF3!Aa z%n=jxtr9MuY=?5ktydAC2)9q!31{9$9RU?4H%hLcZC0vc*)YLT4&5R|2S?uf+Q0sZ zH8zTmF%{=EVBboss%lT?q;E8hnrXnAfv8W;yh;r$ z-5vBse3R|5BR|2f851;TfeDCVhEPi>WrFA_qCh3|gpah zJeDO~0((`hFl$=$PJe23@Y?n&VjOg0#3)&6wD5r)L^ZB&zQ$G7C&~X(UXGKYz@{Yh zj|%Chh=^!dmLZV|*By$G#eTDA1V7}Hh zaHNw_o(EB@3vOSJbTQ_PK@0GTWy~ANQI89<9n%UfcB9hp6)U@Ek z5=NWh`I4a22cTB#&vOX6#&!Wh=npI!6Md#$5J+4y<7+yz7{6hfI1kxds5W3pCGe0^ z6J#Q1I~@D~twXgQaxB$E@%(&LNoV%+a|!+8`5E|`(ajV?*I^JB(}5b+#oTQu&%>oL zXV>MN#dPCHxM~&SNLC@nkp=QF!+E?^EF;;5SVmri2W=VYISwBgHLz4{nh1nQnMGbe zb4clnHTA<%zOb~3mr65%MqwKXgUB7KD<0{}D#Q+kLF5ix-4r#zAkz6^DXk$tEFpLU z)o9}|Y#oa?P3mQaeOpUS>)EaH3DzmanCr2v9tS5T&zSRi z49gjoeAot93YQYB1I8g^finCDu4)rgte2eD(-~-18&Af>KK4jwg?7Or&@w?vh0Pg8 z`AckFS>k9d6fkZ^IqWkN%K5%gPGP7EiNO#au;E=VuhZ#G})SkTt&HSdbJQ2z!EAsM4`?qYOI zkrhx8&Tp;}EJYfk-}ul)MMg4%oGtiUDB2lc2|~gJE|;DFF!FGfo5}+GvtxENbRTTL zPifI~3P;h47~98|f&eM@RK5S5%oCQPv8ymm z3h(_x>}3(w%UR7faSAh`S9jW!8s)4sIjoy$KYUV)#hTv1#R6~Kdc`*D8kU5hT^qf- zXJA_IRvb~g(QwcS*<9cXS|F%QuI=fN`cSV_DI0CG0Y9ByDd$FaU+JCMUu{CqF&BF4NQ;xFec%03v+Jl~6*@s5)mr0O`W9gPiUre@T$W9ufc zoKjG*oe{1D_@*$*X?yFAxDw`hRpwJzQ5iwc1hkCbPp) zaIPcoBdfZqt~V-R(S5mjO?gMGb(bA*Sa1Ry>uoiq zmDpf4Rqapp)|s~f#~g;m5RMnav#OK1^P1$v9v4Q*q4nX={zc#}%^Y7rk)97|BY@~gC?px z#T%yLz$F9$e5JI0cVIbp)ePV5aPEhPLB5;y;?_BUn2Tfq9b{CfxsxfumlXXSTinGu z503GVBJ0Xq00#;8P+v(7ToQF5oHOT%l4E040do0z3CS=2IxTA9wg#c=^w$!6HG*+0 zCU1CNDX}_mw2M)G82lING;A;OmU9e`oLqY#(kPm{>DXg`?HjK zEGr;*fq&eO_~W$>PtP{3T?jgm?H#wnUHrb=@Vt*+=lQQdYcN4aABvPr2^O2|33hk4 z;e?&;i{8T5z1dIJVK|=RUDJBF3tuv=4esovOacF9zzqjOy%IK=J~$jYnr8$v@xqF+ zK|){deXg|d?0WnFVDzoy4gX2RXb_3X`~i6)b$E(iJVBlFaNhu^t|V|#-Fh^Y20dNv zQl#Q6k#4E<{jRoN$g&~$3R$E6+T00Ep2r#s6T3eRLMvui4o{FQEh1#Y>R=UKT#2^| zRsy7~#q%dx0$c-WetVU*sAo1Wf1WBu14j9oJunhu#go*was)oY!Kf2bz&Q{)kPZ+u zwhJFi!O@aQ##{@YSt|)uEVz}N28@i*4Q$MGge1XJ*f2cOy$D8yCHRbU{S>an(#z4% zoy2aq0s!ZMf}`N1LeM<~tR%zsUb(oEE)*Pq7P#sB}CQ=cIvF;YT>)@fM?;Dk#G1WkyM83IHMBsi;R9buAq{#p8{Xn|UgN5DAQQwXoIa=B;;5cY6N54(RVk{h?t+l90!-|dkMNV3z(6I% zQFg2hBXSz9T8v20z*_Ap-0U5<#cRn7dkS}Zi(mE{SMTszPb$1ch{Dw<#F#e&K)<9l zu)D+u(5OTRe%hpRU1O@X*fLCu%i7~DmflY83evzTaZ0uJIIS093^MBxWPyha5~)M^ zVlpJuQg^PObiq^jrn}#hY|qU8NRPym{eJ8RD2qnx&^gYzv1B>o?Q+9siX(I%0_G+s zFh+*jCO-B>h+ukb4HPO+%>op{UL}k1_jp#yi?)8R&hRNWDN6HOY%VSB!=Gaw-i7`7 zk&bUtg8wU6(h^(d>3=21)b?(f-UoO1$pt7VLxxojeeyGotj}Xzg)LdWSy#b3hKi+t z!!x$zaUI!`(;ET4v>d21gnt3NeMfsLqqGf2ANxzkhe(>Jcx#U+@&&o6mJR~N+<Tk+|e_A2-G3GV{9eAV3+3JuVQif80nn13y5%w{EP9F<=ccbiswuG)lMtwE|$gZ zUj_$USm=Vn#j?I8_iU^w1!#Rw+70}WTH(~L44d=`5;$z0l2ayE~LM3sX;-?pIz>Ha7brm)YK_P_=6aL(BP+=}MafOV7 z1nDghDc%%ood42S#G?>YoD{C4t%$px`u_t45C7uR!{CmECjo=N@_OI_mWV}6OuPtY zSBJ58Sv!Y?bKc0{-d#5=;w);y$@K=oLTnLd17RGYoW4L??#wv2hK6R^?5^fBV-ON# z43FGggebvJj6g|zUoC+~b6L7!Ht#nId_z6fZWAYIul1T6fj|+C4Pj*l_r*rYnq8q` znYs~Y=oaks(q3q2c8kX1Q=!(*MzAl?eFHAk=3mD)(MjwPtO^;fmz4W5z2PTS<=3}U ziC2ZP5{{jDunzPqK4Q$NPoPF#^V7kx}A%(8oh@VjB)F#yuM)5UCI9#v$ zhf?e~2f%?OOY8Arr(^f)1UAxrKAs4W*d3sLx=ah0q*s{+kx#C&7piP=z)RaZg%o{} z2fVuYdk22RX-8{emNomMx3Nwn z+(2(5n&);ouu+1=7`cSG#l{ zupx>sl(UrFQR>5Dd|Fs;9k6GXbY`4c{CKQ-w=w(;9Azj_0)8ge;Sz5F;fsG-3f2gg z_dU0@6*r~)Vp_X#dKpv3>pk2pZvH5(g!{ZV{M%!+{eU=1GULLsCU!Y4E3x@QdNc{5 z8-#&}Psg@f%vNq#TlkK<@B@8BcW1xuw&bff`vW?_oi_{y23kk~21Mj~&Cd?j z+g$th>bfN+(ib11ea1GRD5qYSszE%pV9vzoFNWK995}vA?)z$>=t*%@Hurt*f{qhO zz=fn^SX7Io4gwSa%wpd7DffGF_Q0*`uYe}vn{T*v(^<Mq+pp@gE(5di@yb#JZbia)kJ7aw?{3KrFQ%nQA z_!p0Gu}plzI4VGmzFhRU&^lT0XsN6QDCna z7V%t+m!sKc;AoC92ZDx-@lNBObHDPPuJUkxC;f2gJA=AHH)9BRkPGb zcx{wYH7Ip!?fXt+2D;`lezJ*=Bb)Lmz%qGq8~}Czo~@0*Gk};QoU_dp_H0Yt<85?V zwYb6K#avmP+{V49uJGz|U3r_5S7SZ5DJ8lV7kRF{)wtfnMV`6?A%Kwj64K zz@zq@*tcY=aG^I44>DDSc)(N{n5t|{)!^Zgkrx~8s$;O!!`#lf3!eegbA8{&Od0o_ zf3y0%)QMj&xT?UL+3v=j-s~N8Fiuz>cSi=F0p+Rh!+ZGMLoqM6uEN7L1^9C&UN+(_Ozqb&YcYpsPBo8H=@BZ;%{=K&k|K4*6{@z-RYBmD; zx7HHoukmRW|Ey-!-I?|QUq3|Nd*0y_)oPmXwzCOu6Zhw0(q8S2$9RH?2buU`F5i!1 zhTrE5W8&jYrVM8KmE(;`yK}}H(`R5n`UxY_PyN|SB^s#5|0AqQu;xS5vQOiQu@hK1 zu~FV?&;t57v0jj-5{0J^%|WS2+X;i|fJy4PZ`$)f)P5BItMLC2%A^0rP9WsOI*cZM z1TP=p1(=C`fRzrKV6i38iXnj<3FYfXm3p1hD@odF|3_0u{KtX zmUq64m&2?YWu^n%#-v>Y4kpNcx+M8D80rlrW}qs^UHqaka|eit94q(ac#C%!fj@$E z)%@VlaL#2{g`Jn>TooR9S?*O~&t=`MjdESR(Vr zsk8=P=HLzR=CVCYwBe=%)1J=)x79Ekc;Fh6y$C3 zp4_~na$AfT&JN_v#Vs@yJtN~Z_inH+5wyZ z>IfoEe3^)`9VtX4L7CU^Lc%L3gS<2P%)*#3mQ@p=JuA1s%Qb}(`Yog~bHR)W1eUc{+`xxcB9arLV zM`)k#4n!AyT~sp9@n*m6&Hm6+{0DBG)4J=Du|<#*;v$YmB3xLqGHZ48uE`J{hG4H* zv?aC&GDN6-#&&`~#p_Ta4|&@I(OJ6`1Cvu!8GiywHj1n5Mu)+`1>tJrK|!vYQ3%MD z3Cz0Ev<^W`baAG+`3NE|5V0DokewIv_{#tcz*BQ`%#2){i^(wNyuxIw#w$LSBMGjZe5MO-!bgvHP+h`l<&4%)iMb;^hvT+R@MREYa(*d>MZCxvj<;Hc7eB8D z;rRI;{2@-nkvgOMaI}p^H%{CV|CU{jGu`oWs6|=>-WmZa>Nt$0J*SVaS_OrUp3^l# z$74=%y@~j1_EGktq_)GWxTN=3tD;96JL7Udlp^%yU#u9K$YIe`qrzzUB%C~XO<(>u zfKL?K!hn?5s^X>M+*6o2GyL-$t5L|+VTbiTbzr#ipl2gQ>Hvt;F(}92=cB59y`h+L zIkavLVhdkoX9Wdvjzdjwnh zEIfFu8tK#h@+{0;__DA=tLdzN)Oud^;4(m`eYPA`l6P=;!x`U^x2ROi60fia4h?1}*^DbAoG5=ml=rKcg z@xiKcH@=2Iq{q1&s-oWoqmz3aj82xjaR+#v&NB+!krEJP{n3+=?FL1L)T<3}Ol)T7d z%=|mPx~(k;WNtu9L|vI1K?GpTkS?x*B=$g-J2C{6Q>rlLZAq`M%3HY39oPeY>@^P} zt5o80V)7toxKEkW+67c|TDy}pFRu}A)YVFEjd_hgGHc*Wx-17>t{oQ1dB}W9*7c7` zp?#OCec)#G`%S0%Rr|mYQ0Rw{>*V%+ksie)~H09P=`FsI_`a39Nt(RLgC^6FbtXFCii4Y3A3AnI5fC$5PP)pZnArXcOY zAvcBgjy%LGK2b4_H7R(T#WfRG*{iu~H}`ux;GyMLYl-m$Yja#ZPjK}-g<<` znZ96V?hWE-$XGinwrP2%esSa^WE%g$Fu3mCPY;6& z=5!nep2EG!yNv4~$cMi3KoS!x?ua-Njhmpa?m4f{5jYCQW+A@g37ky`YZOlq;_zC- zW7Y9maPEb?2ngpn!r#lWHVC@{$xJ0!6UE)wEXz7!p3}5`59b}S1~{#?I5sus8ytD- zbb{sqkRYsg-dbyz@Hg(jYD89rv8#kILYKhV@Pfl@?UgP)jAutU+Yz3W;|hN-*Af2j z?hfk+jyS!oql)NO@z_^o9i^JEeVjuA#UWM}RHebpplivzdEi+1#zP#>eX2W0!NpjI z(0}kC*rV#vt^8(0eJwtK9ZBO)9C>T=Hdw=QVU);X>8uYv=>!?}9&mJPdA_W*hJlk= zl}|l_c^6=oV&pJooUoh2nD=}F&vG{OXZzYr3z+=^93zip#d@P~t6$v3TPL4kGbmo` zK#D;r@Dv{~X6?r0d!ZQEf-|Y*W=Rfs&fTb)8})7HD}KPEe!n>oziyn6A-BW6*bt1sJah!2f%z~!6?9zNY)%e2tQQ3p zHP@{;=R?@A|3~jAtK%ckG1L&TYe?s6h5v4&2DRnaPFxL366;ksgM(+?=!$q}TxbZl z>CS#d>-^!S(3|}ZPHs_Sozl`fpVN_4w!;k^Mp0B58k(i=!HaMyPHicn03Y^A0zuENn#Pm=8Msn)8(N8P@ zsD>I#M|v}`t>IX8wbhdwpNEn6Vx7>X_P)Q3a~H;3mm2CLd`1x{5OAz~OCReMTUp@C zyp>t>^OK~|_N~n9Ssf?OO1VfYvx{1h3kQTZCL2mEyl69sz<=)~D3%gJj?#Hl${1z7WK=z%E3gnttp=a#yE39+&0#qW`K2Ul6J)XZTEjuUl zuRbkD&^y?1aD&IK1m8&=s^B><1GZc62NIbApf3a|G#Y^QtN%(smHFJ(CT%#VZFriS zEo#FzF=vd>dIutw*nWgv`V7UpztplrZB>@CqSXCB>)PJTZEcO+f_{Kjys`#A4Y51% zqgg^+;?Ob~vp*K7WY2yRW*Z2wIF0M%PDO5V<6GoJI?PCU-Q zN_SPMBP3e9p?qyhfLm^*gRAxL6V+ZzbT>SO^&aS(2dbNl&;V37B$P8i%L`$6@>SR? zuqffSFw=h;TvZ3pR8|7feXC)iNHvk@^Z5#le?mVrH54#Tgr?IM2*y7khGIvTC!*Iu z?T2dU9NI^=I(l0Xg3flQ{1l{*aBbcfI>OaI?f3{=LP9KGAZ()1i@U~^i@Cx9SIlDM z-n*H?>`zKNPOY?=3DhN&oyms3z@rN7NrKM`KFnT#AJVdN3!wh8Xm{u*9S5B|vXmA{wJJ!w#55%!!M2gDSS^;`n~rvlr27qvLM@JapWTG7$SbquOo@*BP&`bF}XO- zet|@E?2kJRew%8d`%r_s;0-l^maD$>OI-E;GyWt-qXk;p@F*8+n}$a{*VIuZZ?9%M z$Yj9qNcLPB9?h=9Wa@WJtuj3F zHoR%nmFcSCWdqza5hq3`*=e1D-BG339nG%8hgM2PJo&IYlHSB`NGsUZC`_D)vt=N< z#rW4-sxZF+>q4k7mxHH8g`ym&4T_2y#LT@q1NKDfL(-n8QV&I$6CvyjOj2bfSQ}wV zIBpAC6LX!yBhWjU)X6NYjYC6nGrCSuO6)wi&uq3wRp0mN7yAPzQ5FLsWR)K*2l;Jf zEEKvHCqnr|pvOGeSHW!2HUk-m2eyP%BUBlZh)@I96g&llLX7gIwCBL$p%LZ{Ra)bX zrXpBajM=gh9@s~qL3<;r7S;3~(M#;SJCG^n#GmGMb+^CYnO2u(>cFt=^>^1b2}V|z z_hKX6bYr+BB{{0a$wG`mC$kOS-ZyV!q5Yi^we9}KDkPr(#c3T1RAq&7y$VJUa5+LI zl6Gro0`_nrDt$N-XxU=DurmhKI?2K|JlB?xz2_}DwOVZ#K z&9;m3mMV6RlwVAdzTIK;17(;Z-HC2lsYhLkyv+x^)c$lkKIuaCFrvSV~M?-Wdh7z8Pyif#s~ZMyG72RACj`Isp(3v!MwJ~Rzn|H?X4?}l$e zRRC^utaZ`v?C1>MSMsMo%nuoM&;Eu|C&oND`&3!U%gSJkpiC)pecxzdIDGuKa3qCh zIc}>uy6egJ*e9P4K;$vM5&D@;5|l981}j%Oax2Z%z4)f^tsseFYYBMOf5l?mh}|!3 zyutlgO|UC!R?)9eQ8+tr_+{=li;Q%)hk9B)gd?@^^ z&SUwvL)t*By&gNS|68SD#=j_lmUlFP5)`!Lv1B5!5b0)3paehshey^0sYxQ<+p4l~ z(Y(fOT(t%zW|!f6;Ap}>HT*BGjne<+QS!KLZeQ~oaMxB~5bF!8YSHp(x^jnUz!dTvR47=PEG}Oz!|zcA7gi+R}T0Dqpmtk;}1tqw~M{V zu4^!4{*#0879MmLBC8C?o4t>UH}e=24dF7Ph(lI~IAqX+9#c@Di?UclbBtaLgK49eyy(26#3t+!gQU4$oqWS-9ODUO^aR!=FpbP)}rV zF)ZMX@-gUO;3(uMBLzONzqv{t8vHPfSi-{Yz=~3ofHRD2$=k`-omX4I5AiK<6vh>^ zB$qXdT`adV{<{!`wfLCZxcXHpX*jH{s7Mg+ zK=jwpKbICSLyNjI-ps!##5?XTT;sN+9%`9U@#TkTMaOA@QMmw*K?oD^Gf*cD0Ni$k zdr)CfCA%ulDm8>1rGFF#373Q7CE$6$P%-Oc$y@EMc5UxrM*Q1Ro7!KFF4Z0E4lVB( zhYN>+M|1sMnNzVr&R*NW17Q)60cwoa*d@jR<}q?X?(i>(4`$>l7%{qD5Z`V;K=_&Zy>4=g2I<^+O6HhTeRQsb85nkaxP{)+q3MJu16Yth-j3>OkDz^1)A$W0yo!+ zKDIny{nTKQ=y_AfmdQNk`ifdWdHkbf%LMRb%ZC0^*fOL1GBk(Agf&ZVC`H&ef!=f3 zEg&|7YTqhEy-0%BOCpFmKDQ+5UTZFs^m>hXAvD4q{!$Zmj5>|5pY{FBWX8V8n569< z>rk}&T|76+s*iQSN1GBvCt(aA?fRSB`ellX+>Omrj~0V#w$qMz1deU2Swu3uBuMjm zY0{P4X=7L5vBp)2L33qQCK3CMe+o+Y&UFWb?x~Gd7nhAT8zqyS+%Uh*PF~J@ihzZ5 zrKf7mk!C;Ff+oi?`kuIT+Irp9uS9fYM0-3@`ObgglR=gNyCGxjK}ao#m{WG;=wltpG`S<0uI)&sOWMw4ufkN{62Iuc0CsdF)8YyS$cM8iym_qmKx|%IOVOHdiaQ)!0CWI)!z~#|sK}nb zlX5pAU&Y->P%Yj{Uk@m&StD}Y)_kU5;ipX=R`2}e>U~dEZ_=a44c9ZS@z2x*g0Tsb zvC)zY>c*+vc7g1+=$34ZN;DQEk)&uWSceBUe1Y)?u5M9*31Jl0YD5HC@>vy?9eBJo zs$PaR5JMBWm{hCeVyXp^i%CtoUXhEStXWAV7n80~E|x3^xmc@1 z5V@GHL0UXfE_S;*P2V+<^i3*pGz3*xzn+Nar_-oqr=e0DWY>*ZZ*gv{_oDx^P$s%- z67udukoQ!A29DA{knVjH<$Xj;bhrp#{*CD1zP2OiQ1@>^2i)BJ-$aL53LVBwNTNe^ z#!O5lCY5U<`ujh&KHK(0Rkty$xL&4u$%&+L6vV3$t}qc( zCf7i0xxE>uRWkKtE1BJd9U?Lr%XuYQu>F~n1%$te?NGy}4L6QK=U&X8!tG|n^^7C& zrqOT8A*0`fJx0GfHXHrMRvG#BYs$=0irmk}{mXz_I(G&G55YoZfK|9aHDE zHmE4!a0Xn0W+jY;H|num_1MJW1by#wV5T#ox!*XBv+x=hjLYDrXF#1G(@8>>qR zfN~P}njpK~K>#|#PZLCr#x(_~09qJmJQ=BbO}h2cHJ{uzbL`jY=Jt4ia<0ANyK?*bKDGjE3ibKV*o=0U3k(F zc(V>xD9(_ic<>dKl&PTp>xq#Pjo$+yqdtk=7@h4>;h49cD1uFidfU z3*i(Qm#+MAa?tTmteeN5mmd?!H7$!oY zW`&9LQqB%N9;C8KdT&E#=-!S-&@EB`;$X{&TL4Tr8Fc&;JIq>=4Yv{)jNf&vt$ywI zS*`$#@^$F54ma*Q+m1V4&I{Ah=ayiO;6bV7uyz4!m5Kp|MH$g&9~NtAZ&>`UhjpF1 zovHAIpDI9Bcf>EwVvAr+{9YyO;kLOjjV>4_TdwX!YaJo)DUvur{!TIabvF84osa(o z_}?G@OYnaL{*UF*+?ESN9EDXUfo;L&c(_&vGQwUjFs!Z#f!drv-F`>NHG%o!monny zMe0l4n}J$fGwCm=czK+xp1{Q0p}MB0eB5XA8;`oFjaA}{}#;Ig+O zJznf-JuwRs`{a8n81FQEp0@xw@p>ESOf`SBcMNyz|Yg8Hf4S>sA61Lb0u;v9mDDjdLuJx@-}DF3C5 zb@l@M$VufHdvzvbx}yqyZQ=8gq!DgnTALadYf2?&*Wp=f7@*0OyqM%g8gr;4n$li?G6=Gz#`_LtQmE0;WtSjt zn~s><8!rpCJ6>ksKCl%xyAUnZDaNM-BB#bZ0-*zXI zvd4cRoQ%I;dZtsEsXH@u(U|}(+W{Edv*u#D`A;u^6$N-!`%Q(QM)*MYPhUcyHCsi=_4IF^eCGcqlT0{{*Iq7Q9GB4oFtU;7@T z6!|*E*d6VK$un;G2l!@2MlEtjW@Mt7zkE~Te(!+noi`(28)B^Jc~RilkEZ4Zj@>^a zJFuz_Jk+94&rC6htC_kRU0LL)h9@Ww9F&fv^~v}4 z%KGbX1nH=L;LOX<@b@X-;_Laq_cJda&49@azZ0m=D&OMY3&Z$M=Tru2GtuJ_D?d2N zBK}+lVtYf>(i;ZU(e2+7CuCXi(<;cb$4>|E&-6-3Z+(mT9?qv<+!oW>(av(lxeaES z%_iK}my;bwfFR-Hhz8X|1|SeGnYdpGR#@Nb4JI@Sw8OpK{%Bv>Pud_D*milK!TB-z z(m6uSgMY*gJCqKX3}#+2`I;+jB8$H%ByX^mWfn7BWLitr`RNC_FurG^+df=LE$RH| ze9VH7${U^59b>VDsyZHAfOMzz6L8OV5u1VTsYG>lR_np$+r^0|zY|$frxkNq(dc7W zwgc)Sz~G=04jO_Z<%+egRr=~EosreqDE|wt%lLSmQ9fQSt!88u8|5EjV`S=Jqx>$c zMLu3@lwXJ$;^Xyjszk^}WPtAQeK2^tY}xe~x1$0DGophLoCPa?5Xpt+^FlLU;G)Ld zmRg(fRA9o~L4ZE30zv*(vlU~-(45#+GH1w(A7ZE zAcE~PiPy4gQy@BP8iQU)G9YDYNRf37&8*ar!o?pP9#!Qlh#}x!I>eY25L0L1@u)r4 zRbqgDnUKr5qC=2-_u7+&9OBK{$hG^w(;%ngYyqwGvD#V{*!$7uC{}k>T^1KO&)Pi5 zmd+*GfrnP>l*f$=iH`b|kEO<(fxXA!)CQ%qEA7H@9Da^~Dna0}fLS2UuVLWtE}R;X zH@pFnTP!T`vA;~{&noT^^zRvQ($FtXLH|ehr$OKE(|F6UUZI)G@He%>bJ9TVyMQo6 zI7vXUx|F#u1l{MsE-mTnh^m+T&Rg&1P`(RSVzKiPK%7maAEdrm>QDd;q&At>6m{r& z%}GP~x)hW@nvxD>n>thpl&Mcn5HzO_SXxn>tk8n-003s}){G@eC=$$|?!cT2FJgn1hl^3;YW0O$4L1d8yM)0?opLEtK8!!~s>H1|q!zT$X zP9E^n3LaTW^2B)})ASs_^T2uAx7q-~6hk3@ zton1#CugS6Rb@VCe$q&(rW~zlFI%+VBx*Ha5mHg|p(rX>OR{VMx-X)uG~~E|LmDww zA@QeOWUQn;18gTOlV>+rUK0t66ul9WfzVf6fA|$hhu#_pXsF90eun>35U09-M-k_* zu67V79mVLKdB!Ja7E!0f%DL_nGt1g6Y%d$`|H>#L!~I}W)dAe^t%$HvCT0VR;Rq9B_T<+FHuEZI8X=IIPYdT0&gR} z{kyKbHMmMu)l939;NGIz3YEm~lqms!Yd0~E0KG0;zfE!#cV2_ay$=F=mr3jJKP z6E2f0X**DUGp;_o;Xf3Bl33nN2uQyj+8U^?)>`ciPwfkLkdTf}2L3qvPJ(3AYawkR zwA%iPek?3djyy2a^~Q?R#54C6TrEHi7&2?*k>{0;5Xw?5>ZeEKo-DWx0zO^ixK|hE z`*KlH@RhzKek1$#4%Fh34khBA^m^yxGs<}SPD1D=sr@#j1oohIq_OrMy7sx}*|pnM zkLSgev0`N3y8^440>{oaX5XKS;chX*-M41^1viF!IMf@U+(sd|8_y%4bt5wJ$iU0Z z;tiABX5pb_!d#R!$&WGou&oPcZ8oc7*=F&f8L*1jh7hdT>`mfhO0bQf18t{Q377Ry!C zXsF5{4iGmR1+zMVnLE5(T}4RLZ^{`2@E_fW{35M?q6On@Le((zI zlJtB%na^OpZmE2LBW|r!cdX?ZL>WE7xiy8sni7)owX;D|j^Wa4 z?^&$G*>@rc({9X!TwhMB)%9li`af05`RRx2D_mVICS^4v^XcivRN`{4RUf_NJBkDh zmp%j+poC!hDYin6XW5-dliOGMda{_#(n)M>4bz!{%=&eZ>9?{}l4WJ^yL%H zFaRV86m296*&Jz8+Uzv0Dn)yK=>5+ZO(jSRf(MbMZMrlO%08q^6K5;3^BY2{HC5S% z9#?su8+57QucYN2T5_TQY%d9}+BWG@cQpCM(xu;@-~Mc+4eF+BRPtzP&<0;PYpt?GL5-w zP{`Ja>;m7bjABxTG=$siZH^1RLt>H{6z^Gy4RFm)b0#@-2BvMwKnCi-8m=8hTZ-0# ztW57~hM(P9&q9UIZk<4aWIXfiRt9FL!}RP8uzi~Px|uC^QLx!&z2GDwi={|Jlv|@a z8a__Mdzs_rtHy<(6|IGJqU*J^bq?no~4ond8=)jYr*9b-b5NiH&laW#NF$ z9Nu7qQGPWmX&UJ37HH}-)d)0QGNT*AA7of#HpRQxM!E`mq{ef3`3}i%t}*8_a3C*E6oj`^NP0UX&P&H_m{E1$mfJzMcTar#Zb!)TcSUZcv}*tS89v>ET{? zs80{~Dpj9Gt}jLUeFrOA4HMA_FACQ|JtiU zrT=yP2vy|SUbX7ev%Lrb_#gJIUw|Ud_u8b=pYKJmn`Nsi0Rwz~e*IXKR37j*7&Fi1 zOQh#y6RM{SW(J$KIWk-`{Jf0KwB~%s!NMC^Z_|4ILypc&R3q=iR}|RZhxawps)Cz; zfbBIC?!OV==ALrFK|FZ2mg4Wotql1{ zh66$U-_k3IRqGbw#Yu!cjR@PrA)4TVw(-vD$dIv3?*H{g%-#Yk1`Ot1K|)+`MfpgX>!6S|vyOrR${^Rwbb_#(}d7`m!H$zri<@rXkMWH1ECBb@e_dhfWk!?4`eZwJo6$9>QBud9bX>vs{0 zxB$nyAaEIrzc=^26@Z#}v6`&-tt}uj@l^c*j$%uUz|*iSbw7u}W@Ma?>Bf*U%$N7O zgGYU55XZ*4i&6Iscs;<6v_nD2>ws_?9)j)=SB&!IEFDOc+}jJT8MfmE{KWD)+OAsD zq9FW{QBkP+RrXMh4nlw|lnkTzxSf=5ggPf?{zCK^p-Q-XJKBLegXk_%%J%gM9J$g6 z{sN2!SO%J0K!=-{T5u&=vR9t(t2hWoOD`|*4e3RUp5I0cgGnWj7@66PCnq#ocR=w=g49Al8>+H=V`QK_b@hj|6^%yN zp0Ol9bgDK%GZReqfubfhxGntpw*hTPcEh8#(~Lz(Fe>N@*lmwaItBBmgkAB=G|2Qj zA@_S6?m1&S05qNK&IYJ)x|e~r6kBP|c0KOJ(-%P*I{9y31XKPWdv60DRdwxw<`V)0 zPe7=ss8L665N*+*r3P&#%;*`NK|oMirI!}<;%#lYc1EcZ7?_FXco>XVP}-_ZtG3kJ zkF+WTgphzHfK~%mjbb$d$_zn_Ujadw_y4bb&SWMe6QHg3y;pvkIs1F>wbx#It+m%) zdszBKAjqlw0mY)%ohQ209u+=Vx)olIg3Rf?W%$Akg4iYat=Jkkk+Jjgpq-2rF-3Ho zu~Rxf2jkOYVhbiWo+r0M8901t(;bebVk*;?=Z~p`3lf2>Y}wWqJk)@k&Uq{Ls#IH1 z4QP^I4KE^COF>(_tIF_s?AimCPIROjDzlOqs67~R!V$o@PCA3rm00u>aQ)p3JJA*p zxD{1vbrZo+75WQ9`$xi+nKL(`Cd|UmSUNGD?qJ6Zgk8(fBY~ZCMqpQaPH(X5+-1Iy1$M%b48UGs z`$wejy`^rWx~bwZ%%-JQ!z55ahbi*st2-Q81#}=FKB5pue4qe(W*Ndtu~hHB2tbz$ z_DOuP(#hqATIV?{C5^tL?GjqW%bXHzd4y=x(NLPKA3qErp^ z;9kaKdL4z(G-}~n)m&Gj|FTSBcVdw^L&plmW(@+#RHF@!_I8yszCq?WMrr2-4AIA0cQu026yq4hnGCoy_$k=(#Reh!QzK7NR1J?eVl)NiD^QP8b+F5%5 zpAdvcYxnMKhXQrR7K)6oSR!NoE4Ik^O0vl4O%fTk1s~%+g4NX`RF*=ocAehKd?d-t zUkEmN@{awgr( zyZ5y8!|Q?UmSOpP0V2~oc&9KO@DaB6R(`GVR0!Xp`gO?I>Y)q4LuoZ0mX ze!KVXQ_{npT7kt|ZLPpP_zG==UjbMh#VQbB@{C0Cx9|lfhh|C}3uDs|QvFC_9c|S- z`9{kjP&c_mvCm61P>9`1x41f-t<$}x-!Uw~aaM^ZGW?zMAGZIVc9ehtS1atn;9uvK zc8~EIlxQ1Zn7~7pXro3QlYbB#&$Ppz2i?Q93V%#bG~OA3H<5XtCo-28Y_HgV2zdj4 zrL9;;5BF>L+4pN3<$mox+^?lB&bO~DIOlwIzxELB*OoQ9&W}qxjt^Cmb-xx*As_ku z+8@dN+M_8F=;v(e3xOh|?P9nniQayIL+XC`33$leTO~9!aTCSy(o4}xQ1URKbp!uG zG{$F80c;$zbniy#r6Y5r#5vrDImA5P2)`-Q+I>cohn|!^@fZhP#!eXZ?Sr}#7pUQE zX_xY#)cEe_c-)&>Xe#BK++>$+#-0*+xA)RO=A`5IC3pBa$Fr zW5B8YlRig;_)p?lW2gTlhLry#)*}9sq*moWNj~X6>1Ydi&2y6cC*9kRvd4`rm;&Ie zINfZ8M^D?gF#Hj7skq=s%JQD{dtqgL&9u#W%^YUihT&rq}`*RvEzd)RTm{ODNX;}-8 zMHz9-S$Y)Smb(sAaIq)v%YF=uaOk!Wd|~tWM0vG7fOXkiycG}Oc@1N>fWr;UsmuWA zm;~t1vK&_pmf;5$KEwcJ)^iN#WIjxM=~!PVj-;9`M^YW@E$h)^L5P!%rO;p;TEgG_ z7%iNK6Mk_bbtxEciP->|7Sg%@)FjKf;P2r?>PCPB7AiB(fQ3r&Mhg_2bF2%lIc@n0 zaeVaNA)^7N%L6{d^=3xE9J?9pu=vS_PbYYgs#hMQnqiL347iGhI>h&L=p8tJ`m1yE zZf9r*oImZzb`E%2xMj0g|+N_0MK1a>1@es z=(t@gSYw@BrQlsHZ_6aMlx{9*G2OZVwHkIaJ>qT0x;#x>D%t*(4s)sWOV}MGILYf0C6rdyqlgSz(Ed9V4)B4qVMu6N*C@pd?4w|y$*IGl#dL8nSChj>-;I>fD# z%TY?dN-l@4e&9$cTN^R10RO|k(zsCsxyQ(XkQw0#2;?*7wdk%i{1EMmFHgM>E9~J<#v4B^q&z@t;39K!DMy#cc5Rco$k&kYe`|vH#s$0X6!TyiEfD?{4E76 z+c_HBkB>bj_I>C88Z5A0P@y^cu*T+f!<9{&Zp`7^ZuxBAc4mXD*yo>MK4Jv%NEk3CxBIC0q1 zjP-Q>|KL8gT!Zr(`=cRT4^U{`$!bv=DdHl5AfmZ(MvliNU_D5l4vc8D^i_3o{cV^x z!X*l$6B}jszF3&WV+OFG#TkpwyoJtK80#~Z&6bf%HOUut@56u<$v37>x6K08c4d4U ztJ^MMFY-=TEUZ^ou2_;+I*EM}6M6W4WxVb*TB3vZ+W<-BKLrYSZ{{uB9XxcLh>&ZC&}P)RhmQu3TF#Y$jCYmGTjL_*11Rw-VakO(=EvoW@VnHR253NnbA? z_MuY$PWpOz1ZKAUgs+#=U_HuD_8E$oL$aGMSr&(GAPZuM(k<4Uj~)37fkUMJ}~rxxMv>YGv-&bUB2dZgM3a7WJ@ zm}Wu~kP^WOvNZ>qk+63(U_yK>UZ6`b&iQ z=TIOV0Udca@2Fuu3B*u2*|K5Yd_ngxx9LcV)0i%Fgid3U=!oSsM$wVCcoud5M?YU8 z9H08=h~W4z-Wcf-+}+b-?1Ww5Sb2W;aHIR!6Pc9$W3p2G$6$<>|Cn{j z{$mtWVzW{F=={f^zRGG$t2nL}nbKzVz!#)fP27TF36AV=RxjZ{#sOx#M?_0zN4xVM zgZ}+J>JvIYN!LfFTN;RRSREfxHxpd*)vJC)$cc-PzXOh}BwZhwPRp7%_oV5dIsudZ zd=y=mod(lXPEH<7YL@n%lOR$qSiYYwGZ7g6W8Q~>A$c+4ZNh&HLRWP9k68!*F&4hA zMQ+ufPOmVz=~_{lsW(zxYRO77(&)wKiMy9(cX_nPWZrn^Q^yVXu={Rx1Y)C}M$M~+1|o)o=7_J{bQz9jf46@v%#?AM?bQ914x zg@041nvldlXb1fTAS*yQQ7pz*1LdQ?JV#u~2vXi3Wz}+8@Z}@r>|N|j4;nbN_$;LS zPW(X6>_c)+%Gu}lo>^HSGjmS5%(_|I3b0~V=v3KU{k=XJFU4a9eyYc1bd)RU;9T4g_Wcm3e!21??Z*w3o=N5K8j8}m}V$AwOvA) zkRDp7h!qb%QF!>Nw>JE;y&zmg&ykBJX#o6m?p(f|-nF<>e>z z-hoJd1-hFd2S!rfXtvLcRCU|-I^jYHjp9hC74L!Y;D{+~6{ZDGNvomv-ZmQG=4Zf% z&Po--70DjIqdjvga0@eA*^nl8=Z7&*d4QO^%N`!SX#kLxD z5}%nn+D~SOd;oWv1?)d+v(+J^ZqpWr$?S5&9dz|ri*d9JZVdN4%h^UEdVyS z177pTbna7+8%rB}<{yecm_1GIA{H*LFUD4c|LUEqN!nKh@rS2S+K8}R?B(w$U^#ya zt=|It>Y-hwfj5GY_4vjC$cyM=q%Up-oTzs${1-v5{ zADqR#T>InVgQM`F$D4fFoAi?XbKdl3N0y=xOgmV0^4)p%#f68WkU#q1iqGRNQ@-1d zOYIFF?&Z1*qE~p8aymZwFZMbn&* zf+wyZ!rXt{MI=pppLhmL3=$C07Ji8N#uwT&Cx>`0yoo8&59NUsBJHGTaAG+@`;zMx`yhWCK3n z9%dBN)s=cUAkFfXY`XQCD9Dl*mTdX)xn6UjROmGylEUMSh06IssA<@E<00{X z;K574C0lr1ojr3)&o+B-Xz$tkx;J}MF?#c(uuMBIrwbmS=`2slXScrVMT@wBzNPKt zE6ml>&nt}8as%BHZ(t&Bpl?}AICzZD{*ice)zb+)NvIUkV}15Ruhb{Nm#ak@1t&J* z@!V>BiL7`RK2i_EtyH=2cGnopFY>9waO+)Q^8VIwN-OOH?ZfbPIa2{m?)p-2;xv5s zTd1u|X|QdoU^0iz$Ae$`Sa!garV^Pkov*-LA_3nYC&22aGZ|%@ft7cr+2jnTJd3p@sKcO3IL=|xU-1A zKdAb03VN|eP%TJqGA^8q)-7BR*@c%M1yha!7c5(Wo^io87HEAl4K8#UV}FiOk4(yG zgWnt(Ae@cB!CK1btTDsfwLcuJsX_7zdY8J63SOi8TSxuyvv$`8fU?vNKWl5fF?F!TB0!FdqwoQ#Cvmq$;g%+#Oh|lI zU|)Gs&Pk`#TmfGu_vjo{<5_ zG7?@A21s8h&7J*vnswHd=3cia-Hsm@y4)9Gw-5+1C->lkS%mTAAW3sYHnn68*b_g z=HVOFxhEk(tJsJVbcx!)lA$`%`jR4U7^A!h`ule<;qvnK*gq+_RD(@0s)l0 z-gIzg@oj*bC{r;+>!X0!zLE?7k1k?t5O> zZTCgo(ZII5`V&aY7VOa>;y4i0Y6K2Wtt}o7POU8-4o=lRD-ZGszel#8d+YyCDgp@BI&>yLa}Q z`RqjIwY_KV+?)Tm*Uaj2*N4%W>2f;FI&}m7*W4H8f#ohTCx+}uTO(Zb_l3`p4}KL z^Rx)hit#mEi*SdERl*HISLvz!oiwyRAw7K)oO+j0f1%RT#V@28byw->lypN&4Z95g zFidwHZ9?S;1|Hnq`;9d$>g;e&D)3Je?N7-P)-VSyLCb41=Z;bDqRXOd%qq0cjXaS% za5Ce5jfX?F=n}kf(g${ne8LUIweWdEzz{+gj58$F2`zjJFf}C2W(39~mOHvuaV;^~ z6qTTGT$dw^V*=<5@Wv&`zbN6fMWa+Uk(#n>sY#FfYGYqv%8a^p=8h~O%&fVA*pt78 z7l!Sr5w*ne!&&PZ=ChkM)(~ivFo!nwEwmCXFr!vvf0_^{^B{(`LoSWurR6OlnW_xq z!?`nIkWb*@e1{XI)s1mpEbtDTVRU#(WXAwVj%QWULw!a^Y2{dWI&6qHCgpe#K(m^s zZ^0p&WS}3r_&Q(7%X5C6l=pF|O~zq&47FAcxs^mDF*U)xzHtwnH5{TBV>IvJNHvQxzhe1n?zeg;gV` z2{05OV?M3viOwtSW3Lyh}w`+$WF#~;ZG+;unr=Df7f4f&_0T^(2z>#+b{tvDlFU` zaOnyqG!Osi$9KrV!awj70>W-$vnOUkt6B~%w9F8NUYR2+jI0(RZ=U~RTOEBq zZ@-2lw$misf{ zP*XAB?^*7p+P~s*FGyYPZO5gqb(jy(Zm4_`jf+5he46VG(tu$*b2z#UbFj!$ZSf53G+)8y%X5$Qt+3>0D44VXbGSK|x!e~5$ zTC5F6Dfai{CYXhAWG${z7$dw(*I-B+wGCIJt}LgDM#9W0=$#zNDCt2 z?Cr|*Nd5)zsSeDRXT!Q-CgP${SIQt?iZbY%J#Z0+6j{09Zwazux7Gzgtaf|hAF}d0 zaS4tXkKio(&_DDn8|T=I6xR^<=Xpo&_hx_AHV|jocm>v%tyo%O?V(KALG8zK2xz{N zopbvOIf;D+?T0PQaV^8cR;|kvazPtLEGJVa25uOTl7OI)u87e0?ROFsux5M7D9 zqmEqU6;fi0x~pLOr$k+gq@+-~YT!eX@MDo1TL!wbI7tSglw`9=vfMFlS;_j|H;kui z$pfw7O;Dq7C}kC!5@nm&W}z`Ws=ySDGmNZnIs)lJ#~vD;cA9& zsh(w`)_*pW)DO*nVNB9xE7Op#FHI(TBw2#Jaber6 z8`Kl;b?>JXY_UC3ZCkz;p3bdAY2-rNKX6x0n`F@RB%<${8?rUin2cgCyn+HwD1DxMPv2&)3aXs zyMWO#Y2#Tip?*N)hna6M1GVwLdOFP-RjOv`gs7S;Hlg;13KMSTEKQqYq|J>{Nr4wrWO=)KFu<@tMoEZNQc**`!#}C1xM@^_2QquSv z(~Q59RQAP~Vx#bOm`0=6f1^VX4lGo-AiRk2mU(TDnQ)V!ZUHs z75iTvcJ)a4WT3}l{mg<#gl*gYcofin?%6cZ`Z7l`{AflIU!QIiIO>FFKD_i0tHht4 z2Q}(g2>}bHof>8!A-Mbxy-tmELI}Y*=>~;^jo9Cw=Ry9K*i8I_cdkYwa(utVKSvC= z%3NZ(B?m?7UI~QAc=i-VyFd`D}az^1Y>eCIzWi&+R{l2$xxQs@Zv5tmVGw@7C zkBh%+g1kWj&-mL zewu2r;8;9%)8r0fa2fu=5YmJ20$vszdq!cP0|(VXU{SEV9G=4yvvbw#L_l|~z%I2>*Xpz#q>BO*62OJZ8&PC55oem8Le zKzNX6md=j5)xDpJ2{2!TRRaD};UJlC@8@o2l6ybnaqkB@(7V;WpSzU~lovIpqX>-^ z@DtsO7kS{Bjo%P3n1X3Gm#}U#!n&Q64@&cu_p)Ll0JNkVea84A3#mQkS|T&HddV2O zhX+sw+L7W`bHyEYgN>(kZ4l2(vLPrU!b>|RL1t)=t=ROeO6*#9S0j_$*}3~4Iz1qc z=lnL!+;D($XnaK+mru02X$559&Dha@DXf8J_dN?7T+~ooe&93yNU-p@cx@{_JcfYD zV?IbxAA;d8)TJdapI*P0N1QQQJSF4jDV?Ji+fVMYEj7yyorwqy(Ds-$Tz)D(;?JsB~sgAS|x;GUx@}PvSOGQEp1-l*=zmzA3#pPgRQ&)n8n5lSf&z#*SD1EIy z;R2J@CtP4!OH=_rb{Fn#+e^bXu9lT_*aaqmQ`=X0V$2IniA*UMm?RTGj^kp5PEB`r zUSL|Qj*IVB7npuS!@C2Zh4(6@FZY^}A9%B4+-vZ#=U=S7MxU`28r<1ffu4wuOe8j( zSnLS^+*;Y48Dfj6PfgG$CPapu=q=f0RiH(F@QRI(gP^>#1O*8tW(e+5N>_K%$v0gY@ie*=(M}}*A8?g}N zB&tV(^^0RBSS^ZRy#TiM2nd$lEvrkt(5p+7LRS_B+$_i;m27*oaS_v%v9xf(g-e@W z!P7M9RU%W$WsqZ`SHdDI-k9`i7wOe&oxHIpG6fqjsnjkiPlXI7R zcqFf}F4}q`k9w>%EbIoHEtARdWeY2giGD;A#=*gK0N|_*$33g7JB0G1y#m<2H1w~(eFO3fqJO_gLji!5GD?c)!3l)#n_G>JjqweyP08fs0l10l9hX^`rZ>hi+o=`W82b!vUORQG@?5vq_$>O@8d1k|kGP%rd5P|` z=x=(@ES!d9z9U^`?oq<-ZGft^Ycr+kN~+AA%^aUDGn!Fca8LFCgsA^g; zfvui+lh@dXsg8=aM#rOPS474_sfKmd5uF&}D`8qiD}wNPwy%lt8GJdZUR)RGtFU{? zKTqgj=G^I5MsmK2EfVipH0dJ`xU{;fV23{^EAEY4o#`rh$pb4iJ-*2pz6dt;o|3+eC@}4UC#N+#(Z6Y`D(&^ZPw$@ z8$;B5y;MFPd!)?QVI`28^EKoF4|KL8*I~X&F<J8wT}e|qxa3uPMibHT`jS`Ggf{ty+7tTLocid}g@-_TI@;z( z7vW2$>*Lt}00P!}foG!2v(2wN=eH6La5UIAGUTF${lgmG>(_9oUoCd@3_PpTp}96x zYzbTh?&w6$R{vUTKN+%6Y9ZgLFO|^84%UKkRtcf~@xTS0ML~zHqF}7lS4GF1z7_?Q zCZ%RoAH@dM5Ev=-WviiyU*-vsoWW-yCCNMMWR9<+t}W zugaPKUJ%(E_F%OA8ukwjeKR9aU~Fh;&Bx&SN*CI)it91t(XfIXR?*S@h$X&<0e?MF zu$%EVyp!MXS$@UFZbmJ2b~k9%fAiWLHQ-hbxHTU53j5x-VOxH;qgKxmCh6-je$Bip zKXv?rSjWksc{v$@p`m$s839e#);9dZKyhI@P^gxU4iHJ>F|W;6@Hj+x9Eu0dw?#7%_GN$K8@U^CJX_cm{b4?z!JGzqCYw%_ zn~or86(%Whp3>wd2M+Zkd<=f*(!8&_K^2V6&W6vjAe+oR)n#UxeVrxPiq>OoI^*l9 zZX^%uE_r>4g7!*DL1;WnD#Wvt1)kC*tG+@IiYhJR?8>8faV znf+a7ZrIy=7R$N@Y|ux(sPBE=rcD^goGz?AE%Rk_~fqsBV=bg}KeB#`DBn4DouKHn>Xa=j@QvQMi31X_#AXp}MbPV;7om!eyo_(r#vP zk?d^-U%(~h98hmlg^k4>#wJA(jxXsOI8Uktu9FgcH0OQRU$IdOuR{;a$*4#>bJLmY zN;YWW8pPOpamkBwZ|$uIeY@#_CmT*fjTajZWr0yTz9cK~2i1I&toTEA^Zv_CFPa{V z_1aLzI{4!vHgfa^ zfg_!Rv$~xF|FC5EWBVg7m%N#!IW7rIy-=g(89N2TRM`-z|~*m z>?{n%^_cd{#xH5V9K&{3auxkc?U%nJiYB`CrS6ws??N9t=el#tT-~{4{>j=he`_u4 zW8|OyjSngO)4vctX!9;i*)RVde9%L?OQ8>H29FQjgE&jy0R025&^PJE^B&``;PkyE zZ7@-f!Wu_-Z;$a7JdS_rHQs~DB>G`;Fg- z`YfgOq?$1>|2MowOdt6?KFhBXc?Mz$jdz}hE`Um>6LD@c`~p36pfGR;k8V@~+&k=q z3iuq+UaP{Cw8wsl5=vmj z-#Pzb`@5e70*WcDx5Ts!5Yqs-X)Sp89$F;b_!wFw+@R#Ek&7Nh-r|i{MkZef@LXYz zExf|?7v_0OKDa#}KHIegUuRt>V1{ACT3f9v1 z{e_sgr7*k^+qRFQkFezYL)5_Eb`q;9zk^yb|8Z+apq1hdpp*HypcC|=H#VatAT*Sy zCOpv-k1_0OYjp4EnBBGF3#4NAQh+u_f31LWY$;1d zL{XTupJTnw!em1`Cc0Zl$kU>jWjw-z=M5ltH9L*BT?PtHsagqZpp59w8}PP}TAvkt zuqyZ}wX-A0mnVRPx6AY%DAht&;!D;P^o#n-t@>}ji_%(nG@_lsN}gq9Nl|TikO5Ej--!CiR|6UFF{y~9!qF@lfQ&BFj1TKf)YcB&Uc)O#H=SmSwIyFt&<@rHMD z2E49@C*mo)1|!i!uXF;IYVc&h2F}17MBn^RdDaP551$k9Mx9W2L|YPqbYlH^fnjtBnGtNzKrsrAj0>Y zfHxN^zXEwWye06F$MErNEBGRYSiJSOL3GTixt6w~C3eY!E&-=c0_ykBt>n(iOTU%| z*5dCw_nN9IOFuN-I!}PeA82*bkdNqBy^_l&I`ez#onsRLfVb7cJpXNY<_CQ$?hq$O z(fiiz!7*tgmUk;&HsPa7%d-4KgR6MFo$LR;K4U>8a(hR%w++QbH+aZdz^zChxu6w4 z-t2ac?UwI+9>>zdN}o4(1ePY$$Jn$4;D}SoPUdqnUmc_PkC~LJ8N`a)k-30&7O0xX zg!+tT@5qnZs&VJ|Y+GNScD%E{%kc*8Odjh^sbhV-A>CM~9?@9mPy76^o^xzBOMJIO z?{;8M9=4x^c4K^a#yX+v;|>D4J*N*ybkXFYZUC>|F+&uNmHXy%$17^pXiK^vljZ6j0}8_mvPA)K4&rab$FO?fXo z)`fU5ubLGTIAWn5S`|cfeFJB!N5JhGqHARnLbtFYgZFTml`_A2M?A;huVaDgM&IB) zMB_X@_Wkm8LMqw@GtkIDCsrnDJO%%l<I+Qi{WUOZ%5vjA3&SuqfYVO8(d9aA26 z8;7igxYT9rU3nUEME6|J+45g$xP!&@hC6JyD-HKT!(9b*4eG|wxzoQ2r1w?o#=txA zX$Go{3-7=OkOc_S0|~*>tfV<`rn~rkLOsDqR0BmUHUX7_h|5c0++>ru1nlkHda+Zs zVvnkkZFp~J=i3c?vg|jmoRzgI%d}P)&fZTlfM4M!z)T##2A`vt2&Sl(QkjU<=9sH% zb8GG9`V2Uf+FTObGEdl+hIjg=uq?^r`oQLXglA!=A^Md5_-hsu^tvt*t3YN{4^Jloi93PCym-XGEu-&6V6U z*67j~!5TL{1#4XR=)QGpM@=E=nD7l+_g8z7&5RZY=KV2CE z#=;y`#MctqlvOE(h<=_K!tFTCs&-W8F>6|pD?0X4-jQj7ETV;H%Mjcx7^oKhz63a> ztC-P~4Qj8r5-cq|9LPxBm@nOsVXxpPI-=YOO2}RDzE<%eGa?57Kr~pE=RYX{{zXVr zrcQXxYCZzaP<)^k9sr)GEsz4S*hVl_(HB>c{`y*Z`{&Q2^1vDu;Q1$au*pqrF8Xs{ zRJtuFd;0YWlsy%n7RsKC&oqdFm+&g3pG50lOcwHm{i{2)7R0iX+;GL0WSW9;^!J}i z4ADcgVDb&S6jVzMv>QeLI$@|SVsKuz*VLC zhuNe3yUVyG2+e;Wj7wfdV4)Gf;w{JGz2t=JIA(XH*T@}$PnYS#;vG1MpBUxJtGRFJpvqnYO&})M%QBVb`>?4_@Lal!qe%#mfnbeOYg+LhDe3I2btTMrG4$SATdwPqcvKQ{B%55oTHFTZ zNNfOjR1(r*rwj?mFw?`#ucw8QkPaw6}m%<^+0(AMBDPX0^HJ$sXFA(%I%DyUmGKo1aZMnl{n1 zmW~ifaj6!*0oE;e&sdTFE&hCMdD#UtAsa36&$P;ty+03`m6~V#ZYUa20aP>Sdrgde z*TVlR*+SL)VR#wb`-n}g+r9v%o05tPVE7tC5``VWx}S$veI=#~#N>XStV6IMqzky3 zhh{})OuXLp)gQC}si3B!h0LK=XUfelC-x`U5z_;g^)$wxU`MOzBuF0&=)U(-2wf7-gh8wgWQyPvFw9SwyPg(SKlxAjNI7rPu1$~ zrFR@(2fEf=8wHv|d6;VcLrjLu z`V_2grI;`lFp=a|H}B&jYAm}TlLQ(sC(D{L%7(?BWfoa4!S}jfFU_z*`(oD1p&zlk zJ*}4z!4}^j%jIK?SS^>rF3Tm**G4L}JZwlsTwER@Ssu-Vms()LCfYb?!1HhE5+hvSIldIN$8SCtJ7x}ZvIerI(g96jQ8QdfY~(v>#dZUBaI==uGv zs;+JuYCE|rPA*e8`IURAbS}rdK1huO#OeN8__;plE8bM}_s3tn-SiCdrZT45UG*TO z_Ep2Y=r>=ow;KP{9{Ga*o|NDV{tKVN7u?unBiz+pF!3Kjxc`btvxfXnCfo~t(DRV3^^g|1 zZJPM6N4Q^Bi{wM|Uz%_W2cu}UlG=>1Q-?cqyg=UUVP=FipDHPxa ze2@aKzb+}5U6EdW9bDL4&s^?B&i-+g4GsHC~t}J?8H?GvA$DnD|DOVPRd8g zKY9-MWn(=w!na4i`CAc3TOfvlW45Hv;;m4bw5Vr^4jI$JN$j%-WB2$H!r0yTw1lxR zKQX>@FFS(qmA3?cBcdWp?1%9vhI!gyBo4uK*d_)hSN& z?8o;|>*RN~4Poukj&T&>Z`o%}s>E%x;zR}%u3Dm>?j@B-vd?atcN=?@d9~!DdO+g(iWqc8?Krffdu)Uqqggnk{kO$Nsb% z2a4l$6E=KxV6@}0J#nolSG(|U`EUYLqZJJtBA>o`t!U_p@;RkhH*-(LCr-j!&7s5b zWlV{h?$x2zgB4r-d63%;_v*H6{AqMI%3Kx4i#rqhh%@a~IVL>Hh+XJy~czJ2%z`X)Ly zdm`-f(D}PbF$iM2KkxoZ`g7=l1QfGXe+b1q)gMA}pz05xI6(T-Jrr+8%e6r?!9#Iv zL^!tqdN=-NSikMi#4*fQO!ZK-H1LKViWDL-Q;$5&-{ShU)QoZHH0*Ily%BtEjQTKA zXv#VVnUAJ$(ir!jtnaOm8c@uC9Ot8RVK8JZb)J8JtQGW)KKWS=s^{VHVfYz+&`wk9 z=OgCdZpU?#zsXKh`KA8j_w`u6q<_3Oefsff(p_3X?$syfWuT&gSE=8#uEeia^a-L) zb7_;;!zI)QEn3mbTG9HCwW)|+w>eXrycyr?KGv>ZrxoqP&jGFI1EgFs7-h5YvAd5p zc@N^B(QP5qqGcDG zcA;&jR@9D0+O?whka*$aD9OgRqw#l{;aP3+r}&-P=+dr17mBPdsA=k)zm6W^C-b$w zm-I(_Ne}gs{^+MYx6k?c@rijE=*U3p_bmQmeh}4lem=tdd}PfJzSn)E<_ABVAEaDz zDavNyL*@tZoFC-uX@1bgB}1&{t>07ld)WM-jE`9UL`A0%G*&M?)u%nvhg ze(>A#{NTK^8Z17ybZ$GaM&wQiQ?~FEgCGoRb?yu>VEG`Z)_33&m~^fBWDS%$So>J~ zAN@g(zjRL)OzkTB!crz&ANZ)-V(}jr&b+?vTVM>XEO41u4ns9{LFk57`4Q=?or%BD z5JevXDTifQw^ew}tH*fF>q>QV97O-CC!ngSy7}Yjx_ReJ_$8a=HLslQHDCwlHSZ@$ z)0=V}`D?$0(OvHt<~4s_DRC}GK@K8y!{sOfd$!byEc~3EL+LT#&3NRZH@O_6K9NYT z1MQjBR%GdJiS#?r_>;@5$O$_na*ksrB#s&@a{8Ny^rE5JUNcl{Mb7%GL@so&J6_YQ zm-w(Fh~F0^X|aQy!XP%_M{f!{*qvu3=^=+|Fzl#C+-k(>M%clsK{Sz*Ek7l(iybVz zY8Z!#gn9&iCkYE3E%*lPiWuLF@5dzmDMu9Fb*-2aCbS~(kR+^j?87%L`4HWX&&7!L zqFKsV&e|bX3)G-3l$3>zVfe;ujADrbe1|1|v7-pzj4Q9b>c=v(8}5gb9c-<~Ve1#q2^xO!rFFF2_{VQ#yuG zGfO2(%^DlLh_SOqOYB3AYCw!_j%MuaQLJyV%p!7LKwt!s!NKUKWctvwz^xL|!x_C= z<`*5%nVY!4e=bY_XPDi%4Dt2&22>HhSSFPnaUq@)27Vjy56Q%{D`kl16hMQ;&a~nO zxl0i{0kNP5>mLbGU*AMW=|#~z7^k?G3g0Wggu!g zn81EW!b5@;?8*cb7=w7^V^@ec$UYOX(-F%8=nByWUAYOdm57pVuXc=**x5HAmL9d( zZ31NsqS=-2A-)Ci>^Ol^s^TY1M?9-gU4O`-tN5w25nqIOb{*NLO6?PFL+k{^=Bb`c zm)Kc;#Lh-6js^&nnG!quR>W2#7H1^VlUb_f(piY#fcOCtzt}Nb#aD5Lk<^Z)d`Wu9 zQK^!a-ldX;VNg6=MSrTKjjFIpC`AHZZXs}%NUYQ7dQEtXj>$Qw}O>&6-*URy+9ev03{R0_?9jaOnn?#Kf{>)w2vd<z-mVo1GPJ(RM@dpN(EabxY$uG!K%+C2wE+{rCc+pvz8^R zK4IV~M?C{IT%4$L0|T}BgcSPOETw{Z62$71U{#I;A96$`xHJPn)Y;CGRl^y0%CV1u znqdsAcH{x8%v#=wWt{~G0iFdC#4?j$)wvRU$WbW4r9~{c*fEMFs~ikG33aig}IEq!0!|4_x|6h z-=F^hzhIDRI_O-@3f4Y`nxmISsNY`?-H(4798i_; z3g6^t3uDa!-;8*fR+qCXw9l`FZ$+WdzMp7eT1qv!$w0$BLR8@Gwa>D-mlk%{+|AuImu)wz7g>ntdVXW$2hMQhUQ#O*fwq&ph#}G2~ zwTO^^bvuaI7jb>kRFcA@Zsb02B94XDT3O33P_rAR*~HQmefo2>Lgr0@TB zO8Fa@ewj)S+@d$-{(9Ko@`E=`%2-v8KL|g9aFzP}IX-LD=TdwY{Fh|-Ju1vlpHJY^ zDt0eEn^j&v^0una|G{TFK4U?iNgKJ-5KbD*Y<6ZPR)YZTM*z5P4*eE8Y~)^ytT7LY zspH?&`@W@$K8o+HsMFZ%ov|~4O(&wf%V>qs;NhsPo5KaQNim0dOCGJk9Qa`b`}1X- z3>ZHEg=d(Ksx3sw~vhz=@m?ml-IL{@1khi zbI>qXOB-?jO01Sbru+!G*lpZs4)}Q)HmqnRikvn zhvV+s$Kd)q*6!t)JzPXE@2^G`Uh{iXweU6!ofm%3@|gJ!-MC_^$DHHHBaBY|3gF+F zmDlHB&{CEM8VBl%X_`ArH~Tv~T=_*g{%_$%eSSexmaK70`EQ<&JN;*~stg&Bw2C5E zm~&2-7B&w}v>?%APiN-!*K+h$=CV9b=-E-&B|Rlg^QzE%0rBHMnD6_^rSB-vOR(EA zenxWq#ZgxYon#7-qGRxF&9)W}0|q#!AlcF*SIa9j#r5j4^Q+m$xV)Q;aru?wj=M>F zHAM9jf2n!mFLh3}jMPTBvfB9vPtLfq@b-)=t6q+M-^0ajVpY&&-kebD&d`RuN{NDz zv9xKJ#E`Z;Y){c&T#QaySiAn3qSIq1a@8us>ENT(`5nT{7vL90*yd(b+0l^cKO2qE zyqFi;-o|Ajx&EGuOY8SDHuTP+N-JxY|0~G44w03i21z<-C*?@eDoI)?NeAqtJpWlJ z^#UTAMhSo~b2X<1SBt0uh>m})Jm1K`@`pt)|>FK!q z<}9t^G`>=6{^wLVR=W=a)=ey;YjJvXCmw`}4}EG1(c{ix{H{8l2&Cc{B0jba`zsT! zVuF!dji1=#s1V4jh4%oa$yhGc-H2jDd8w}y`5BOu5@{&0REYl#u_rh$77;m#EzUxV z$^7FiWf|aZ1)jKJo-nNjOyn{!3)NsMm)3IsH`X_~7jr6ML$l9#dll8<*m)Nx?YJ7q z0d0ep%lP4$7EV3Gzms7J1EIlcWR}@v=}5nA94*-gO|JpeXYu zlQMtQJzevf=L+#6w>H#Q^0W}Ha-aEoR*6WG=Alyt1ETP~C#1Q35CSE+PQ(Sik!Ykp z8X=%7PT~H&e*S?V%4-WX*<1hz6L2sA2Q#o#7`Q~i%~uP}uHwx79#yyf&SS2rMq#=m zsJ6lV401U;DlA&!#0XmZ_!I0U{2EN2Nc~M zB1xpxizK4tJ{dC53{HKGq$tvAMa~T=n&|Oq=nHx<2IS7uRKXwqBYZ%i%kmiTnHAOe z@t6}pn5WcwOuY!ixZY#pyvhh{@R+kfl*^larVgU)Ye8+y?lo_niu=<35|4SDqQ-Lt z8S5so!MTE^KPw=Xs`v*aKDlTB8{Wmy@fRHet_u*OW%#xMr-kpAPCZQ$gcnml_TFhP z-XdfV&vLoJ=S|7G34ijPl~d#ut_mT3NUIR)#}AUURf;Ck3dS{vBybQ(;2@H~K_G#< zN(0+)v1$ot%NdL)BDig5Xj7iEQX)Nu>VhHY)<*Fy`qK-A3f2-2)=b3W`U6*6vOV4W z-OU7&Q4aA;Tt;uOM6_mXNyS@3mkb1KkX}LF-$^GdzIHghsYfA5L7zH}Lt6Mu9AyEa ze}D$CQ})p!?;>$+yTze{3;CHwR0mJ`C=%G0Oh%YP7s$`5YQ*X#mk-k_Lj1Cb!9Y}p zJl{#mW2I!P6c1P1C$JBXAIClb^gB;zm8O>zRYtTZ+SGk}hjGovkrI3we`Uo>iE5RZp@W;E)GiP6H(LlJIs zF}OXj6F_paKiG=T#EV~J0Bm;V^?~f81VJ6nL=LUuS}7{?Auy%dUN^0FICix4AK-`t z0I2U|cvJS4{Fw_9j%{J+*d!X_*hJxV800^hxQd^FO0xXWjs-#${P~@QanB_Z0Cc4{ zyxe&GiL^76AdPnYgKcOGopcpY?LyW?_^J~6{B_l6XYDNffxTjU6q$(b3J+!BeF9^` zyoROp2s~4ScG~Mod$o^o4cLim@++?)J8{``{+X$b=&Oymya4|f;{Q?jzZCyZP?M~% z%<2mf2_ApqLu7_N`%#fSd?I59`0U^ zqFdUMSLeOJcINcA=RsvLoYpcIloQ9ImraNDE#~81HtIH^z0dp^gU;ZUH)oPK za8Utssu&r(;%3|!jUVT~xEpLJ@fMxlMpD#{y8`vnS&U>Q%h^P47_wj~ozphTj_HG# zXNr)e?G&5tCNOH(mBe27u{Ye<=R~Ko0n9)v#JCnQF@>f^^g8aP9^1xhlv{@iM4nlT8s(qTSuD6CRcEm+4-KugvRFEc@7Q@Z5L`xXV`?7JSzK)Ac}(Sb zA~lcbEWTpr393AcQuBz;;-jBPe?(`o=nBA~voE5v*kYxZq93=Vri;$v&sKUN(l1L* z7oElbs&vs=eAG8pXR!-mOJ}hYpO(&I2R<#G#b=&`&Y}aKmd;`;J}sR^J@Q&Qi#7NZ zoy85X@keKVi*V9lL}!t!I-`XxoyFs*30dz#*4TL{ma4B)_(U$+_Lo#jXC*Y=(@or> z=W&x|5Vu5$_)O(IG_|I$bFFB5Y(gr2jF?Ze87 zQQ6@LA4LC-1>s)+|A%v#7bhnpJjP9BUerF1;qs{*6N85*&}u?cu^twi@+&u>{okOs zm_+7lLk6OUSWUT%JEwCOICf~cB9ikkMxNn~oN^w2M#AMq#cAk^GrnCLG5bSp#H@F;5i>Vy zBc?ZKBc}dI8!_RR+6etVZA9t(B(Wt5v4zTkeQu)(o-rx8T%8TUKsT0;%>(b`zZ#!5&6x-Ofm84ep*hYb$Tiq^&nM04=dnU(b|pA`;SBH}e>LEb z>4m7*r?Fzp9y~Mvk7@_B$cw1snL_+=?roxCLJQBs-%xpVhTogicD~j2Xttf}G)~3S zpJ*4SS6e*@oZhwB|5nYuHVMt22A_>x@h|Orh&Fey;=6 zY{q!HNWO%1fTB0l+7DMT;Jgg8li)7Kjw?ESyy^Hp8?*26?jS(Dnrz8Dd6QZ z9}{a;AGAg9>gK>xGqJ?r$$ThR(#i}3N^<)n4&HF`z@ruKc;-fQJ<&*086`OQ%2|n2 zeI=6QA2^*tG_{s_t+DR6#~Pk^0FplJ7m;RjVO6Mx4eDsnW5UpmX(f0-JN(DK;QH>E z*$;vl;ojulfFB4fU&?8G`Nz7xT7-P7XVV|urr&Ndbk1E$9WyEJ{)w8G6w2=&f885?D?1qx) zf+Nax1`b{Yb-j@YF&IzKtQ_av&t)pCGjS-2HP2}lIa%JSHLu9y`;Di2O_~1=SKp#c ze?Ddz8gcmC+&J%jkR~ZoB0pLM)g#u*(5)>GkDlm}+Il4D#=5Bc%tQ9lpct%G>;Rm= z5VIDKxzIu*XI_py=U(#!J(7>D7{1PmH;SUD4DYuODr<5L0+LdTG^f9I(`ANtP<~mX zYtV5SHz7Sdh!VW|;^L(^tc|$48lR$;fSRZ;B3yl`HR{{UKlS`m1MT^Qz&jpOnd}2> zZO3Dw$rvN~*h$~Xu~hUqdEUtNnVKiG9e2IJazMbIlb4T&*j<&A^C)J!@(Sf|ky9Iy zjsM3Lbk;g1=+%lcL4yj=Aw}YZxb+og?uP&g3G25>-0<4F0LKy~zzR(!r9-chqamcW zjkQS6?s9-CQDrG$W;$2^XC7dX2|t6C1?gurDZD z9%#MrOnv!7T-^V6Y3IW98fWeJ{7s0Z5h)UC8pex=4HMCBeCQQOv6ab?q{n^Imy$GF zgCy;iP=rDgF2r3fp(X5sR&ZP^^!Rl4$;|a31Y%4n8!{IwL*qgZZF*ze zq5G_9^ccD*vG!e(q^;VD0E)6q;%;1g=+g%{45^TPUye*|LlPRU-yj&>@_qOQ8kV4$ z_alyo{1-qSj6}*tBIW{W5Am2!i48nd>HhB?At_k;K1e}i4T+0WTf5NlXCMY%$G99C z=6Y)<;+k^)00NlWZW_b6vY94&_vzRoyfn144wMkHy5D%+X|zOxBca|$mmi0~5)%NV zphIP5?0t}tW9jjG^h?xxkM9Ktd+PDH?t1*w9eU1<4!7_@62~sUM)&zIfunfzgOiRkTzm|HL9@* zMD7nQeM&@8PRi!CXK*b<42!3wu)(;?Fn_=s)!w1*zYYLSvy7H@q+7XJ?bATIM2d*95D?deF`f}kL*I18hXwIH@B z_kw+IcB_l&n2CN;{b|{cT!V^|R0d){vc=A`0Ugz0-;kO|bTNOh^E{^VoSK?PbTRkX zd4eiWR%#y6#oVOwh%P3JV_b`MFaPR97jv1FUW$I~8k3SPx|p-A^g^VsN=+ACOdpjV zn2Do=n>yYU`w@K3z^A2#nT}6O4Kod&mKx?()Mc5D{0N_x8fG#+Ej7$Hkk?YfT!c?i z!&H0>^fhwNKsad}qK29KUl!c`7##BSL7vzYz^hQW=^{pfuqZLg zz4nM?s5N=01N&e-&Qy8&1EnE^X5)GIfDK)89J+xihO^2U9q=mzA>o$Y_`e+n7K1^D zLWdyXs0Wu7#XO#i4T$H)99no_B4z=VV`rb@MK~0+8$?@Z_HN36KT6uLwLFK1QG<_e8{L z6}(ZBXz;BIf%{$h&&|5C^WX^xS03H`GJZfjWzZWa*f2(8Cg4Z(KIIPY5DXvas-Gd< zT*GwTEY^(`lrVMkx;(s=&Pb23bT&F+RRPnTYC@6J<5&0)=SSaNRftbx!gLTnRZnF# zBPK$H^{|Raxa}Ll3;euQsd5@sJO}PNsKX{D(QBw5U#i21;w#xC3Fysd9$r2fB=Bj# ztngWV)tJNHene+gX=?#%G=%ie|{dIlQ{{5`70Ma@#7zn?R>&_T17(q#}*Y3OkD z3&d+)d@O}7fcz0%j1oE5QQErQ|dx#PhTjBq_WNO|VM&HEfA2V7ZIA?;^on2l|fFW=s2THxx9BujJ z%pyAb9nrB4bm$BgH792dgU<|`vC_>Gb<>qyXt;OBXo3Y^W4AXlrCs?84sFV*L=}3( zhXR%|z{H~>-t1vyBdXCMXc|4noQy)B#i{ws6Me?y>;i}j{-}rx#2|xk|a10`(ffb&L+L&Q7GT=Z`p&6~G z&P6Ef=+1u1S-Du^VL|1rv1NagfR2z$JPhFnGAuHx|KszkcP+2LG6Mh>z@Cb{EeP!=y{h*BjnWbZ}V4l8(0 zo(O$9;VzKz38RuFqz#f=tzDEw@*Oj zQY|RJvSkwHAYa`o~hp`4tkBLGt3lY>aBbf zijNb}QB>aCSMG;rVWCYRr^~MSKboNSt)WCd0LUQ5uMtmv^CW^KHrCdjZZ9d3tc(_8 zaR8R`wdWst2Y@tg$IIulc$q4p_(M|#FPu{*UMh$ePBuSpsm@_ay6` z7;PiS5N$Y$dCm%feef@`V?2IEr1K-NY_YY38@~pA)bSQ!#`YGbfpt zK>m+Szx3EapmoK0gF@@%ELvZ|1rtE)w*;l6ktRw@IsHgH$xs1T@IWbsmK}qF})vLg{vtr@$Q$2 z$8F!lQxSK?MRKZEl;|453%Jz&pPh(Bp^>~iOn*VT_@~m~nSLBqXWA$gP#VAr#KA?} zHHR{viH+2=x(H2)s$7v@VrVQ)A%(C}_%1@&)i2$NGzej4GQy?vQSG+41WyTGlsyXV zwoNQ;-#9Vi{kGf%%tWE<{bLV}91{kS6Mu^S2#D9V*39^}tplw!w~o`V1BH^s4+|wT zxtmyZ@~XiA%0MI>EKULFT{1CqK5^)|rt-^Vko-3e zC_i!b5>dt`PCHByPUp|LBBk$gaY6{vVr}#WFmo#Q47U?&4sX~^ZRD>u7uH7JetoVs zvTak2=1jDa9y5>DM*c20k7y&S%sg5f`B-iq(MEoFoo=fhJ%t7?n43qmk)0}!Xd{CY zz_TyHzAFEeHnK^l*P#5DbJIl|`J_&-Li*Xc>7tFiSEY+Kva{RLM!t?mt&LobN3D%q ziASxC+%n$MMt0*-Ya?6msI`&LA+Od(&cmZ
    O|Ou+FYyxaOA+Q^=3bG4E8B2S7g zoIYF>m}}2LYhrCU2s7~-AOmVi9>E+`ExB?)YDu}YmQJCtOuwxQI!QXm@s1#4ZY65> zRzn{NaNx=TGlfwYvgCT$FuILb#Pzh=#f9VTbIpJ81j&tq-Ff!{)ZP{u-sm(~Dg2fS z7YGP_Fi?_+B8J2raW%e=>Y#K%LF}ZaMzad9&}ib<)M(<78cqC(&OH&odlpv(oouy! zj;LPtEQao79%@jmzfGgbl02!G!b+pbPg7YamAbv2iWM6nSz@uGSe16Nb| z5;>dK}S`1E9`xd3nmX3$(No+vKS$X zy)W*yUG?C6Q-W@)lB3PWpGuxuWY4NB--eP(D15-UaRx_G+w^*jnb1{AUUMgM1&rHi zT!=X|kKbTw(*>n5jL77!pAOs2nil>n?WD2JYxE>nV9H|Z!8#|msOXs)e0o-zI@%yS z1lhD0TZ#DcHG)a$*$?SiP2QK;W#dX%C)Wb0KUPq#4+6?N03{A^jpyXs!I~=oqsY%^ z9SX+!`>1HR6_W=~&@)Veo&ir#Gcdvto}gv85yOTjC>bUIOL&5gVHWK2NQyfiP*+V_ToF6FVLTz8Y!G%@ji@qoV4ct|6Q!KN%nx~egPGZ%0=xPLN z{)f=DU*_;ZhSbtEB)6O*dvxKE?}r(io?$(%XaK)`rN#`k2y=cw^@8*{yaYnRoE(A+4QZiC14WmZQzYgQ*Ef{?p6?wZxKAV45Q# zRQCXsU#SSh!G9pO>#zk30Na6agTb~<0WsAt^YU&zFR6l<^8=-KFjp+JUlwYEr;7?= zB(c^~&)jFEPZlMF?bE4g*+^A7jGc0^`ZMYw&Xf4@xy12*aJ7$wapC~5y62?9VAZCD zct^#5H&;7#40C=!Wj zVh7O0yVQzpFb^Q?3Wz!X%CFg_jwNf(M`$9{`elxd>7zsq@s50&!%tQDlW!z-5B~`x zihm$VA4B&CfYR@sa0rxQJBHd}CzL4c$R5F4Y4Ci1v~&VA54eDmiU-xle^ok&SD=Gf z?RxlTepf3p^&cp{r$H+q{zJ%ZmZK^G`h=sp&eT5;t=j2APJqdJTgSioTvdJtwHjiU z@@neOv{)L5nP5fo3&R>-m9w*a52Ggn;0;>)px_f%uGY&%0ih7ka4^mF#i>0G#*9N=VAJP1&^%cP%tu`+K01B4}nL!>LFQi{2?ZqUFneA zT6x%gT(S`6Dm;l@7NAAT4b2B#QYZ7V+#bDr? z@pqFq9;yLY!QyNvdBLIbkW*i?QLHH%&w)DbA4n7K7YcWf>6B%vZaeM}128C|7c`WT z;yj$8{|-Y2Ioy}nUfH|29xtxOS^ShpR?Vvjh6XRnB7DimDI^v>Luk2D^3 zk2W(I$&#gzbAcpT*P*-jA8HvmgZKh3#$Jm@}7J>^w5W(OkY^Uyq zk7y9-YOGZ+d$62bjWvA1r6I1yZzB;;m5_PW(+McYr-qMildQGs^JT8cuK|XGF1MA z(7w7(-{dvlJql<3fJenF$SOp?2)f573-I<0_N7*c&p3A3H=(J;+Hjj;X^fH2<5M+^aW;;m zSsHxR5o+&GAMMN91^*2Rv8e^(hXtY+=+Jn37@Oi1|K!;(01#K>Q~0fo&ZpiIfm1@; z;G6L5ax@HOl*Fp>@MUt@T>J^$U|F_(MF7wcZ&)A7a- z@JHf}HDP`{%__846fT|ys{^0g=)K~F`lt2{a8k2p3H^OQamFy=RJ~T5u_v8`U00Qj zGxiO83;3+!jD5+T`4Dl&O6;X=amMzy+2Fn;xdGv&G-8q+cs7Su-3kp@g|5eLfC^?) zj}9~j2ZteUX>_u zeDoU%0^&D`xs4(qjHoaV1|e^XQYfQ*-mkN!$}=9&VDTXGm@yIfULxq@2lH$ zg{yhQ5O;WQRj`b-sKR)WSTlMuD>8}6D`);4B91kfh+Kn2*%rmDV{`z{%9aQjSzM3)fUEI?a`KmZToDpSxD_)6Ti$ILR6hEQ zw{jWrK;5rpC~1G(Lg(Kp=V1ZH=BmuANaK~CYOwfgt~cW0Zr7Tm>#y;UlVO=kRwY04 zBFJCKhL+MwM|y0(%AURh=xZrG5qqW=?8hWP798nr_44rAk$M@weBr+oZ5qCQRKc>;-O!hDIUEn1oR5m8iKxQD2l`qMM8o&=1z#PsPjl~D z1iRqTfCZ+*FTfy_?=6kTG81Ndgn!|$-Q+P^JVv5=b#)xF!UHGyqO+RnFRMam;!LY2 z=7GCTTW0a(zs*czP2}Z03#YG5vHOd#NHQGt-izt0L-7wnpk+j0ZiaZnb|kccKRnna?>#=mmF-fl&BSXfY6rFuQ6rU?dpa z5Y)%jJSO!MoSW!mcB6W=drpPgeyZcCpUHXNl>H|G(=DH{5AKa;<&BVFIy*wZbn1I@ zZZ%~gOV7zEJ$3-4BP@;OgVljSd@{+-BlbDSNvrqi_tjuR^`X!Z>X|djh;h9J)bc}& zjydQt0@i%+WO6w%2L6(}@2@!t2Nup@?en0e#?nAtUv;}&<$Zbm{h!I4=khLdm%P@n zcMk}o>(R}EqFF%azU26?ap}(LVX(BLuy`*!&z)K7F@8_D(nIw_ZQVQW7S9yO#G^4= zeF%;YN2-V))2Mp&oVhYgvL#iw`!g-@J1lZNa#04T00AK}g+(1H2wZ#FKdAcX?)no> zpV$b80_yNoY8GNxg_<;dB2JUH-)7Qkp;PPy7D`1+>2c^o9O^2w5Asl37f1Ilb|gQK zfdsQ08eJWqBU7w8UcFjPADkG22s};H*GVB?iMQu#kOObLv9+f3M*M4aeC<0kgPOBJ zgW>pay)5eDQu{Hiy-x8$LMMf^;087lDRMqH;Top3j(zqbfGVLhrC#9sWLzb|93-NxU`Ob<0-!EzfnA{-(h2}D7#d(#=J z?WiPROYy~oPe7K-;rGunn3Ue8)UBw9B^GsIf+vr`1QC!i6c=Sbe+ab5XOqKNyZ{pf zdV>O2g!(exCU>SSbp|p3I_|^G+{uzdIr(A{eqhVgX%B#1O#F^ZBA%LxgI2eoEaIS} zIl?)hA_etyfCslpsTqJ@nv+;#OB?|B8Cla{{$vB0D`$~YzI;3g%BN1VlcRRLq63R=slH_&V zO5!v2N6W^(c8+6m*|+wcaf&Oplv6)C@(Xz3=8jt>qQOL;QMTvRa|TKAs~b;yM+8QEzQUII{q&7Ga~zp{;g^|vNDz*Yp*E0* zi?Ns%fs1r3fGp-n2B6mf4ZK(9D+_)B`8tsAUgj%fK9Z*71msg@o52gMIvG^6E!xBe zl6SuXc+~|n(kbxQ;Ne9C(ZcYw_@Yh|=H##5{D_hU#grUeu$Y;@$(BEO*!)ohLyKJ_ zdL{&z(&2KzfzBvLaKRZPJac9+JWjo+nebP%O!#XlnGjCnAVKX(Vo?;tRaO7rQD;*< z(8?>*t6&btzZLqgL;vjo_o}Z_XU|&eWf(COuKABs%!iW|ggpqB^XJHG_W|cJ_Z)eK zRcMso814{8o|4^-Q1&qNgmLF8?;QDpO*szJJ!V9Km!*=f{fI$U>UOryk?%gpjIbYN zlCCnmwKv>d?%WN7KGniBw(vt;2`*&8ZWiv|2vgE3Wk#xU%_5wlXh2gxrOqPErZ%Ig zCGI(r0669QCYo%b)j9Ik-RoR7M;=}C|D@`YJ~#0>ylqicM9P>pIA+ zAZs(=S;2Y^Ju48_8N;u}JtE`&1<+G7Q0nU#4bI?}`uUhzC0u3oKji%cHSX{%j8o() z$mc%esTYKI!;CdHBB0Q1;?0I|%9vxW=0E)z`D0gP!hJ(s4?T`KXkbGdz4U1A&TPj0gaq(`(HX6 z=@J4W{IopbT-&nx8L5Ll7?1OWB!6Buv8YA4F%`w)d=t*HH#TD%$t++xZB-uV#?r}~ z)K)n(XLf7|!a$eOxKQ;9FL_1mYTe+}<$L*1ePHKXq z_Q>@j<-sxtFvRiW#9aDQzS#BU2h6um*g`#4U|bd)b+j1h?{MsgHNL`oR0c;^e}Y;4 z(Ps6->R;wK7X@#0H9yYD65dxF8i!|o4euKotO@TM5}X{~R}Po-j0|7;obbM)(3!S8 zM={R`<{7H<0Ci>NJZKG=vL+s@$uJ7CGBVsgFuTCyPELbz)YDw@m z1)@#teqdq~XBs#pM0=N~x{W-c*zpCjO=DV0$2#gSsRDrtjluapnWV*jV~jhqQhg0> zXV56Rz1d(HET8X{S+SxQQzj54?=x^&4j#( zYG>1k)n-{RxFv2~a{ha4{UDqSS;~|b%ODrx;w;3ye;456$w$^`h$DO`1o4Q2LHyoJ z{UOfXFYM@ws1`Bo$?uzuA7wUPez3+LWgCSyt9uUHxX=`#I1d4Jn&O;}$B#*ISk$5% z1t<>FNpVK}{SXvK*}Mr9GK{-+A7U7}bbzj_Ht4b1v`UZFIzAK{s~NcWt{}RuWJ2C_ z$r-DD{#%paVE}munwk*hA=>M7(c4N1L+Vxy9KDi_DfJrLS|b;1gjkM$1zDf(?DWg_Hh~qN3=vbD8#46$<`%7=mOC@ZbY=;K(wwV5WNpaNqr@)k;+A? zPV9c2f1u#}>n{9Jjk_Ap{1nN<6J%3y@`Tf>yDyTbkAfcav}(3Kts+6u(BiaeJKoaF zlL^(}tIoq|V&)0Wl9MV);z<>Qy_jjP2>rm6IZtRB?(K0kuAP8}vE@ULf!LyF;!hLR z1`T`p>#A)tViWKU_x<_mRwXB1$3vl%1vy+6fql}{a4J=edc`4Pl0K-trl=LO7BE7|XR8?JM;)>@T9T`-p^{HtaeSl-{E{=Cj?#GTVXF(3}IQedd7_29r(bkwEPjipM8m zlUI&Arq*9N6nf@1#Qq?az%2o9odf5}2$6)CaHybURY^y~K6NG~XK|o~c1J6i zAwkKjPS9nvOnTE|#1wM(C4*O&Iim6w6=H-@B!+PibqUTTN?L~EbV_EH(GI^?mZD;) zWUr=O(556mi7Emm3#G;qfz=vVOs>$d@IoPYuve6Qg7%7>ENo^VljYhc%V5u9%x3gd zpKDPcjG%`5V^8qR1>4B4+AaOZA-nF6&S#0-xeP<4$2jvq(w(qY;xqmu_dADwuoOna z7>4IOHPvxE(Y&!DUDkv@$nFbF6TD11;TesOXEC?*$% z;@&J2$Dpfau7@r~X(KWktB4N2(Gjm-ycB1H-Dr)@C8h5AXSlQ8m#&3yIG>-wD=OS` z4}~X?sVxX)eI<)Pj4`8!H^ev)^?)hc889x_fC(Am zdT0T|AYmy(9)6No*@Sl43tYz8Zx)`5I>M6Rb#Xb(1FzU0G;Eco|B`xAY~1H*|r7N>N|Vv_PdvLe8>p$^jMi z-Hy_wu7|EW!Rl>?>TUfaD>!E98woIH<+H?8Xt*ATdMr(qr6_$Nvv9V~D-V_9YL(J? zrNQHKi>;Xw*MyUMb*E!%-8Q zcIZC3TV}I?Qc**vi5gjI!NZ^?dfg--n9?$kG)Z<&a$x|lD0nA+i$fQhRkM_%}C zMB4Zlvo@YBTe3*N4rH?jC!&A5sj)Z}W;2JuBEn5ZW@c+Ek_CwSa%8M&4>TJ$<#D)mL=LfUVvw05vx$~)pSNWu|5A`K7*-f=n-thFrk6a#GPzQMl`X}HX<3Fpxw zMFu7(ALx%YZ+>4Nxdk-doCCGSA1aW+nhF^6Dl|aVU`1#Q)=M8?_-t(S@9~H0CgAdH z2Q)$zU?$f$^t*RxwWp#r_06(g{V{J5vL@5FqcS0d!QtjANLQFon0K^K@qjxb5af^C z3mwq&;m&!Gb&f>(41aiOX&N`*EiF&m<86pK?>CrzI9NOBoqZW}q#XHEPGAU=3N)k$ zW@(t|tYuH493eHWIdL=ghkgU;Mm}pG2_eD8gd8`oICO%sEWD`{LGv)r&R`mM79r`u zDge)h3AU{tX@>HWY}RlcKd&ZuY?I_Oq=?^;Zl^!5YN|AADz|DnfX<}PN26w1k*yUx zrpM?q5dffDZ^R>Rn#I<@o`e3vCKT6wpfTa)qEoqJ{zWC-i?3}&m{#F(md`(d9# znp5l8Y)#J)zz4%yagZorppqHr3^K@8#PwB^*gk}vn}o|XZS(G~LNY_n*kV3&lSY-d zYy9L@an3-hpsPQsxT|m#+sWqVZzK6oFnS8AxK~vXDvhj8=RxwzfmE{;)daIlLoxQm zqF@D7agb^5yGj%}kXY{|0ax*XkU@n8Vx4Md1ie$*bEeB`igits0OoN7{vI zC>*nA!|?$|mpvbx<4C?-v@_$4{-Fz)^h{0$aV5=^4u1b7zT<-k)GUK^V*FxaoXc$* zCJGF1jyB8%k z4dGF})I%*+@5bB|z0L?xza1ok;+Fd0UND%%5qTKuw~%{#O&IFx-!No)8vyae0vP zIq_5;>MvPB8K33UAFupm?){(}7abip^VBQSZp zIsyYKL`@s40LnXi zSL5eU%0yGD4%=)NT0!Ux3m89&n>i1O(A0_jt2y4P#u#uluY*8lp%L7z21)Z9ujsG7 zW|#=bKZUqIBQ-Fw%OC!*GZi+}Yv!3lu7#(0%}94o>RdkvH3Y zLEMi)D(#4aO|%Vh_aRjw4!36>Kt~Gq`t1I_Ci9kjc(1M3m!sGIx~0JNu+Vo9^z&^( zJU_Bk{>D=wB zTfu%t92oKXg9vh`6aauvWdamW{>e+B zl;s=(@omF56^16nlaMFKM&hcMP#G_MDXnf#mo2D5R@`jFM(Quapmw8C*!CvB{(=JU zmCf|q-XgoaBKr$b;vg9OPf$Cx%D26H;Oq{&YvTv2EaBo%>4*|xj!CA zzM;`|0pKxLTKd9#3$X;C2Lrq8&3)NSR9Fcd5VX0+l>!67?_>JQX6tJF_x{jr2OUxU zIETnpqQ%hAY37U(i#sl0HE~|Hvi}zCo6QyI4RJroY&m#3p2+u>1~DGxq2ut%0juHg zl!ka%zy(^a2N01=WQ=*<`2xN}7o*g5AV0%LpjoprSJTz#k(F&^(>1nNU-a%X>F4yw zGk_H8r7A+J6e3zf=JHK(9LPNQz|bcCNe|=Z_Q+|>T}A=IcN#up14T)b5v7(;^9lx(LIZ6g6H}sx7`B^y0-K%7(Mpx_PL%%c-l4}?!N>Z(8Fe; z*PV&4a5`C>#$7yZK4TgDZC%gz=vsU+cd2i34@%(j-Nk5PzRdNOdh`|NbxKCTx%kzP zOZ~-QVsC^D#=xwQCzR6gPm0gIa&XLT3ln@F| zH}<0@8;2Ku=AC3^wSuaOeiW@`FGX}*1R4)yGs9*I76rC=zj{(s1>wV)r@;RV+Oc?I zE8i9U@?BCeUw`iSQhUCBwM;@ct=53|7x2zW9r@~;80J=a7ro5Yd{;J2rk`y0d%%wR zl>GGv+&tpiRtLsO1NIYr?z=Ed+Pj{@j;Ir8c?n%`~+}lG6 zKbvwEyyS-OmZsSzuA&lKp;V0BXq9K1!|eB=Yxx!{_*6~>?ReAvPw=&sLg}k@>8w*` z`cjlWnWgvcvNs zun%JPtcjO^JC|lxaHn-Oe>zo;=5#LIqiS3ab+I89Q;5=)8bx@bQ=Z=h^nZV+9sMIP z82N)|qCY!$*tAn?I;D;~SjR;*s0;ZiJ_4#m(xB^YZ;(B2A@Qm=|SDXcbe|gVJk38*ILTy5q5el!cYiNe_)Z$YrIbpc-YSmHN?ci&eD5*^{kS)}a;jMe$_4 z@Cyv@9STeE9+X)q1aLJTMc4KR`Gyg3q?++)P65@ITA>ZEAZTE|0Qe^^9O=+{FeJJw z$fty}w+Xrdf9GxJM`izhgit!=ewPBqCM?jgasa4+{VagBc&}Wc^D?W=1eqYSdzC1W z3-%MT9u(M?_$A};AqGc*{ah~CB3+$p2m9u9KlC@FbgCX;TL4QmzTZ^ zZ_r!-Kfrh^y@b)K`D-6U69MsyRwYwWNmLB#v}j<;1hWB}N&u39;rZM9fqD!vY`)JMpaoo3RHzhT(PDA@ErD3Sa*(3-pD z!miLF2xJ+W?gbje)X*HA0-SN`>!>WR#alVi)Le&me$os4U~*wv)IY=Z*_#?p3Uo36 zAl(@gM1VFsQ6U_0Fm5DFW)XqsbQ7NBCRCXPmN9)BKSMO+)T4V~5htj%%YBm*uJEtu z&>N29Iu~JCQ$NK!PJ4&@TBla=pg4VAv~13a8%Bit4xnC)TO|JA=}pjVJA4fb@7l;? ze>}Rbj_{crb(5nCEACf>0M=Ldka`l&(F?C+B?nN6hn4Jk@MyF^c2xPMrY@8M@Yuck z%+x3F3^WTr-rJ!}AWWrl?3!zW72){xrf87bg;B=K6BH*>oA8ocg~?82eie6?rt%SC zN2nn3TP7mS7F>%ThkPBp*PPqWUPEfXxBHWB_=$?_^iw6nTL3aseJ}Lxr%* z;rM}Y->I&Ln&9rP)P7a3s6Zn20mi^g1O(Q=U~8ZlQdMB@`69ks`T7kn&#tW0L)3EP zA!{MEuxjbo2Fw<-sfTFerbD)Y1IT{0KrPb@kqmW`ajJ1e==O#;(I_Ci6y6H#=eRkr zI&R25pS&+6l$rB}RcJZ6LLXAWgb*5hCh(LhcFWsRy9j)tVQ!38>av40v7*RoqB6e; zQ8({0o9J4EMg?GdQ@vD;uz|PW`KfV+`Ev9IY*Squk&`?@Y9wA^GqRdHSL5%%W%tAV zxj9%vFIkRo-KSG#Ox381NVX#sfyozU- zR{)F6B8#@xquJ0!c$YJCbxIpLU7fUsPVQ~3LAtB)bkqQoIoHD{$wRs8(b0G?nHMpg zOBJ(~RuM=U=R`B7h^bKwTX@A}ij_N}n(#mmu8)2AgIjTVScrmTcvVQ0EruA08_Pc% z{p;J5eUmGapn*F0$!`GB0E3^r@l8g0K=6~EYNZ81@RN%e{A8cIdq>Qz zeaY`tnWpb1yzRjgRA~fQyX?G7TpgM!Skfa@l6pfp6EWeJ_$O~m2UPqM07`5a#_I;C@i2MktitguA`ugWEbn>N%1a6%yqE&Y6Mxcl`Wz6%u74dsHXB3IMkaJD}oy z;5CxGY7=%LneED%0df;FlyoTP2nLI2JeFS|K(0VH3>kYBT_gi+Xpq6JNOTcS43)Ua zmZ%zq@@N63PvPJwnHUGspNXeVKo)>mfmanCCCQp%5q=n;Wctk4%V0;q3R5q>W@0b$ z<5vZK(LzZ~m&+80{9wYLO+3|>I3V~rPXND`I8zhR8f47LYat(w=_1iLH4O9cb};!c zN5oGJSq|VqLn*VvB*CH9fYm>p_#5Lok48sg-l~qS;nOwO%wFo`zw}+g@e5QPwe0DR|Tn?Am zkL#tlD_n2#{=sCvdN7$!9ZcpA(C5RzY;o$zw!>%6cJQZ%&z!CK+k?sMK78gZ7^4T1 zd0Y2kv5~F$rNd{=b})AM%vR00;{k@YE@zruK8UK4#||cQ5(_D}@qQCH+oP8cpV{hB z@{z-5&enYMATsBI3tW9O2UnzLxmo)4$O1AqAF8^;URA%;TkWaI--9CMX6e^ZwuAM9 z$ZUpbn&va!_Tm13Yd~>~S%_sD>d5s+{=jb>2pGF0o))j7n{P^qw2t(a+7O zjXqFQ8@wK5tA1%Un_zUkJLw{iewVkWJtOn0j4BbvMq*PR>+P9m)BYBQ`YszF%W zW%#O+5!TiUhgCSNE%9c&YlXF49fAf`kKK5m3Tw+Om_*5)e^UJM@4*=o>4c&s^2#o7 zSK+<~T#f$;J5+H5{xwIlSyI4Q1)<)HZgVugN14)8`ES|v@??vS2h7Xm; z?;`XAlkn+9lr;7PxRl;a&Os$`O}&s`$q(?aT>R0kqR(!5V2rr>R)CxG#BQ~GeYfXk zI`yC)z8{(%z8bg6wf6$pHPzOAh-jE%q9}g79+$UAE!sY4Wuww#Z^>3q$!2un;2yn* zKs*b_q!JU`L_0;9KO6%*#v()_Ja;c>sFFB3zkLbB#f`&u0E37h}RSkW&*GwjDzJVN8l6E@lu z>Jv6ci_#%YnF@EEcqE4~C2`~^{A&6Vzoyn?>tP1xYzHMP-*I!_yLFxvNS$|Xy8A2Zuy!cz(grWw*@+= zOC8DIV!U~_Az^A2t{J9QGK-pKFHu-0upKl^EpxN`qM(r%t)Tf9Yp+wh48qi6-Edem z?V)zY31Cx?q640s9x^^|JsQ^C$KFfOQY9I!JqX@0i0$+Y1d(zZEsR9p_(M+o*h22a zK!IRvQ}S;eqS4?->^At0ZQwM%IZ`(vzn*nUkMa`?orcO(QgDD&;w)RDYUGeg7Hp{Q z%&RFX@c`3f&zl;S*{lX}sqtZeT$JYqcJY;zr%xlu6`87n&$1E)To=Xykn@8Hc{Xv0 zEpY&lXJQ;>QLkXb=-k8MpR#c=sL4w!?6fGbvJfrG)vBz)*%7oVnvtefMKj=yzs8A< z(yB}Vc%W${gM(42oHGrx>t{TxicWD8x)XDt`vczkQ_39Z7<^XkBCg0^a7=`8;!HG^ zDSySxX_d91l_^Xll&P7($zzXEo?$#cVNHUk%Y7Y9pPocQgik8n*E&>vS&18CaAK}= zOGq-77vgBk|KI(d-<~hLqe=(IML^t@#wsr(zPKI^f>!k=ez!4`3E~va+XZs!Xo6JZ zNWF(28rIFiU!x88yd&hA%Wdp&8}A|1%dnu_I}y6YTfG|A9ta*&$(sz0!A%BJW5MGY z`p2DF?8RjUNma(mH>v)zVWAViRW;IYtbhJ4b@v>8?>~cwgLAmTe}Js6=AkBpv~w7a zqL~%oG}EUBV&5(DNbJLN{4UP{x69Kb?5DdK#Sp2~(-Vk!yI$h4Sn}ui0cETVM7>>@ zb@$&Cz=2$EC+JAP<&CFt`9^Rw4g-7KtsH!+$W-i1=J(gd1C!&J%qp#k9&PC|uQ8Oo zpj;5I@`}xVdI9$hnADg3ZpKh>tk)C;CNB>DRTX;5>`4_oeQuz}5<2T-L zJt9Va#`I3Nu>z6GI^5x{6$-){x3L^?UyH-tMUdrAyv)zbGU|HW;SZ;~9{Lc)y~dW$ zMJK#H1MILnvn9Ob*>n}Rg$O52iV}QyNqc%EfD2yvGFB;GI|8oe&ECmtU61^X<>4gv z@LW95e&C^vc<{TPU&SoG=t;d_0oo^Sz}ONF2lPR^I(Pi@* zk^*=2(unPeWj}RR4<0oAKsj||6=SRJjVsWX#Vj+p=6$%|*ERDLtpc_dY1Nvi(F(U3`P%iWzv zsUF38p9LyK>NHHKQ}z0El`r;HlQ{WY9(YJ;;zaj8hs)C`WU1EZN|r&~qp*1%M$#W0 zJptw$_m9Q7;!t1jn|=fDx|4cLkTMQr;p#7wxcG{ElUIchTU+7595%d~coVu~;YiJt zr8xC6i)5#i>~EwyScae?5I5q!aM#aaQB>i2=ss$^>f(rZv~)&0#LsR&MC9mD!@D+G z3rEm)cOvCN)Z`+gEs-4Rnjd!?i@ormKGkDP>p&Pc#9autmwSxbE$PXvUW(NYH$C$m z>9hIKS5}|NJeWve<>MlOX&t_XcKBFj65Y7|&Zu2$csmX62E*Is?oGhY2#3uLte}_9 z(hj&Z^d>Md9Kmt^-gp%r7Hk=HlcT8%KcNzzk%)fMI6w7gs36Sb4NUILNuG;jRKxXv z*aO+TO&!R#V68o`Lg8J=<26?MV?P7|sSoe1yuWNgB}!v=ZFdEr>umLfx52}AG$1op zBo35iT0juslHv<@kMl&o^s{ScTzZS1;%#)#0u0B1gg4IQ9RWeOZ?%@ zMeyeh4Xcf;cSV-qBgQ@BAO9MnEe<;ITM{f!4~y1zI%_vLYq8I*4~|DJ zuisb_DCrEA*N*?Y$5@v}RCbg)FB*99RSa4BbhOH?Kj<_u=}dpse7pfL2b|r22czgm zd|*e$*Sjh(KIM;jyx36<&x;+{{$roZL_M(%U)^pTDdQpd4iu%Kqdd{tEuQGKj#lql zG*F)|H$V6r_JuLzu5cXR@$xOa+&zsNw(!;}v+c_4NU}C_FwJ+WA1f)m*y%A=tx38Q-Q(QOgq{qTz`=`*7B~zUi9`w>LPl$1Te+`c zo5O*tDxAHEWH~$qGQhdB2l{JVN73Oiic`0#dhzrnJo%dH(K)o|2w*3f{T3c@*-3CJ z7lfbT&4IcT7QD}C*MlFhA68#dzeVN+JD8NrPZIAJR-uRI6xMU120UAxRag3Eq;U!d znKNYNv}(`h{FDh+<$_Wd+IHZ_4i-@SAz|vvgQvT{h+_K>~lQ$G|~F zIj$6RON`Z36=yEiU;)Yag`u(^4+-~%=_@Ke6t zmMT1CcVV@G9B+(Gy$OPBCR>|vHu+^FqZ-9e{Q)m#-MUOZUxg~ckWzN-5&lXTdY17S zy#&c?wEJU5K~_ugS;qikV|ikK8T74n>n%R({-^r$S^ERyI{}8tXRQ-H%Wu5v$2BS7 zn8;_Xc10GW=|efnAY&7D|E7dP?zGXw~Gi z6o#GYub9Z5CVbX9uxHrheOW$huRm6LCE2W}gw482vsvr1Y}R_RSzfSNwRSd3eegHz zRcuxZx`P*Cv!?ru_k7{aV6zkyIc(N?YY=nUtn7F6q6W1=I3nv~jvCo588uaufnW`D@RNhYGr6u>#dTRN zlMYDB))z8j@#wJBZq* zBBMtn#x|o3Z1E|E7vds(58Q~D3MOz%y4DmI#ZEc>ndq!ee)lybL}YBKNVHZMZ7Akz zSOI=CgXv`K6;2*}JOp|pwANq|?*{zec^N*945&&Gy|c5qn`R*6g4=U*D>3qDUS1jg?K zlqPjcX(@8Kk|N*HQe+&BP-gRwU!ka5MyMMCctVOqEYE{Tk?#dc-Xe8FNOzGUQ3}*; zp(#Zs&>N8=6*`^iFPoUXPN-YTW28XcuJFY?7eR{b2#oLX$41-}X*U83g}Pm>soUNx zb<2b*AV6+`+-1s;L-o6FO38 zn*!X`pck&jJ1{qt5P2t_pepv2jY50jzHtwXiq2YVB-X%i2~#V5GNvS^G(Q-L-gR!H z$GxTtZ#&)2KBT)7n?L~H)iWn}o3R^_C^+dWoo&pE2o?T@F48|g0$1!5Vkq+F3am!A zu@B`d-Ns%hSSoQPmD||wPHaYr%`8#tT$9)((ke;>oY-`xtxY}0$--IRk$Re_S>V9j zLYJWCOt@yhg9o0vT*+y*)A*^ye4wDMC;gw1eZiAVe5`*W6`@j1 zuNwLBtm|ZRjaXIM>PvqesX{fGH)Q3tTCnGxY=Sp-K^q~6_k#e#*u<0<`lX1Vn)(v} zgf;}jQOGYffrRr*rMZk;AK+gjmH#KF$`8deG~yA3?B zsHOK}uiy>@9<~$5?qJlx&emqx*{8S@Yj*ZpFvDb#P2TGiQ;3<}Mnp_e6R>Wj?C47Nz%{DnS*#<~VcDGy1q5Z~tg$_-wHV;kwbZ{JnU$DFQ z?a%H`026eD-`F20*=QY_TqLC?T^f~VujYUE z>FE-@719~_U*W6T$YTk^3%G|uNMs}uF7jH$>pk`858aqY*nq3 zvwm&rWwO7s)}rZ-)bsuM-w)7L@VJWqRf2gA|C=ifq;mP+yhM}#Mc=S496`nZ>Yip< zYTIf`e;uhd{@2V~nEy4wv+=*V5ZL+O+!SjZv$})YP7a>M2zz+ab*vM3#t@1;$2M(>L=V>86m#c-i%+x}Z zA&?-?t)YZKVU`n>YY&dDDQWD4q>q#6RJ*#A9hh%aNRnIcv}f;|}-EP{P*Hg`cv zt<(^sk;{XaSBKL>9L=@WUk~xE0(uCXW^V3w zvXzASZ5&He%X&CH#B`H9UN3qGO_#6C>LEy%L!iq?6cI-h5lYozC))qSiU`HWDTVI< z>23T7y-iest{tJbj^Gh`dqnXorzb}gzXMbJ9*o}R=pPWM(L7w6vEtDB2ONc!LhnrO zRu0$>se=$^Hb(~mMs^#{aiN1a)~R(6cs-(nfZ^s59fW!6c0>npLFXt>vFtb?H~G-K4=;JmTTYrF}i4d&q{buJ9`MjCIM znZMS#+$;KyaenLsu+;Uy5o~`VT@lHIj)PtGF;H9ZEHcyytBYdlXwzS4*zW)jJM$>~ zo#AlYJq)6}qaN`ua`Gw*jftYwUGHmH;)p{TvaN#E?M|dBoO=^nD^$hR8DrK4xHdr! zSN0dMVWE-&8b{n+WJ>2J`<|`BheGydzk=3Brxq|bn~hXdqAV}IXJsv5Wv28Uw;+qL z-WQAJS(Ir#w2@G%XsSC3tD^5Opo%^a7~kuA)>L@xP8sM54DXdDdXF}|ScH$h7&Xx} zD?8Dqi5@PR=%MLyV|T9gzQ<=A@Rju9Dy8wg#_sfmQsz8M4}F^NSpC0ovhi^e=-gn=#HK@$hxDXgu zMi<;(VO8PlUGEDoaT@DjPN57b)GUC3L-0boDTVPKR*O%WMfjY{^9?DKCD~V4shGiD zk0;-PdYrPLU06n}bIpDe57Z39Y=09h$!NB}I(Wj%G}~W5vwb>N!!lxdv;=0f&mi;5 zwDnq$%uk}COR7-gqN>qz*YW`n^+J@^VPEwsL0=v`%4Gj;xanYpis@LY+<1#TAx-wT9_L)45W5U2O4WMsd{|P#LJx}3N@pj$ zS8O`^w-S~egU`7RD_szaNsA{U)^4w_Y{pbTePzlJ`}65Q4i*5Hf$8r!5PJFa5qf!q zULK*BivEC(9-)`Pe_DEp^DYG6Fs6gYwAmz_mDLHTHu(Qi2Jq%niy zkxVNF2sPk7?S>4L)ok3SWt8jV0eeR@)BywXe?eP{`}{gvwQ--8U9QD_(vJb$XV&q+ z6s^fK9^Q`u3~bhqVfBFh7*-F&j{*0(AbA-g(k_6V>=F!|9XCO^9QI42Be zX)!JQs9LKZiy`$|t_<16fp*KCA^Q~zU4JdepZ3wml=6JOjltttlG`OD*B>^095CWa zo`+PTjlDZ5t(1#g(ruC4txBGMO9c6@N{_KIv8&SKY|R(vVFzOq=a-0K%yx+LFxa3t z-$Hxx3W)N@VeTITszv*6kVI3QzdoP%#0 zw?fOKg!-@HDaW2{lyaQdS-l?Gr}PQYP-k>HJt=n5l+4(;W*eT2lYiH5Gu>W*+P_M;(cUSmSwdC0p|)BR<2Dw%UJ?Y zLLI4}5i1|pi9#lGt`S+*!$J~GBQo?16WBB&GZ#m`6NR9BfKC*W_t3TrlBJ*%1yht0 z#UB9`ohYD;v79JC){b=ox1EWd%86pDI8nfxCCAxi2DLI4{p+=l(#9;#ea^R_K9C?H z93{HDQl+V^$ZRUjtm+})qzNotC_v{5x=<+H1KlWeq#2(XFJRU{=z0CBT$`~Y^!%W{ zahxbe==l+Pu07+#5$*^*hi}~xdaice|J(Fj^da!k$km6un`O?cR_EzMXb0^<@HR1I z2GcEO&3!a;=q{%*GadCrDY6$FHu(s@v(Ia6!sdn(w{FW8eRIAo`VMSXTbRGrxz=l} z0nZK=eG^%9uK}Mw=+mJTX@^oIL#4>_;`C+teEDKWJr3EJSe`yLs}$+3pieWDBJDU{ zgEcYq3EG``iDJQ@#~$tOT_VS6Ulgsy^ex;W>3`b01PlzEn@z<>K{t@!gZD5q6tpUS z7#UENI%0beFS)Jgvi;a|dQQV~J1cAr0W5MDfbs~s0F!A2D77PWf(FD{KWKC{((Xn) zEyQMkYsj(ah_DHJ;Tn>t#Zjv18gf5EPpNoXZaIPQGoaRi+VMSrD|;fR6eREa!&?yO zK@=ogT#+~cq92BJBDYt3L-vAw_lNf?_ISL0)tS2=@dDM@me`H$rsvKgZO zem#5s?>mR^RMB(}`4f?q%h&HkcM<)A&LNw1Fp2ec=V(*gQP4R$FH!OJs3z+ivK}}3 zY3*Y^U(a=t;~cU+WaWJlCwk(3Eov?8z&=?yhpZ2NJm-+?g0v}Q2IC;I84e;#ta1B? zIEZBXfRVJEM6zFPdL1kNE_6AFy)zwhL2i7*XGs54e8aeG7iN4zIp93FrjJe@*e|pm zX9cK}XtJouPK^_byOHo~LGVM^RUr7`bv|kf>hZq=(K*tUu}hzFV1MwH9KawPe? zKw{|FObbH!xgMq7qa^NomLG#@Rsf~W!~^i`H>PiZHy}M`rb7?&ae^X>8W9*W!^ss; zhewf&$`#3fAe*;<0$gcezJ@#oqAEX{XI5dxL_{d`%^>6AMKZ9Mh-t*?0fZ(ASFw6( zjm5dKdVn=(U?6%5rmV1InQ8*%G})7V=mAmX4S^xUi} zgs@B%;scMCFlSlUS%`G{iwipn<%dw5<1|OxFJI7j67r@}v z*og5z<$hBO0NVvbr?Va9Qb%Av%`L#;L0oSyPG9Y#QCmI!SFD{URw}$*pro~$*VT<_$L62F3R&rcVN4AIf!Dwl@ic zO{Nb}aM=0pX}@(LMvtojJ5M;N0ak(n@FgwlZtj1x2Zo8dIe$y#?AD zlfp872q*PTGTZ`7``&e4 z+=jB|9h?xa>2^Cmf|gDxRG&mkcoBu_4OCK!)(D|8Ol_*acX1W+WCIM^lryOd(6pJM zpf>dwWI$Dl_zuB~tqEPQpej}3?&`b&;|)c1sWt^Aw&_jvEd;jExNQihlZkT^R4P+X zH0#2U4IT*>hCOKBq`bRz@W|KwxGzR)Oy4m><9<$SOmPJPqv_yRf=8-oItcx0s!ZQ8 zL*woal&oOThLuQU&<2!3@JJm@2dx4NLU~PC*X&MUfpK)tGO>P(+51AO%G9-}fl5+y+)hW}6vj_gi=tqWaVUZe zLXnw0gnK7c_CgBIR1W~kskDu1P{x3?ue4gK?3otoF5J<6Ka3j)Vf`js&t}=MD0i%UVdNWlzF(|pwI{UIX_BpAQ!|f$% zRLpoqhzXN7D&{Z-RJ0;x!GHjeAf*TbiI`cI`cjCxBH_!+Q*|KW+P`cd^t?7lvVnp+&eAs&ew*Yu#&hAinjQOvHs)_bFQDa@5A%V$9l7jV%PEt=tiEVLS0<60)O|JA%7?xa*-8j_fVP zkiQv&KhZscn_~Et5eN&}3r%h3nk1gwYc_kFXqo$mJ$Q18rWlkkr=l5O6JF}^zoU6H ze!Rx|K%^7U&NadE@Jbx&Zb`etD@*zJ)A(WZ&4z^ZWQCM~VB3?CUTtzFlE-4tha?Gw z?KJkHx*Y+a5&yZjRqd7rK!!Bi+ba9z>Ak!F(GkS8pk`jCR{h$CRY9kmEy0x+04&Jvp0$zKj)~JLYe0zKi2OM3vX5^wh-YrGJ z5kSt{XG`bP!|}XIJp-B=bRjrg*;{-M&+6oUrmrb8PR%jjqia1)WrYzQuGB z>FYPt^Zz#bW{VeQkLTdWmZq7vxDdA-eE_W8TdKIrDCSKriISN5;1eWnnD#{v^t&Jm zdILCQbb&(atBMj}%&4RmMZ#vKNT545{TKqy1erIU{VgN&25kbEH=O2K%-eF_NzH4P zyhaOXywV-?@b0`D$+_WBTXAl2;oRbUCFi!Bah)2Lklz6?VB6Z=;RM*WcCu|9WZT-I z5I`h)Fj?5B;p{NI3kfOSS4qeC%d-5M*XVdzv23e(r@|<2$!dJ^WtQMA_-?ixZ}n=6 zU7H3zEqx76&l>g%pLV<%`rcUOcDCWp_?6}9Pl7v3pKUT_%l!>)UNv7ftx(Y_tb>15dDVHZ zimoICO`igneWO4+iJPRG%p2ohnq>E>8aLja>c?l^&A6 zYe!>>zuScA3;u4g;_s$`zgrzT!yi+ZW(Ml4OEY=R=Lm!|%d&SECQQiv!rpz}V(*5G zmr)Y(_wK3k3}9-|gW#AP{Abk&av!E16^^zV6&vT*>6#tJcO0kMxWC`4%F{JwU$flb z|ItaOAt{gh`;JZ`b-YT0XK&vUh;v|tRH&d*%-}TK#|UO{iDCv$0YfPicT zGx#^GDUuBl;8cWyRn+3)76nlvivBS{E??ZA7K81Rvn$-8CWl~Y@PhBTjaD~K-u>!! z^;+BQ>H#NoXK*mqyx@HBg8BDL=GyDKvbG8{I`erycoy}>tI*Bh%_7_4@;vy8GpZsy zsB&dn+npl;Cr_r;ksW}>QQmd{{bm6RhrgQ^4xOZq(`gxD;I0$IZUA+JOZsSaorKXs z$rk1Zl!3q|E2D-^EQIM;MUT7TBIqbUH&~=CwC$lxsTPa?UGx`u?tnw z>XW5jCC9#qZ?YSgcw)W_7F-OhM&cfqr#%4Gu3X8v6Q7{g=ybC<2Dlv|BggrBdGReK zLA#sR&^Y{SHiI_Sj$e-XDC*lXudyQi?SMoD6}mXg2O&1{AIMu9yE{`m{#`E|)5<;0 z&<6b9g~Z^A{4%-Lc&oPLy5oGMr3KY6PCydn@FV*iPiD#;3VHi`JfnrGT;jJb9*bner&l8YU zyjJsMPYF1py~W@_YJjTB?6UDsUhEF9a`e6xZW)m-Yi7e>hG^44d!Z!Fhe#*ZY%+GQ zN#ed7P&~w`fQb}>S8W^TY*iK=Es33#&I5Abg7`1Iuc(_`mfs0S0a8mJolBR}d;o&8 z+;Bu&0LRr{mXnxeB<4cHw6Ann63AOs&LNc+A*@odcdBM(YX9qW$J(|;m* zy8ZYZdb&ox9)zB5(8-#fF4eCE=;;qs(h+((NP2oxWtN^^p`Je;Jv~KNREVCI>9l_I zbjxw7b(5a%(C?(Do$C1rJWp|KlExX?=tRr>|O5+IM zO?GoJ)v;y(0*K$)SVjS-g#A)RxcXdwr8Y2=odG)>&2N{R%xPO1nsZ)t7RM%qkl(_c zg6A^1(gG9?$?x>q=h>PBdK$W=qTMVX^9jk$Z+-_2M^9bb+fKYRr7np*vvN*6w?aiYVt(>ZO z&)?|R3-GFW&x4o!h0Z)wm5u7xKCq9bP`-m)r&buKwz29iQ5ls8cUw=^`64$b4vlbx z6z&4UT4Q5a6KZ0@=3qNIXiDsHh~;2b=>tH0j(dS(R=aDBj@t1F%ukb9eI@;EpRpSu z?7^(YLw08MW-zOpyf`KSvw9nt)!R&Fbqkr*S8GeU$*gW7vw9nt)h%FFP1!bkPGe(M zx70dc@fxq7MqyTmS$73X*MnJQ5NaE| zB5VSijltvH8Cw(Z-OOyIupmH$A~%FC`C@T{>2@x3>tY7eS~3Zc)MdNf>ngs%07E$# zOm{`Gt*RX&sauKG(2@~0Fc!aYJytI_X$X|cWZdQU zGLf@MU6%@6vB}Mir)N_x(HOD?6A-W*V*Xz68vGC+||q;aEO&);u*zh z`Ol02Scs8jfNf>z!GBw-Xp`G>9T`xoAR#SM>4#t#ZWQ!p@v$Y9{2t#hetPy}Bb=+9 zPx5aE`m%3WF_K`SV!5ch$eg)~fXBA0eRzT5#U&xkUXd9|x2x$? zI_*fSyXIj@n(oj0ETb)>sFAj_VacRzZ0t2#N~4ma&A42z!bj{8N8V}SPp>NlQFjKM zus#7R{FUvgk|lEu6~>5RJJqmcP81u}`{Geynv+{H=Yz3k-KUh#6H9Kz4#VT0QE473 zh;KWmbJ8T+mif95mz7DjWhzAy39P62GvAgu8y}wHAB58C>B$TLkv_&%Dj)d9n*sc3 zQw7XVaJMQ35I0KtfIYlVi0^8kCS|np7=UP-rGi4m!ZwK;Rx2{WF}Q*iv4h-LoV&Hu z$ZzB4onrS3bRjPqf07sfOXbBUy*kQ^HT>I8@}i@>*qqLVLXvIVcSTYXdGWYNB6%@` zKgZ?8bpGLJ<;D2|=6_FKnAUXBxN_m!O3DS+bOz-DDqa>z^q=-)|L@z6U4o9`OFOA_ zBIbC$RP$by+tG?*#;dUl1RFw^Ji1P z#g2l7A%xZ>z_N4u74h9 za)&%}X@=}<2?Xmyr%Rx>#1iP=#wE~;v;=D9OCS{fK}(<%co)$U2#AsN!7Xn|mq2Np zI?0wmyQ4iIyi+4dRGQTyiGz^*<#V6k}7B|U@HT>I8@*=6c_~;N93Q3kgD@9Tgd9g?& zk-RA2&vAKi8~<>$@*-Qn{4dE1)A}bB(}6IqPW$|)_0RuH{;0ShdDRzoYO6HVj0MWV=9z86B8QC_vNRPem9mp>(%7c7di~A1iOO-jHSeJhv>v zKK3E3S(e$n=PXOth*-3cLv zEuRTu%V$G;%cmjv*~AoFpea542ULJH&t(JzPxdD1kuUK;8&an+$96YJ(mzHLNsxp^ zOJ>ONTmz9SvR@}$m_1EMkJ!-!NI?sS<)vJ2#B#l+=Q;tOi({V)#b>VX3`g3mSlUdH z)|Kjm4PlITx`U5=8sYO9D}A9T9f1cxBeve)oJs!J{Ai=k;lFza*s5Z$#Lv9+muc~Y z7m8ztm)eSu#4p;z3*E3MIi)xM!%jf%gfAun+HG#ZnrN2^M{kQ7 zv4y<}OG9|9f2L>?(!*C63^k_xYWQPzEw7gTB(MIL$*Y3B`nbB4JtfF1M=W`)Nd8|h zuWtQDr`Rp*36khmU6{t@l|7d05UDQBxX}N?%2< zIkk^4XOnY{Q`=1k2H9yu#0TZ45gXuJBf?71X~Y_KjSWAHxE+o)Yi0OM;b1&DHP*n< zACJxq__*M?(aDafz#TEKk%cNC)oM&!uYxjG&QBxauuUy_HP+&j5`~XrEqOI==I3Dy zzL7Df#)eDDLC6cAMqC+1K8-BX+k=AWKkFard6?7xI>}E zu|kiDLQK~<+Q4;K3p-vgtYp!NwQaEu05r8qZBrR2DjL)PbA}XB;zvfx>&A!J(nH15_GaNW4X@I zbCHbO9nz}^u_r^<8dr!LW7#%{Y^Wg@ZSchVGv*)}>rXU@?{vn##P`S&dF;Me4fl!~ zB5#rk=lu*fjWQK34GK5c;GA58Yl5FN)8M{=28XlDq`@IBXIz7WQ=ZV^8gXn9F~;H= zTm{$Qa9wE?)8KG_aYqd<2jXI}62L;!q`!&y6aLfP%)j z)Y6(PqSA#dwmj~6Fu+exxe$yi4m83oNU)<@E*#3tr9NXN2qb5KQSptJ&> z+d;6QcWUffA-Yn?GX~OU2Sf;qDWA&@5V{cD_hk!c=0WUI3QGGhWFN*+eMMt0aXwH# z*LOF4#y45Nl;~!8ek<^>c!#nSeuL3Gm`UJO<>`%V=~Q3jB0SmHQ#Soo(x?g`NVwHn zuykS7ZA(Wf!!bgHnCCHS{HOshkT@34IePqh3Q_atU5qKi4}pR3`lxul3$Mt=mL$XV z{1l|-w>(2RNfbRujaPglnZkn9pa2aCspKpU^*oV*Y*^t(@hwmLoTAi7r9j<+ty*~{ zVWwz@=`trDt@YM0*FT%q6!|qd@?pV9k;=IbG#z4AG`PXC7&SpXMom?Qlet0nS8xjq z&3TAX6Oy1r9M|g-oU4(S|LxpLenf>`M{2 z^)t*idZB>O^IO>|=6H~r+?|ZT>=g5P{2A!vq6u9FsXb+ubs+=`*;SEe8?ByI+YK7M27#3 z@*!(`Tt4*S&p%K;?A*p7C6NznMN$&^uuLS8d??}1|KsF?X+8B&TzSaaCe~AQdL#?7 z#q&$1JdiM_i)kt10^+R<%0PSp#D|AygM8xg6O@c<*ZfA(AY2(iU4y{1&LS6_L8YKU zAf7=jmMGo{I4NcdL6C!m40@SV1`0sn;sFS_{(z%16*Rd+cc2x8ZvJ)3Y8~#Z#nF@b zZA@P{k>75CX$u!L)TKv;;IA!mI+iM2HKY@6v|O*9n~xlifKIpy23u=_V;tcZlN}c^~l$8krHGg#iiC_JyMYc zV*j}H2$og5W9t#E8q9i=c{MVcI6nvH^(u5>qC~6p#R%OqIlr330gj1vT#MX}uWTt& zhedz>j>z?J76r!MbLe}Ag-9J1BFL0OVun5aoc{8Kh*lk2hfqx&)eI(eJojUGFo`o* zA18hNX=}Fhupma}^GNWgy~aM~i}ec^a;@rfAUH@dbMoo7f2%#Hc_(7PaaOZD0IemObvlJJFf? zS)^@|+w-)wE?mDsaVmSk!XcHiZ6BNuat;0v;=UJ_(R!%ot)2n+axp9=ZtEAG^YaFO z4wX8B%QyJi3M&#_cHFE#Uc-EVv75LJ7K-ul8&|@vfX8d0;31njy>TTICb}J1`|9hG zp5h%8xHJWPF#AHimfrp}*QZ2zOkQbr_5`GIK@F*dB4qQ(A%pOw#13I9_KG=oQ!J_D zbtohJX&D^K6|%aWc%Jo{L{>l4uy-@7;R1YT%M$vIGoxu6`S*b$TRndTB9-ikDInqB z0~cIqtM0NYf#rC{xyz>5xl4rS5a%wVICmMl*B3i(?A+y-YBkPXuBJ*UU(|W|Pzhn7N;(FvFwjMzT zu|1teJw|wtZR{|6<~9;nh*cr%neL40NG~e12^ugihNPVx_`w93MH3r7Y&`<4o%CF8 zK_pB2MOcq;rGWx{(|QD3Y|Nm!kD_XcB}KxDWP+@&O^rBU_0pFlgP`dGD4F?bQL;8Y zayI_jB3bc835(?DqezbCWr?_X;spq7{pN`a_!3~s4oF|DOZatUP#7L>U;<$qo9gHD zmrZy<7B=~GR_;!o^<`G>2LAGUUXCjdi|}Hs)1P(*%N0{u6ILDj;U&Y60}05lM%{+^ z4|fb$&6JEis%-RK7O%Xqwp z3RhtC+)}t=GyP&N;yt{J8{MiY-ov}`8{N=k!vY02y73Q)C?`~mQF=X40Cv{vB4jgr z5=#`RWH1zq7+quA8D>?(i`e9(YH+NfYAof@AWCqpf>?b)rPxZUMhQ>50N-_0gThm! z-Oj&5l(1~m`71=pb?hmILu`RUXXDY6Lg&z0Hbg7-o^Cx{5lvOAb7{lzB$K+Kegek( zlme(mddP1Hg#+BMF@(Fz;8cZ3WVmOJIGB09==#Qb5j=)gDoo#4YtT1phzps%5kn8; z;_J597pyt>7rt#`x}VHH#?5qIu|8P`#ui)1OPPpqsp~UcE!9~7;SnMR9$@@hI}w(l zp6+Q&XTcbRh(XlQon-L9m3A=^L;IGvP5}IjsI0JfG~rS_vUuEp54wb@BrR_=+cYr< znFc0gDiehawH#_%uN;bzr(vte+ZefxK4QOw_CY4=vSu)S)WiFe$Z0^-gIer=?1)^5 ztrGe@7k}W5#LXf5^uYeKRl@2>H`LKw(a+<;dS+8|1qLixIGQVL-cy<@;OC~{&7XGO zhs4QTXHeOfli8O_mPJ>oJVnAV9CgEe#SO8nklRSGee*jzPWK405Y-Hv$-P0(=+le{gfNb53i8< z0kWPf`Y1)h^oN)q=pf;&JK`Y?U^S2)p>vOPh%l3xB`(Ar1|P8TK1xT5Ct!G<#uLs& z0vnzz!Ha~0Yjp`x$DX+Hafm-{Eqg`&TvU=YkO2kO$4x*y+@3$}Sq|xQ%zJRz!KCHG zngOGVx|`d|uw5~b!UJwQ1Al^bxv>3u+<_CDd=U4RMQ+3F18;B4J_h}E zvp)N*p^4wD-)?17&zi)k2lbmQ?$B>xafg1pRiAU9-y)7MO*UJF(H;6NjP54=b}d=m z0n4o43bXs8kY%u)U_Q1;r9ZSdCVYBZrJ{`e^(Jx=+cf&K{4Am z+2D9CKg*>XX5cHrg=5_G9{UnAxRYdbX)OeUMd2APGpm;-pijl-t2+!vbIez@ zG+#~G6n+8?NIqY&Fg)h@itNU0zA~7;-qNvNZkV@l2(^=WD`^~_&dla)-tr{OTVyZF zgWGp(-YR~dk2@W?*t|8JCy>LEdEOe$6EN|4AH8ipX8qCc)yFH?#Xh)8ON>hxU@1H_q1l07Ipcbt|5#{ z8>A8^FafQklB=yX^rx6K|P9{iW4Uj@{ zNa;x->8;z1Za21$PKt(CgMswc)yE;x$n4lU9jB!UkRBmOWd=x_yrI+pIqOP?GsEj_jjg;!;v{?Mu+HXt#6C`B+V1b?R$-h{vX=jAJE@DQH*+usKJfp!%!2O7!t`r zZdf2k+uAma?1>6RJufLn=A0l2!GRdY&(wlZ)$QqOd%Cx$%fDbT^_kk1R(Kiy_Mdl& zqE-OSwm$birW9c(;laJ@gxpYK-gv&oU7r*Dx?+iQ)riZfB$SH}a$Q+e>7%GpI z5ypfKEhIQLC&#g|sqHpzZ(u{~F(wy)(3K1!myVFMppATkI8r`=)YCqK3p6f;AfsnI zUML<3yR*e}G6Zkt2!2>cv>JaqI!r1dG;?Z+RwwHM(31%~7g0n!bz5dd*cyfT2faF; z^=hu(tI~p-7G&}H_iRNn|eSi;>&C4L1cL3pKxl$>ajezk7D3HQNZYG}I@?0B}zNvuFk(Yak z@kU?_{Jf|R@8NXJgBVW?(%d+ta-?;HlqMiOn*hm2kS;bry6e~a*h(@oZOKOFOhf6T z9+`hfVLmd4oJk||X%f_orcJ<%(ey0L(t2-8B@}4`Z)8bHWt6lKC7X1KAO(`QKeem& z-^67LgLF`bluR;NlePaTL+PV#e|=0c4as8dAF8*X)KAuawU4p=AUc?{%D#@_j3(H^b@oaY)JgU5AvE?<)+D7VD6b^?Q11~XwN zR3vAU5}25&*f$hjqaf~B_Bw1nNJ`76inR`ymNw7n6T`D=*1;Lly=e=d>S387&9jYx zdjksYo3&rD*GcNHQAL&Q5;Um-?`YCwLZdda{aDpIAbGuen-l8&0v~w2ZLBT)To7w- zE!tb71nvXcA5(ijRq)G);277tAbGvtB@T*+7!GYhkt1x-JVMZteS8pal9m9HU$?Lr@vEFH-UT_yvxeeUqL{jJ6bq-RE z+|`%fj}doWzA!0wy?!u(yI#NtCW6fOCn)pxSfGVcND5qA(}sdneOh6XDGuB)pWyy8;rnaVfMysthy6BE5f^afV%tV36 z#cFH1_~?&)JQD$*8sQKciW}irF=@4)#pj=k(kbCBHclNQ(u592nlPfcI7xb88s*ZS zxSg^*WypeVoWzr^r=$m25?DujA{Xy!+eoSOIr>)M1h=UQ<(X_KZop$02Q!kg7%EBDuJ2F%z&oYRz)Y0~!nJd{sj?sfwf#GE$M^ z@+>u!<+kKmmh&v-l;yJIS(frF%P7mK$+IlxS(Z?iHfmhQHv4#%GRpFC@+>oXmbsMW zwd7fX#NXNzHN@PDMHWL3HWCCro(af3$$?Dc_1sQbu1KCGmuFEZ3-x4@24(UrgD6W{ z@+=mfC7rTtr{|7jA#)oV)JhHF=ZrdvAD(41W#RXX7-iVFX{^Cl+#dFc8shXAH;JcR zA7(dTnz(FSE>Ba!)JQW2jvL0)MuyMRvDU_A@oz)J)Z!#98AhMACr08Y{4Jquu5KnT zJ~#ZSNK0VnEM9yl6Oo(SQG?YSy`8s^tzvN29y`4}HJC^DBP9nVtWvZbe_ zN2Vshd^v|pmaNE_BBI+?t__#<{=#*op5SU_5(OU3Y4?KC?h za{BhB8PeY149Sx^L;55LYsSWq^iI&5p}>*Mx*_zBYi(#d6JL>MkEB2$D==V`%YS8hwR0)@+=3g@%Te(>iY%ac zmq@FI)8#)6O0|=^$D$t2((=o-{CBkcpqBrlmj8;kFKjl*7Og>==YV>6s8qV;=fojX z;BGb@z7U&on&H>$*6wb^fotsXZYIApZM}9twtp@K6y%kqRRdkoYPJ2=!ai#IgY$Z- zm5u0~2A98|5_ll$wy!Vhfjm7UsX0Nl_1404we`VyRyEiNyPvdbW$xE7y{%WHr%4N* z9|}bSWE=gx50>BPF1ScYrD?|j@uFpLbvV@DVySj6JB1nwtKMM6U$h)vZ&Im`CA>lu z$SY`YYx&DT2+$ycQ-mVRWAQ9R4a!>We20F*Bay^vx(zh}K5eX%6roDsfBQ)cMt_#h zssW2G5mmXhz+BKAP7J=#cW3~7S$%Fl`$oO=M?lx<&#`i7&T{ja`eNv4LzjZd693s|Kp!-%5fw?K*DzVz@2B6KK)ekvP@ws?mYc z0-~N^s6E6`z~!D8YT>`)5nt|#e|d_3xi9`jC0e_B6yDiRGHlT`|7?SW+xa4TV2P658d{>V;al>?+0-jjTCwYjfey1U zP`Nu^O<%mujJ1%k#mk#&G03}~tSpyR3Gz7WcXD` zTh{CkV#ri-WCnI1?&U0@V>gE907#6uSI2Y3h?}q1l7+}#oMBJI6T0xk4z)ZNFK;4l zIMZ0mOYvN>TK0meSzCskfaPHRD{y=&CHcWCyag3DHb}7@8$r7Ajz(Vkt0=Rzej4ik{2WcB{7s zc+gL&pcK$1-&sdM%9nTf((MKo)oA)M4B@4hS zYV<}pYKy5kzt5}<<&dS&6I$iQlO3ZkH#P|b<5%AC~>n$n8#nX%B* zNb(oG60O#XUQwgOMbS7HMZ4vqo`t-7hn$hXro=@g<+P_}Lcuf2x&g>)kad2Rfp9t< z?VxbSd3-^$qF4&MnGnlR@0Ul{gW@E!QD34oo^`qjL&EKp?kgCA3K)q?HXt)2ZVh{Y z9{y`QfXX1SwCE;06LlELfo%9-nqH8UDiriw|08hV=7uI7L=N zXYN1|jtQ}y$C=oOmr)>rI_=#yLRP<{4G!c3nZVkej*BZ8^rZZ;2lJmC{UA&xO1jg0 zE*lo5b`V2W55bjvIGz9=9Hg&ggw>$IAx-=Qon+`1W*ztfntVc2R(9Kz$QaZ?lOGWV z;-bR>x{N_h+Jv!$YxF%(BVAgw1yx1H%WNVY7a0AxBQW4WTt8hOv9nHg@lKXXbE(kV zRAb~!mh!ru@^_YksW8g;<6gYt5KMGUc;DBU9*wJFgO2vHhj)Ax1gfdyJ1a0(6rfqZ z^8(##ag+XJ)<&}g+)KNJTL7~dBGl7Ty*>2xY{K2sHvx^JCd3hiKFe0^oi*d%tgKYB z4pd4ge^llJ4MtC+`QQctcTSgZ4IMC46mWJ~pnG~+5)i4M#XI(EVqv3pZ=wi;8+pm} z%|v5OfcXpgICl-EOEb%@e=yT&xPUwH$Dz0TKHkb6-J=)&HgOnqPt?Ev-i-A_qB_#g zyP-x`L>(c(O#1%E5w%YOdY{eY)M$gAe{|?|Pl~0_nMpBI)D`HmE)y?ZDvI3j-H&Vb z2??ltbuVvrYS*a5ZG5kb0vj-CcTOk6AXP2o`^R+YbIj0fU9%~*MLa-J-n#{ zkF-FoD6kXiXXj1r9`ir{&W!ne0xlWuJBMq)JXaK$a-;<$QQ*8T3v`e9t|ey7-@KcX za1#{ME-*JvG$o?QQntBeu#}kOX7{MvoPf%C08M*Vu zc8~e<&zOlgOTb;!C0s-I%c8*4BQ20A3jCtW0^MUCdfJS6`ENK0KZ5DKbBY)+Umyy+ zc%%g;ivqK|EYLmX=O_QOQKud7{X3 z`#K@4F#Te~nXJEgS_|z!yVT1j6{WfS10!iGCxK-Wx1U`Jh@B*Ap>va+li7sW&R_Ai zq;`hb5$=SqT4bitV*>8q*-k)bH0qxAGZNrlEZ|=ABjNT-fcr%OrvvWnJyO#BT@18+&#CmjyIul zn`xix#AS?t&IOy&ZMFL$G=^lI6 zLNo185^yg*a_o0b6XQP-6(}L(*3sD%^9@X+Nv9ZZIvG~qOw{^Jy?39eG_dE0Nj63l zxv|S4-IMG@znNq^Z{h8?qCH30d#{Ni>(R!}i*%2Q|4}n4Hwn1p2A&+Q)`p3JCIyl# zT}=$b5)^`APz%U&Z84%puEq=Z zUsd-EgYzoIz#|t@xX95VmG5N#%|iyr{%eP1Dg|}YDqG%C_Y-GrGape~KFhpDD&31p)b>-Qk_(VWUX3M_MfN*}^pXAUA?bPk5kus_ zsXeuI6+3&`zbKxj6wo1ZKG!E4JY;4z1LM2HwOvx-=Ug zLGGqFK$Le|_vdLQu=8GFtsscN#Pc9kJ;a694U+wyAz5TsM`2pjAnqvy>L4e%5`wRbLODUX+A##S7v{49(y{Au*`bildY(Ah zspc#fDjg&$I&p@qO-wDVExyDRD7L9>(~6yR#Z`6{LYwf7AMCW@(798(vL2_KC|)nU zOsv5DgPxN^{l2im8zg!~!1ha*W*Z`FHxKC(o&v^0_;g8YZP}~_GvRLQYzIF&7Oj+{6%PCQSz*K=4qRuO z80gajk)UCE!9BzWWa(bpuo+`3+q2FP@w9<@5t-a6~6$SE^APgDw81Cwmv5POBB zjsu>k()P>oWq=Z`L?EbW&|Wbs68OFm26_G3o zlI$o$s5)uIvvmI&HXu{IHecQ*8@^0^K#y+QgT6%i;uU!;9-D9arbF;Px#uotV9Gv} z86qwC7|XtDXFctSA|~BL|B%mvFagNX9jkKjY5qD3Qo?JoHqhSzDf~9x{WCMwszd7G z9BDxaIkjfDf55kvCMvt#DXktqP4SPE{c|SyCk=RuY7bcylw65rl`(ZC@itFyyd3Gz zCZ?k%kshS<2Rl#CbR(U-yG-z@VI01X%8yD+C)BhJp#n-gEin=DMid@L>E8nx#gCQy z>WR~M#6*4iRnZq4u2%2??5m0!ij*b9_}M8x(b8^p=V6PpL=eTtH)0xO0(^FeFP$EN@|);ziHnx`swwELAVm5 zoKoZWRWC8Lr44Ju{z=wSGmh(r13 zCCF97b4hQGWaEZJLJXTr3Cv$bhSkWRL!w-9I5P;2Ph?w>1kSg1WVl6SSk)<<(+SQ< zWK$3Kl@>-o67_I@Y0*1)Fq0S`IEf*EDH>NML}%Wn6a&G3i&4%Qw(%e%_(~M9ff|C~ zZml-7=o(a43npS|Ze0I`bWS5mUVJ5z|CW#}feL6lrO%}FM-$W0I*~q!((gpNdU#~v znd;$7=AGI}E5b97OMWL8D8f@-R=*$YlpLR7rg)wjC%3#ujO;lcA12S1TN-fZ3N9tv z-rt$A1!+`@H=nv^E(J$b>oVo$@iQ^kIJd~!hqC%rx;%d^^7)+FXY%|H@RWi`*GT>h zSGEpNt9CjwKBt0o&v7n-U}sWEbz80s%J?i5;WT;Uc6oj!UZSW}g3xQXQAaA8ej#ghqLT(NdU2xbl>H2pWirSZN&wJjcb7paN-ju zBmID^?!c(nF+k2ZC~M!!^FI`yK>k1m%U&1E9+#gtY)r}}@|AB>NA4Lc76sWDeJyQ`|(sRSg zT%zS_OcglSo{p1o?Gb05e=?58&K!^v&C@ozv%kPaR>h}`t+Gj3dJ6P#p5(G0D*VcA z|JW^E`LW_3=CZcA3K~MsZm=Rm7j9LU;nZeK!=HOFrp?{z(9pZ0Qxns2f}JLn4+EmH zRIE_-^OfqJnb5}}E4+wMjAL9pkOK5^#H~H7_|sP3(gSiciXKoj=Teuy2Za#Jlvemi z^TvsOQoLUTkE|H~z_Jt%bnX{zk@RJ$q;9`>2xDO4T><%PwKYpx;DLf!?OY0JEaE5l zT5rIIR=gwHnCy3EhKn)jmgnMKE(dfb0aGzxgOrGQoWs@Kib)t1e}jTyNHJ*J&r`BLA(_+>URtH(yAqNKZ=U=sO3p)awexj+w73I# zuZ!B5N(^ZrBa6T2b(i0Z`{-K{ccL}44h6ykSgGZr)N;KPhOdB~&I&F!7MxB6w?aMB za}#NBi)NnRX$g(2Jsf3+2acRC$Yf zL&d?Mv0^b_8q37!&*(fsnhm5R31)4O&wAyCm|&Q*3v13I4exLhn6A5U-W^o<#-}3YU)f{Gu#JmRO@n^*U&^&iO-W~;VLETR!7DfC$1-&Uu%KfK`{PY6oN93 zFWY^1#1g~i%&4T>#IShCBGohn$*`zxg02M>ct^T3<2?)p0LZ0Os2C_rx|`G5QdlQWwS|v6GT=>K z0Dl>@;lR%{0}n>h(2G6*&qqAf@G9gx0)#(!78>OB)J_DIp5!XX9{_`K@kxrcO3AKd z^(c~~$*t`QHB?(Ik!)B|VRF~3@93acpXcj6lNh{W)LP+d@F47JLy{E zOGv#OLF(lNq*=j^-a}gDdxvprDz*t%W2JA29FJK3^wOVRddRDD@tZhSxt7RBYGRtM z3W`*7JS}yU#d~6|w4&PH>dC61lEBAl&7*-oNRd`|Q31@xhW(cLd%#vO78gOC^+KIRFn6xf;#!VRn2Gt2 zGv{k(&W>hvJqVlW8K`JqhPJiwT@M?aqkJz=!d}Jy#O>gFb#+tr$BD z9W|=T8SIVC6v)vpnTQBw{=Ge_w&EPjtISncrJ|%M52?x>hTdVI2*QA>vBU8mOSe_! zj<86p{I8>ufD8~lr3Jk)!SVq)&2l3Kq}%^?6TrFsf2Y$o09J;d^1f)Myo#nwwXG-C zA5C=M^u36?(0k4miuTkbEV|s|c~Mj~z8)s8n(@Cy&`X@>a9F0EYZdA9$n8;r|O^kC}RzQt&q6h&tR_yGz>~IthaeH7!$8o?um^ zFvx1rQj4b-i)(fnI)J>y!V)slHk}PEa@jvA8uqAji9E`2Lez7%U?FdBMSa_cMWDX94CO9l|X$N@J8Pi=RiCx_IsD03+bHgguv)wij}oK^vWZwAL+A#E6Wh% zzw~a5&d4ulaaP(;e3s((E=GZiMSeW4QT1KwMo{%NVo#hmqb?|I zmP)S01NsktT`ixvtOpTen2(uL{b&yxG?PF*)Jt4R>r9m(U~kUP8B}|Mm^0i5f20*} z6DBRRF=XL4O)LJ_W+H`EMHiuAd@z3i>Q2EJyjNM^9zX9!#u;wSPkciCJRAsU)v!`7 z)0^*qf}o1_Gy1wDM=%FlRIECe^?i4WU^|8--Ut{jG#HHQoV~Per_FiNo?w>oHkJ

    *Os!4EjbGs$ zNDZA9ewDjKuwg8-MJaB~gU}1N6Hr01gj}E<*4@zlU@Zj>mN02|(h4U3_?biic-e=K zUJ0Mqp$nF!cx_ngJ7W-8g{&)X!14?9XLwNPhxsU{*i{0;S2$7- z17buz;x_u{LL9#J4`7%RbnxNf(3tQD-FG{=d$MN-KYyMSnnRnu+@(RSx*G=vz2%rC zaEP!P;Ua7D3J?z4Pkg69df^5qx0biqsZ}|(j}&`;jiipEBq4_UOj?HaAm$WjY*f}r z?Xxo82M1EC(E2j8R>@{Wc?;tQOp5JP2f~6^;vDZXl(5Xo3Qbq}F!1z&M8ev(f?d-M zM~-DIB$-=d;>o3La%=BikA8P({~!_I(B7Z{=hEH>wz78EK{y|FYr8`O1V9|OgLIOi z>7ZtSbNl^-klWho&Tdq!P?PhP<>6*YYaWaV_7C!;aeHw8x+e`-&il8UOGUSz*XieV z`dOVi9ke>d%6pto7>f3GCN-Rm=__fXpo>WM)A=K!;lm+3$?9R7cbek&Q%-~&#V)lg zMOyIy)aMm9Sevo6Yq4Z`2371zcVv8t+XXY78J||{9OTIO!c}k}aw5K@%NhGBc4cO? z(Cub&#sQ_E8DeH3IiUGos7LWHBe>4=aH+y7{V)QXLt)+<>4{DyZgmxWs02p$1C#hL(DAJQ?NxL7Hme^3My^!S7YUFr<#gA(L=UoWZc3U|LhQ{hhwuR#w?A(&s%1pf z0@J8_t@~Wr8{7!nR9$*I-ic>Iv)`rpkEP>q=3;kt)NOs6c-L*+<<5SAaWMt1U$0p6 zd`dQBYqx#doYR_BqED7o@@qm<3lK!~5hU9Om~6FevH9;XTtR3;B-wa^nBrbx!WDBN zO>?Imibj2Y);71b-JOlQrSg46LooyS#E{6|uVk~~z=iz@E}wu=P;`D-wXqu=2sg*3VmYIR7Nwab1`O*5E4jjfHMgz8y*F|6J3Vk zg6<)`N9J-7$1L%jX*jpQ>HmEN@G%2XYU&6^?niYzBfB|DjbGEY&=d>nJLG0k4FiA#P5 zEE5gCi74t2Cyl5qFFVZiq5IsN?fHFor8^+hcjC@FSN374l-QP711v{OeMMk2W>#oD z?~>vbdhz1#pnb3uia|l{143ODRY0Mmk%%8QuAq;F{{e_@dpo}+MOQuiud#IP4dNcp zDT<#x}I$9@cq5q8q+u<*8@J|usBW_@N}tWVxzI(ONtXAR{|Z4W*35Gi(fiy<6bmceL5W|?c5rxxbUyqr4FFM7O zhRJ2#zj!C!T?Qs;M57d~UCh#ik5p1Y-{|teg?OMI>?!0pN$^<{QJqgn)ro=g(%so? zTU5omHZv zV)N>62|>Qx5Chl^|5YtaGaC&x(I?W1k;?m{*% zIG4EW7HPp*Tv8D!A;ZeDq!=zHRTm+R^xuxjWTVrH;0;PU z&^X4WeNLOwina#J9xQM2v?@QPLIK(mx@eAAFU@qNIK^s)ZcGQ%$9Sc+-ZUnyUG@)L z(zwrPQRARR&D%o6G-7UppF~l8q+e*4S3rX-wbIJP(+@q?M3y7bTD*}_0t@jD#n*Fl zsL}qY?#Tt})QS)p9}%rM&;Ku)bHo*p?!e4wp7gIbNuj~5U}S2{^<3t%FIa*+g%{&t zDISV`;i_H`A{_9~mCX`e(!Ul^DVKFYBYo8t(8vrI5+MKTMm)Q<=A4Gm`w!@%sf}_Q z;HZbA-d+Na$jjJFSWtr;d`UlmUd5Uo`}b1GKB~k2=1jn43;Jz%&$IuF7W7i-CcN;4 zrKsBFe}-t!Z#!n@5;sg#lC#cb|8Ukon%#;$KufDcn%W*kN@e6BnC5~Qv{-)B!!iq# zEjXzLtROlb(?42-fgKvl%Th_HV!4RoxNMJZo#ZZGva!e{d>%aU%?(K zl@v1c8|J;{@Oy*kKY*raH8rwt5nH@)kbg(6@Y;@Tdb1JVMAMw`NhWL)+x61y4(+0r z@Mcj9nbA3UyYA#=ITEz9am4NV3W%YO4(;NgwzAZqRI&^Y;4-okrFeSFzSVEwDb-Wt zEN%9Vclj4JA|0K~*h*VO{DhB-=|@{c3<)D#3(V^SQgD-*7XhptDJkGP;?PJ>m-Y-j z2m@CLj5ZX&>7T(5C4ubW63n(BXd`k-tB%7Wt(V%Kix_pJz!@)I9fAyO%q0Ri1vx{N z7_@qJ+#>-@Xsj@c8HRNuD;WC25oGExezCH;0kXtqUsv3-992alZkN{X2;2b8Y=eE> zyvU=e(RK2uR8N{KS{aG3d%f#%B;9j5NkIgFk{>+@DRQ(%9(A&JAkIL0cauC(va2cL zH$3dcDTXF@U{XrtZ;AUUsQ(sGe{WVl%Wpy)8H%_Byn`N3`z0*nY%=pGl`Q{AF3_QN@2%CX8aZtb59G&=)hnbZqC`4aw?7CvT)( z9xS%Cbrd)dN=*>Db`3U{><($wEAuLCH^Q>G>YaHNc%a`Peqq9Nmfdp~g;?(;Er`J8 zqJfia-v?n@l%tK(iig19#e<-gje{@n_(^!U!U{*MiPK;L#qZ?X!elmz=X;aqi)|v4 z_kr~0L#eLn@uaO{AQexFC6f1nNSq`R2gMQ}K%)MV19mGOL}kObCH>rDwZLTU`8A|= z@oa9dZK=)#OmBa6eWqMiJiDbji(b!-jN?xpJA7YrXJ6#PeB6p7tP*xc*!>XL-`?da zZKd@x9p40n{h%;R)&_fk{~;SAi+^0YnJS>qHK~j)Y_UrY2t*rs^{J@5r5b%`;Zq6p z>3WyHd?da&q&IKsLxk-|WQH;9IyeiqS-pL)@Q>c&E|AcZHIeJ^skirh`ovZ%pQh7R zj&qs89BkP2aNd9B)oszp8ObuN;2Ew&1{}X!CabNzJjN?385HuP0hDu*2@9Ya zYAdLffjilefTzAv37v1iQ$ML>Bc3Q1@G9=D%rB~en3z|bK|8>?Qpq#0dlCu69!1IL zAVEUoGpX^%QRA@(*0J%y4vlYtF&%AN+DYSQUnLsfA%kfA)yROxFYU7Nzd$B53-c8? zR{g%WRPrga;TK`nixyHtgVA{wORS;)gk6v~6da0PuI$**0o2eFsi9bh8yo8IOAals zyhB5O&KtV8lZHMzNi?)W2GP(vkbw@_cG*w|GWmBpi=WE8%r^)%7lH&K7*Eq+FF5eh|`>3+1~*R1sAnD&rOTT(h#6d3}a< z)il(jq}-Pob{t75J@dfsGV2+?fo_Mb>H20Lx%}ap>Q+_~c>5QJz-q2+_&(bgTWHqS z*q7NElpo3L8$I&xMmD$-h5)n>xm3!9EAA&XtE>X(nwhxF`bHV!>kqq10IjE zVA~8&4_Cp|Nf8_V4l-f*I?&=Ml#>ktwhp(|$Dr6-r3DYgQWp=Rwv{#%{)TeLJZ{p+ z_sQm+Odoya+zOxOf}@MWHNSWg*0h%59^?o_zC?^TSg;l}g;spm#xA76-8a1UlqHFn z-@ptb&U&}T(J?e?j7U=aKGLSKYL!Y}B1l#&TZn{9=(CZ8eNckX02|+K{C*IoWf}xC z)tXGT@c@!%8k0YYB{%*@t)VeuN~W7PMQNMbxGORFnOL$e8%(9=#*%f}U`oD$C9j%# zhf=Unmfmzs9!kCxr6-`B(Y12f)H{rbo`=k??5XL5%^lGoFu6na&6xEi5BZM$4M@JJ zcgof;@q2UeD3D^13&&yz2EG7xOk!=PYYUl(2} zz^wzm?YGYUQs~R9QaCrs+W0$B2?@b;oDUw0gS{ht?_5pVCTNI7shc07oQ;Y@;oSPWH^iHk&D&te-RNU|=b5#61k}JzBF#B@)QchXf>QGv-nB23}?L8H(dKImJ-=Z`b=OW)Z`!Fmxu!Ax0 zEDn7?{1rm4*`Yb|buIM`lXnncoQ#|A7rog*3|`G*X2oQ?k`4P0>kA`cet}HU8ZnZk zRT%uzn>UXig+ZOp23KFC8^+q^^h++)+#loJMg=J-{tTkxu z@Oq`axnUrXwD3Vt1y{XD3-7}N{2CU*8x*d0nbIOM`osC6;BcPSR@&h0g!a%{c3T~R5e*LOe!1m~%L8{^ zH0<)S^LpZP8597>_2}9rhqjv(k8)tcPUMs#vi6m&>;jso=X9(^PL8feCv^d z`j*Y)WNq=;>oxe2J2v9DT4VTaw(o(i!E%Ck4YwK*ztUkyZ3A)XXvU?Nqx%?_j==+# z(5ceGT9m>Q7G{M4Ki67w8srw} zxpi`Mt@N+@yn?M@yzF*q#WT|~Z?udn8!!mlQ9*A5(?%l~F$XWoTniBAIJH`Wa)#FG z8eD;+V^joi0A%Cdab>na00jp93=Vog9ZZdKxK*jvb1F&|59B$=RoMm&i+om&B}ueo*4i2nwel9)@K_9@be?fLst+T5eTMDy~Q8$Yfz~Y>oVch{& zCb8FKZGWdMVd7W&NHf1OmiSm=Za2gd$PAYF7%VZF_!TTc_xBCQbNC+oVMr!NMG0J$ z>9%j7v9qO9Tukiwr(br!#bw_p1=3KF&Yt)HTn^~$8DlpkKto)QXQRtUY%B`_$ysla z&U%mFfdu4BD8u;f4|vc8B<3EIguDQm@l7f@6%QmLaq>`z$X~ivk&L8&ZY|_$*{MKG zGI8mKtc2O$)$+xa23d)c1pR#yme_IlFAB@COMbAhd=s=V3d@#fIH+STEY~5>!O;mz z>W0!j;0Goxt$1M4vK{;oz5#E?Qd;IFV5dvVeW*-lM=mYD)Y*|FWOx|fTqGjtpHIk5 z1s9sOY*y@0u`02d#HHq6J4j6~FKbCyUe1K&HH>4ysT1%(mx3+)2vF5_h{_M}fJ4%B z4cG1D2G*AeN^uj!RAj*y5|rYL8zwT(FA>WL0?Bg$4A``Bvd^WhbxZ%+3~7eTFI?G& zU^@B}$-c|WPR)d9gn~sIq#p)5RZjQ?_vu^it6U2fSCU`V_H~ugzyAqrYBsd$6OmMz*VFzSf_68|H+Jw%j z_yEC4Gd-Kv69uPvf0)Tn5^?BisF}o$4d6d%;RrAkvqkdzhoG(f3|}a^k+kp~;x+XU zIP+D;na%XslZC(ObQXwa@d!-T$WYo3ye+RL=A_d>G-|MQf-RHjjR;9avu*kDfl$1#~q>Sb*H*4Cn6@g>>@c`!J>R$8HP zwP0OdLG!q>KEoYa+s1Y|`%CPk*}p8bVW5cJcJ0%!2ej8drCH-nJn2a5I>67E4zQl7 zwxMSpC;5sA!KB-QX$zXsd+B_>t|~kbA#)yUI~0#0)TYOtKwB`H2FimsVn|9W9yTIq z>jx4bzCpAQhv9poy=eWSAgCSLu5X&r7JgJ8<84W2}FR=ywBN*HlS3Jw5hKkE=$Lz zqM^|5aT_!=a3&@ZPYjYCJMi^NU_zz>h30B)AE}0{PBnT?01rBwZ?yy0f1~ZIf2lvc+1D=3pIN5QLl++IVlSU~k}<=IWUC;!A}Z zS}IhjqpKNy@HW#RhF#qRE*%Hq`e9l>dlF3l#H~=F?I)J=!#7sZKt}TAgx&2 z%sXF@Rv^q}9wTkw(1Pg++b{R`!qJ>8EpKx~ z@~3S@G0nNzpSKAgu+q!LF`nWx9f5nWH2D~c40eN{r;@o2&fwe@wd7HX;`z1Q^0BP$ zOp(>9VL8Fe%RHA_!epInp_$d@$xjNWzzAm&;K(gi_+;^BG&?t^crr1cc`^WdT$STe z%e9eS`s4VVVE8#5c&cX~<#XWFQ}NG$>34*wTKOGE^&w*wUuz6i4vzk&Tupyd^XaeE z8Ns2YGzQ}khjEC*c-08wWdn@y42H~LxEYLV=&uc@MX@x7LIe**-C2rUE7hIQ5a8jw z87EDkAv8lnXi@?b*)%^{+n_ZiY6#6tLm0`;446vEM3+8PjDs zXbG1fGqi*{%)2v4Tds}385tX+N$30rQ2rBGTRvurdJ^lPs9MaRfpbLFm&B}tihaKn zaPq3hpz11oK-D=^HLQcjtkuWqRVTFi7adxCO03n#h~Uqhot)s!Pz=lj-+?bgaAp+_ zx8aQ$6vKP*WQ+%e@yia*(~_YH&Q-GZJ^ZQ=A9n{0*N4Gw(!z(ta0R=;n&AY?V5s(X zRfMvz`&3V3^#b69M}OXD7|j@~SBNn-BQ{pQ!&s$tI1Y&LvAX9G8UQ=wmX8or4`cOx zHdfCY!FTBH2Dg&e7xo{-u)QTINQyoY1Nl3Elw01%CpK*BQ#=wKdFYL5urMBRZ3J6* z>}kBQ5}~lNYTyY=Zp&0^oEXG2;)9sl@PM(xp}Y+YI7ZtDHik#AF+2ie_;N9ZXT--a zf%vx(#8;(^3JR5FTN3Cczf2vOjbP4>JwneB67?3`ZB6hw!bO znTN-R@Rc!U#)--wHiSjhVhC>;EUF%E9>SOKsxOWY;d7{JHiVB+t8dY(PH6Q79a?=_ ztkuV;>K#8zPVg2!gbf7$N(^CR_s4eyOkZjJ&jt(5`**NEn8vqD`(BLgtHFlS!Yjns zz5&0T3|lhz#>Uu1D&tpI%SWyw8n)La9k#zRg53Tv1G(~AG~%uC_~YVZ82;pB)y3|1 zSsx)5j3PmdRSJZ0BxALZ*Yab=>Lqay|G$jYx3ZG6&Rre2_Qn|39;2~3Mz1Y6i>xBCCUSXDZy`WTH>zR_YHualrp!@C*B7qq*@>4+(taVX76#|JSx zx8O7&c1;@)M5Hwb+giJD#Q+R`InANx=2K0SjaduO_}n_VE{!JNV9J}L1ynCA}2ZR`-=xqcwcKRLe*l!wXiJmGaS8mxI+sd zYk`TND4fh@^~WZR_Z+$6P`X;t%8v9o%E+u5*@K=~4u|-VS`@N)vMDc}W;J(Ex)Gd1 z+gwH#N@Sfq&~2TL@DICO>UYzM&&q)rZhOd6MBxO?5RBCBR6!@B-1b7m6WXq1Z(tV2 zmMt#pCbAyZ&gla`1Pk9FpLKACv^O{d8}~vrubZ{sW#2UW(JI?0WU0jStb^eP$ZXgU z*_nt6o8V%!Nr)!}`_>O19}g9Z@$oy5OImobK0Y2}eM#e^ggs$=3^t9AQ%vKdFB=b$ zX~$?F3@0`FLsTpV0&Z(aU$%yD&26c@`Jhdk4CPIEC$Pl`9kVu zRLd=|_$%V0QD4%gqO`CLf62nJ4Zq&0xD}+iSYhWQa5lv@3K4QW=c}#5ynU-vpb6=_ zYCMD5I^5e+EJ~Qu2v_XjJOGzp_z$e&+2ToT<2ljXX&{3%uHYaKHkw>J;=M zt`=dq34~%wsCbVVsAKC*qR$paA8Izyhn!`-w=vAGNFdNKB2YhkG*C!n>5fG2hz4CV?s@gNY_x?Cz^>=9w*X2VnjNZGM;51(&Gjq3CMylcr%bmM=C}q{X>jUiTtF@ z-;;~h3zc9wRDxxgCdLeFE2vs4(hV~RWI%#jvs~m8qV*ABi6)3dW za6A^S1fjsFJyAbPMKlh|>e8xNXo(m4QaDB|^%*1?o=_FL&v01lPbtz0EDGefy&wk1IDN0Z_sr28Z2CXRFi@NxsSEux5 z={NM&G!IO7jMQZS<*4zKr^^r*gBKC2@bCFD;t&pnYf+`h|;CxkI2fEEXI?xBEYH@RW)5Uf13}V zE5bdg&VC3UaShI4mF}b#T!6R9`Wr7c>|@A9XJofu@CTeG0;WUJ>Yn=!*fO zi#ssopc`R9abLmy`Q(7=Py)^;6Eov$B*=5jY zm$Mzc*lg-|HsKg}VVZ1zueb*q)GP8Es^VLJ-X3g?%7FoW>~%%(X?=t7Y{4eQy1_Sk z_$7rW2-D_%!Ol>1FDmLuC(3)`79#t5g&f%F*#6CKOzc)pICL%;S*=Myr-B9)7_AA9 zARowiGq%o|_`;yZmi@d5rCaAsFgN*cvy(M>$jI)R3^%);a-eWXO2j4yMxSczE9xiK zCpbama0l|yOV?5_?L?0~Nj;XyI!9|`{qw*f;@Bs_9Lp?|)g zl?>VYf7u>i4hu>pbOkZ|=bO~FK2pgz;yx}bq>{DBT0S{HKVNRa zNt!hkht9~}7&!InmaVdNb6~a=t_%~uP}_hjMG#aES)~A}ga|RIZEo3|UGE4?_by3dl&eq>NEd;l1U&K@I*jGMTvE6foP2lR@_0!=!~8d&IGIAtzvDX*6!-o zYNFHz2u`A$4#&EEVe9Un?y|qNznAULuI+DaMXQ+MekUP-+`?VWFdzs>0s`}YKi_lC zWO701Wp{teOEc&4J>To|eV*t0`99C{eJNVid`sP!zqziq8kK7wSbkYLgy9_4w2F&i zBWc;fKM2rvke^UVOGsHo!k?Q$wgLY6>CK4zn+QIvHH@M>VA`8X!@*6QOL7PUp7au; zLTxY|o)~wts^Po@l^tls-}u5M3&Pgo_H9@Rgf!!zrASd7ETae{cIuqkVd+MD@K60~ zLBMB@U;ywH-|wW;W{3kLT~kgItH%W+8>fCh)2AbO)>g%COwXCqdfk~uCN^cGucoMM zt%`y~1Y50ggEeu66mYJJK`4*LH(3)m#-IW?zF0h0t8+OJdP`_H<2#zDJ(Gf zj2l9B2veP~wTyYxzBaZiFR?c_Ha{$`9Bj7uBXu*1?2ypli%XFE;ga2<^g{8bohkl< zRDPe;AcVvLZ+MS^SL^;Mbww6k!Bx}luhC>AYA3iOP%}=dFIA7$EzT)L?xb!|l#x}3Eqcl4 zx?jcml*A>++-hJ&yY=tA3fQKf(Q5J`>Z%fMBh!h+zH6m8ZRQL(nK$SIr6L1B59(BQ zBr54idfzGQNqX5U)GmDgmsF!?7Q=eUqATC0M#6Iu!^k|$mH$qA@giu-V}@8-X)|+p zpba1J(?KXkoAHsr6r}~9Ank(u@AK#p?cPN8{{-U+&VMqg4PW|*cK*^sybZQICERtu zbW+Pb7)Z;LM^gn}Aqc%L(h-V5K+5QQLUGa&-4T-r#ViJ_7%~E~<1<%4MrUEiG!eFl zfIKDw@>mZBT>E%$9d-2Do_*c5qu{U(hxt%P`C^MR5jvIWVffe16Evi4DEXt1 zGO&c@tD3k&kH4qr*x{49zr!e5i^GqT_`qXMVu#_W8GRFM%41AYjI&F$*h?6hg@{2c zG9+>WLHz~H7NM_@9S-DJ3p)8qe#pK*kQh{ocg!Pn&|J&kQ(xs$NacI$z)L1?K3nx{yQP>V4@h*~-K#P9HSMfew;*Ygc)wWOm zR%W|&B;Vd_hl_Kh)GA8FbnG&3dY_Lz2ESF3QI*=X_~vvkGraDao*jGI8NPs`dydVG zy=2i98T5$B(GREenoIjvdsd_?`Rj8bZePhKP&=bz@&uW(u@QI0xrQcLFH^T%>R z)N9re(yQ8A_Ad4F`#t=~a)QM~A;A&a@I&wK4fsappsI)^F%QIk6wjNj7nzXK~)E zlPLT=$=0Ipro^6o-F)?#dP%cyaGeYRfraS(oZ;XQp|~)rwSWjQSCo`=xI!+yM731r zz}818+M#?`rB+la9@On319hT;iQp4KGzfEqW zqvMl~SQ`^qyC zPne}RY^s_|a0P!m5#0!W+4k?>#R!R%*`2+YXFcou&{ZsySqybswRA36CLRn2_tpZ_ zlb4#ew`EmI<||XU?WSJJd$!l|j+A_Hd1Y&pqv&o=X0>k-)#YZMAR=qOoh28w;Z2Cf z^C$H0gV4;M#Lpwz13c>dKO-TRBO#X~5u3H)h(s-R7}69$c@G~Q(jIUHWpXQz0o+=9 z<0@Ate@WapqW3|=_MJJQV5??sPF}zrBUH{zsJ^Y2Arpxq!#0JmU*4c)2CQo0LFrVN z*A0zRHIL!; zS=_gTS)u5F>N{1p(Arws#*lwc>gqG{i$@z7Bh>YT*NI;_J((#9wzh5ELp1UZuaP1? zvRm0F(@LjvrKV0TnbWlQc-t)bzT3=b;#tqSSB&`Lx&wz~@l$6F(2b?aIJ7-U?$Yx~ z8y@)*nA`h|vg7YGeV=1bHU*rmW-0I&Blj@dP?HFf`Y_l;bVnF}>yWj^YH?5!5eWJf z=a28lk;V4!#prEGq^*wZz~1!Oa?G!=p?@4!@v@`TD~+81MuY^NNH~d!C-{G(gUxvc zaC?iPrqsZA{(WQ$QWt;u2qJ`MU~-{YIs?Y_3)#>0ohU=M*9og$U(?U~v*)3{bKQ7T3Nh}Ar?;BjBCIfk`fHm%fbcZ_}ByF zRM}?$-+GJu@i{n>!rYL@*@6OtpT7eSw$2RX@0xE1!Ty2@tbmSwsN$X(#BH&|Vq zZ~?hp-r6I=*<%?%?g)U-oVFQBwceN!pZF?(+${pg-6Uw)4FbrGf(Hyi)pebW_qLt$ zZ8eK!QkcPm0?D-l$<<%EFRfIp zZ}6ME>IT0p5%`VFt`PXGqx(oY-K55o!GwSn2B(gO1%unckkVuH;7Zh67lV6+9pq`F zSxS%Y-pas(y|wb6170ilv0?#n>l3o4Ie^=+?6GhFH~O5x62Og7P=MPhM&wlwa07+= zRP}#BoAlVLQ1Wd8xZSJ(x3AKT0=V_){~u#s3m5Iy|9P33-9gRV*1+jrQld#>H{QW_ z@tRk`m54?{z2eahIiI;+&I}iFm$_X39zCQmw)Uj8q7z~BZ?b=+0JiP1Qvht6Ww|B* zn{2YiC(iKzwmSgWYJ&i56X!&$mIJU|dtL4K0N9QIuwk((2-|&xrQYa(YItvjtzQcH z3cU8N3{J>?5{TrKKx@}4Xl()|0j*sRw6+asZJ+q-i0jS#C)YVp8VA2f4shd*pla9p zN>+$(35!Idc`lRLr+lZN=-P|YOYFQle`Byon|V2Xi>K6nZN`V>Pvgr;S$%zQ%8sd% zr0WpRFFEUrOCRX1vw!|2j|@I!B^sNu!&ftq<~g`sIyaCgl-I%d>%r~ib=Jp6vJ<}A+vqmwc8-={5u?Dn8H;_TB2iNW4`K8vS;_z-t3qu&>MT<_L?Htp z`{OtLK32*l497-=jp2{ASqe-yF3trTI{`Mf6At5+Qaw=01{;GpQ(Q^Y;#^rdcbmPp z7Vl$UBZ(Wan!26z_X|qvnfyYMJIoe6OiFSvuMru{3mf~4Y*3ijc{~8~n&<0*dHsJp z%Er6oI-}9|z`R~2b$855<{xo*fxWuPAc8+5fLy4{6+jsVDB-s3h$Ddd?sf%G^i=?_ zTLkdBNdT`K1n}y?0ImCa>l6PluTQ?A)SV8?mWlyy33@Zbg}2xpt;=9rr$7^O6DRSiyg0^m_=M}UyTCs`~ z%<|iEti^(CIkTVLnr8sEIt1AAk%l=MtZ5Qd>y@e3%Ves)R;V%~e}7B?JxL<(GOzh! z0IH&KO&MY-ND2Cz~oYExt)d@k8F72EMHTOc*aEtyIt9h^#W3t5|0j^G@ zu9rVwQ_MuBURT5l=uzM*sao4uY6R3la%SLYg;mMsv2v7ld-Gh~KZzME&=|N_FH>#H zP?>6}Yot%J)tWN$?%A!sA+=|>^INtX=d#_{$aW(pbVToW!sT?$Cv|sk`8+K7$Sh6$ z;WW^bi~t+6TI^f^i{!=p0eTYE5w%&~p*D+evi8>RW!;bkJ^db(D!G?GO3PJCr4tM_ z40K|fdor__`6}qClFB8wvp(t}JQe12eR3{qb3T&q)ruHD-Jv`ZkyHOKpaXV!4l*YB zbA{A&vb3v{!&$Z~=9v+lyYA|(BksS%qtjnYYwZ3GSjCVn5R#O$3Dhcpp)sxB$P9+> zyJ!+;Ajqc4Ndb)NCHJ_ph;Uasifs$7Bn*3oiz^KvS#p!AZMImywb!~eUhLKFT*_@| zoV+}_L;9-bgmqA%N2*Kw2`$nRoY*uC#uR~|Cxg?d$%U^?N5j!6{aDm9ot^dj5vh)B zCoOM#Zlb#)&QdSt@vJA&jiw&3kK_z2#p4q@q%3eD%2KnVOL;2$wQXPSCGYR_n)lX= zoV>3REA+KV!C5@T)xm(i#*@=vKu;Xc7Yyi!{AA-la-ESF-7%ou^u1s}_w!K}2DFmL z3IocXtyd?ba>!4^NvZ$~wC~E%%tOfo+|k43kR;I=dUm;p+$kU?Aa#P6Ai6ny*Wnl1 z1V&%%LzUpqaNJI?htOg6IKg{@&6>H?igpk(8E__x+YTj*)DPs5uu)5P)(rnD2k&7} zPu`gkAsxcs%#t<^?;9D9oB-z0q_$Mduxs;ycz7fbkHhslA)c%XI}p#klWag(@}>D=j4-TYP{DB2hK74>s342MoT07j}LKV;lvK+`zwOJ1*)oO+>C$<>S*OYSUYpvJ+dE_~B`5zKM&rfrDiyya?4!e0 zW5{YUt0op1vEz6rp^gp)ui2Lx6pjy=%Q@F_!^Q^>C+@>XFEn7s9A6$Qt~S#e9B_}; zEnt zMcN$ut!(qHewc5ubjvp1I#-!*6}Z-06VGJ4)ijvVQpQ_dZMSY6AnVZ`e|6KXYFT3b zp&O0FL59RU>sNKt(8tf${@@yGsf`7!uL_&0&vopyTr;gNW#1**hIF&h+D%^Z z_hh4nxGM$I)AUYVmTA_Lh_!6ftQPLO+wg>4)2tz4nk8y> z(v@{QNwoEqa_Yv`3?RD2&F%&f2I7r1x4Jjh(krEXq>Ob;n>uoOy6$H~##Il;mP?){ z`%XXhGcpqEtE8B_DBCgD`s#r5z_GqM{8zEQO8rRb+Gk~bHEg`%L?VT=tgrrY(3$p) z{s&uMT~*mzlmGX?Gc~!J^;Py*{b|-$}GwH&qVLV`f6IVx5^$n?@X12le2cm z#;zE`YrBD5JnO4<5M0LkDj?Jc`OCCDd+V#$1i1Pyw7xo@^8VAUug+lrvaPS)q)=}& zr8n!V4{^XlhIgAe-zqxO$o#ijU*!rI_CMVE>NS?zS=Lu~a*H~1meyA+9{zi+uO7%$ z;0)GR-vcOs{uF$8R@PU02J|Go)7oZyg=+Mq-hb^S3$>=rY+_R*S>tN;vm7Mq3GKz> z41mWB6%w_J2Ov?iK0&SNw7${?=YNMM*kJvg{M?(!piqagywV0Pl}E!jYKu31c38W2 zftX;C>wuq@7>pMHr!y{Rt*m11Mhl?$0;DTB9H=HPdSTGfc4lE+RZYrnR zb+TX2jy>%(FQ@39)BK%YviKqstmEO{a;feu7hw(=W-qp;&1moQ^m8)tJ?oF&8x=W>_TRawmMR@)i}?3 z5^X+p{>#m)wugF_cS*11z1-)E%L7`IvGwGU1Fb#66M@!#B=Ya$Ecu6xRav7p`2272 z5L>H9<>yW%?}qQt?%kx_yHUGm2N0Wf|8DKxb^OQv=T2?-a&6#pWo@-tTfAB1VvB2d zbrPZ#@%R)O9qu%|`iRG;H@td78D4!A>h3hWDpuIr;&M9vFEzZ1l|zDmnc>w-WN})< ztEYJBO3D8e!>a{jd!su$?~Cc+PdB{!Cgq&o@XF?`)5_BsUR@&JcQd@YyVtrm`@gvE zXKQ$M>?Ws9$)CeLRs8)w+umwV{$JnT>Tk(3+ullpDb>RQg=Lvit>C`90ZtcFstW-RlE0L%*d56N&<;8PniO|s9ekxH zfF|2q830Xg&K^K(5nC2jOV?Uzlk>o_mJ)6(UC?u8abd$p^zltwr9 zYAtntuQl6n%B$Jk)C_G-wt~A@O9lAOvzB@RBJ!-IPEn9hv`ojdw3hm#tgQY^tfj7_ zq`$tkR4z?+tfdx{zqjYqo3+$|(Y=wu_fC49y_ZGf|0C8?H?Ye6pK2|&o^H&tmYT(_ zY}uX3S}N!I|9WdF`|D@6mYT0t*v_`-Sy@XB`8*}oQnLY>Wa@%syViQ&r!>|E-I#6i zxJQ3D3km(oGE}SoY6hb^$Hk~bHYkkh5Iu^HUW}hvSB&bDEefM*m!Gr6s1A|1J4PiX z{beRof$z9d=&Vep?z*nGj%hmPbY7a5DhQN#1AbNUi!U~rItuli$zv|6Jxa+R-!q9FwU*owMPu zVKUX98g(<7`kfHIoGo#-CR4Aq%Y5r;GUX%hp56K^ssA*SskvY4EuXJRJ~CBPf9MJo zT_OfjUr`29FFPht%3{ccina-m)Q`7kVGcExf|I9MwVciz>H}8H-OQnaJW}RR^H|Nh z=1_l7$V#?3)NMV?p<1r(t&!2A9u2OBC1`eu11@zjhZ1Jtm_sQmyt}5abzv`g-`Q*4tByE%-|m=0>2$xRnL3z_oN?=6Hbon<+3b;@ zzXY?{&!`Ayvw)1UFq;iL?!{2*Ze=Ld7b@#ClscxsnuX;|nLpJ~>LY~VUuYEj=8wB$=#sfXCrqhG1EW&>t3ArVAzF!HRl!ZbS(!QP+T*pG`RoaZWSa9vl2B2Q0Pn08I{XYqD zQRq;|7@IJSWA&gazNQ~Rx1?&RG44fsqK?!x-^LDm8_%t#E2Rf-Ophg~)~%Asmz+$l zzV?E0HwGgxh);TlmMZg(r-xXlU%|Emd>1 z6UkcS`u#oD#qV#z0H5plC&Mm2JH5o+l2_dv{}#E9e=6=)TlW!ndNN#c?DRf=60OGZ z`BPi1gm%q@-pXqBHN$&r_OJGxso4&+H8Ceel#&UT%A%B+P=nd1czx#u7`)K*rGQA{ z3dpG83drzvC_g~boQPVV$_O%WQ3lSGflIdi$74B=_Ux`vxEjdBvjfYj_cLGVt=^xe z&QxzI>f}<)AhumgF7fDW6@}|Q=n*@x4i$y#*UApe#k^LK^sJ(A4VSuh+JU{|ISEP> zuE$Cw3fC)66t1s1QMklOurmsm5P^!qCCmjkK{4vgio*3U4r!SvTtvPk3fIfPRDfS! zccXCa%8tS%xy5ZKtB33G8I!g-gY5=`|3SI2B4DuKX;gLKTRs?h68OsqjxuEUqQm zPcCO3(-7C6P@<^S3fRZ#hF&Z#MBRIj!jimLT+#uKU*Q~Lamkx3*TS=o#U;A+^*}E=muj#Zpi7((SHtq$ zf~(J$>J;g5mBuq=5a+0F9Dd%%Jqc5pk2vhTD{bdcnVwnvsFy6d3JEGDLPM+nHwS_H z=8{OguROP0h2(Lr`Nd$F96bA_R4DQzKSE!J%~tnCCdvQD*qw}2Bu(u>2v z!?he(r=*Vk*vyJu?v58ne#-L^8_VT+b;NS zr#Owy?mb9X7JvTW^4@yt8z1%T?5;fp1GW^`a=aK-!!nMH4MHFpU&d;RaGQ>u*ef`} z?C|VDte4eP?ds7O?o976M&;BPhKG8C>~`0@V#ccN{H)}W*~+o;E(S)SbbSS`!wE;6 zZJm%^8OO#+o@3)0h|&KGHQ9-Cjc;R{MC>B=xx|yq>Z#up_tsNi`S46VrE`H$%{EYv5A``N_9{09w8J6itH`;4lB|44cBXPTyNZhVP#O*qn z@q6UZb8qe`qcJrAILc?o?P_!4cAb#8T_;rBE+ehZg`3JEm))-Qw_NVC~Zvz6aaVIowxK&8+c21tGr_|p?yB+Qqb zMh35PeJyug3a0W;0Fg{fDZ7XMpj(5( zeA0>Df_;6Uf_*UqCD@niuc?B4&2fW$&B+A&Vm%dcCLz2DUJ`YJeI+h&5z8!ob&_X2 z>-@|PfuLr0Un_MklL!(NJg$O$xszRjeR-7f~HyD|Rj9r)$dPuUEP8RamZFQGWT}^Ev zl5~np%7^o^0#?zwSV?o&8Lg{Hk4NToM(a9TSI!qN>Ww_^*>+as@iZh+P7A7W@058d zuJPUJ#C7ldGUX5EBR(_w`ku$djd3;Ymp@1SX|_(Ffs+4Fkfn>U|@Kj;rn38A$? zoPOQ9v!EJEnv~@bDo&uhxTQcIdnW*7&f~f>G$AxGbXVx^iA43EA^M~Chm93>o}AHv zE!(AEm7kK0x?LammS9oo-P(xBpK2qX*r|Nec%ZyCI2|5XZo%>F5Dwy>H~t;qGC_q$-_EYB2g`69m1sq<b^#L zVR}^!=P7;6sbQCqd~hIk{OX!MdTd2ODnE9-q=o~TSLCKwk?mYrbUk+NmfzW!;{ zCewO1P)AopEJBOF9?-eewRs((*p4FJJi#py?5BS$MMtbpLeoFOqK%K<3_Pk{aUfAH zgL1pNA^iI%s-fky?m-^KJ}MyPJ?bSVDsiq-GPYA*PWC>|iCC%7^xZzx?}#?#pwj1a84;Z=D zE;C-sA8RLVzK~<-wfaral^Q;SFyhOLL-K!ct=4ck&(-L0B-2_mE_v~%$h72p$%Gun zhOIGy*q8wISs;~*KNcu9td(lLolF{3LRz5eJLU`PD+g_v)U8xttj z8t&!NnHHZUqg>bhF#SmNkEK(F7#0o!t-61ewJP~FUbzEXGa}jAIm|VeaOADlFp&4j z#hs6}dYJ;D*s5Yt5@U;gWinfK@F=A@51PCOdb-{rhS-WchpvPmLhq5*7b#2Y(~l`S zgHVM<5!>seQL;vzzA!5wOiEaTywU2bDBg^}8z`2i+3euSi`gCwC1= zew(7bZmt=Xe2QBfyGz2s*A-9D8lH8!MjE9xJT5n|L8-RW zjq-=kx0{n&L3&#^QW4<~)z@;aOdsuqHDH{&MVKpjL9K-NnxuwQyqe(Bj(8%pRjPk{ zmJ(G2#FC@zobLA6#?w+eYAL;=!fv@0w)38YP1O|f?QmWtcIC>)1S5wuWT^GT&Rm(q zEw4HhK$l2Ei=HucJ}H(I^ts40hqO4jZ0%Hz_Hf_%*BWVgH0?7Taj24eueg7dZ<6cy zBk3g%DODxnge2apM-9j+b6si8rSeieNiT!{tSlwl>cc{G&X?7J(L`;Nh3AX?B}&=x?R7Jdc zrOs;uJwd(JTRw^wuCKIatrWqXUZe-tKl2|RZTk1?n8eyqsp&rX+(?78F4u#{wdenh zmQ=(aTUl;eoD8r@xAy8Sdn@|QQpJRM*79M6^}5P6^{i|~jn<)j)k#(Dn3q4|HPJfG zsX+b@Xjs+SvSl)GrKUaW$b%GT+UAkc%J|TJmGSC+9hKJ5^YrwhN~`+34vv*Qs1wb0 zm$W-4WPc+aJpS|`+HaJ6%CH<6&A=T|H7;pPViD+rysFR9OB`h=RVWlYT!y-YV0kVP z`sXuQTC|zF!LqpeiuRLDa^+1bTi;A3Qwihd5$%NB%`%okiG!ZGE<-g)wSw)BG0751 z1}*=xH}ie1c}uq?;OCp)8|iDkKQN?~ot|Wj7LtyB3G*k>Hr6AIT;0C0jre!1c}un> zyyQ=bZ1^X>Pxj$Ej|!c5gF+`ifKCpoTg3=XK{*XDgnW?%!`#En-d>X~KUs>cN9g-=NBMs1N!tp0I_tS6%aa}KaT8M%SUhj^r?Q&_HEsm6c5nW^78GUYR_M- zkbD$zUs276t>yamPoI_)*GmeriT4k9+t>%E=~pD^x>2%=UWlrf90mI0 zh6{E7GCA3-VHq3|E}O+Wg_Fs=(erZ=R>Be6xHGEu>xumryv=-IYJAvNFI||~= zD*DO1n!YM5JJGk7>Gs^0RXOP)Q~SO@gv(Sv9Tj&*g;GyX>WPzl^6}WRwJ7hLEFX$B z`#7p}gc)>1z|*8&rqv&VFci=I4Hp&mtcAi+^!PcVffhs+KMxdX^@ThY6|D%A%Cx_Q z2D!-uBxu>I$Ci|H7G{ohETk+ejFyi~YgB*(lB^H(mII^xG^e5sTb?dA$q^Z4HbTKDN3*{uCMM`BBau*(*Aiy!CiDO3}a^6UJx;9TBF*@>IFAR5HWA z)GVB}QgK;ioP3$wBfX9$%_b3Dq{k}?q+!G@Q{smeBHts?mg)Uwst+pd2?KIEv;QS; zrKP!@E&VBv%y@4trMv#5#uiCqhn#g|pSr|^bfr~pLxrYgEi8|uM(UNd*@*2baE7;R z89h#D)z!K^_*uj5|6|?1TyObA_fGACvXOT)T`C$Jkk`TGPd!;-xzCtH(wp+H!mb@4 zAjS!YAOysO>^o!4BLb*!ALo^Sv`>MFRh zZhbWsfOZ9+pbF{bdiz@aisfD%QU%_Xeq$ZwO}hj+_MG;U-%HsyJ_e%^AWbom>dCGm zhnZmK;v;{=ToxbYc}%!?{-tt_*0)h77L-qYSWZ4#WMfjc>9RjN^pM2S z*rr=;Fu1m1Ze6Hbn{`!SQ(ho-k*De^b=PU(rX8D?wQdrj;e!fWkR4R#n}Whvv?}|i3Rc8Wa5*~B>|e{WU}#QFe`)fsz}+EGPGA#l3M+` z!)yeQ=ys_+3tjZ7dnCQfA6WlF6R{OV5&LgB`uOBs+6ynyPprvI`??vi65sgvRiA{? zAA%j!Jf4)PN#b(TzG|RhPgqa7wH5Y+flWqwL8_9uP_t8Br*45F$EGS+XVmdUst-$} z(p1n;Q>Qpu*iv&1$%<1$Bk1oJrpomAn1I)SKnA{~ z?T1CIW#akYaFUfZqAG#p`*%Pwbe*sIVwZGk&f7FJ5ND{gcMc9?$ehh*%)X{jw~WB%-EpgB*4Os1XE*4@RBtXp>|ChDxhjr5`l z%LJ5#?}-j3tWRW+e3LwfvPDIe4?Jm+{Oq7SRCO5~P&HH7P0~F56fn{&(~FXa(M0Gg znHxX>F|rB0SITwrQZBo%r<4DOhvH-2Cpl0w82f2oS9}&F;`I3J8ZbU zb29IQtx~MFtx$M4L0N80@!_+{As!=+Te;CX-@NC%H z=^(N?pmO3vg}>60(JnH3yR^WAWB0NfQOw5*j*a@h7>-?(UQq+U^$91i2^>4o=fbh8 zI^oz=x#<RIOu?~r>Skxe`X~d(&frl9JXS0PcL2ohbbwfNQ_9*ELgfoH62dy=cKR;iH8h~c zXIDCa>?2YY0c5W{RHp#hl`bGFSZq?~j{vgek_rw$hieUZ$sqX5z&)axHee9uqOok{ z(W-Y&x@hblxdn|~B_AcfEbq{;JJDEanbz>Jyu5cfFWubh*UOXJ+$Yo)^phuq&NK@j zqDYm81I6yt8eZd-3-2=*fntC7%M29zkU+6X1j*0<;FnhaCe)4-hs_x*^SNE9W zIY5?O>iqVr3W>d3j#sSz=?J%$R54=h4k3?JEuZDNAhAMYR1_rEt0+k9PXoo5CfWDx`+%h)U(GAs2@fB+gS8+*Ym>HiWi@8>a>~qpHAhNA`JEmqUtQE<8Ub%w{BC9&j z8EQdfv2FN}{FA?xKJ_S|g(tDq#S(-+w)j^9x0F(Cb=Rcs^mG_Xh^+#L6^asSP^+3q z*`5pxYX&BBNXAD-$x4O6!j}Z0pRCn?o1$b`Z~HT038bk>bp!!c<&yl}E4qD?vO2x|=!)tc4e8{xRaGzebHmoO9=o^~$FxiqxM zXR_N~$6#Lt96y>c{xB*LvZhi5qKzqI-G(Yr*vD zz(b^|b3XtK^WasE(5dT$SNA4|NG9!z;8nirG6!7!Uti);l^J-{Ls%D4EvYSdD~5v0 zrJ{N2L364f^XyRs~r4g(^d=mMcG=3XFFxmOQilXEFGxmoCyjwyE@;}J(n z5V(a!yr?PC(@W8R)D6$851MEOLwG|8tYx$pFXuYy2Z>+ItpKH*B`;Gzq^sml&2qHo zYuqRDrP}#JH8$;X%o#vCl4DJKLQdM?WO4S8p8v7*pDRC1>u{KD79~I0w{Q^WE%vBO zm>OpM)gv+o1uOm7XgOdt8!daHg=;AFh?Gh#j|_L;uW{e+j23>(p3M=FqM`Hx7T(YN zPLFq`w}^jBv}%iiQADLRbE~R%!Al`yL|qALHu*|#j)60(+Za5v|vCqm5~pm&H);Et(fmG-Qy zBJF`Zwo3m;<73VnZ;d%G8m|R6O)nU4@oRve8o`Z%pH8?0{Pd1=aQV|0ump&fY_5pU z+A1YhShH01jgnKzn}^B>-w$zBg#%CMRkch4;Z~akKvh^zi_Yo3`i<|g%dgFB8ba?fU^u<%97p&_d0%I+O37LC=hE32Ja)`&9u4?at5tmweyWye0rS zIabvS6eO1x3(+hCvD;gFp3J`i=sb|e@e6dVlG#XBn)dul3cuyLNPGT%?|RTPn#1|z zi{zUM*i{4z=Q87$?tE<|JF9;b0jop40^zf+m+sR63#2!s*p6HsfJPFDg6Y$qD?vny zCR?r}Km;h0&9(9<-6;~~pgi#Q>6ta9rZqHR!Yhkq4h{DRG((+1SZNI_QC1=3sk0Ws zYX``gMIjo_(R6AgWHtJJV89StsteE{yVh_^=Y;5;7sVjECL zJ`%eH|0&&nK%mvcC&i}r#!-qp%mk!FRjMg)>kf+JEsWbO+4OgS@QszyUn4ie-$sY4 zieSA08whs9T9}aY4L^IDha+YC&ES$yY`xD2w!No{U+**h7_@yBA;|l4&e37?Q5doW z?2>+wak~v*?G`&cFo%5v*)WmjV5J=nF4mr(CB<4NjKn^4m<>|Bko9@gYNg(v@c$8x zpXO&X|F4T$Nh7gS^_U=uiCtXoEspv(Vaq;9R-f^A7m9o{>0JM3(cq@g+fqk?F;QRD zD?NBf*)+!1!WfrFIZ8Ho%Iinnzrpk$!tP+9)KB0D_3N+SDqKYmy1&q!Jb&soNJn*= zlp#EZ`SNaH4!`M|D4mnxajIQ879zY%M1o=^MC6w{m~kV>Cu)lrz0Y+BcUPbx+qC{Auq z#?NSt+O@x!9te3eNS0kg!q(@B)Uc3$yWABubKuVwsnUzpxg zkLILfY_ngEeJ`!u^7NImV6#5g!Pw*VicuNh{b)#t;F4pNR=v}+bYdw~+Fx}uYX(Pm znYqxpUsioEONji6%6XeE#Z0_#;Pz)O)Z6)?W5t$3Y1$%hQnz(pS0n0>IXV=jM4j3r zy!aInbxX5E-G5v}<_&9W2k8FedMtgV_Tt~k6GX71onbwJ#~I2Q4|M+)IroA?u29b8 zUOhxoDdhu2Ft(%|nOpscE~?T_HcyU~KXb1DpP$Bzzee}kvSV!2YBCaSg$7vI zD4kAA>>j1tKlz&Ip#F_!;R4RTV1HzKTDFLy+IZ|e1_uz){R7DpLsYf3`t!sbO!T(r z8l;;LqN33NppK>gRk>;sn*IfE7m&aVE_g~oNz&2sPggvVi#nA~wxxE^lk%%tm0m(l z?)-+Jw1!&IP-2OW%;>>9P~rE9#Fj5pFnV_!y}a1bkg^rI$}XTb^EXm*?D#d)?$G0x zUiq&h;cWv}%a8Vc_|6B6_$;+aDCKUH$|xmQ4L3_-r6COlfSun#69?;(AT26c^}giK zDaVYTe|XMFT7a2b%5PR}xs8p(`*Nf9xEy9kz8Rb!)heOR{Pq7b(#N|o_eHEz;1t8g z$A=yaB@W~pB_HTjoAvnld&s6jdw=kgsk>2K=8@b$c@;l@j7ZJdqB*aB(&(CpTStkqFwb|Q&H-$Dh8<+D;gi&*oaG?ah^ zC;^)y))rwji35ns9VDF{7%ru0Z(tMRTwwet7gd`o5NUH5D*0#nKNqzs>Tik$n?iHt zQ3!P;ipF3qg^I~-C&G70)ZbibP1rg-8f@;Aw^5Ym^y*40ytR1pT{?zix!TN$7n3PE zSlC(++ns}NAl$KRi-2gVOfE=GJ99;UZZm^J5Kj5D z(l$>N8}WM!(C|-42P=UkH&UqFpx$|E=N${&q7LPQ~H}9e3#`4X^h}D zrF5g{p#vD!A=96V`dg#^-O(VBkLZ_5;ic>rr|kV^PIXQPlZZ#3@Cbh(u`qSCu}-a4 z2Fl-V*kdj;{Yc|LKXOHbj0V|5Sr1$P+$%Qf-^(jA`0@L6w~wl#gX^db{w)>p`}<+B zzr_e{38Au?ITLaYiiL{o0!xqLh{v+1r@rJ4imNx>W>4_tK>K+zATluF_^5z^#g)=A zx~($DS@-7@gzWneZilt!+k^mIGLhX)87LhnRL0z+0a4Rr)_F9d7JJ7l;AH>*As z8(!%dWqx{%JXX+H8Fp38&hlzG%PUkkmW2wi5CSk65c#Ome)q^6xkooFsai!;FHBjVX%8N0ma6LV$|WLUhh}YgBPGUR~M|_16~h zr~BLLVp-awKC-IcRQqIwC z?1it~Ty6 zM|3DvJE{7!-WgVu8AeIUVLLK64MfJOdzLego_mv&F8H%7YTuc5G?lUA?dl zp8n=7_TYZ(xYDq7_egD(P#LQh3<;xfJGrlu+^NC32c@FccDT}5l&EOol4uZWaK3xy zt%!e_S_#fpBxKm%$YICbYBA|Hn(L$z{060l+`aDv%W?8|1y?**dMk5-MgaP_0wpZx z$!Db-q>_?{jD&os^bv^v9Z^n1F}hk-|I(-O&rl+ci#kVdNjoe?q=7#e*&g!`zo%0;s?tCpMAd_Wl}8^aIY$%K)yj#w>G zYdh@XIP78rYeNwsrSh@%#zy{MjD^Tbb_JXX8?}y!5+G=T>G!e}Ss(Rpj|R7Q5_{B- z8UK9+QU4ml-y98Y?3C&z4*!O~$*=~7k+{*SPr*E-GD5Ig{RG6S%M`eWJ*OiMMi%MB z$PlZIm}V-m`lizucW5f@H;>4A1A)3uI>zIZ9{=?CX{QWz2v+)AIxx&)7MUu>SdOUA zmaoz&o+S0=`}la?pm9s`$|#c_g~jeK9=9Z1)*<)0wHkotGyYG7pWG+V>uqz?x4U0QEQbWzeS5%tOFM*ap3qmM}kYW=g;9L#7yV8qIXyKk zik74*;uH1_L5bb>iVO<>ys2Sz(BEk5Z>$bmCmDg0%&Sin0}}+Utys5GqQMBMi0iVQ zH%#|8RaoRET^vk%nu#7_{HR$qU$>o&QnBY`2@>0>8GxkP%!{ysN4t+$D?(T&`!|Qs zq$vlLi;PTp!P~WEvhI}fm{%a|IonzV7RJ#ut$gE<;e^6*k zu${M==M{GS5m_sW#D&AWtBiCI=Uy+vn!LXE#zcvEKGmy`>ZxHem{hI8o~fq2H(MR$ zTNv4BN$YCA-kde=unbAX`vAqvVK~idmlsE3o!Qtq+a%t!ByV zsxHxzR&}iQPOR6~nf}+64k?|WcA^n3QbATWea-j{E<-o0{Y>t?P#WBu#aNrL8G(8Y zD+v`Y=j8@C3B;wuflT_n#Y#Db&jhX&1v%>HV0(@amGQ@hbwq<7g%nn)l=9#S z6!^X!o$LptSu*z@VgE(d%n&?tuNmAcsjWMVh0 z>M8o@8V8+DvLs6!REqrIKIB(vNmX2ageEWiC`0nJ2i0>J$=R0K>oG#wrTgcbEF}H& z(KvY>oG&e`%z5l9Y%VMTaC3-4oB8jGQMtnhlTc&FubT3%0u{DvFUc`wp%t>nqiZ#5 z&o?rJmG&rSDBHIg@vHg>FoaN)b8iu*CEF|GuWnTg#o8@PWgu|H2vQ6YE9BjxmeVts z+d~2Z+3%+dwi<~&jEtz{rz-8MzCsbX(USJccZi|*UTbs|V(qzqydUKY?D5_};h6{zgER+l>Y~fP%dNu1mUN4Ilo&t-4 zp{dWFdE38Mlxb_G(<1!2;m4?h>=%BCepiV+6Jbn)$yNb<{bs4`rA_uN@V>QdXD^U0 z6N4h7YAeL;nUn9voV-QX!VtB13t>*K+@Y!mD|7PD+sCC_(et#(a!4E;vj6~xhp9oK zw>^vU1&W49A-y9O<)7!(6gc+TDL)&?vUaAyY} z?HXIg8gDIa6J9jV9*QaRf~Wck5>wwyC&^-^zCkYRnQCCo_(igZQN*URn5PWU>W`4M z*qIE`+c%r`lbDl#ii!+;juu{SU{1|Wu&fUqxnQ)gojfKW&o*OnPU4KMxtN|C>DAFI z4x9aO6QY{bMSK3+G!)bBBFxDX+H-eu=c4VP_Kil#eA&xu{zTS6|t*c<8kM$r#DdoybI{ukTUnn03bh9CD0EF>z&z!{E|mW;b-oO zlfE$HKPZsOM=^L~RinFh<5A+VxD8R3Jmc-!q8x+x7SD@siZqGNrb%_&b!`&#cDAj; zO8lWUSc#vxRZrs`)}=*icDXI`UKyZ7v5#FkQuYvy5iN4qLC@^Lx6_$#VV_~NB#rij zP@q=-YyM^?yX@rpn-DxtJ?Zf^S;~1B_jo&8l&-L6JG%?`orp&}$t33c^ zm@exx5bQ#hjhKluzcCY6jEwT?M3Zp1!xAwQXKSiZ%*5AwI?e1N%_bqng3w_1zx4X$qn1?e+ zJu}y*FOlipzTG;;G)i5`W+1UB-SmmQ;stYPl-FN7SnGG_g&&Bu4aVbR-AXBY8)qW} z`|)bM+b#|ItmH^&t|GRP50mfGv4sQ#uV|fI+%r5{Qd* z#vt8I(o7Okcn0Y&bou^GUV51cZ@}hs2;zfD#Du7k`CvWo%!eVV+vL#n|BLu8+4w^y zZvOYZH`t(WQ8wspkN~p8S3T6Fg_=Q+=-SjqF+m@zTY0jjrmWDVonnQ)MXb=VBNQui zu_43?-6^>bz2jJ+i}4y(=v%}J-I*p>p(`^tnS(MV6eTI^Md!U(p^ILpCaLHje>RDU z*hA4_6FnK;D2CbIt+KRC{=iuwB6KQnd19?I%!YuGqC6rle^2yT^wvk?yUXZ+$O3)cX z@i6k~WHYan%jA_R!~3a}JzMP@gJbCdT=$p;od`1&DG}uHHjliCun3=JnV}*u>^T+5a`!j-+b>Cs_w zDAf~OrH^BKn0!kTb%~eU#s2-Cb4B4<#k#f_7Hw8-R}!h8G@4tMy+e5X-VNNSl`9iZ z9v1OXw@zs<-YV>gT}ae7q7w9N7kN=vQ;5z+1${NSVwbiqG<}b+*xk7eO+O*m&H@ng z(j8dJh*5Qsua0HQIY`ye^y6~JyMrO?12N<-kar*TqoYEK!sT64wD2P%v8%w^q|{!$ zWkZTbu`<1nX5g53NgxSVKK5&q`K0QH@-?aFL zkf<8nkq8PVofZuq(i+59zjdPmF~rYFP?=X4kGbrl%YMF!hE0_XrYthVn&hj#1SwPZ zSsn=1LLbaRw=d0|oG){AW2!GVzk7xo`|onJd6z`3PcWWh>Yo>yahW!65;zThwu@m6 z2c(>rD2HzM`N}bfG)h{@JhAsutN9%Y@<|XCLa!!A!pCISe2|C|so4@aMyDUdWc%O~&v^%_~kBWqJjTdeV@74fA|z}(hDu&VX> zB%oo`j7Gn3B)if5q@B`eEFQd?ky`rWou|*H3+A!r@+oSCw>Z5)Kd7ZY`EGDJBsmg8 zh|oM1_vz64!kXy|6uwik*CV~5zAuVcH)##G+(RD>rj_mU>qy9&MENhICLNuhTYZmN z1@6d{K@&9r4P;79Jx946a+SJ)Ni}VhL8wGg+)5!vwVA}PFlZU5(Bg;>&eygiSNidt zKKx0($X^~Y%zR#5gJ*>v`$((qD?ZAx#TfEGP-F(TXfqq{lyt3n3GYADi1K0hQ%D?E z1Oo_-TT%+BqNDR4enk6z{`{JJpWtwLxF3>5@UtgBjoQ`Mff1*k8g%nw+`>t|h`T2b z@%(D)H(S+jiC^mV9x*4}KJ_|seUjrQDZW{}7MK7`i3?@PRur{9Fs85JQ5w8vcy3e?qMwQuq?XDj_$fx2x%tIFL6_CIHpZ?eE_T%2OaxUFLV< zz=cub6bvxLl3S|1Yk2A+{*I7Mz_n84wHVKFi3M6ohlnLXe|(00+jBt2AfEVyvyVj| z)m-ciy`(CT${DdG4atAv0)Zoh0+2F>al;(Ti0$^P1yc1OCAG=+V4Lg&x%^$QD)7|N z#NueIX8Fk8q-Uhu1iuwxZDa_PAs9a zZP-)I)%7WVZ2sM$85byeY@GIMrlhI@T*Ik?Q@S{CqSrZf6`Q~zg4;-4qz+#etKd>I zi22>q7s@r215@Fb3ayh02`s@LJ{;b_S7c4q6*nmqJAMNai!hwqLo*(b(EM8cz)I*z z!Bj6kek`4KMbe;9-PCkx&E4dquBwlw#zjjo!PpqKHnV|qliElxBS_2^WdOUS`Ez0p zT>MTcqzL_oX55tnI+>eZ1p7Z=Pq;cKy*wP;{B+;Y;y$HJ4wSRSeNX`!tNZD&t&-M| zHAL39eWE3wMXYN@AD$yk3os%qW=u9QFHp;5GFN5HAyhbThc`&LuL`S+9CILs{vB6Ldy|$z;9@SsO60 zgHOMy__SH&D8)#7VcU|bj$aJd4N^&APspu3B}Z26axb!5yhmamhnPBp<;>ohfrIib z16-Wc$`)e>FWfRAI3Ia};Rx*siC8Ndj#mFor{b29qH0FRF|4ypd|Rqe%G(sD;}HFuY#-(jsvqt@d@?}+C8i01twn%5iR;UqHd z!{Mo+a-aBc1ls`(`$f#{M`PepLWQJ+38={#Tl8E~LT9K&0yY|2?J)evevRr>dnFh5 z@@m>po}V}M(s$}87j-XoEHL#^_Z=XtdYb=EIWJ}JP4ybUf$BB7R`nVqAid^sDhU~O zT)q?8BE7~yOLq~r;TS3oUzKy0tU^=5e)I9CiKbG&LRL;2m$l9gh$f(`9cZ8L;4}pS zvt%N`BF`T&w5h9%DBCF?6Nm5~~%j27Z#g#r9JQ6wb8whGxR;YM1ieqktn(NUp)M|NOmB~yZ#C6cUc z|GX=uQ)0)i#OdeLhNFu`Q-%q?YVs7iD3ZhwhUgGw%Ruu5aiswHXW)+^z|~7a3h{TH z4#b$W^L;a+m(8lrCB9<)eWX%ajbW{iSPLBM%#E&fCVQAN#TJpPm|#i{(fF5yXB41r z>Gt6FbxUbuM5yt$|bHp5e=ra znG!x+bY!Vt*;*W3u4dFeWYk(IdSuwvv3*E9{h&$JiI1=LjtDj`<`$@wm5IO1 zhadg<+0xLAn-DPRL~ynC+>L6h)g4x7LWWf^5Si(sUnrWHzDR`wPwX$!RZlS}b*6pa zSd{6;C<9u;w(cSol}oD@0EvzttN%-2Ph8?L2GNV|0(+q2OUAc_;^+H?OXWT#4! z3Rc*UjmRPFOOEzJM29vLsXm#8DXX$R_%T)OHcQ-^qXFW2t6LcPAC6XG^LipjdvPy0 zIZIC}n_eb6q|I$Yz0Xh0yunCikMy*Rlr$ugGBDW5vc@Sqi8G(!-%bKE_&Fw_dtM{C z45dVARBK@Nh*L&kS`Vf&HazXEnBW@0R)w1=|Eq)%TX+fsnkVhs?ECxWgwiWQ!4=x` zcizSviO+QF(5N(4GzFBRgyByb!DJ@OLi{nP$R;zm!poHq5VVW|2y4-01mID*uwkv2 ztkBEYJKsN+cV{u87=<#T&ghNF8omBYWbha?VZHSiF%S~Guf9kw9ATryenA7~meZg3 z29ztorE51gi7kx?A>COpV&#lHO;>|ZyzV|%LKV~5;i=0r4@I)VgORMdNLINN$%@sy zBLl^NMbR`x5nB>XJ*0ThAGxA@VnI?Qt_tLxxxHpur5?Yo<_X|JFPC`!1wY_cd%wE! z0kT$G4)nRGLZex*X$?bemG2iwxkF2N!s1D^w|&XCZ{!6NObO)pfU%OR7Xz&k<{%yf z=6Sh^B;n6iPs=y4TF#~P@ucHTf7a#a5BmN{pS6@AX!uR^ztxE6vGkd#G%jJxvWX*K zi*K{r=Bj0>zxT@QjJal3Ed>SL_V*)ww`y+<#_zn@DRfGI?kDKzZR&xSNj!fLbs&Dj zFuXR}r~nhP7PGQqX?E8j7vehIJI%jKetDXm5NPt=g!38N~qsyBXyvxR=+> zD&F=YabftaU{d^Jk{Q9HV&5)uDrA#81{j=Ba}FDB`<3c_7U9%lS5 zK!n*Rgr-Evse_Zqy_6%%#-)sdNXZ?-ztNcP;k`sKdXQhW4b87;a&u+8c>a>Q_xL}0 zFZZ~F;d`({EaJjoIe=Z-8wdFRm|?BKcBq4HUO8=}^P zYYUJHW&4fb2{OD^Y?-?H9vo0E)1-+8H#qA!Wuk;%JjIAJz@n&sM>M#@@jehaS=DE2Q?|^&OA;R~Q5Gfsv16_w`he9MO6iK7TBrmpX5M`JsnRCPeH+V@0csfp!{tvj%{ z*|msk`?fS%`xV%tVTe}YCwKuJB)`(L^yyYL#_>KWzY*^PMp(nERNO!kZLckr+Y-9m(B+>kwuTZtFWt!(}q}#KKB|al$>+A-Vi^NBzFC# z1a&xuWDEc(3%Y&4r_nWD*r{S5_`vq@Q-h6$q($}7w z{B@ys!u&gaC}iJIKXd#`Xhl^rP_AQnUo z$ddvxYQX>HMOot?rE>aoN9))t>rz%vMO!tq3dUTb^>7|5x94!G3CZPOi@<805 z`(1Hpyz~QpDz*0~e7O%8&AQ2e|CRAk7qJ&4GF+ydd@3E+kuQSjLpVC!i@u2#KT+-64vO>_3T_ECD(8jD{H*!42LL&(%Gon3tqK{l}GgE&O%` z=k}5F0pUh(S$3$7`7|&vK+Ub)=s0MZou9lb|dwwfL_)k?>@9vQu4Kb2MtCa4L zx3BR??+mLTqCt8LJ{bHAR?W%+p+rasZ^g=D7n53V3`4O6;0Lqzh{ML@yU^`f7@@tq z9#nm8rO?AmQUslL9WJFRqP=XDiipo8z^G_1`_NuwZtjx=@~hsZDBfXvs&>_*H?Zs44r3Is3t6r7eyY z+8Z1^^C_1r`2UD5o;RX3pj&i$fnao2Y4^vvm>Bfr$%-jj@`+(h!kD)MwJx|^)&Vl= zjEG9>%{MJ9h#Wo|9g-OG!?3(&HSxk0Ev&WiEt+2|IganfLB$X`Q8aT&>-kWMWu+E zYTAN92L%<~sH7%!Z&*9f8EkD!t!LtNJT0Bt8kCt66-}_LcW0~bjOQKC`#RTgrp`Nd zhMC$kOoz6NCVU16-%(J5fCglf@F4~gKKJ?k?`N&OcLG>@uF0jWy}qCIeB96fxj+85 zv>4Vl6}_qgYo4HshW$|$V9mm%BWNB~z$k4i2vzwZ-$m>D4~)QV*R4w$mJJe%_Fv#% z@p8L*lb2p>(jnnr?bUuHLbp$7HB%Sh0#)gsb}-DgBnR}nW?30?YiFkN^RA5M!b>eS4ut)vUA?Va-}W+HX9 zraBm$-oPI%t zG?B|polOp-CU#J_cH84iSX|da8M3t7E>C}lX?~qg^zK`7TXq-8wO;xIE2!NTAG*jM zAAB}yDMk|3Q4I{KXW+hjW~aUlcioh`)SkctO@>5H6Yd&qc*ZUXjxojSoc`j-p-1=~ zZ+N1Jx&Du`b4O3iqM-ymX%I9_xjtKW1Rm;mde$}QUAD~qvQU)Gbv9Ue#C(Z*eUce( z&>v*}ile#9vW?bhGgAJjuB=pe!rc%DjB1C8`9Qp3CG-8PJ25jXlTXZ{-0dO=+uBtX z?swh6`upwgYMFe)|9nu>XHc5xn^i+lR?QxVzt@y;VUg6_YO~)Ua*Oan$EVcMXgr~uzo0GM?Uu}{3D`5{R;x#o44Wt=J zZx^;rm}!o{iaeUu#Ep_R7=6T&a=YaGKDG|9gjkypy7cVw?8G%o1YWd$-NiLk{xWK& z7HPIN`us-Vga}huX=ruuoFSCUc0FJ$5f5EVwOn>mJE=){pf#4y6#8(JxdwdPE?*o> zIRxI@zBdAwTr^ZNT%_;8F8*quEpa|&c{G7UM0=a?do*cOVm|kmA)B``Q=9@?#A`R{ z(wSURph+NJkMqZn<2@dFzX(8sNcAI?tfrFw5nG2D%IHcmag%n=U)&z2AgCn5l@{w= zff3d4gFM@dyiGm9`4aub&PrQxua-=c%dciH4&&7hg5C{$=_)Hg8D6A?$p5i=Lo;t+ z6;3y3pK3op)X^pUl+02sL6}C{@K>oxjHtq+tagmZVOJFrQuW$zU7jGOG_qTA-=&Lv zwO!TO(0oR-hJMbwU&C2)sOg@GJl5Y*{ROD>ewMx)p*c2Fw>)+!(dWpk>*i7 z;(Wj(9z-;Km`r_#ZXKBHg-ejSs1OW#bZ82Xb?9g^ z@^u3rY6Y-q06tWo)=qqpwNpiJ*t5b;7k1sSf2!)OiE`k?HIY7}g4vG_;eP`RvM9hH z%Lgf%hrUF#kh%OXw^S(Jm46BVDbl0`N2bXNCcDDfKo&-X&eJ^NNxd1 zv}?vo_}I?HA&?a-q;W*H>zP_&3Z}?Mfb+Z!8w#wENK(5`90OvD#Cqm%2dt6e7}(~; z4n1})-N3F=!U?-uiW55$AG*|@pGaLUm|*5J{0udpMW4BmKB8f$J;7nkcJb6-kdKBE zvajQMv^9T(S8WRrOK?v2YSz=s3_lR#YN(|U$u!)ZrNZH+ci?3?;N=d1m+%>oLkiU~ zMb=#ig`9Qc%!|})|KtX$Bxi!luI!o@-W|SKty)V_?GwV60YNX3eOM5b3*G05i_(2@ z7Y^h%{NXo*S?+vPo9LT!#xW_+nvBL|wrW18$-pUKmFC0pG;cUl5^X9q zToS6w9PTI_Bu+1#9~6Qj=wtRoo4VDn7OZ*VPcSYVzfT3Z@B|yI5p^}U%1(~%ai}5g z)XPJ)EHz2bq*1vT|3x0YHcy5MidbKqP$n)RdXowwD`V`s_O*5GBA9;stHd6=KY^DY_8~CN7Tw=Bw zh+pmP-{bAmqi>dcP40QqQ*|Rsp{Ig=C|ICTio&;J|GzETxT!+5$Ks-Sk5b#;@)OlT z>cT5|cGn-X|Hs;gz3QtENYl2Eub{e%etjkXNWi^d)8&QTT$N0@976ar=|dj`UCb?0 z*V9dj?AjXfeF$>ko?zWh$DMgCnQB@ykLT)%(kVJ^o6(w?itXwOHD8NVY{LsxZ05qb z$cnJoUNb#i0&E4^pzI|eZb+5~#-;eJC8Db(>8}%)iB&ff01Dd#Rg~REt*Bo}k8s;lLKu@mSEE3Yj>u_-m{Z9~|_)S?c+A3SRF&^!%+oR@LDiW(WqR<$(2wy4=ugY37e zL8zQ?O`zw5Yl6bXfT|#b`w}=R+qBfA>s8J^-X)W_**mG~DWe75vFY&t%1R$?%o!JU zEgOyi$_i?AkEys;5!N{x&avI9G_?rUnb@is>5-+_WWc7798L3wkIq*grYLSq#OPYH zuI~%g`ANU0nqUG3MFfSeLJG=w#ctleK1nEP^cSt{u1reth>eif^kd^#3meBxJaw91 zHJUjX%Q>?R!K~ZRx8w*!lYp?uM!^|)1ZA46SkI_#dysykKRk^HImOqZU4vH$ zRV~dBZri24?YLX1zz+W3Q|%vTqxz&;cWNlOv6a`2MxX?nG=!xNea}5XOk=%yY6H33 zsU)N@3o8(!)?{R_7kfLmaEcfJwk}G}k)YD()omJYD!qEW{>Ua+yC%BufAW*0O*md^ znexjoX)%I7YkINWz%a2Js{QtAe|IGe>(v#z8A>!W%46s4dQbH2l5yYTUvFHWHYGRN z&P{z5%Fco&89P<~PBQkv6Ss;S%gVu4<=`<`aQ3J;L-oE^2y|e*CzJ_{Z|j3xXMGTv zqCRMp9i0?Rol-9dC_A#oe;$4Au)!&ChCQpPyw$e&|;_T*eULC9Oq?t5it5Q z5(We5Iwayn*TD*YJ*tUMr|Y=G=sMQdq~=_GF1n5(1L->63FtahvIL?5x(Fr$R!T9d8w^*!t0Rprh0v8_pohK-WQ0`qOo^=7*K&I&;WtOu!RZ`b$Cvgweq3}ClsHe<;kun`!YW$Z+7F4Q_JgkDZWQXS1uI#7RFQP} z**3-kV`9CHiIB0wIFgL9V_m@5VG`$T#*TOMj2-V5ETYfJ*imeZ9ZP#mSOugVZm)p1 z18RaZRQt5l;vO3UqVCv#KI#s4O`g4DCPSRTD@!5l9f$emXj7KRvz#Cc#FJLB8i}D0 zKcDc9V={0E%0ZUUV#z`pH>EhDQC?q$EMP8Pp|Kxr+{;nR=)`Hqbs#Z*D%kWeVR2WerhY} zE^YO{3MZm_({)2xbJo!64s$&^!N{anc@Yu^QgPaF5{kjMdxj5*C*>K^pAF%6P#WAar%kv z7uv;>kaCHWO?L5Q>VmMBozpJv0k^m&Zx=76v6KDsfxiQ0A0I3;llgR4oClGe}(e}zNqP~wUv zRm##=?Mx*YC*9a4Qk|rKlv56{>!v`S<^lVs19i`T_$;7KT00M#m}-A*s68^h$HP3G zsaf-Hb!30RJj=Xj_N-DW-Aj#Sh0yl8rg^LgujvqT=}hi5zACo1^kJ)tn5r#8RnHD5 z^>g8K-G458t}hM;dfZI&ua?i$JS|)<@8_}_A1WWizRioQg8S(6=fmal z;)+4OGQM~KF30@29}~&v`|@104w)w->qSba5Qzh2w9+0L@W5wB=8#UzDrTbV&LeZ_ zr1X9L5jstbCqSrI1A8GofaS2>9`s_bmdCjv+Oz{?u6b+%RVGE6w8fsFD+O&jcD0PS$EiipkiS1RyF3Ut=L7_l#rAsi=t~vwyUyTPmF+O%0>4Tj8 zAdxP)2(2$e*FCHdnnNTLq69B;3`ibMX=sH*P=TkInG0N*!&;LfPD9Y#GlSCO#*B*2 zVRJY~18ja3N(5nZ^C#d+maH8bBL7L)yat9L6$IBw_t-o(SJsJ7!{*>G`H~pZbenrb zkAy6q4m~!Ah0*ChigZ9M6#)dQ{gpba7Ye~|Qg;kmvvTtRfznce(r|PKP<`O&_0m&z zsJSH__VEZwi`N5bG0N@_5jVjV2RWfBQx6Uh_c0Ki!dg!l;%0TAXCO^mZTpT4AI&= zIk1`7A%qv}^shhrJzrqdK${C~{$ugVVIsA~#OR%ODYQTxO$g zs*U9$_6{IfTp3#=mPPMFjXv8 zAef|kusb4M?Y00{b9sNCQ{n2dl+>ZXCSQyvjaAEhkQsvuNF=;$Xhb8W(Z53%V^!T-%6B?&m9$z}wX2o2a)0)wSV zuxq~R%z>ly6l~S8-+Mm4;;d)@MFPMi{L_+CWIDKw8sgi0tCH+$B)=-Ee2cYVDo4( z0o_}Ae3a*!UYw@mTN1H8Svu6V`nq^tvE)VeLTVe2tqh?ez1-==CGMp=QSCduxDbr$ znWtDiBVnzcb82x|vv(JOZ&%$2K4LG4e;S|;$9z5v#cp-vKxOZaY7}|3ndt+QeRTn9T9xM z(Sx6B&#nI^8Ze$79swc)iS5~ti6mk>%?}OyA*GSt&%{H(gaQ}(Cmx6{{D1kKNGAz~JdW0T9puptS-)s^0EO)iztu9bR4m85 z32%&Cgdc_8;VbC4hv{E$SfA}&YCD%=(p`R71O`wf)W5@$;E7xHBr8MuWqTq|@zUd0 zswfInkpbamprIMN=Hd{*l;094yunEs1J#5?ZPS#<_e)XUY<@DOb9pjI)LI_)5s+uaJC>k>QPH4tqqQ1k$T7b*ML@m|!hDzm^ zHJ)?FM7@t*Do3CVsJ6WbO!R0}1h6w@z)-*{0DD3XV58c`TVAT|&%i{zCIO$41K28A zfogj*Gsa1nsCn%tl<9puy=sa*F;QC%gRn$O^=`!ca&sehQNYsPFhI2}ChArt4^d7T z!kO}`P`-x*Dq^B;J%?%=*8F!!rgDvvdIa#=F;ROl*Q|Nfwi-~{YqcI-Q>`b_rl!N6 z<`U4gYd>kWWA8?vg^G!`EKqDu!t`v~6)oTW<$+>bqQfHy0>NHM${o@+x~v5I(QH!* zTKAyYCRE`ggg@dX&qeJ;wvme9+GKiCX@IUJph7z8r9Ilqi+6d7Z!^vI(jJXablRru zIHM(0w*?|^@s#ZcR%*H7*+mfEOYqbbzu5B#PlM7Yz}Fg((WbsYw=FRh%B0VNuOHE{ zrYeOoP+G2(c$oN}B5ye$_2mkckoCU7>x98;T_jD6E^!mMwAur+$KWHr=E;XOS8Ghm zkR?eNj@jvvF?UQ)jU26XT(Pa8pe0Gc%_y`-_f3z?zJnmI{^w@hjOQ@w>}7P3HS+g% z$eI){is!1yO3_b|3|SL#KsuLB%@5kD0m$gmDVQwWEQo37S;)F6K-SFxvMx@@kcBf3 z8f)j}z^6E59k5dy+CC?;o(W=6&a=CxNn;%+!y&^dX$huH({Tglh+4R;AgbL(By)~lSbk<&~R4VLnr55U~!y2}=OFUij zd9Kqri{~O~_}VOtXrSxT-%CB6sBNw!Vnp_GB&ej4Dvq&>EmumkZU*d!)#MQIk23#D z#f&mTfpo~zJ;+laYDa=hsj&kt9NF%6ZXi2xpcFdu=d6HfDHpS^SYbj}J->WKvUZ1s z4F8gr3)7o*R{I&3LutLsp(N7|J!dx)5c;CFMCx-MZ0+-@YfXv!7<){dE?5rW*(W*1 zY_y5viW1(=R%)nS+R_ts{rN$y8w{}AU&cdTEiXdGGi3yF4#mfX9F~GWYUHiwQzL&! zP!?)rU49NV@)+md0pT=~y6Vn;D**gEwn3m>lbU^5j&$C%$Y@Rw?W_-(J;=FBiWLgL z#1{H@@Dru26gLC>JJvb>j%}p;xRZ7E3ezx|=0yTd-`7uzyiZAZ2WXMe%|wnU1_&*( zYB$={5olW2Z$X5U#%#*#TKt@daejBD<=d?w5SoZ;c%2-NB3Lis|p8 z$~h2y_GJyFT6ppar>JUULLf2ft1dbcABcsV)t`B_p!&6*s#y80fMGhVR9tk^T+Fl? zzyh^aq?g#~tF_Yb_29@`3KZ^@f{Y|_u`Y#X8!$y|=J321VZOz$V`Li(8iq?(L}P~J zxARpB{zz{^X`>6D=XWAKEx@IBATS*+9o{|-2c`L(?T<;{3o$8>v;xjCcmeytQ-?^G zgGjg6zb8a`)3o$>p7pXlOJ2)i6f!Pb6(IA^lap03-u~zSjJ{90k`+r>nGv5%x~3q~ zG(G38XXpymBoawY>WKR{;hz%h?Uu>`N{8U>8dZONe}NN zhTrHlW)OKqzJv?hY+YyqnR4@t6mGmT6nk{`S)!8UQEjtPU;VGsb$1uDe5s1{K31lL z>lJ%zh%r)Y*F@%YmO^3BsZWWgZt5bm>n3j|mZ4EoJJ_H7b9(;e5)2iux? zm+N=TE}f**P7D*{f-%rxW^Zfdd?H}7j~q)5`;c`bDU= z2smQWC(z<7&$MzhBoNE|)^;3mWED>-Lrzr3KB3{iWa>KF=N&h`R;zrfz_#kQ75}I`f7>cHMoOp4+q&%Qu(aPnu ze^e3AMUNVM5uChGp^8WHCjV0Clz*ZEGkv&`k-stXGoA!X?c6d^c@CyL^KcdKoO_#N zMZ+E8f5C1$`Cqfy9ox@S*}^*yQgfM0dDIT55Kt`A7U#J-{IKvY(+^0!uytSj=S+Fj z|GJ-B!>UgGO>iraUG#vtK(j8Z>M}`IQl1$KS<{Z~16w|PY(@`ZIciiobZK$pWOgob zw>ZW}X^>x1XJOR5Vq3?Zcb^K%YU5$be>bd$T+ejmK$-9S z%V&AZ@2cD@c#0W45CWN78R$ErQ zt9u!L{L@-yzs?zYMfABpkfx#*f29MLLk3*H*1b}F5w`9F1K7GJW&^(NXjPxs5ue^G zYHpTy5OsG}LTb&PNW5hL>l{izW^jKg4BrraYh#J#bd9Oj;i}`xQiW~~gG{VD(a;H3 z_eu@^I>*)hA1C3xx?Y7#G$`3 zVWr@j`EZhW*B$k)+mo0`Ow;&s%Tw*LE|nX%aPcV=L;+Fv6j>W$N23c?bE~miE4orc z=rx+bXuAJHMW=KsZSU$L_WT=-rTg9gQ38|r>LSKLJblCLF@q^z^**t5-!M!&@ZCn= z_#u-CGV-u^7b_Ru7=7bLOm}ddEjTduu#Hq+6S8!RZ_&T;Y?AH?rB(n((yej@B;6O8 zPN&g|y4oDwGbh8(SfkHy#jSgg?l6%yur6>l81pXaA?*VddH_w|)_nm-_vqZGGjy~6 z0RRd<-MTC9Zx?FIrqV6(=GSuqYlI?4&}(X56I6n``GYRC09eXy(%8-{YeinbMURB z;pT>#`x>GUq2@mQlOtYwQjC?^qZhBLx+);%J^;yXdQycNPz79FZSDSsW_m|<9#-Gm znd7uxNIrM?srYr6L^E(*uBp2SJr{JB2cf&%JFIJr_FDE17qQJT(SIHTdh$~wW#rR7 z_y;KZM^r}teN`}cR96B?@nNl9*J;$6Bb;k~712T)HGV@&iPn`1niJ8g^~PH)+3{T4AE^t~@p`&k`dNDt+Fj@r(G5(n z-Il&V@Oi0*mLRhev6Wu5hFwpIG*s^N;$jt5l-g6(R`w;Oj+I*(J{&9eCh8wn?)X;L zwu`Ja&dtg_S($&_1^7G-VCANBd+A4sJ%*F}fGS{=>I!cRRRh&DmVtm4T7Xn3Iz|Dv z7V0;va{(e{jg8YQq;#4lir*c?%G>-Q)T8~EC$7Imv&P(iZj@Ek+;SgHXbmH;<<<&&d7 zeTCXlWsb0K*OMwTdVpQeQzoNCv(?+S^|31uqB3ULc(Y;8WnP4jmfM=@-R*e-VKi6fv} z_3Y6GBcj!Z;=|VGeuA5YIRJx;RKqq6!R$R1^4lu^Pgt0KRLol9&dTT;k0IONI0#3Z zadj6Ss5{hf=5||n!^nOHkC4iRf99yV8=+wrRX3br5Bx5w?t_SiDt~{Ts{2@#e*jm_ z%E*DurxzSJ2UYhfGB`lheXCJ*?>{$H_ev(xN`EX`xVj-;#U}w(_v_3a5-^Uc`(gG9 zsJfRJQ}-JIQ@0oO4x>EWAf|5FpZi+YF5ykaKB0&%>RM(@YlgCR5wX;!2>j>(7{W( zIz1jX?f_Q6#_i^lN`JK2xM}ak8jw1g9N4(0hgrN2KgBA}yKW`ifs+(kh|e0SK7T{r zs+JFjWyGs!irf!}V`jxO2Y$TwAnlOsBk9DlOe+^SZAYTvbO8nTl#qgZBF8U&a?)?- zBfN-=efszYu+tO6_+eDtBfCD&&zpJ5lXHSwp8TAWx;g zdJ7~VU*QNzo<6BpX%V7|3+4+T+FFT_IEOOVf#5LoZhm4mOc|9|5^{=;nw$d`A{|NA zt|oAF%2%Y{!s}r$(Mt5U<|rjKX;9T(lpv+Lcn zCk}Xaq8E*A;p4$LmtDj~y#2+sdLdcfHf!OrHQ%t_b<4w66-seUN`1GSr!h5=nzrfb zW;f-#`ubfh;Ho`nh5_~dTR7YR?9I{VPXQwM3Zu0?t#&1xRa0EGzaqyeZv4oL>@ef7 zU1fo?EJrH-uiO>}b)w_iVS=b@^J%el8S47(Gy=u-(0J-`CWa}KSxGojah`0f;xHH2 zO=g0so9tM{kHIQ#Z#}3$1c(gH(?Yc3>+9brq!lL*Z8S$KenXyCTxH}f@~U^V;tmP5 z1ia#gOZigUN{_ps88l8FKU4@dtV4L;WW9A__B_<$UrTBv;PAjrREn(m*@}7rmiZ;o2@F*AFdMM8akoH$bOfr{OzW-UFV$e-f>QNGLQsLYgj@~>F$77 zeC&lrU_9Rfs`lNLfLkl)k;w`~F&&9WGmbNtVJAe9DQfX6nd@fbf^!)rOmT~Eg~EH% z{3I2obsyIB*x~#`oZl3R&|P{6N^;o6KZG*b*u^n#@Z7v_D2ph0dU^_rgk8KgU>8>* zt!4%ijAJLNimiX_j|qvLpXV2MiSLbHT&*O2acE5QMUqDzl|(uYw63Rgi45ThFf&%F zVI-YCfU~7l5<=RlS`6dvm&CcM&%^vifK7rVVkC+b$+(xUo>0ATNRDLuR-~ddKGwhi zrW7EOz>*-h4)pYDM>2kBL_sh~`rCJlWL)`Ml}CLWS`>Z*{&;d7u z9OIf1)hmo+oCz6@@pjgQ#aMa1A5TwuUOGHs5n^7>06!o|EuE2`Vi1I|E(@^+^g70ZV*C> z2{_DAjPIfEh7{vlL{72!5IB4QzqsmI?G*Bh_l5<;0FxZHo=K0GV=jQX6bpK%6t!wd z1$F4Vs|jTLI5})1u3=&9todle4!%D0BdQdQ)QU4zv2DgLPC91si*JoSd+c5;3aJ-6 zRR+>rPy-0PEz#-|a2-K(6h6@7@hZkzTr`*n zU6m)TFbJQBZ4TGfn`ukZ_%s>1aqTwpuM663E$t@AXr$tA&;}C6jsM1vxSJ}Y4O7@z zGL=F@KQh%OU)aS_G&j1ng#lAr9$&W)&5fm}u;jHPB#lU53Rc)POk!yi2fA(#ZE?^B z4wq|zj!Hmpd6K)_ZroB=afn5Ia7DagpWdj8)g#=TAFS*tR&>Fv(jeE+oBW`tOYItn z$k!C?8WH*6?n|Y7PTMa!z;}qva zutrFzJ2T=gmE~1?41^KC;;CNhhL3D>=j)fS=kKM3nASHityjedB?z1b2_#}GSVga8 zJ|7dW_TLrB#0+JJ-xVPKX2QVjC$|zw(;Lm9AiVL-ZtfX#8mO3r4G(U4kl`k zQusgQVbfDpdvdR)dfu@24E|G2!6z~6Cl4f2iw~=o=rV$|u9g;5yIP`vVJ&gKuG`;e zw2)$l#Q*3}nSTsx6*g0dxmE(wk9u%xI5V>h%0!ZJjspK;Wde7;$TGa~+M1E8LA(!*s+f|y8H=M;o zu9u+AYFuH|&Uqg4k3$}ET|k5M*m?1ge=J_DKZjSV7irV@x3tp|jcl7mPbplqD)Lbn zF4`=G>~l&wh>M>+BANPTDdx-`^(eG0J?`<&sBOLgOjGIy^N<;|(tI`=3a{99k@*{h zGkzj99<`sjQ}~c&$ys1CRSR)|xrgy{XPm4$7GSWy%(&j<2X?TGHM*BBzn#7{!=GJR z8jrjyXUX-`Q{ziKby{T$9r`NZWsk#Zw+JsqjRGRCL1$n^Dfu>zizB|dkK=K81 zSq->qF^cQmo}Wz?KU4(Ocn`a$XxlvmWvR7Yyu%rJ%V7d7!-tJfC|vT!5Hvj9A5VcG zNfju-?KZYG|Jr!uxLz3BZ$gfuvP6lzn~1zOBQ?9UkEjpw49dm1*ek-pQg97#Vd^v5 zwAtp-pq7yUs$J$Vt6i<3*aa3`Lf#QFuraT0(kKk(T}<6n)5WFfN3yXq(Pv+$YOsxY z6^Aj?_Gl15o6|FsUSiG>MAryi_VKx5E?dw4UHpF%LOjQefnSAqo755n>P2A2m~^{i z7q^ELu#3aApO3~v{Tj{}aLoay2)M(Gg4nel^?p|5UThzhYugJQgy9!=9Sq4S!GhYZ zO(piy|Fsw^aJTw2p6dtH+~jjf__tzb@msyxcMOnUO1}*qH1Qf+;bNh8xy}vKp89q( zx8g9?p?++OZmLrIdbIX6p=#fm#+Ew5@UTNu^=4D|!x~53&-GykR}1L=cx1}u;P$Ht zV)0_xuycaTZ}d|2SJOM|FQ@hUpR2!7p&bghS3I>jpxGE2(6|A?_~SspRe6T-r5&vl ze_CW|hw2u$z7Ew|B6X{XM{kusz%^)_%-rV~#@FMrc(FTGcL0xJvsCIHX6Yc#BWQxa zD^2I(O0&*7IA9q!e1LOE`iZPdZbQUJ)mS1v`j~d`OB;Esm>wbSitD+?@GRGW?5gB2 zEn?fPVToIwX{W`>!)g4|K7{TC$zrz=@c|j*F)p{B8&7}TXuaOXJ4YTeYD6r+J)8Pmf^&7<(hWf} z-t76G%RDZk^FV7)o}FMOcgjr&N$=-$_->({1^24V4a+pck5oZ;wwvK^g`L1=E2%+#^7M-$5ze5%@F6}7Ald)9=t3t`;O=0WNudHfAVsVj-7m$m8V~W-Ke-630m!5`3Cnp5?JKX$<#OC`uwhui>p6`O)caxUzI9gXj_Q0Y>UgbZQWOgokgA4 zbzVY&c&^O;#%fJp5OuWRu{}@D9^5bZs*VtPcK`k);|0##V&qJh+^&{TSqb<&2g$hl z0c|Az?bTZLsLOyDLXz?AhGoz?Q}QQE6Db8W74M+_}?8Nj`08$v|}*`6?`AV5?Qv4wO;(<=|3hh07OphrWyJJo)E$T zOTI2)O#oX<7n=WfaG$Ovn==}s?T9=&T>IBk^357`79pa>TgZ7BH1_dAAiHeV;*v ztzK-an93K~MQ-^4RFHw!9U1zvJw8Owq9=E3pq?^HRJ9vd`7XX9;3~I=LkdHAjOyHD zaiToQ4P3&@9sRjT5-F?x;^~0jJjYdjB|^{feH!93vT}mh66sl=S5T42%7aoxTKZo-uB;{Ue_&A3$g7WaTavO?aiOG%Gu$uoxR!%s@amdQSPt#a0QbaWELUA?P@oYUQ58CY-yf~O zJ3+t2KwwA$Ee_Wj`0-B!%;d|W&ri||i4P@0lCIr&M242sWnUcxNFl%3<2IPq`EcTUM$q>q2U0q9Wg;bz(y5CbNO6SZYIPZpCR10ME8@0A`DEKyji@~A z2)W(?epP+s`cl|E9U9XZQ&$#7vBy#i>LWr4_v^9gNjoHHJ{_YdtoIZChnhUcM{diu zU8d7ee6bkhz$hxRsCkqKCC5kpv06$UKo|uM`BqKg>MO=aPG%bT$ek`1(2~O_`s$F6 z{H#PM3UBVAKkW)JN{%zKAmrF3LKz0N-`R;!GL!{g$y_3ox58c|R^xMF6nSb-ph#gN zln*1qCe}oJoi$O#Ct;5YyJpy1!@d^^j{qx&f<~!aD$tq;s(b?$cjPr~MtDP;AY&YXYGg^hHIWy4_UXgfO2)C0>2cuUKH~B`^5sx>bH|1h z*brG?Z%Mh0;QT$}>V^%{d~LGZW(puX&1Y^0n>EHF)+jobz!OUuBcG88B+oUFR@CPQ zvbH7Dvqp#f7e5FWBKM|Ch7##elUlq&{Q~kb2WE~{D*M_Y6k;p%aMWZg9M~aPM||f8 zlEZr8z#;hC?tA>-LkL}s%A^)LyB`;GZ<{OFhH4_9V!I!^7GqA2d(CFTe0j_kRfvw% z3~jQCiKl*ue*6He0A92TgAQH_%!tuvZlWKmYirL8A-4TislpGn?~X5WBk#CT{tc4rqs+| z>rPXs@?*Ymfj&BL-pDTR`irm#xmV*8423w(VU#Bay>PR;UhoSCl*8s7#z{b!M-o)M zyNlEeSIs&xj*q`;E*mnP&Ayt6f7a(QyN~~T<*%6v_&E1+-LFa|DkjL(q9~(=KG@Iy z-hfW1K?&7{&On+UW^P!aAlegcI>>|3>OAofC@gYZ1-PYz4Xo!?Q(-;d1?%~XLkz>G zmJZR9MWvAH%8K+Gc^=DImcb&uTXexM#&h6YN~7mT@x={&x7E+%6(9GFqrGo=hBtW@ z=!1D?Bkbl?HCTfZ&KlH=HE0cdt%Y_2vp{nNldkHf%&tnWulEG7#$J zMZLGN5tV<9!0F0{53fPTJ2)9x;mYs>SBCNTqqV0Db=Q<)s=7w+wsm6ww&KzkQ8)31 zEY#;)D^!I*)>TG*KKOB~iNR$QMbzhm|5W=_{v$?x{t7~!Ixl+jO8(KHe$8g)!K%MR zswuEHS=!r|kV>LHhZlouh-boH+x7wnr2;&xSzX74uID`fYkJ>24LP1@ZE5cShN>$> z7hGGR(w=SFlQHzU|6(O@?qE16Tm*UIMMj?Z2>n=jMWH#9GG8VY`YQ(|7E}%J z;j!R&UG8rL-QkY+3)bxHL!r$KEvjsm-t2qg?LG+XBTPNgD=M`YJ4R5gUFs6Ng!59A;w3=UxWDOe--glC~v{99uR1cGWwt*rhG)qb~~= z7?K`(wZ`-&yUq>PX~+xJ(kNb!fzJpF_Q9Z7ezQC;pfuzEmP#KkBV$}ppufu(^a+)R zmnjS`p9BmpD4}Id=`scv+|GwP1{WAnumVoGB(xVKgymsyIbM_U3K?7=6~HhUGPr0l zTt*x1LYBZvBNMFMq>tj4rsNWU&+P_>u+FZjxd~ zcd`(+J1Tu#!&WuyB`eb^00)M-iO28~e%JB5T%>T?Sr@se+FNbs);<}ZhzEe!ys!Qp z)R0f$uGIgbJOz#DN|h#ANNg^0ND-Sib65Qi%o1Mh$DV&od7MZAf0UuEaW8SFyF$Q9x!%Yz`RE9 zgL-v(%6fePHPxfK6|O9@<4a9EYyW;Lq9b=U)8Zb@7c{3H;P5$XLV$~q_yGiw&Z%@J zR@f%|7SSwjw?j)EKRe_Ne0?j2yma|#tHcW8_Qxd@1ePiDp+7C)HCK`P^O_&loqXC% z&S)H|kcrf!L0$^-7brbN%4g&+EUfVSXNo!K`l`sJ4spNJ&dHs2{sxGY=2QUsSRJ@|R6$ThBQ1mt(yCW1#ko z;;L&Qf63+eW_UrdaM+Q*AfJ@~hq(orCOv1K*L;R=Ng7wn&fd#BQ$YQ~*O298G!AsD z&q4jtjLXGzpVLH4==)|A&$)J=BCE9+RBHx{!LSQSE>X{7ShU7NoNdy+aw%y^sOEF5 z=F1%U3(Ie7OBTI2IN%m`HmkWw8OLf~iB<(42aN3eFIsadz{p>$6YS>8F|y~$U*L@t z`3vv3DIkAwa^`e0cDe@k7VYKNU1Ct&%=#N*SQ|OW=>D|k((x;S*GcAUs5a~(=IxOD zWg$<4ESs-rO!?CW?KdzBq71`)Uu=&NUc~btdW}inq+0>tm)ZJ1eN zwyJWLVP;|1hpF^krQt6jvIXD!0`-_CyP1SWgUHI;y!6P65{y&D-%Az>+KVum_?V^4rc6Vf`bInMkZce1V zaunAL@#;1fk`!|YPMXnYnrTs3&Zh;o@oA~0Rv-p9eC3TsG-G3S^`nnr&~(Hz1txaZ z9n3aOMxdD_wm%TtELqIN9#-#anb-xQxJKukiJe&d=A>WTb?^D@>*9lPZ>1qbSH4Py z^+|<>b-BO>1(QSacQk?F6T8IfY0|~nM^0(Bbp^z1y)myZnxt<9;L%?C#f)L_3CNP2a_b*QW1Rjc`Nm&2nZ-M92Nc4$_l1C$cB;myAhOP~2AQiGxLSHs;wr@Q*Xo+^s91jV z8gskW&wVu6dVHhC@l?VbFNRg-ee;G|@3ICR4V9BL8k~TV0s7oR_bKTN#7&M%Hu-f? zuX5gDYbAQH29TPP3e%#}8i0Lk0L@l(PAz8KqGo_V1{$lPNp>O)LTKxfI#7r25wRJL znRJ7B)*P-o&;XyS@;6pTwp8}MTNybaUM@Rdo2|wZygIfo`m8E0>;}?IR;)!5wyDFK zMZE8j^Q45FLg znRm3aMa&uVxWu?FbyUvz48lxSF{Zud(;$qOn#@Lwe_VVu$I(cg5=pN9DsiX@vj-*9 zm69hw>}Oc(6KMy;e%0=T>BWXemA|^uKY?3pab?8`!~kQN3D$vZB@7y^gh3FFS@xi7 zZRgrPBXwz533bh(`ge#P6q%x9=asBeL3m6KA3~8`LC>pDTn~#2e|))%Ijl!B_BH$` z_9y-Q40>aW`i&|*y|h~bjG}hySy~n7W04}|BZOT1&CqUpjBCj-A>9eg^1{=H>1+a* zWx8rJNz}2daVl)v_`qc|muh(oGO=V7dO@H1GxtqkYX*2noQR5<2L+&cje@k9_WBGn zqgLj15E%4{ zTuFg)sYdx8f>eT}K!?KRDBoptUGSzatMPUEtfW9FN-1&3oamacN&-mjCxK*J*Lc9^ zp}=R?f{vKHHAhVDnp5z3%w2(A1#Lc@nE`jAWQOPO)&hs=08^zDDu`mxGlE(AmF<=q zY7gjHOl{=x>!cR2XXc2>FA31I6CNRY)?NX6Zq5^v+nAB}uJjzTcXeauVoHh7STH9V zF}beE6O)VH+la|o1JmQ_*3qV3g}k3vME6$)ht4h7V0!HB>G4M;k`Qfp!P>$&;olWc zdlx&8R}usg|Iot~&btCUu-t_|Z4WufaSp`0os_|+|F@@S!Ef~!_^GUZ>^k|3R&1$>yxQKZ0s{bzxwJYr zOVB%y-+*S~dUpznD@&$W0JE1<3qW6?3`4ouR-$?OIkILw?s2@=GTq!9nr?7pz1tjF z7X^;2V)>IrhQzprvaMir_`s1>0bvehcSFUANDUoXg%o+eb2CkUBg{{`@iF{88toz{ zh;%d8IoDS5)2h6DSNPqEdpak|9=V=x)LJV**#`?ywmGt%6J^sC97TOfihg`ropMA- zTKtv$P@9A>f`bX)KCTf z0V#woE|@o2>XLGv+9s|b>9;fDgeEPyxoc`ug9ABT2!lLZPAY+irgzo`ZB?EDLC|f{Z!!DWaOg)(nE8>6HI4nz*QZOe{OIogrq02DyLsrm9y`v+*LJ- zY#FBVY715ZhKvyp_GEz~4#MuB%S}DZqP0^x4-W(3mAFwgF(< zU!Vi%FIllo33gwQtacvH@MKao%C2-!t5P>#zHdR|f(lezzLr>t5>N^xYHU}3O)7J0 z__JFUoL&}FC~+(ms`wy3A*chrNkM}dzD$Z2@}NYYI%!vgk*d{zda3@xJU~v7{ixz9 zLD|<~xpXM|#SYyD9PZE^{*g)_x9eSi>`lmF=0gTz9%Ppqt}b;TdxY(b=t}^95)CT= z*&m3p{Ou=h(bL>h8||q!t&TD1iYXvju{G&mYvF6}B>n5e3=n&}{{49R#|Rpt4~~KB zb7_DiH6)}2d7A_HS~!rXW`wHE*ud}}eS=ildV5l;>@HJfzrvl>^dW}!OZE`g7u?*f zaW+{%HJp!wF$in933<)euW=V+-u%q8ALn`#DIx0C19GSv{Y(Ms*2^UiUa&2B?J&3T zQ=(!%+W>XXv$Nz|V+-oG$~r&lR(W!$dwROWQgQ0j=m(a9(*zG?@=_&N80t2`?NIkY zgvZfOLEWf2en%?K$%eX*g1XPiSAIo-^q?{GsCz0UN<=_*qysy6KGZF~@&TzhKNWRP z0(Bn*b&IcjGcE_F-`R{~3?rosb-(RJ0@Tgv9O`y_<#9}IYS$2T zyC#%6z}k~-4Rv3hN8M1u2B=#yBt+fB<(;I33Q)I%`gu|J z>6-NTFGE-!>a3kG2~oH9`lIgCOsGl+q#;6Phykb@J8=Q()-^)i+einrv_~A=O7ECm zrr5b=zBxqQ!Q@7b^jD1ZN1j66@^k{FpR%{7$Cxr75u@&E!#us%qJIcB1ASir`o_Ao z+t#;v^nDETrc3^pamoMaW9BsUGvpo8pmQMwWwdtMOBk*9GxKUcMBg)QCJqaSjmP%$ z=v&t(BPExBzDJ`$!bF!Bx=DY^^`LJN$(l`f;Mw}?fyb*%@en(DF7$nCG5W-+*oFXo ze^3)??9_~+ty)XrCJu%63n&ZG_i`S;5%fJe3@C$;37dhVl{Dw@)zm^^AeqoN#>;0G zaXhEEgiznSN{Qr3*WCrj>=|^*kA3?dGS!?Df5S2B7|sI#9!8So8P2=0`Q!k2z%h&2 zV}*T7dZr5{Bg=Fl0+&$gkHF6gB?EzPEDR;nRQ%aO$sS-n{Z9=gi#9G@BYyK{!pXWS z!lwuN>`z150=T09E*NU7e2llB$o6QqrxxiCs(rEmeE?e6%>U#_=J_804>avS1p z-JR+v+q`hzP(h4rKET6OS{-zX`Yrsywcg{C-2BdEGb8tYMBQsfmH0H zyk0}|aSRQwRwuiXk+uT8GL`~?-^hA~4}H0#E5Z*-(=*@7LL&MNbl>R0|ITha{bb;+ zQH?Ye$i*Dk!@|ujMuprT;Nn>D*#NEx(BBon-S)&yUb>`*<+?r55um#X9#7Da06iJO z4g~=^;C5U6`ySftR;}}JKqjuE-Ag+-%y=3r-lU{IynNyT?0~h$<-}oLu15bUyX?o# zF1sPL%ZmBK(%qfX=c5!p#S+!nWI~1T^vHOUa*Vkd+eSRLBQ%Cg_s3j6JvIAgl5)&Z zQjY%TW^3YVb`w{N0-jtysY=f&A}L38Hg@>2FTi0$9?E!R(J6HU;-*fCn(>srEJ<=` zrMa^d(R0g`=B}B;i3UwrYeBT5f^(P*iAI$7vsH*JWNYkU=0>Swq{WLjoM6WKXO25x zQ@RGTX2?md(C9dvltey%t19i?KzD@}eNo#cm4iSc`2(XeTA3Jjg79psc-cd@>)Fr^ z%d0k$O_BjFhPuGr_V~XOrBq9x($a1f!6UDek)pT8`R=jcC40CtmMNl%SS~v(3t_!Xe+fc&VXO32{173!B(^miMR`yX9Ab3&7XV<9<|0HtATl6sX`Be% z_qmB_gRg)P9SOfGaucrk@S$I*(uR*OAhgX~uLof^E`y*{eCP+}T`ryEEsmEi+V~Je z>Y0D!A4KCq%{KJi$&^it$9X0qn~c;m^S7_bzv5M+y*bad;kQUi&$nl>0M&QqxzNAO zQ~bk@1bxebW9C2+t$G`d1XV!hGB~BqTY~R$FL2dx7{gcuKAAh9K$ms!bt`H>MM&|Q zZ6D<@uSc={FuUg|bXeHTD5L6jYS+vH$Ag|U9&~J(O#GQ=eq%i7ZOd)*1EWEQF-oVp zyHvF1%#WZ!pP0?8?_{$jQy~`gEt$bkDPub`2%UNDeHA!(%N}DtAG~9oyr=K{mo_%h zH~A?xwa*2f+wSE85%#7rke{FbgI)8)({pYpo|g9Z(2BoL6-cnS_A&;e%n*qD&;PF9 z=gFDh+7NK;T$#UGoXx%fcK#AF-RwBgH?El2JaNr}Ep_)bEbDDJ@#DvnAnADemgRq| z=(Uo!E3>xq6ztV)s5OzY}Z8aBZNa`_QUA7c^^l|J+eDG?%~bRaSygc z$KC(S=(w4G8yy$_zoX-(J}oh+m5>17WId2?yPr>e5FakO&IFxGLnFXePnF4n^f$1_ zGoUr^N9X=SpUq_KXKE{a>weD@(Gj(j@lA!0f~^#@`4c)n|oK{X3h?fR*z<@ zg`?Oz>n>d~o{Q}Qy!*fKO%!Z{m*~qBKlI$ceMgr))o4B-_Ct;r{{E*wn9n7C=IPyR z=3CxV6p<|-jN)Ua;zT6BMJ@H>*OBUZ|E2L^@Aj@NU&f*o7aP&$+O_314ag{9k#$llSWSwl-J;Fcu^j?*v z5;6&y}{38JMr@E?lc@c6gy5L*dVfLFxEI@RD$6li0L~(S%S9Co3o0Eeqj-&Fo z?84izPB&$y>m12#X+n16!$^EZfuaj8;&|q(-{4U2^u|-Vd{S_P(ncHib42U5VfDPy zo>6SiI38WF(N0;{n+3mg`ojOUw1oF~a37~;ivT&p$Hqh*b>X+{!--kTE^k;{*08ZG zwk^7#mLBL@mbRR`l@J-IqUp+ZJHayb(Z4h>t)x@WU(zqysafTtf|25i+Y7I`!4Va=OxY!)Z(M52%I)_D<)yHg3xe`4<0v1H zFY-o=3s6&s=c_FcY5Kl$p4IlPu zG}*vS!Az}Qq)Q>6d0N>5hl7zu@C@Cxli%v6RLs3>Y&6`(kIMN^6qQ96Oyp-Uybff( z#Ey|U&wncWy=dc)jVJP2&&g>co+?v*P9Xjs0S7%=0;bGm)os{`Ux2q9aH1~^e2TM#u7yK1%O@-)abiuRQ zX;@e6(CZ_)4iH^%quJ@5v|(nKw2|+0?{eglTImcwr}7gA$I}Sj`H01_bc(4(bt5G4 z#xOvtizwFTx-QoKaE(|pl4eH3TOUuU0y$YJ%|XMi;lzavpKGZdtXD*T(|30$-^DQS z^jh8O@B3oW{6R%`>K~x{yP1umdhcD1m@BHg8CfwT`qS@<<-FD_zd!D852!qAIPCN?5Xh6FK8A&qW0|| z;#O{CnYU>d4Ys%)PAZksg63(yQ}4_jL4YN3^d0t5@E9Hzyj#c@$^a!}#x>lIshk(E z`_xfo3TTYzk-_s54JV7E&;B?#qB{c>)0RZ~QBb{-blzQ)_7tQkf7)GSKlpxXM7IZg!?3C6m{S~4(8{5svF58NlB6cMD>@QR*;zjk^Fk!)w z_}2aLp>QICY>rPWlA$Cd_~1aQz3;+oWe^V{0F~oaLHVv5Anxc2yo^ zL{@l0(KElErefF8vudl#AB^{60)`EA6CH^z`L+(u)AHob|F1injW+#d4Oib;4K|2A z|Ezv<=e&6OtE&+gH?O8&#G^AdV<^1z@ydRkt#d$UTXCMwM$b+(teBd)a7eDtA$(w` zoX|WDgZ#IP$%9PaYqIVZ_OwLm|597hkp`(#2@5zKUG$kRGU=k6qwivxdqg|*mU(QG zI8n%aH=o~9LAF}|W3^10JeHRIAx&xy4~SKjmjSG8WTm(!HJs#rFFPI_+@bYW{RMjR zEvUT-mT{>dThYJB67j6ZMRDb2Fvdb6%P>>439OEgkYx_q;R4b=Kwk3hHT?X0v_3q2`zR^{6r`-R6-erSDULX zD$o7+HHMC4XybSn3buFz|6TE~m7%9JzW|KTyiqRFxEnGW<;5oLTrO(Gi=0TNOK#KK zNAV7k6{F)&LGY7Zl4S z1o61)MGZg_yG!m-Rx4CC(#_~|oHtVR*%zsJ(W+x7cf`$WhW<=6#Vtu68OOJDGDtj;u{a zJ}zJngh*0Z!TrVUDxWm2wk6J8av>fIw)8k1fAn6w0(w+w`g4>m0qn@my^gpgO|47Y zRh3GIWzW==b$cc88W3N&Lk0y`lfYwM3*|el)cv3DN?Hyt)FBg z=(R(iJuS?!D8T#vOp2TaBkB4E<;EpJ;Uv_MLy$&wc+pD2KY0<-y)uyQo%rPn*5c_C-g$Q(&&K{nbKPSidx)NFU4ebE~WpDFwcI5C86DMQfrKeX#k6sGCr*UK}2lQC2JCE=t^^5>u-^Dr=^h zN~$K5p?0|tWaBT{wWt)WL-s&%+AHYn#3JpU2EhCimiEt*u3Hwi#_oTf`igC=SB0lAd3V*=? ztO7$xQ;)t#Um01hg+)b+Ont7x8%t~p3JXz1uuts23{V$Vai@_v^r};!XIrR-BHo6H z*Ote#vpC(b&$nA>42hIhyPAzib>oKITP2Ui5+!IdbH zUD0)$#U#Dr={3!Le4JH=h8)14TgwwG%m{%kD@^z#CZEF;P9Hw8IsWvCkW%tBo7zy} z2HRKIMw|YIkLM!CE_sUDS)xTb+B44c2oh_#`|4I<=XMKjpoXDECsr+?1OV~?uUDQ3$Pzqpjqy-0Gb@dW)6TIr~NWI*% z-R^Xwu@X$r-73cP=$muKK%n!*H@JRUYStHs37hf-oz*8h-l{Umo5|{?;!ILlCSSy^ zz{7*ob3FZL#Yv<`z{Be!(e~(?hdGy&5lx}@yURR(IrI2VrfdTFj*^yFc35S$hkw|@ z#Bg-`h^eO+dlvJ?G=FyIWCm=UkO`)21=uK!co|}*^K}|m+t=7a?yIn9OX0kYG5~7n z%6heP;=@02i<_mDTbK_}+zZorWErUt#`3Nj-|L)^jJ)gNwX%c&THP{P$v+_4QSp>}c=JMRyPpM#ViAF;y;Nuyl^UcdxjRk0eI z;6&EKPc@#>ckrX?)C7!_gTNp4`;xI0Ph2rkN$yv;udH7&K~Z6`74==_>zikv97eea zmFUoQ$<&aVbbZGJ$LjQyQBSJL)f*q-y0E=fIh*V_ZeX@WP0m(;@P4k#wP^qXB{*C! z9}W(zQpzXyK#RiBgPBOx(0Ed;SLJ;=pOY9KMO#glVzXu{VyeIkp7kHnWSlBpg;d0^ z_?(^?;fdQT9s^>+*T~zfg>ZaVZL8SAbdlv;Mf_ig;c|RV`c4ZAIFXD5d`@v3Z=F+N z$T_*klT#*AE*I6?pK}sOcPa5an0EF`OG@$$bxPdkmr)iE;wcRi?wPdkIN|eT3ByJ1QLL#d?d1IU*4mqorA?kuT)2 zqCbV+T3QcFs-&E8VCr9t&t}ZQZhY< zxKLU_cViuM!LE*`*&WNSw{dO3u9oWr;Fqo{2d>DEWI(X%7=m5jqftB5B+efXn`#!% zreP-#k{$LIP9NrUp-mN@3W8nTZ9%Xrm-pD^=?5@;tizNKn>Hko?xKFduJ*S0(8cz6 zXfh!Tvei=6Q5Qh4i)zxy)mt4o5|J?ceuJ^ZSUD;2t$nb4v|&ES%r+;GpaK|3P+jS7 z?lcymR;xU|E(h3ZOk7vuJIJ5G21XpCgNjmexzH==)0%(w1 zd^l(j3TKKYEnYaDGIIbYdhfYl#;=|6pg-2osz$TAzM#MeF%eJT<|PxTMt>a++Yrc- zH|6;y0wAoCkzHDUJZ5<0s>@$TvjAV@C}^Xux``e5B1cgXW2q2P0jb$lz%r{KB3rd^ zbk+|lZxoC6M$;1~2t>390E(jvCfoJcFYW$CL_h!21>Z9oHs-HGX?aU{<)Gi-07dj zF#z|GS~779N0@F;IHFtY4=5rJkvt=I5*&da#-dXyCu;Fiq~U}j;beVRBK=>5(Fpv+ z_||wG^(^6MpOd-^A>TP7&tSdCgrZ=9g9i@QseJ^C)WVzihOpJct`PQ+pyLP&yME~a zs797LwZMcu7ICW%Ve1w>Ha+P8g=P}mn`8x+BylvljA|c^OCL?8fB+aF)gJ^VdJ4dV zr9%-pr1EdzEu$g5$N&m}^Be@$IWWO;Rn4WoVPIkvU;=a+YBq9U!T@h%tCTz;m~hy4 z9eu{Y1cloL7b$UXo?k!?xmF-l(SSw{IpxwsddwZk$nHWgf!9_)Fah)p!Hjt3UqudLR5h#T1wAzb2$fX_IRWIou;Ha_4rZ9ONJy$>hxr_6^9|dHnYr>&rv4O=u3-HrAl+*UDIj&E1`0@B98cZN+)qS= zN77|WKJ?5-R9$~i10@re2DFC+*wasS0Ef{>Yn?ybxgpIpB3v{~W6G=?rV-zDwx#X@ z(K@QCF$10jX!4wn--4oY?tQsOfjkVg=#gX#@7BW_S_T1#k>dMuj6JRDy=$7-vDK9J8v%1zVo@ zAvA)M|3Ls?@a=3sO5V&{5n?4|s2X9NHq|sj14{}L1rk@9#@2iQKolCDeUd|*E#ho+ zLGK-0+pr8}PUFbKoFVWSy9cShE*Py7C*XACU03N{*aj`vRx3P;o>F`LxVR+)k>Xms z@isuDIEmC=N0i#DvgP35LrU6Jf zLS=?ZJ(bdDe#-ZEeVzQYZHYT{x#wtU0Hx49!V%Jtl~&r>8wFh~A`(EE~_RQ*lpS0>%0GN>#n(`2i`02o1R8Ew2n z^@NG8p#?Pxv3XqZ)Cg+kuXq-ums5COR~7pW>?cTV7Joo>t;;xjHD?jv8|JYqEpq9S zEBU_AO>XXRd`RUtO9RyrV)tg%)CXveRqpD>pc%Qf=nb$Z-N5Qa%@|$q|J=@j26Dqd zH&fVrat3+sI$Z1U&1wf5T_SKGF*Yq-cS)AtWjv3~E_H@l7Bz0^8+ReFgt)c6!o@o5 zq%=g&PyukmChn{BS10{5OsyXS5VasOyntq(S+d+o(iutMfJf)B$W0AspeT97=YpFh<$WK zP1dRqkxR_ydUa40R(@D71pQcWQ}R@$2{FIMx@wNc+hT&5KoCoXwqm4)kG4Qqpvk^6 z=F^+u@S-AHsb7de)GE}tY1Nxw_k#iR&Cw2@2^8i~=C zU?$R&jD1++Pa2e`I1#%{q$L?6+4w`F3qk^*e1jf38F@cJ4pPi`z%^c_glfGBUzxn# z<>xlTeIiBB8Uqh66-&mcj%0fHFrEt=B0=}DhyX>eS+lTp&El3| z!J1Az!?mXCQ0V%T1P=HuiW`V*pm8)jc(8h#*$FU)T&d;;tEo!~l&KxV<|pPq)G&t{ zXN;%G+RkJ}4|1jlMV%W#VLuB^z6pP2vZ7o0M_!}%;QQY#w5Y$Nxpb=`w(>ueLSncP zbr6R19K(%8t~&}o=-5b;ep5X14$2mL8d_8lv)P(UT_RU0u?^uGC`m|U1n2*E!i5aOtf%I zw$u|fz2S)~SV-gRU=pjwFImG}&f)rQrm|%15evCRcZL>zHzQP_RnL+HP(h)w2^%47 zlxm+f%F-UaCzNerYsPEWiatGT{srM%>%9nl7R!S=Dsf?EV@FO+D5Sd~v*LNVA}{F|c#EQ7UqE-Gk`-`8 zZl(@Gu1Lz%Yh01uwFKUZ_fA8}N@Q+3TK$Rg0k$+n^z17We3OV$@OmU;T#<|pQxrq2 zhQNxg{f+8S8ji>+V~gbcK(Mut*FqY)-J8<)7sXTK-n%Tn4r!MqaXss5#41UyCpaYnBfqLTQdq3nIhwm&V=pbNSLH)3l&MA zVN57iC{o=eB~g8!c}Hv>>)@@0yU`l*58i$>-S-)VJU&`-v=|*BR19#KFzjN(T*SyS ze%ZEbuaZNKa?8DZW#$=RFn6||gH3PqhC1|xCoDH}U{`kP8louR=LKh?qq)l;`>NX1 zMgo0n6)_;3j1jC@;94EC{dCV@kz>w5&Cb3ZXi(_n9-$=9L7HvWX(bW4mvAW|lw@5O z+oGU(WVAdhDi=lrFScr%&{abM32HsA)|omE1M>`;G#)CsPh_c{bIa8#dM%>6_c95y ztbS}Rc0XJlOpcln4uCc#ZD_x0tB;1mBLz(AuO zi&KJQBToe~A}1JAO7mEZ&zzU$u@GUlnJuzaqKO6IQ=)-mm>f!EEcZJ1Vrwz4Q4{JU zR2cuFl0#8~x$|D1p_X4R1uEg)3`#VfoF{^DuWplC9#;X)i8zWMeJsY(J;4Lc;m&~? zPH@;KQK}QBU?7U;Bc75R+FC{rgiV0}uZB_I2~tkXf|C&$Rue9Ie)Y0PzUx#i8H2uB zF{XhK6D@-1kdI@T+kXe7>uvV3uo1 zdyZ;Le^NrgoXSx^+KYgvK%-6TZNMSwCS}Qg;e3-B2v-b+x-B{I965aryDiypj)Vqd zb*C;-V4%^ArKW@?q~szK4;-gdpR8#u(>rZ#&N;Fy6o>YAvJqISUTv1myo?{?$uVd& zo5pb`F%YrW1t3_JlGE(FiA@*NXBW;zN6(pI2g0an$m^-h^c zyckhI3zPp5Cu`#eBSmIn3wR+i5M}n+qX2Zv%CcaSphYR>XRhhQS=Mqo?$Sb{f zIYIN@FalKSps+AW)iY&;jT*dKBme@XFEDu42Ab41;4ujDCWBcVc$FFKV5LoKX`;c! zPC>p#qCqVuc)L&Nx-8T0fYMIVNW;(pHz)ZyL}EeA-e=1NlN%xJmy7nY(?Xx?;sg73 zpHhtl-r`ddyc5dus^dO47$Is2YTm&12;q!4O~F{xh=e~fT`MNcPHwmA;GGxBGAm+y zEUzjCwU%JOHf@5zZV3kX2Wu?STnEPB#_BLgP1ZN$N1Uzslgz}5T8t8{UAsw)RVgfj^PKejIL z8)0DCjIYXLNsq!)-;xiZbSv8t2B5NYn<`idZ^_lJb3+ZQ)k5|hpne%vjL(;0WmOs4 z7z`_K$gpzg(euq4{|NMBpef+056#>k=0sGnn#CWQplQvfJ1Hy=HoXps)}l1Jhk)~- z?(-IP4ek)=4BUo3w^gu4lMSt9ZN%Mnj*6l+nhGNN8vd}H09e@?QJn%Y!<*)VwhT38 zYaa!KvEkzQg&W9B>u9dJH)4@T8$6m|5qTCf{WU&n!4nJ=Db$irZnTD;^B{85J`yJ= z1Lpp)+!65{vYp%uz!R$^Wc-bun-3Ywy^!%cqeudR%MTepoOJUqxakcjS|93;AdMsj z5;DHpZKtRv6!VNshreuN=!{ToH$4GXa2_gTF|HRl_2M9r8#11RVdd9n#DMBpfROQT zo^{B05`)<66lCPjjRy8&0}!uX1H2i@5bH|7aQ6*5* z5Ls3Swy@>$m+t2N+imE#(_ccFb%(m_4it|sOJ_Z53+RT6xWmsZz906!4fei15j|1{ zyN`zg4#_g7?nB%$rhf}W-QvSjA}ct&ctIj1up>D>Rrx5=Ej&4P zAA*y!xZU$QRGPMu*?mjvk}krbR>d%gER-ZZxa!!1{+RNdEuS=Kn31k@X3TC} z5E1uZ7de%{|ZKSip-N z!8lNdC%878y1>LE6`aF3a@>f{V2C<44h#Oi>XyD*tw$MP0F)`2# zja4CLOUJ~zB?e@i69^0Ly$gw8vX-28LNGnzD>+!xFaROpG&&qV7d&kDdU4qim%$ZA zUcNg^scF3f7C&@*ttja5`OOiszg8cEPTOZ0-<>AcH!m0bnrLhnn9S8P#2Ik zYHoR$$re-o7RMbikXjqQzez@DaI^|`ZEqQ;1L8~BMNCZKaEhPb1F{^2@5jHK-G%qd zG3G>a*8nPVNH~m-vgx3bVb)d9J|3Zw_+5kz%H))kL!&?I0rGYgik}-zPRH1A%uWOR zh>jsSXjA5YGcs7167BPocv2hi!j&NX2cLpqOshc^!A5U0dJg za~a*dgo9UbJA|MsRe2;#ffz<~(2^^y;cyO&g2ur^(~_Zprd|!_ziK%a z@MM2(RI8?iKk$*>gbS3&PA(;4r$6sZ-f)scL|pufW~t8=Dh?}xDwvm0!I>0=#ga?dPR4DN;#|y%Nw|t=@JO6L>L4PF7`8P|Nc*`G=+R9cR*@_dXF53WY^f81-BY$@Z%EOpUxx70o9Bl)6Jsj^2C0At{rn*XLk z1=H(U%jaTALd+NpZ|iub+W1>B0+7o*83fAt{29NIr>S)3)ICANSvr$5SFw*fKRgp{ z{zyTC14ctW)r+7(T=t2dlAzI#J+_CSaWjGjni|skag^{63mOCZB*Uo54oc8))(hid zOwd?X7nr-s2^!H=2pV&p#y)XwhOaJ0gFTItCn7AKpn>HV2v(2@3L11S?`53;+0o<| zas`dIg!znVqFIV1ky42V!6*Kcm)_ySW_g)dF2?uAq29T`mo$hLI?!CPQFc2~1Lc5Z z3Gyo=PDs}9;3X70Qvtp;BWsX_iIa!!yYG0>LhIs9t!kVgGFT**qadkXCSk*s@`Jwx zkWsuR1N{1pdmE606buq;|EC%xemjJL`AA_yyw3MQDG|gu-qUb?7h9_Z&vue|G=5S% ztTsJu7M{0;sjWSPxgb6tpLt zi>=HLoMcff8X}Q;yZ}?(mt1&g%13Ri*d#nQAR|tEgc(AtK^cu|M;G-+0DyZnvx5wI zJxve7BYUpzn>1eE;*%unLuron;T-&rKxVJj2e}C4S|85IlNxfY4;);_`ha87D=bFN z_pLARtq(_$8ZJKWJExHK(X0>eMr3{9L_Y`noz)1pq4`S|>%gd6SnO5R+#vyO9T6~15~7ES{lt4!AuibS+Yr8N~`tL+%4 z2FCN62*=-HAz3I?RvJr8ZuPHcYfNQRbNtswy&>3SpN zYz*_tf2{kdiaiiU#x)y*QgMwbWy+If;*YQ~9LTmY9FmhSGQxfrE&%rTpkfWMkFR6l z!&BR-cdbC-A8n$KcYkPV6bji>aC&3tv-CtW#!iVyT^{17V%~ZsG$@7)2+h43DUWdI zUi^48ImUPnBXw%rmNEweohA|k1BFyr!z^w_z1lj=2&rdoIi&yEU%Wq4=h?_0!^U)-^KY0vj0h(zXs9g{C#DH z8#VM{D+jm`NL?Knd`zy%qDvBpji$Dn9Q|W)Hu9(i`F~^Vu69=t0JEf z5!9glm-<3JAe=gl%Q+kr@CQP86p(IBl%EQ&`dkFEoW5i?9(Ce%ifncrTWm4A)MI z34Ms(;hCjELI9+?XLej4)s2Ps_ZfEC6uj{MXq3iybCiO;a>yKaWv1;z3i_7&0UwbMT-hFzt+pkIgsV%Y7;0KfhW0BVG+xv%0TEfAa6|$W+5*@9xg-Qz=hRpx>e~0kCbW)|v@n{(-hvfFd zsPZsalVJ^heLE62hur-={p?l^_jASMr1c6i-n;b@N0KSDv2KqDv^C8rNDB4h@8&b9_G z5DF}1sk~>J4hab95=1GI_2YO^EZ5|9`-#6YK0 zimZEA#o4=Kmmi7_K(WLm#MG+q%YskdPj?ybetjauaev~A+%7ozMy5K zFVAr2`T{L*oe`_j)`58Y2Rm~c(d06nvFs&nXY9-b%g*XLGyM`RJarZS!@3d|UP$ZC z_1?eIn@D^s<5KJ&86+s*TG^9=nC&@)9vhq2H)UT?`qd{KgZOLC(FD?C7FAp}w+r z4f_a z)w8#VKatrV6pZH}&}IDS+f4AeziI(;ZvJLLSJ%veimY2u8f^M|E?!K$JQ<>FNOig88O|5F3f`htS02oyEULY)vH$PHXwN|Q!c^0b3>*r&m5Ws`D zm5nBlul;v=oH+q*zXmV9AYo?@j1HIJ1pRKLq&0nWWOl14QK)lsXm;x?%7+?17(a7R z4T(5$v01mbsyThJZWKCdJJBG~*{^%o9;Cu0Z*_MAg86dCxbD81xGgjZV8v91Of{4V z>xJ^F^dH7=NSF9G(RhV^D%!FNp9@n18{{6u?U!MU?pnRGTPIQSOQA1^?g@PxACj()T>c}sB|eLQ}+0cJ}2TuqqbFi*{4FVVN-rGHhZ0dGHbiipg%`aS_eS?R3x zuElmDceBFiqvSxbP{81NWQy{|+!2Jf7Sgh^v^F^j7xYti1)q|GI1*hnIkxcgSCN!{ z_*Mc?b8ukVH#)w@D+-T~CWoIFNe)?uaib2mhBZ(IP5!mhcIa*T7TA($W7BoS7uA5I z`!T&gm9Ex&Yb0fO$--$@Q?4w1F~u%OSIGwEf!}d8z@l~wW<@=nY(e3>iwsl|PE&>~ zzCrrw?9UJUJCW=igCW8~z~y0DGF=5Mg=ugX^XznfIC0D9aH8&pFrtc^!*gv!I-XGf zk{mdWzn}WIKjk-!Y^j#BUr>x~P34Xmc&;|tC+Ra*D(1~qOAa^25>Fo{S6^Ca_?S1# za>A<~V3NB!@pINdv0p1nYtUlsPV>W8AM|_EpO@20(;xSOx;6|`;q(0k7hs~!q64Y*iA;TG+SrQerxgnAAU_xFt_yX(ye;8yyKTEvmU;?mV(DR{`qb9-JzXX@BSoh@AmF@ z&&;0*mw)G9G^D&^!y5NO5>m%QOLSB8gKyYD(QiD!CAfgCtG*b&ZqIKVN^QL@YY>b&rW9c7j?BZp=0_$&G@4NJd{)JZ-iZikECpA*l1*}2dd zT7v9{!MQ~DV%vJj!Jz-5Q}-^ok*kGgmcozIh4IaHZ2i+f6`9IG;Jp{Xw)PKoHdAia zw32Y=LJh+-7*8GHn*DA;S;4INdK8*{TEAW9p_*XL0bHnfU0N`UlR)Q?UxxSG@P>ma zOBH~tAjGUug;{(PD>;lmcyYYVstm8&7A=AAek)pX0P8Ny^FlWJf`&_sd4|V* z&DiH)t(5SVjyyezr%-$N3uPxaPWs~c#z-IYK!+L66b~m98IdIrHd{6h`;X&kwXnL^^pJ*5$#qONl;7aUs6~WTi1m}o%)bpml<%d)( z!-Cj*n8RH!3wp8UwQC}sOW3>$;^#M95>6Ifyd?m!8~T$k7ZQzdNr7etWzzk5b{o&a z$uIT^zIGn2zqrpsAxc9}Ur5Aq&O0I1LFx~vl~P(!sQH3|@hHwCm2L6!ae^O#=YeaM z(EE)GKj@e?z(9aJu_^@F(gGms@|X?(kp{Hm6#rO9B&>M=H{62@!POBLAe(HzE$`oK zq+DG@(N+*YxGvS$e2fIY7iYRo(v7Z{p?Y4|tldTeZ# z^cjtJ|I)s~tx1O+9sMoxzvIkA?IA+^!BB4@H~=Bb z6|k-HeUj4z!=1#)P0J_v*z`fSEGax^v^iS7_3&ZRMHMxEsnE_*7Q#6%;q#*pAB-i( zT%+g<=iRcTW>5j87jyUUAs*x7=YVw3{a*B;YORMtKv>stvp}_#V)(R`aycOZ_l}m( z`U$!3z_X3UbtLEvWY^${7mg1sx4S*T4u!>4T*|whrw>f`z;gL1ajc4|IItY|J0>%? ziu=uHB&mg1jt7CE#-(gqC&Vv`i8T8UakBS>2Z*_MWxzjd8uj5DP8itBHZSFggAD2W$B;dbCk@rq^1i6+LBqbj&M>{fVf@ONF25|UI9(h!WK zuLjc%q`LK{%hCXQBWF<{AL$`kPoV}Lsz?i{Wy636o9fU9gu8JEWn?lb4f5=xw%%Lb zD-78}jd*BHKKfbW387wD+b}#5d^tTDTFKm41SfkN;dMJ>C0o;%BJEdk)>J8p>?5#m9|`l|Mdk+e z+R3ZTPpP#nl~D2ti9n_fbGEZ!?b4d~qQ;$lDPakVk~2&fFnZcB^UF7ca6obP!XiHG zkQB^zt`&sRa=8W4myj({h?N`0pQ>?ZKs;k7^61h+4)oD{ELd||Ru&a8YdBLS_{^W( z$p_wYj3!@J{gF08`t83tmVD(xyKU*}D?3GXIufkk5`}RojwI(@s4f_7o9&Ml>$TO= zEHRZkH);y5+oKEw>t3+OVuD7~tyfxwgoX;xHvMu&y;ZrZn7@&AJGFrqM+ZFT%JZFG zX<}Hl$r*hiZuT|bik%&el!x__AL01$a-m8TwBRNE8-iNIl7CKvBnWHQyJ4am!DlQ$ zh=xK_7*cb;W;y1C=-eG{Y zS=b~obQO+u4X8&!Nf{X7K-ly?(dvfs(ZQ$Yfg;V@1J-}4idDX&8dP4@j3(075e5^7Wt3SI?Gso|*8^)PhPI*>lWObgJ7Z z^lq5d)QO5R#F`u8?-yw_ZH@^L$E9qIQpYH{UuHeRO>t-TEc9M~j&FQ|J>#dwuCzab1}@R_G4sN4(g`82eEn}T!Bha71ng%L~w zqfx)VD)qbu`eQOa87^9OP$6y{^e$S0HkNDpYueO9DkAjB+`LwMG%@%|T(VXhyFx6M zSHRgR96Pqz$hr=W)0#rf)_$y{OwZBt`mXy$5Y_9(6~290R?_Farpv42`Gs-#j#hkVURz z^0U#va5{aobj2T&rKFsY5O1|(d9HB|%hExpyIz}DS>#Df}A1LH6o4m3;aY$-I7_a0n#})0gXOm8ohM?+){2-!HW9qm@LnZ(bw9Db`mV(Fj#7 zH_{_cKwCdgg0+~FJ{%t=HkWi;lOPWox-cIF=iJF&wLt8`@3m>^u)Zp5gW1Zuz54Nb zB38ZfC(>4U@?(&xj;u` zD#sZ{T}EZZFWa4I8r{w_v;)#UqjrJ|YKZ;i1^dduQgzf}iI+Z>wB+|;T2~As!eW_4 zvMf$$6Z6Cm#g$;?_2^WHt*IE`y4WvI;{Nm&F9_GZk^>s}y{sC~ywQK?F(cJ0ViW9T%T%`=-FeE3YVV&LihApwUqFWsWm;1vw z406awK?q{;11`I^!j*32Xlj6|#gT+_Ir5Og@LIiBIm0Q*>JK$MlJI~AK(?rLjyXm9eD#9kwRW6d2Vr(wnE#ysgx5v) zaaq*(vB0~xB@2fW&9p8)=3Hup%EhYR4at04y{Nn4sB5zNxbIB`haA`t3E=HD2IsyG8P;ZLm}U<8-q{&ib*NWI&m};&mR%BAi>j-HPjG`@6@T2+W2;SFTsJimeFx} z5uUQyyMxXviH{{iSG+N@3J{m)B8-VTm8eRXfhId!ID@y%w{L|a3xumzn&yshSH$(@ zqzbWoI8sa+Et%$J9rw-k#F5Ums zyVsQ0{ja$9=d`v5mG|ei5bxgmbNmJSJ`xy0uB|awMgu3wYUKBAg3G{lB~Bm{`!M*q z?>JK`rB!1KUfr7&*xg%3d1Gs_i0qKS%ITL|c=xTG72O=BH@XV549sTXjPT~^fQxZH z?b2}4OI2Z(+t(G2(7kGSFOqx|Rf)5Y*0nsSCF4l zVm^=1L`9M@6b_uTN{=JjY9(p2Pq7zrNVJdyYt3vttzinr;yYZ@>|HFL5+bzCZM9(~ z7>w)^vG__Q&8D$f$>(;QJ$0*@&hSqExJLqN`eGcALuYF`DyqMifbFJt4FPv=J zJeuFp1V;@1f+W-fKh$IV!OcqUa1A5Jn69Rcb10{GBxoTndx=~G;-ww$T*ntSJNR^# zSUv%5+yUHV#{ypaZL^gJ=lp^P#KzJVUnoE_Tm0YhqN>^Dw3+QV3O~EcHYP@J?j4%M z-W!+F<>P}Dej9a$jG$dEg^O1}Paoy10BQp5?o0$#BJQTx$bBwd@Awhw$1@k6SFWV! z{(|v0gD6b0yjvbl3Q|04FXBX&H*ami@s$e(!eKm7FYPJXua*gWbZDEXrGhG=#V5eJ zUajF#Z0gRaqT(u!0&K}I4?#R4fi;opH5SiNdy|W-84Gm80*i4+% z()@GfDJqNx7P3+-p5#j0;N^9DZyTk%Z>ha?p zO@II`Ss_BNv>wCVY0~^L)24LP+~@a418>IgFLGKzd(<2atntS!)VSz$Jzc1nal5&D zDLc!ETMfzabmPuGmFe(QA}ovZ*sSe@A2plNQY?c1*fKK}soqCSbuqyEY_lOEiB#A; zR&JJCx?)o|utC;}0SUl>)F)rCS|W*W^1DIFSvVY=xCeE^^P4s7{)mdjm@o8c$n5$; zd9z)Kuwhq+0)K9V<0z{!eIma%NSEb(%{HommMEvuh4w9`4+VdG5Osy)HwHyq$%<%l zAOQi0AwiwJ2T|Aff@`HkS*^`nQ-N(VOq`0|gQ%->;oKnV1c+dYWP+$ORrW9`BJodU zO&Ftq+N!-E>LV3I{i+^8)b|2QcSaUQ?p2{darg1d4lMS9s1rP5y`BZWMK%`pH$Za! zt1KRz3f)?h%18Z|#3hZqo-v4_9jt)Na zGm6zEpR}J^?{~c zTATHOnq4>4$D4LN4N|f<@QNxg!nsS)vRHC4DO$EflMf74ik5xqUCefJY+U-SNDn&J z5eqbn{OTD|*xdg8JkLFiSuA-cY6E4EBFF>#cckqU8jk6cQIm;lM4@5>gz=eF19)n- zcP);Uv{K`G)d&Z)Ubg*Myj8DhD^jwVu0sm!v+v9&CB;-C+&Aqr0FrmIfv1<<5Dj*3rdWWq-Ifc);-U{(tQHpTvZ+Sd6Cj>o6qAreUj{x+Kz2v zDQHcF2~7<9*3b9}g2M517;meVlM=zB=dY9(^CSkMrTNotZ5uVuK#d76;-wv*;dp6N z-o_7GT9#<_%HUs(R)bTIae_rtyXk#nJ4QTS2QEmMEbyJhP3{75MS{C?K zBs43Lm6qiI+x!w}w@b^i6h2v#a${HQI?ihcByc7q81Ih zo%jJ&V!NKU>x<>H?D~B9gIxW2keM9bq{&Prf7UvVL$lVnQFx3Qs)pTNQ$#$5CPMVT zIZ=y1?w!Zb_#2PmC2G-B`A8l^z0Tt?h?1AhWAJ<1|F4N!GyvWinHHC*#fAu6+7KyG ziV?|C4yRr)-NH#oJrnSV=AtIrFs{1Sgw%bhckd=7G*Qo) zIck^XX;eyG(|6v)(}dDzBC3vTdJ~YY3XblH=)59hM6V)w%QgAQTPDPZ^PChdF&Dim zH+c){A`*hTetM<$COtLvL}xvrtWxSYS5Y`r zokwhoN_awPep0d#piXG%0Fvh%kz$4d62pjxHMQCqEYjLv?YScwJRi|NG5ntD#2=)3Ry z&X>n($(J~_IhK5o5-^LKxv~(=V$>^X8hd*t-~hgbqEaAJAlI@$6rBr1}uuCpiWylnD5g7w0m*+RNe6; z=;F!y@*WkV7kl)pm>0%}1i;V`7qXW$)L&1qt3nuybJSbXz@76e6DpdVCPyHd>z!wV zkZvkCXKfWN_ol{qWEeeeJn@;C-=U>X(FAsr1-e+MJKI`cj?;}(vSB1zi;<}C?nv@s!9d*7XfXN~Hlp&o32GQ>+)0*~ zeMParDhI>Q91J5T%U5MWqTy09&J=Q}7GtcjfG$F>NS|qBNOeP=Ua}A<&rM_VZgO`f zuKRzfgQFJr0808#x&Ig4lXK^@_edc(o_YYk;N?sBN1Jz;2Nb`W;!LrY8N5X2W)lLE zG_A}uos{7e9MRHOJ3uzE;7R&D6YZ;m8uZtkLs5Au0<>KghMi?5U3UDIwB5AmQmA~-Anq|&*) zDGWW$n;3~n9dGx%m1LZ`*Xe&Kti88z`ihtd&SmCEKDWLY&Nvp>AFJMP2l|~si%Fm& zvA~H~U~eq`jbiD;6{1rKK~BWt)v;tfy!nf+*{N-o0^xF&P{=%xQR{1_%%II$r&zBI zc#3t~`35o7BZ)vX`NI0ArPBlc`_(hu(=*Mw@hmto{o{FVf$6x4>$=^p*hzKOu4eZ{ z<2K`wvw--5h8C{s^@Rp)+9n^ekcAI&2wf>SC()Mt=Wy3_k=D>6DwCo@+PxWylNj%` z#kRJnn+idSsq>e+Ef+3U=$RK0Ghw^J*oobz=haJypImI2H^3&i`}XoY=|AhHP1z6ft2N?Jp*(IxQ+p20z)Dc33kx`9rX=;13#j8@1v^ zXc=0wttzK|{yc{Efz)@WtY(fkvH;#% zs);QC_bE`?X8v}QwVsQ!TbW}ExYWY3I0%+$BH-nbU=+L>0y~Ky>wMv5e--m6NV2j@ z#0ZZYcsDDcozBjA7>bh65+rKYGOJs)*aW?1z5XL;|J3H-)BniNC?cf=h?)D|D2X|E zauLc{AFxd91DHr`Z!QGdb}X^-f znS_$w@#ytYQXUu_Ne2hjAn@%LIF{7r{aE}_wo?4yIPZBgN#yxz<`!sHbSffbCr#P` z>AkFWn;+0E3AE#JT=0jr$zRDtg2WZ26SQ|ri`1SIFUnXBJnM(`TdZ94{LEQ zh<7*&gH^()`Z`{2i4f(NytDGhQ;HUBvkK;`R8pVL#AYTtW}0&Ki3f-dh0)pQfq4>C ze}EHhznl~;1Q_1r#by>{2>;Q*{;0MFl7c8Uvtmphr0Li3ld11BEo1soUwvGl1U8}K(9)9l*=_QsKZGalM{zRA?_VI)LP;$;GkH5V!~!@! z=(T(%-Ylr^^S~!kGKDJvmB!Ui(c*?sI(-{IhF0UJ-CTo*S#$t#n%xM7l6Rw9T^oFI z6>YH++;DOD0(@&U0#HG!k`$νhT0g5q43HPBT-(YW_Q;eB3SyLqu{0v3%D(uzzN*e zqJdM|iPLPvRVcSwjH>Ui!!E8ek?Q@BsJcEc9+|}*OIDs+U}n5wrV|J44Egeil250) zNw`~J`6N3Wz$Hoi4or|*a=BT=qo5phffZnyR%wj}n-=p_*}e4ISRqC_pAXPXowMt) zFGG#i+sY>5$?`ZFedwwYfOU;jttG_;fiZ*@$Ktz!h!7Gk{0b zz#nA*=ai-l;CS#F%K{{`j5DNt-};@d^jk$*pZ2ldGn=ByGh0{G6K-QXTTrZeMK?PbPTtxT$3f_XvNYYmTmQ_y`BBK`5Q^hI zw;#W&lig{4e=J*?B9WEz1?HU1`ZAV4T$@*ZFW;~oZkB`_P0rga5tGL^@K`&XnK9Vm z#&fUjI&4=wjrHE!dL{mdkIUwxRc-#RT9+7kp7z6^QdSh&<+G}fkGx6laSBEZs~p0O zLatLHhZsUl6@3ciZHC*#yNb==Civ$K&VMUiCP%jqNjTjfLM9bv^|HG7@K0x3q7U;S zDW%yZ&O2^;1okG}?`jw%`A;=R&AmkYb35&CCTAT#WkmlVE6IuZmI)*R=?7ZyV6UK7 zVqSe3CYiG|?4m8&U$nT@J4mr_{bU1+1h#~`74OhkQyIuv3=gfjqjh6YAVl zOLzyGe~^bUVr1jo6(StQlk%|=-=XF$Tk6f(?oH$dqz&;P*ButGFi#Nhdt`ONckty&c< zfmVGGUqRkL@y;Pmi%nfi+c~`RU}KjDJKp&syFrvP-nq4J6b6`Fi*1iyC*E1L;?QHY znhZVsO~V<>xN==AewjJ8l9uvvJH*#E^PMPr5HZye`oEdEW zi{-ol4mZ9r`uAs39dbVUXG0{WY7vMx)6wTLhjs<=a(-NCSha`&oP^ zM>xkABO7z?F~&HXjwDBJ7GLao`$G8)b%|vo5M4yEM`_+7p?4=O zAqqNVlI%v?Z3+_ciix?AIf@uf(h|lX6AWAA37U~CugWmSk1{RgVt960OUOG$Vhqb# zBG|HGiYa*^d!=R9rF|mUE}76LdYPZR&<80eYl#k37<-5n%W=lpSxZ(b4_~J|J-hSy z&>b&p$;w``meAqlUe=Q3>fnSv4_uZ~lqkj0(y(^(#Tr1UnvEU=LZc@tr=f!_z8<#N zjbAAF?XK$AgHNv3UkbRSH3#>{qEPpTKX;;7>-S43@*^8V%4X*y^HJPV=$o14B(71 zh2#J|-ttCRX6t|!!R797&$`~|#eMWk%(;!Qr6eMZUJ3Jj0>1mB z+(#oNL<(}uGmV-1D9i%$4*pl}qfwHf=emzlJl}nE)7iO?X8P^iN0oLB_fd~~hV#it zN?F`9Olc1H3_b6`JsVG+oa=GWgTu)oAA+g^-+k2inaURhi4WEqSGK|3?xT)-9{kbV zv)}63aL>$(ZE|dpTSc8IuSp=x1KGT0G5=6v--r_XMzVKp2q}NnhG=}PIB9(M#Ybo} ztB^hn;oPplU+z#$ZSz&&*c7jnc#wT@(EF?@l%{1DU)g2x62p&tNt%DQ` zLntlsRoaWdDn3N$SftV(0ZYy*+inCpTcs^LR=3s1zdDMLcMfNA`5=GnQq+WmqZyQ? zPeP_rPA1GdC@P$A#rSQeB?iOSYA=itaKp6P(!?&Y<1aeWp_2pBYA=N=9|gJE*{#)< z5<@)Yk583{4Xe#Qd(Oryrs zM?E7k(`2Z1oSi^k@Dd>g@3?sG&>rZ?xpN-JEYX=O4E{ zrcr$LHu2R9AH7aBsYVhfEHU zBS|?2G)ermH7B7NZ-?Tzt!2*jkUWH0x`LN)!$C&_=%?RuI%uf0(uA)>2YpS3 z)xHrcqT{rO_0U0+Rk$=tQ15PZ1)0R3-I%tDP z;kt~?l=gMCTS6UWvT>OX+UK+ZCD4WtXgcV&Y+jrG+?JR!jN)y*@Y-u4sPW^xj#P8Z z(Rl4Lcryz`YvmOm3}*$fbPZ2YvqTz z_qSZZsQc`#SLGvt;e9#8brlNwX!R+d*rJc&=}blPozfS^JNMnpzT&tgrbVjXocU^g z_cKZVe3pA#UEsN0PXny38mhYZbGyVoP29nH+}U_Gsq)kpX8RoLbwh<720@(|1Gav;08o- zPB;ZldYTm}QaI)l?bbSQ{v#T{qgdxp0&izqxRamjvIw!~U!7f0$bQ_bJv5FXT`w%b9A#FRa# zZX|4w7#2-68u|w1=1w_H^?RnNKH+JqWreL?7L5!SXPJ8 ze5x(4ax0#en`baVq@F&`RsRv#ig+E69+T63xekuG#?H)bSHvk#zlKmCb+b)*tk$py zZ{{3-S{F$c4iU?!7Hhn|Mgv$7_jG4DsYeej7~nd6rx{$?C4yET@Z}9TLkVA&@4An4x&;6^~xe&X!XnwM3I%ez!Oo0XE~tB~@%-TP!}N+~&iInZ(s% z;V~)DXPMK)8e5bOKmG{$gXXWo?7dOn_E?3OU6W1D_QryqPZ6!bqcX2HRnP!72n(^L z<=o8Mu$?d}i?`J<7w+eQ95C*+n$dZ2txFaXQjw3qlyvdJm_|TVN0TGp6TV}WM`MYb zJr5ukpFyJMPMs9%cK(UP-(XT4;>9|`Q^FuB@v_-8P!&{?*QQ?#r#x&#(Re=-LZzCO zI|PUHeD4H&6?lcoD4M9xI{jrHA1O|KMFV>ff}`>J;}XkOX|3Ws-G^w8aE$72aPBw) z&|!79yBemWb(*2DPO~J{>8fKSObm4`s;%A>eBxDGvfjAltyO=Ko@r5nz1M{yVm0(r z9GE)1nT1J{qUvx_R)DTx(=RAu!C06JHSzrzAwz)=+feJ^c7(vv_1GjP>k*Z;1c_9@ zNp?WDdU!o;kNm88Sfo$~fp_!o}638U>V?xU z)51_GdAPPHv$55yCv^jwtp0G~5(98fdo) zZqZUG7~VF*b=R$X!5-I<8BMJ#PeW|#LR~XYf)N<0&C&@rmofCuYK&x z47yviiF+`uj~Pv^I!3gP-EF&a36yZGZ^~$VDW;4*&O3aENEcu+!qc&m)6w`C4*lYJ zD7oq6%-3p?4~*->!YjmUobPTXw@EFNV9oSVFzmQb={S}^w3-$XqpzOud_siPn4$+B zx?N8cl?DwZ5#h)j+~h@&73fH8Uhy5PMJ^vre{J;Z6^BOxy9mwtPdW)Af=)eXNqQ6>DNfvv_i9nR zpkt^FY;d{+)k4&%<$U3U41KpINX>2cTi))@047KIl~op?DMG#|6_9L=Q>$hBSc~?66=lRm z{w6|ly4e4v5!Dm9mcU%H5LSn0FZo|z2!Oxujt)IN4j0$17ET2|F~+E-&l|d-6_tFJ zAr_w?!1%PQi%ZMZzTP$_;)u4e)3s7Im^jqgz@;_yVH)_X$3;8{l021z_!39)F|ledy_vk7XXii|sNh zBHt}V+{Ll*v=1Qqf>_Dx;m-C*;2l!|9Y)Ey>wXZbffUm{r=3sm-Z&L2d4ofHnUR-c zWSV$vzR(;q`evE+~JN*!d!!DFrura~StFypnM}*MkRqu7&XkpZ!`!B}X z?D+i)3Uq#~&@8BZVab~-w&z*|OdIR%`O?ss7WU$}XbT6~iIKd& zruq~}Ii;v91Y>DQ-wfl8!Qy<24cl@`F<0TH`{K_H%`V4}Bba=gi~tIjmz=I2gx*&3 zn!u3r!f>4Xcjy+<=aws@1JdM&l{iTGxzVmdx^ zfU#ZP@pmr@GtRo%vC55i;8k9Ab=^% zr?38Fpq&7^o&*)}Dd)L>;cV*~EmKM8`xHq7!pYw<1K2Z+kOW^lmmR#S8M5$ajV+yx zCm#CFmyyMy$w7S&T#2*YkmaGS9eyP7+EC*%G*_f7T$ui}{}BFWVS1EzH|;Wt^!17a z{fC3x1KJ{J?%(5blNdD9pnhm{G!Y^cc0+lJ{a^V+**_^f$!G-0Af~8kneN|xr*Aail^}gWU@9}%+9sDK) z?J@+*H6!l}KDLmX6E78%Ngi$O=*wNp{I0J41(z=zRd6a$F!uKlwIH^o0&1GPeD)4) zl81s*HXaIo9)r)sw;OkSFne#oDUg~hdi>+G7YddRy#2o5U2pQ>Tj$YmC|FVcEcJFC z3Qju7rwgg*IDZHE+r;xXcz*a$@Sf9vSM>aq2J)!6cAc^kFxQTw&_;e78Yd9$3qH8E zhN9O!WJM+e$z-D4-o1ls`o7?lD^66X3O%2+gzF-j+{Z_|-siz$ZkF=b+VKD4mT13~!D_It`6evkN9e$&PW%&X7uqUF`x?5|<`ni;>zT>#Za zHG@`v!aBhCFI>_GXg}lyL;YYg-%Wm-28;f|m0-jtuHwaJUQF2zknQRxzd@)vF?lC1 zh2<&Cr+b*SlUPfWRn-omeogm?sCGSC&)=K;t>cdYWF&Ub&!P`bvqTHJ0v!H**82U} z2~FcN7WPT4)vm|UR2>*sxv-?A@pwVQ&GceOIWGwm`N&$8YMZ@Xr5gA4nZ1#ck2jtu zc=XHE&!F7h{PKavZDOnl0CG$E^C0AH;&28#H!-760$P`_JM{Zk7?z84rQ<>bYi!cO z^euY(b?>da@)W9bH}h}OlJsR3q?&Y0D58=R6_M%mOE=Mt)G@pom>o4dYHS_0D4d+` z)8{PuHaJ>Nq-%!g3V}K5LFVIF1!xXFwTDT{o0gt$Ubi9>IGCZ!+unZjh(xb$8c%f8q3fsmo#N1cgt(0|K@J zKI6K0QQ)QV|12Q?8bvaoyMx+diH1Ty%$rPKi)S=-=<3Ph#;KG7W{SH(zy;!)5SJHUt(BNnr zs1co4YoHb{c9iIU=?)@&?HVY^=5lv4aLw!vRn@r$b!1=}0sfLj1sY!pj${Sj4YDS^ z4_HU<3l0@3m+>1gCO7l929O|(limOzSev3c6Z;RS4 zci@fzoYFz(TQjAjPJPNKWrKS;aX2q0v$!U=0>8*GBv_<)5+p`sdD5UAe86w+CmpBH zr}$03CXIU8J!dsf+Rsv0s#UtUV=)tq=9rrNTgX!LXCc3q1!iy8RIOh2_|@Dl56nKH zY4i91`qR=OIf3CUss{&{nx-_DL;{;8UX@5VIE^fWCtK zLrn0A%qWq!yR;#$?sx$|%GrD6at>-1W%ZNlf=xHkaQZ)HFZA9+;C+nHP_XHCz5brZ zhiGMVQ>9=*$qK5NR;i^jj(-k}v(7wnxi*u-BZY+rcMI+8S$8e&sIx9kYVHW}gBmp> z+cGsug+J?ZBX?B1Och_+c(P#HCso;!9+iDaalUV%_T~;5l~wE?tysl%-?8f?_9*rz ztpjU+g_Zil&Hl8zKLVi0Qo*VE(fLrMzYDh70<(9B&QP`iDpWCQKyItqQHL6ncETLwz59v=*n=TKUOd`5&v$OeUiD>A{CH zpMK)cy-z=kd7m!z+3-ZsMcM7moRj(JD^GhLE%QHe?R6An!S_lsQ~rDGhz8&HbIZS! zT|P=UF0Vgd`My{F{;cwir~5SAg97=pXjvLU2&Vn#9GiK2Rr8|8uC9j9@cYPoW?Mn$ z68%d$5GhX@h6R7w6+eWgC*BhLT56FMUL=JZI(p;s4a+tzPp1wAuUgGC zXR7N{qIMKg^>9AeGNrr}(%>dT#iA`6X(PSWA1Eg;h3*dB6}mG#dtU*>C=^GJj6hX1 z{rAM)NL+ioaqNFAxRN$6BOdp||FU5q{ud3`N8|fVWmZFg?D)ad-oblu?p?w}d%CLv znHFtqXX?%K_jZvr!7BX{IUT0yuRfVW;Q$HJ`4>+Ye>Qbu2S-5N33%tFIgn+4k z;GNCu_>!0Paq79D?!jxH()9kAUdwPjgBiSp;Z9!55*WK@VP6-Yt*SXyX*G;j@K7*8FZe$Xioh{kR}pEubieYT40IMsbY0zY0)>S0U!#N z7X8g@Acg-r`dd}m@@MF7X25s?j8n%?sUrbXi@EIGuZp`31m}E@&t{*vfRPM7B^6y9 zD@V~&$0YI&S=O~9lIV|d|KoDPo|^hG?Jh02j8d%5@!(Kz1I^PSsV94T!#7u_9>{-_ z`l3Dgcy}$SaDJJ_<9&m38Zp55kUhw6gKX4$K4hu$del?U`#5&07J2T)Hrz#-F zrTv3f7fdHlYSAa$eL;F4Hy62^@r8#&!NL7gzqaqQ@S^WeR%oN|&(7c~Sj;y(a23pV zH{*+u*{R^6{0iEyriyh`(O$9j;Fb-K4Eip)PKy4Lui7g}QJpC2Z$Crr<5JhzWep{y}bT3uRTyN?4|N*nhqy!C(!AIsZMA{{?K2N_5ST% z-d9t7dxbjolD*Bv(>F73f7r{n6Ebff?d5IDaeoB9+)K@k-Q61B%j*X+RbQ6>HdUJ6 zSnBk-c^yyf>+xh$k0;A|Jo#<@6UO#oy208h2wvSkQ98XiF`j|!FPG;FQ%_m(T#Vh? zU3~hveE$1R`+R(%auOH)+}#zprS`erzNds%7E7%^!#2vez*Oz1fYRi9{TF~Rk&wD)iVUH*A9#6j0c9i5HBelk!WP|Wp{u3H``A7zz|CnC|uYbsEo2MBd z{*z|Ar`=E7oj2{ilwU*Yw)`ejH|9T~m4BcWN1ZOouOVbJccvA{%)nRe)@I;U0N_5| zc1}J9-pGGKQ|Ixin_a)kf1CPI{u9bCq`WuZ9?yTB`eyzUKKOfsO-o`z{@c`8dy+LI zl%IVVfZVq6u^t}`?B#>e29ete_6^AI^Co+e+r%?8;c)ayetDk-d-02o1sl3|lcKa0 zt_XE)O9T_whZ5tE9$@p~Tt9={u(VLo1n_)7|AyUR2$9i;O{*Y$-LGjw9Bfr{*Lkqa zbEZ<^f+mWlim^|593|!cGOL{TG{2qIXzFRFPmgx0_`WXdd$n_FGVRP(J3l_$)s<>5 z7D95mKlH-9PnPjX>eoFBk_YPgNMf8)ZMhEL>;TDhSeBMyit1fvUH;sm+%7*TP#Oiw zP(bPK@}*hjGEaLn)`m_#yVqHbso$Fp<~9~kW3$xQPY==Wo&9_E``_|DSu=^!FXtC* zzqj;+Xh!=+;F;5$J>J&oO$Tz}_zNms@Pyzf1CC7hhWA`9V{XjwLw+HF*!G1k^JT~u>cgUcdT9#ihf4==2?PvEh zGp`ZHhUWHn+SLoFvLJP>Aow;Fr-xDIw>iyDD@om%X);k$J`6^}!vL)ym$OcWR#~5I z8BG(b{zIw|t+&1WTl?M3VTstojJ_u>Ou%Mb7o`|Wuaqe|1fLDoTh|2$VkN1aMLr?O zZ84naG0}d7(ILCLSrbhieu&1q7cw2bOC7!ygLUdL8nF(?a?6yYzG}_evZMVn7?~bD zzL91jBO)Brh{0I&Gq01uFD|oAPv)L|1w|;D`ZBRGKz?D^y1nInU{b%eHizYOd~c!O z@o;X-XPRw4LoJ;*uhs3oY9d3w==PoJc9c}`si$bdy8X_3Ib}*x-?YZn?e+)CM?>>_ z^t#$wbG;Vt^c$MlSYSKXgZyk4>3oW6wdlM$s+tA*#^wHcv{8npGRhwY{LbdKm)d17 za>(AGA5$&K(k`Nzn#6z_VUCISbu`(GerBoF#)CD9{)y{rupXCc<~!_$M8&6l9Ed;9 z_l-9cOgm=*3b}&RP49z|X_@BoXWO8!@3U4bt?>a-S}mj1eV6678jA;`XcrP_ccd>8 zUCnQIBQ>~ol|(VM@IBi7uy1C~=MFX|@yRsYeq|OOg+-Rkl|1i8rr&kxT9QL!fMsLD zuBCOP{(dFX?t^N#PVLUgw3~}}2Ja@Sr*-u=Q+LtdTD{n#5`9_xjW-rdyVhI435xp* zn65J-wq>gEaSOh!1~=}b!67u5uJFFO`^?{zr1tjlQPN{5w(iwX5Q3f+NoS8^ZjZ4r z+2In`Td%d1Iplkw1BKSYx6(QD{OSd0aSKx81=wHEoUMhr+%hGpuVetpTZmxiRUqjx zHoXkW&?qqaL_&}}z=t`j@|%L>w`m|bSl~et&Mi}tdYd?Deh=H(Jl-mMKa7hBqFc)MF(DDSTF=_2i|wqxD)U50bA75*V$n)U7@wKgcap zlDaYjN$zN6#%Ji4Ki1t$4U^*AhN(g0xzr?XDHP=zRvYiHZ5?uxL&OF%qbDr5N?^?H z0E|~ogOD4jqXaMrX#4?}v#Fyb^#or!gyoJLU>bjz96EnQE||+)6Muh}O-xwuNrAZu zr>@jcAIz`rF);5u%Q{L@?H`^IOe6Wpp#!qOoB$?1ZeSLDmzH2aZYg9xu4>NNgvCJ^ zQ|veeC|0No26@^YQq~uwDg^OV+D(t4y1#Z1SK0+%DiI%>$GNrm)LsKrlDZ-Tbsp{L z#_kt}cVkyzf881=TJ-LJ0qjo*?1%OM_6r|)V7F5b^P#~mXAAbX_`26c)IE3q=8|r( zKMUBup@EIJ6hec(x4WVMjsey?ce~dP<}GI-=k^5^f_BlnKzsTW(2^~B=&O9&eifBO z&#sb^)FM9ZjV5*@xZ~nnNC#vf-LvZ~AvK%eMS^sq59#?nr2l+&m6W6|Is>Gs0()^8 z*y!f6yg5B63wBY67_BmzALDX1t9!zNj|sjqOx zt;?Dyn#ARgrVt|paX&#E62zhq4?2hk*yU`OS|9`U87V|T9@t?A_CM|TFMxfqz@D%Z zu>bP72lh+U!(e^EE@umNl&}8)g$P~Pjcrkg@6mvx5Z~T@mg{b~p#3NOw^ExsfmRga zhkR=k;;OT&q$KrEeEQK8;_oiVg>(;9J4hFAJ4;9}5~Q8@kflEDLwb;J4boX>S4l~# z^H}eY=F9bWgIS*vOYF>%>-z$H4%ZYGXj25*-%-Dl_kbv?OiAj|Ov|}UKrd4ET9B39 zc%GD9l3VrF3qGgjw``;NVSe*_w&pc|`l#RWyhWfLq&FQ-t&LbV7{=dUkX!N93qGsH z?^WYJqzUW$p?C5cpPgwumru*b`iY^|@r=?egLUb2=H|2a4Aa?z1B4}_3FUcDm)N=%@%y#pu%d$uri&6ezu3C=lDL+PD|^AOU@ zl)T%4lEFzHC^Pcv{U_>OP$y76D^O!W z91rDJ&uG4y_sKniBiys#ph5s^GO0~Z|C>)E`%BORK{&JJ4 z>9QbSGq@Y_>(JoIeV}FfP?}!i0fR_k`n>e5Jp4}|p3KuxnUB=p&-32&fYJb_)q`>F zN!T0@SYVz~aG60OWufrai)wK70lyb{Ry;zrX=+f-t@*5_CiMX;iI43 zcorE^^ViVDoaS#<^8qz~v)}xn+%hGp@=Wu2Lv^M#`zHXAXERXaV>aY9K30uCxsk?O z-uL=GEVoQa>fd;C2F}Ohh<69OIiH)NiK4|+kqAno8j1&5QrFumLV4;s?!@C;7mQKk z1Jrnp8Xw7*34Mt!Ka}`PRkOeL7(P=3y6;L-7i0j+U4A~+lS89f0F9^GSKg-IsQRUD zjl|s=F&&>%?`4A(UVv+ELF(ZRfc5kD0P7mQpK0G~VMPR3_kz;kmg#dJw(s)EAK+;J z?c8o`jg$Fy=)b(h(&*N3Yf!saLDpocS#XnJdy8{cslkG6lsEp=@fGUGH&XfLMpOtp z_W5w-E*)^@>1UoD)D0GdXlMm}hM_+}y)}uxe@Kf|zhI(3s}^X}X)FC1s#yG{Rq@-i ztD+<|HUngjf%Ue5^uTT)Wfy9sYSD8uk=#XdM%;VYg|4NTKbvwW`O37=(vy;RqvfHj z7VGKLV5(w9eBHIx_w3a{Us!O5Fmw@z>{1hHHeF3^jSfS@?b7$`v_Tgd%pa$+JYoRQ z9-3Y$=|+=`NmD$`0IgwaAopi7COx~JwIXEoG$#GSULRXMTlQwrO<671(!m|nwvo6I zgId;|r3~~1Vd?OCu=FXKGimK>RAMsF%(JVcBz3ssjMLpi(f7{jMv*K}HwsW^c{)Zb zW_cQsi)}H3knU+^1t355R<`-T3(+QS~jl(Ef><3EwB65S`~Mn zT@@v%cTzr5&a}LqAJB~yEw6H_&MdFawlgiSHvy#A<@JEI_)*L2s;m~ZynbzcDk|YZ z!++HBx?LE0c`X<^y2o2yUVpmgESJ{=VQF3)SX%wAx4imMi7l@YXIDu{ zY961SfhF)zRF?&)me(e#_LkS*@za*qFIJ!J@+!CXdM+<8u#rk2FDs}N`d1|6C5wjB zdhaHyt0?DBRT)OS-PIWw?-y=ntN}N_*$r-dlhrYrIKww3sW>Hj+d+Wou{Zud_Ra(_ z>f(C*3!4?%W!;EG@rYmvx{6UO#0w(?gKlj2X*I&GU2jVdk0ME=T7<+TwQG#p)?W6s zr@ho#tAMTSCIph8m=Ht`kpw(;iAn&41eN?h@6C62vpHa~{q_I*{VJNB`Ofj?&6_uG z-n_Yl?cxiJ6^9*g$x2XXawBS*OX_4+K1K08W7!RY*p`<->{vleHdRCc-YbnWVv8fv zhkL*zK;i>0SsB7*Y;q*{OE7UJmvY9kfM9Z^VDixG7A9}K*awq4h%qEd7KXr^An>YP z$5X*XYu!Mh1Gm-!k`F@psmtaFDov|F#UZG~TFX0l9@c-h#ZXHYf#B5qLQ-orrls0i z*CyBhjAhpeVrL3sw@{q6)|BKl&dB9)MEbYZ<6x3fYn=#=y_bWpCSxz2IAa-`%xsb0 zy$B{-w_2E-o1DfOX&`2h*1B8LR=aKk`9y0yW*@AzR+D_t*1AbhIbKkiEvUp=>yg3p zI3w9{)cUp7XI3S(mK&rFupHd0Z~cXgNi@1f5Ub#}gUIvk7L96>(>No)CdSaHe{0!D zoKkCjX=rk5J<-$$6E<+!BHgRNWO59X>f|)e$gy!u`t_}sByF`T1U`w@I*URF?ptF? zH)v~pMNqj-Q2BjZuhx2-A_mFhj4UGMK#~w-ta;+^ha@PFk2I(U96HeVaZ*`Bx^&rS zLF<(!&>AUd$x8M0jSA!P6k#|c>$eD+qCO`xRRYbSeb79?pt*yRQbRLC(3~h}-b`VN z=F$d5^N%UQa7NA?1Wj7_B!v|pS1#oMYHGayH!>#i{*8j@y^SDR`ZDRaIU>8g@matE$!SK zYvw*(Hi7n0eb7G3p#31_95ULY1npcw`|A{}X#Y;}bxk`Yw9gw1ZQA|&D^ip+=W>rh ztUY8DpFzf?cE4J%eQ+h%Hoa=K`-#bEoRKGq8E9Nc&~MF0iDG1FZVw>Lg4f#m6t&T# z1d+o8kvu^}mga0yOIf^sFf|~~$YF}9^yp-nn84K22h+khrl+P>$Yslp6ifpv!1M>3 zEKFySS22BKc}mrFMgn9VNN=XvM*xgU4+S&uw)EIrKC}-ay>B4-Ad-0MvM&j)PjW+2 zq+=tv#>U#y2hZb-gh_c|>Ai&uY+_s`(t8--klyR5d}?BGq@Z<-pj9MjiS+(eox*rH zMHq~Kispf&_c?vgybjo)d1i`5T)K=)FR=eD2hBfku+aRlR$;sYwy9Lr87U^`K!Xe| z{AGm|m)=$T>?um`y<|-4#n%g>jddWJCWy+cpfx!S`ybcGnsrbyXa|E>yNdKaL)*mh zEkGTz7(7ByI!jQxoq|;i{zB{PI#-=krS~L7Tbg-LvyvMePa&WKkr zJ&^RiW^aNZg6RX4VKBWVwL&gi<`PVQ#x=c>4KG`m{*b(i>B*@9aYlYd)WG;~xrR-SerzVEiNJKw>d5Qs4G|GMc{xHfR>7 zSj45vI4f_9JpDXq?r62pyuhG&Mv5?;k-w31AT(*=Km1+riA(S2-b+<_p9>O6z4%%| z)G3HwB#25c&PYzc0U$KDb4bKvnx?Ck=~6<`?Na;(`=C=1>64;Y^B|QlbpsGnXH)fw|6G!cR{aW zL?+$^enuwx0G5*U{`0U-3kgpHN=l3^B;3UJU<(Nm5E^75;r-Q8)q%x^ zRr0aDN*4S4d6Z}Q{!A$+i&3pFE0Qeb>!oHckJXC(S!tl5*zJ>c}(GfA?1srCjKp{wT6Jy0jyrVT5A~@lW3GDh<%$2{v$7}vS{?<YJ9GJ+554j#QM#2ecWw^$Rhr*G^a zQgc~jtlIrLXvSZk(o(oxQY&5*L%^!NR{U9miFM(~?=8Ia9xy$x%h5t>YhE^SgCKF< zU`Xgjp@%_Z)2Zf$66jwavFKot=8hxv(vpiLRcxo-VF_J;{yl}L#MYvI8|?nx5FkD@Q>RkXCf-h47c3)a)SPpOv@8~*|_DGef12PvG&S<>&Aw-WtH_E2mF zHj{%{l$F9BiVK&WE8XpfPto04SJLri!`ZRqJ?MtB-;mk}gX9j8z-<1T3Cu1Avx+Ab zvwTG`rHu#PW# z5LU@2^(t9(ujhq$X6w;6@T%_hoySw{HpAluCimIMj^!~DSf>RdoBM^V>KC%4ZwTr@ zDadeC{#~42N99j`U8TSFP1<1iL66FxMCHtp^|OSLxYn^Ja)}mqBHzjFM5$B%tfktt z@c2>r-)~DUHHD+{j|0J!nHH@}Su{0KDXKgl@| zmfYWYBt7&6;OVVM@pCt>>$QlCjX1sf#0R__6QlV-`$WdFY(agPpgw&N1YpO#f6#s~ zH@T#5o03!oJZMSm{|&{2K{A5?{|CTFx()$vOF-oSTR8=(KS6J{5+WQ80=@J}wy!$U zOptY);*@yO7k;0VRK)8N6J(NFdgxEJxFI8UcSG40Yck;Gd{J_5 z>3cHU^q1CUvG(rM{bP++lW`g_V;x3@^d}!vp1NI2PH{;8thDdRf1rJDpgK1Lm9Rq-s4YBe&^7eMm|l z;&n(z!|$Zxa@k~}69oukV9C^i;v)X3&B>kUAR<0v*=bUR&|_5LgMSQy1bn{Zw_4bf zT4ubk8K$s!KRQTZ&d3k7hyjc%6mdUApfmL9N^icJ=t`gEx_7RsaItznM@m|Cqp2O%CaOe&PUao$~3BE_IO!8lHR^P_yE2fO9{u{5>WL`ZSbi`L1Kg z-b-IkK8uj(9fwgbYdn&1$Qp(gC}ifD*dpxfpK@hTNHbkQ*OEE-f|WE?cJeBA+G5-A-w0Q=J$Cvh3F> z)zBF^YY;@O!RT4w==3hpj}}PK0GIm|5}wfK>`KOl- zOe)&xh(U^K|5cx&jB!GX+OQ$HC}-pfEkHU^a$8WqlK|4AXTKs>RS2YYRFW~SR8H~A z1hjb6U2+z#N~#w>Y=-1=6ibN3M;#MlsgJx%&Z_-R0LP}q3>1#Tr7Bi{@Hy;wTv9Fi z&5wVwB-VBMq5XMsBFdU@dg%kNtXEMMmo5j?k-7gS4nKL6juD|I=s!=IL^3GY|6;VJ#jzSCmq?HNlBwJ!WE-f*97}jokLkt6``7hl ziFvdnt}g`h7@ z!bxqJH%o*-$)gB?C!Rrqi8j6e=L%y7Xr=_K-x59847qNH{X3ZK^8&tIbeh4 z{V5i4=`tB(A}{hNLS%Rh&DjP`Z;CLSk=1`26ithoudhv@DT}M46ivsiltiaHw2h79 z1moib<5`redRCRe_yl!Q)w4#&vF^jw3F>C|LHlYg!12t_J_g!j1?`)D4%$C|+CqCZ zaA>SAsgo+&*OPld^$@fzYTwqHpth_O{+0sFN@3+sQyMxiTP7n)Bbbg5OfQaMdRPq8@1+LB85uD+ zrWQ^2wInc=j&?a^(01c+Qt8W=E;~%y|EJRaPg(Nd-5)EAEnt}n3}@tvp|tg&Xme*4JBKwEl1r0B<>{os=p z+Rp=r#+t28s%U?n+y{{dpY4UV$b;`wfazr=KT5He$><&V?IU2@{sh>{9*19$SLMMO zsR40DeoNK^%Y$#NP7qiyeH+jQ)21J$h^cT`De(%_mEdHtx64uGxFi%17qr~ zdHN*aGyis!gBloq7LdFQ>viXMd4uQi9*lW5rP@(o;_n+Q{uGH|U(mquytiW>zTv4Q zUi%y0vuIBC#EElq>(kx$7Ewj(aYNUQm`i!1kH?lg85iT<<<91DDPI%cnI~&pK>Qg_ zlWv2zyw-Nd@nrF|@#ZtnS9!AAFle$6^&=#QH@x*Qubqed`~V5?XqMmg6tVcXk@D;5 z{JhG?Rw5#}B=qEzYXU!y7Y2xvaCufSz>{(w(#uns9^XVz;u*mJkMqeRh6>5QmXA*U zBv&(kJYyy~ec59S#Ig8u?8XmN59s)Ofb_l3Q&7BZmBC|oqj=-Z&(oBCo;LJ=f=}@L zGKm7bawqusyaI;ozAgN{$R7v^d3>w+dqLjP0#ajiDBW?}dfDABUJ`R0!B@1ECLnRXVICbD-QkE{yI-#r_n2oLs~x9&4^wsQk+8Q}c(C5%+R567 zzTdUJT|Dvk#kwEvmHrm2{8su$S)O@AMx|i>Dtl-AX??IsLi4(=XsI7YqJhV(BAolF`)HJQ(H;?y=q{ zKG-+%a>I9f(dYaN(=QzEkIP0#@4DdXs+OlSU zuct>F*gVhq5U5i#9_kB~ zck}C<=csC2kXgqzrJkN}^ys}CD#Ay%wvB7XFwlkilAqO8$NOlc>=h6j7|i=*;M4dW zLk}i0?yA3Y^Re|FU6`wfE!2)8R8hNii$(hQdvu0AY!#uxT}y4ejEr!}cCjFeoB7e} z(xr=E;Z4)}m+&p@BGk2oP~41{aLe1fK#=Dse~H<4*K~J!){uf=cw95j`ME3KCW@!s z0P3#%;Sk;s-afn_97RAC(H2GZJnV;bpC!U6dmPyp&*Ge(^!=0g*t@kb*i^vtt?V@n zM-GP$>?$ps&|v;A2)7S+`~g|(WbC-DtH92KuEl#NlR2XsLny9eh#M4(ZRv`wize;)7D4%vct$XsSKcl;^1{(kGk8Y)b385%gWF1vs#uWKX)ARHLs%{9 z*|rE0X|=QgsB}sL_%)0*tWE{>d>J)LhL`u1?klf!*vePRFB!8hGGH>V!42;iRWoX8 z{)DFd2`}Z9w^3$UUQLjLiLkozV*99kXIR#R-!styp(v}f=IIOmD3briJ>Kw}lk>t6 zcV4*NF~i<~Gqhkawd7yt)S2?wddA;`8837PMm6#4FKQa@_J}WiK~uWh!$acwT6Ppy zUir@cIeQH8^X<$0tMP02qF&+O?G=7)ukd2y-zd;;Y8qW&@01&ocob3I#`eoAwY)C6 zBgD77V#~KYzU^O-6{#XDu(H(d_N=ss%g8^&K(o=xMt{8mvBD#Y2w0je4Ze5X$FT<7 zoV##o^PWX4sZN|o!;8i_frbaX^!SVuNg!G#MXn}8W}bcrczo8+Yv`jIQ9;ttFo|l5 z=^wAXulmPc+5Q;b>aa9SOJ{O*5sQBtIlhjJ85P1K4^k&-nbn%e{~_UVEfc?LnYbb? ze2f_K5Osjp+>LUH8&fXfbYJ#a2FO_aImhBhKt#wWg1|?~etA`$5=4nqCG*SlkpT48 z2gkjr4f^yhFC6=LYg=BX^hs7^O@=-kjemJ`Ju{_6;}TlCdwEFSFL!;`)KXr54M+b< zB2A)?BU>6`wF!(p$6Fq~jzKsa9ai4{AwsIKCi7Xg`WM(&&GEVyhVrXFKPtaEZ*;KM z8;)eishqNK#5p6_Qs{nS4Sx;%g{p5)ufB7{j9_a)c>CCy_NJNk^)rI&3&I_ItjY`S z^17ecMY`s^;0Jj31$TSH?~X0BugVXuLf+$BH`CtY4R0G;=&U2%`uysG;nlaNkvhDC z*w%alxzN64rhQ{!@FmhT0jO&RBLMjcoDtk8#TD4Q3+x{h1b12`HWY-n0<^VyNS-N_ zSV2O;t}e8{L^_6=`t^36!3?oO-#g(Yd!zhU5kB&HTba!^Zg;pNt$dsG?D7uj@bd+wCyw^6;DK z;r(OqZ6;9zZf$r+CM>lI??(InNz%V}1{uhGyGg+N(DE*IK-^t?yPAMX3gNGeXTKRg5(8@R-Uk}G;w34$9@_A$Lp~oHl@ePo8*vFrhXZFpo={CjojjK@6XWe6}8i523uwZ zYYSF}lrMxKs&;(T)02qNpjQc!p_sot(J}@P*oL6J8wy&t7X}*&TB8$UY|>WfY>sX; zkrtZ?E4^eGv8;4fj0s_Vd-P5cS^;BQJ1oAG{#U*dgvNST3isLyR@SLAp<(868w-xx zP!MdGNjD2_cuqP-bg}{BRo zj}qfYLej!pJ&1~r9&MbjgdMv%XfeR( zv5#2#!8UT3(F0Gv(W6Ea4bGS3Ir!*tjsc>)cszdLMKUHv4?g0f2mXK6=m99#WK&DT zLi)!YJ(e&|{|Am9wT!#}+eZ&tJ!7Bh59vAL9&q#^@qtGV5+scte7jyy=zig^jK$)Q zjUEJK{#+x?=y9V-m^^xrs4YHvoJk70>m?|A{YQ^N18VTzdZ5vRVtV&dGkQG5vCY9o zk8hed?Xh3Q|M7Y(oxK0(0WP169=*q%!A6hEQCf92DMlGa4`Y={j34p&$A<&VJ5JG& z#EcxLkib~C&vQ14B9(cuh73CEh;V-ej5q`Y1{gPnYUYE?I=%;p192o+^ybKcb(m=e zh;m^T{*R}_e7sr5`C4}1pP6-p8KM6J$BiaN-2d(42JD$Jl;*?D5%+-O28j+lZjc~p z+~C`_*62h!>j;TIHf|7^`8%Vu==#&mCSmfpL88RCaV{z7u9u_c^&dBi45)wMtYay6 zVGK5IJYeFq$Iiq5@p|ll;|5j!WZXz)+_(yLHF?(2+ddgzA7C7IJ)cMr3N%zxuMF+O0BZWEm*&z*j}{@!F8ULF>M1QYuxKgI83-PUzZ zAk5kf%gOre-lhA=JfWo`dVUP6;xj4X1LD{7XW5keui$?J44LZt*5a4R2DWVM)~_)% z(w{e1gwGE)l}Dw-U_(pygs>^yVNke@H4NsjtX$JpLtiU2ebcl$ECeMN#c&1sz<3^bcq<% z$2C}H_0Nb|J=2)g(-#X?(4R)dz}InwcsphSP3FwLP{;K0u&`Wtw=kf);w&J=A|0(WJXZfKjPQbA&9|^<$--3E z&u#PPEE8ipY#JTa6K>rxuFENpNMAoiD`Y(YdR%vY@sY$i z9yGwEBuPY&Jh00if)g|%J^Li%juiaraa?MWr9;Rj5FH^e zs9s1I_~%KAU! zeO6KuvR;yBHp*8l>fxlQ-^QcjsjIC78^UiL6Ye=?!d^H`u1(Q;(1bt=>=Jxl79=D9 zYkm0LVTAiOQkmnk2v5(F-@u9CH;*n~0Y^{8w4c74aGV+LtM*Ic*ChpA9=kqRz5>3! zjZ~-3&Qe7WU+I79;__^*$7ZR_+mdNHKmN)tn?&$gCqMNPwo+Rp*bAxQ<*xcXvalL1$(wS1gZthK`7+!B;*Kw>btZlMt;Wr?+?>1l#xna`6U=&&hyWH7 z3Ts@C5XMT$kJZWilcb_Vn?VSfCMPnje>*oJS`e})H}sc3}1 zEZv?*A< zI0A$a?`sSg1g$UQ`Gj9qR5E^>_|Eu__(2C1D1C%fCGhEj8_%yUuy=XGZ%x)0ZppHN z;sUGq!LA7HTkIk4jUjyLm0_z<(tjP6c4H$AD7Evqv3%ccDB|;x*Ce;{kqnnoB1k3SGwstUT766Q|EmxvSjxBGp*)dPD@;rLOEm@;Y z8tu^r!e(9hI|2}|;(JxakHbafULDb}`S`4=Jtb-6JHC8@T#CR2D)(;?=8pIL7;bda z^{OQ)cBnLdBrU&b4VHjl4Y2r*y|rX6D><7rco9FN=*f;2%?4x-b@DeL^FVAw))JAt zk%vnyIjK;3XS;8ZY(llj)k)bLAYSH#pGuFQygZZ9LX4USqamXEln~r+Fc_HxDz;u0 z*EeK+is8~V0YQYD^H<|$Sl^kG^jr4+B-D@QY}G!RW7@|>^f7b-^c1l~d%D?tgDZN0 zXS`kW%beDeJxu+w%W7d)FkI0-HQb&S?m8?;K%kCp{5w&Rrn^0(SPA#HkpqajgX(Pv z8={WrH5qH>xWAV35gmSxd((dLx2u0G{^Gr-lR-vdGR}G>wme>5yHJ>H-vTt0`Lg9n z6pq^HT7*5L+$O=Y1{h0+VkDlxD$Q-%(IwsphFj1zman%HpL4aAQM@~Cj(Z;~a{k(i zeUse}K2KAzPR_dC{@37I5pK2R-7@gFYwpoF)!S@kqN>TN`i;QqB$cpNou$>uxQi9O z#1Xv^goxP9pUewTnRdK4$35@OF>~B=_gqQ!I-yH(Ge0|sD_%Esj(aVhwaY~*a8q8i zjh`6!=zG0BdX;7AALmEc5-*t3YO2q4 zZQ@IrzvX_~juRO?7{~gl(VzQTU;g%xQu>VyqlgPM*=qho#g~7J3UoJDPtT4;M3SUX zHr9Aq$X;1@44u?FjvY)gUzG7b^QSzvQZQ}}tJovF6Pmo??#aYN3!UM@2^(fQ>sSpg zsMtPaPJ#QjZ3w)MnNC*ew@)ru8U6^F>LsesSz9=vX{Iw=z$9tGZM)ppv=l6%82MLL zFir|$SlIn4YyG#iAuE|KrRR*HR3tDPKZ;mYp8W!(-_9RWzDSmWnSm8CmIlJau^*wf z^DqKLbAoebE!XKrdX!u2ZH3MzUF^PpDx%jbOPcrBo5}TGMpo)^B;D*XA`*UTQMcW}A0~Hyll)4W(5&p)G=3v0(Hn-Z?~&z|(>ULPGWR zf=X{n?zAY$K*WyG^yp$4#U&xsjfMT1Fd(^Z<=GTA4u-G0K)GSE_p`grF%7 zLI`a&p@h|P`BNI_|5%V{OT zmTWYMbq0#cXB6SFk?($4Mo2`4D65V?$C9Q;4Ue+lJr*=j?knTDsSZM-U$;LPfH?Do0R;do7XB*5hqy z^G*rRe+E*745W@JhTx)Rs*R=ODY!Kjd4fqKTg}-83na`7=}YdU@W`28RUH8es0M7- zW6*C!J}OxdkfUk#g(0sfZ1S(nyVQ6(r%FVl*S&P-RPVS>+|H@qDNQBrc!EXI`$#Id zo>Y1SLd$g*Ppd%cuogyuMfsZvHX67Edg6uNDYeC?n-t!?8@%=gI_zZeRfH$A;l|tA z=5?|QPUigq%MOt!Rx%%%0G}2EPY^ZtGQp+6g%7;d@(ALT+WB7v@%dl$RyCG`8DZF%b4 zo?3NfV;+C(J-sGYZNG`>1(O*Oh98QMl#+wgOo(K3Qd^K|tV(6LcnK8dI)xWnAZSah zG~MN_ZP(>~CO@kuPxoM!Q3Lj14y$Ne^eqD6rVoR)Y{q3;7T!Lpd|xI4(p?jz8)*f) zm?$-9OQlmISqBD@PDu4$aHrYOiABli>I;qoSZDcaK=p**bh7)**(sZ%PH26%W9Xzk z!JQTDL+seqPVMel(emzvHEEyPyWai83j2x*HXH5Zp=jIPehfN~n9|sv>VF9oE|+4N zgr{F69diqwuhM1xAJNuf&Z^*S<&TL#fN8M|7b1)#Xq!>s11qD|(r7gqM;r|Bys>19 z0nK-N1gHX*xqF?M9%ObeMOv_Z5w}OoIe~ha*oVMvy5J^eOc`&{2m*}5fr!LPlE6xU zgk}UA!RAJ3Ew)#Wz>+1B%um4#EouuILnf*r(}^Q49$uGU9mwiJn|GJx*L>$3bdtRC zt+Kx@FT8bBp0k#ntL!epq=vzvZESwcJ+EWAoAH&<<4Df}XI);nD?{F=iLiOo)+L^q zH-_?V?tH7}{-Y$Nw{0Qw4VV!ekvZoV`@I81|u$@%U`awQBq*}KX1_KmN3sF7=yccY)Xd5C~ck%-c z_}Z~DE)+sxwHl?c3H7Y#scqRFL720iD==*WiU1kHp+QLZ|fYEm3_WbCpCGU&0YZ5 z8BZcZIxe5%zJtMI-p-@F)=qU>*=~2BvE!J*BF5?)Rz@$-3C{i{VG4*GcLO2bS!m?p6R z)>1$u{dysVY8Z)Q5ixqCdpTxLDjPN(wfnmtH%b78RP?Vk6*pxK-|v1L(hBCx<9sbr zil*8_mLa9t0-MNFSu_{jgmIiE3%iRPd$D3bR~rkCcMUBWhb}R?jwVT0Er%JA%?1Na zsa^QlICi2pxX)<5Bi(&Qi?`;oAzt^zqG*h+bDz<~H>XmqZ>c5fMz30)dRB75cu~I#%rKzZ2`jAp?e<6VAQ$-?-%A$VsU#Z{O zYNa{dF%_2zj#o^zE-~)!@oEk4I4i-5QbjI{ZN39r?q$q1sc527m$46*nkE87-R;fR z`PWj*ryhDJE+1n3L^avln&S%Oe4Xelfjf1|(_Ucu6X!@|z8FDeXIjDphsj>;k~Zd( zOctAuV^jV;Y6_ayJk)&!coy#*f%WnI*JUa>q9T%>+lG4Xu2=&hu^loApT+Uhg-oJ~ zJ2RPovf`>!cUEHk5uH0tfTpK*iLj%{oE&r+tZd81u{jxkVQVyZy?l-EU2wH3aex~dGcZg#EmidTpE8h$f3|_26fOhk{M4-7z zBHum|i2lQIUB9eAzd(YwB>|=gHcUGZOJJx6^hRV=gwk_`bj2kVUFq{ik|Gq{MxE!s z&tztPXU8|FG-it8ma%vm)wt`ftWcuNZd}}Xu~0`3+s4jhT9hVB^KnZ|{D35m{+3Br z5^#H?EZ4U66jvAY#q#$V)4rqjgVdRc8MRV2r_N!Z(e#k zkYrv`{xb4%iESESm^HUAwsC|>d`yjJzY~-2FdhuWlzJiE{)&cz#aHUTmo=D%pBu`D zQ(h2(S0;z>Y_^5&3Q=v{MJ?{DLhh@YX#0gN!U<(PMl8ZHyJ)qr`O3F9q4W%9`|t!twZ+z@}j(_vax5~P<) z!$;=0ud0tO2NlkcgWT7rsGzsayHedn#h;XEkFj(g?WAa_byINBpcSSBt zE-Mq2A?)kJ*@U1GQ%gT3LP-GtOU;Qs*K~|?Ornm&LF|2bEI+={?yH!lF-!5wpU{#; zKi`)Tr}Dv>BRm*I|m{I0XVum%=8g+$Ei^+UA=v_2g%`fKeLb1LM%B0#$XSU97p|(Pw%ie zezE(iZK8((k1EV#Xmp*ee*Y<8c^VTQvDW!AK5YVpQ9etUFrfJ5kG0dQR+uW7EecSt zY|;FA)@tBaxePOhqFru4Bn`jPa6($z5%I^OV5HKo?G+!4dyUn?B_^@o@gjCLGNffi zu1LyY=o4!x*Uw0HkOGfP%Adp^Xq@0-=~@4>@#8aY5%B{Gx5W6dQR{l>mZIjydS&N)(Lv>8==!CyV8hgXyR4C+aqJsoZ<>UvK8rbu zCnqOgYKRU_(u~pi!AMmRPIv#K(ad*tkH~w@HkOeXfUI>ExAvO#d}=6yU}Z@7O|&;P zxl}~cTDP)fL$ ziKwaTLD04Q#56e>o%tCvz7aG&Oc2^n*BE=lq;N%Oi2ENQ#wq}Ma-Jay{bV!Bb4aF9 zpGKAT{prZiPMJe^9KR!)Sx##{(Anl93#+2I`F8N#%5-R_3HL3B8fblUFfkrZ`j6h_ zdwkP$$?V@nz$P?!PVcs*W!qT)GpmqxCS3m4a_2%4cg1h`r9Jj6T|8YptZxE=waX%` zTmh_II^oh?vV@~?`QD?B8&py7QSF5&Spf#=xpk=JYB{ZAv2kk;bhfXNnc-<-RR)$^ ztK`YGDQ2F`;ke=U5qXmu)S}-bEkKogYYQx8{Y~W*CQEZ`slnW>LI-Ftch3>v9^&sq zT8AS2Og87fFCGH*Xq0SUVhwcedI@AhkxVOPOQ3EJtB)3;aWX}W@!CG&Id|nK=3l>O z9>F2o5|lZrs^@C%ruK_DWYo?zb_cXC+i*3o1`e6vTxSu zdbX6(i!Y-$a{BhbHCcMtKUI_OOdFskH5zz`H7PrAO@0JDSeA6(UryuXwkhMj1@5%! zN@|#Ku9hkMID5}xi;+9Ak0@9A>PR9?5W%Gn1>{gWYyU;tabukRkztS|j&CMj<``mS zU^mx7bj-kBxPQ|K?Yd<3*KBx?g!H=x`G{L(dGtk^<1eSng!9rEpvIeIE@36fUll%_k-g=KBMc+Dt0Yn-(u zUR>&8Y$;8_7P|y~3gDsW+q~IH`ItIPm(czAqcdUJ=;K5Im`NYC2t{i(%G^~m$r+tviV_J4roU&JN)P`&zrTlv zY^7gRgSRXv>b)%G-IJL1&A-!K`4eKxyFV*mY-g990rPG&v{-!3g{IHY&DTpe-$r$r zk>~;)hN=3n*-=nBi4xaiMJ_qjWHeDCV@e&-)!1v5I`R#qkUjbwL48xYtL`=8Ic_l` z;dgo^T-YEbG<5tV))3M%O*DcVP!L#~;(C)I4PgeEayyrS0)Dw|uDDq;)H<6=G2r!X ztmtX+QY_)3%~;<;ubLg2R6AY}XXB%TWJ^SbQi-rVx<^Ih!iMsFVZO2#*r1l)=W}X>o?#m7}SDoGMayoKMz_l{EH7XM?+PIY8K{ z0~+O)K!2M#RQbM%AmP5Z1?UDLPTOFFAPtpq-y?hwYtA(>sV##nYlgC(D|SX{PU)Vd zw+Lzw+g*7N*&ssW($4u>?Iz)ZjBienY5&43A@XwjQrlRGyKSpxX7cR5f8M^H9$EHQ zER$6nqr|94FN+1ip2DNI8nN96gS3NTa8h?F7}%nl_9zCu zx^DCYQ(`EZfyYq>xe><;E(0qj=Dw9|Wv9hN{u+t99x3^~^DK)WqREe?+2XFeaGN{N z8B(4ntIX@g{!|}*4w(~AWxB*;{epx9#|jC)N|fOXei0k7Zf7J!hBQ_$LFKNxpJiuZ zo<0JmwFis2X`#0DaZ=H817lSDVn$>k)m>-TUN^2Owl}U08I|a% zlbSJbmBF?rFBYr6l9NVCH-_mLg7|h{dwNqREJoO~Rv(ktmGzgx0?(MXt5$ zwnU>tmPe%z8E&?>ENQ1(h4-aRXj(X|qI=Z*ajk7)28Gz2V;N`}YS@ur8hd%H!8;yN zrFALAl!^L>b~l?Q5@jc@=d6WqSeTF^=ahFpIn8~~o$pCI8m-46+PduAVv&l$*J$H# z-j6X8LBsLe&XzIRzaD?iE9wu(knd{652v=~i!KgYq7OKpCooAD|GVnqxdhFucj-KdWeEAD=}tq zC|lcK_h-gfa2jI4&Iz^9G^A_A-F#7eJ%|^z14^uRFhKyJ+IzM^s1OfvtHc$hogFt? z8yeq}yE;b@)oI52wC%NUB*B?02?l7!OSk}ibD&9wb`$OjMDdDF2%~t3)&)w-p~&19 zge)Qcpt#Yk)+Q@r_o8!x3`yeJ7Pt8^*AUWx;W|FnzpG~(!)ZX-`v`fZbaQrOIGDt90SqeoB{!PW*zd}d772Ax!^DNBZSoS`!$ zBtosdW1QK+#~gEX@-Du}-07Ch&}`s~*|$7N_JEPOcitwgHZtRSb&FU)S=a{F%?Qr= z5HYjhtNIrhX{j62N5p=+)-+q+ z^5=cUlz)7gU}2S?d%c$Ln_LFo;~yj&B<}=-T;NNGD{dVF&^myQbaZQMfDSw|_kAru zMoy_95{tUD_)Gz(X#u0k2zvfv3p}{S1?N6M%dQlrv3gpSr5oAm<$r_l75GtDd`!Ye zDpSzv1R<3IEVPcyETvo!S|@>PNzbMwA7V>xrVC&p7}cYrhT+4C3mI08P*--a*Dn3V zG-%wMJy*^w$4?Dij#^E2Kn2!P#`q(&j3PL(NWx(K*=v?wM^5->ji^V6Sy^vl2bJz0 zmz5^JNVJSaw+Q{@@L4vUO7>KHT;I?zA2Mj}o5%y-yGs}K3;_v8Px}y%$QFkcIrjq> zp^VtkfEQJ?mz9UhNJQ#3iUX7{GXNO+Dxxcpd71Ikp#ycXGt&_J2sC`*CB1|?=esv+ z23DDUXcTjsL>6%pX?D-jG6otz61sdJbo6PZD>b<;SF+4cS~h|g@60A=BeKPlTSPo* zMXIwMUXE({nL*0osJR^?L3ebPAjuN0xv`)Y zxxV>TA#QOC(&dQ=n$%X!?;EnYht$D#J#e zkEk3eD)&MJNbxIU@o+jVb_%I;k^7!jaB|;!0>4uGdo%Dvm+_-Ag0@A;y-<*31_r3y zW_mns#$xIDIzL{>@LjxCAh*dX@I1KdCYep51lU-}bHf{c*$c3YWXGb!Be8W%=|>RjYx zjJQq49MQN&y7qoqDPL;_mV5wPzC#wDc7+JN9b|~^AGuLP)(L{^5`R)#y z>6J!i#w247%&)uZWzB-9riIF&sa#X|bHWmAPM_9=OU|}wm{Tiv_+TmK#ZtUY-=K@V4$$S% zEqqXZVk!zb7p^fa;H*`)(GE37)%TH(!@vSgQI%OIXWuXYge!#t$~X+%EGHQ@+A0h8 zom1VF*Hgawmz7Q=ZD!Gzq{)=}m>iY*VXJ4Yg~U?j6G;-yH3ej54Uj(34(=*x7x+}B z3-l4CO!-cVUS^pw>0>gBE4jijv-Ig)QR<4%6`T|3D)TwzHs;dl2EW;_$E-Rslek82 zu8;lzYoikUr`qeKeELuEhfUoNyh7_)sjT?&+A@aqWh9n*#fPDnDLuHZ z{9PHIV#86GF#LUoqkATp-fxDZG?OTKI0CW^_BsIBHNkC7U0J!bi*rq8TW3(h$34+ zcVneAgBOBi75?QQ!$GS%UMEfrup4L+VymTtII_{9<3Lpy=jn%F%ZqED@fzNuV1 z(s49|p+=1ahNzwl>$Aeq293owV@6N2f2$EjD{%=kZoCmjGyPzsqh3DlGvL%JwAS9+ z_(NsFcFT?iLZ$b0{1&>l=hG5zCOYJR>eoHx7-(QtTiLu{l{Xr`x=nQ4&g=uI>h;|F z0o~b4##%Hl3j|~Lr$!$?b3VwxAiCr#*g03a?!7+ddSB zW{i*zCJx8~SI(INjz2Hv%biGVf%hi(NR@L%ND+@Y2s+cbhQv zU*F3=qnG~_;}=;Fj()|A--2w~hec(LxgmgZUeyQ+4R>)9H^kfrDR7{gZn* z5c{8ZHT7?>6du|oajd6}W>l18DM?pTPc=6Jk9AjlhUnO>z}6MO=4$F{#>nKWssBjG zzvOD_cc=%vmMbpuIhCuaPk@Est@zc{-Fh|k2g13;!0>uCHB$tSYY|Y{b}XG19veyL zG=gllmSzOm6!jZHwhTWjX$etwxJLRn$Q`39%Zw;XNV3gkX*PQca;(1?`>Fs_Db}Ua zgQDzTkQlTEl44+u0E-JRA2U(&RuLl2NHN6O|2|1JhZ@sV|2j!_EW=a9fY)VzLB{%c zjLcQ4yaYvW=f_=nGrxmgll@;3*%D*V>c{GwB9zty3P_nqIype`UOHd-z}6OFrIs|9OK( z(s-Y3!gRbpqL=^u?<&d~zQOpD$NMakI6mHw;QL=O-WPu7KXSak^4tG6#`~XA4;k;p z{nwB8XDC!PzA{{nijVihG~5WXj)$aVBgno+c+z@tNmpcMN*I^G{ejXxRhlb^--myh=?4+wh=GTzg=UG zz53+qM^$u9F6Ic@CT6z#m@~IxQ`=oDtCy~CYwAs5{aC;UEgI&)^E1pA@pI6<3&Zq> zED*>>05P1IYd~$&?8O(!YQ$wjinB1dbxC^NMPMC=A7wpN$Ap!GP+hTS?DVKSaVHXH z#qi4ANaMu_aEw90R>#>}K9AMU^t5JBxo79I#=FJrkuMYm*?BwT{_x$?8 zpEbvQ8P=GhFlTKm&dVZko~j-M2mM#t?#}pT))BpMt!?~voqwb`Yi+pj;lg*_+PB= z4lL)dPRhAf!ny-tDd$4fSVTIo;ZnwVkrtoog^U5n)-JZFD>_bJ?~3PE1M$9+H~bS+Rc z{4ZAQvb(ER%WS60UXtIND3>UL5G7889oyN-TtxnsqFV$?5f@1Uu_$XIzqe#f<2OI+ zGN7;EFY{C>z1{y>efOK2z;)BB_3a&*4#m%t@s0qG&T5r56unzeYBVS{;UE8J@o(^N zwOS>+M!sA8(h3cV)VTWUr^r|5F&I5fI`X87G{4 zg>)xEno(I$=Cmvbla@v0gf1pr=qljDG_UZ#hQt5H9T4RnlwU#lEpdCHywVDPqEGmP zR``5z2S8b|om*|NeQZeS1ub3t9^KMqRRBWgXU+ANFPAP*SN{Q0`^#2TWD-GE&iW4+ z6TaTjpb4&v9MdOeZpUNpF|q!XS0po1c9uA9_!dZgASWB;L@0T=#kZQ(*81)~Hb5+V zoU15LewA)!%}=tLDG1x&^7iikh-c{_%zAr4&EK`&hi3faUAp@)+4VF2CElfbnCJnG zfA1rsl8t|v2QmIF4}YNV(k%~fJ9T6IhJNqT)o)n7)xJD@*TRRhLbRaxp3Z8(hq$*4 zfb}iBO;`Ux>}|UGZT`*m`xe4r`ZittZr>_`^liHORq{4n{VLyX5;WI;;9F7O5oqRn zm%uH|np?ly-(U(Wlfv3R$ZjEjlLQ42n!5vd@AMQQMYs7k)bAYC7=M}$faZ}1NpMTn z#DW?+3e+%OTn7Ee{v_3$w1P-xSzJhOfiEqe6IIC15L(Q-oe^eVzZq`fY(% z%{PKA>4n-^y6O z&9?<2yr_iSji7GK*hA{&e6NOt;pmYvFvX2&D??C@OZUX4wi8=fB1VH=BdET6cBsCQ zSLwdV;+(ru7TyLmx5+DZM26e-@xwvQZT9FlNcc~`N_Un4^0CZqu~+GKVQ!4ZJZSc2gqYGz*{arHtPGvA(%iqiVts`L*?6QV& z^dPywQT+&S5Vu$Q$E@&&65+du z50iE$@X$hL*P%`w0p6lcCDCUXh@d>cg{h-&Pz>;nEnX^6BywF4{-5=s(e- zjJLH+kLziP**OQL<+2uH7pN{oFIK&3jj%7u!RLV?`G9njzfM6-<2UmZDZ1TH4>k%`dVTx;%rp($lxgATSgUx>s-ycm4E;Q=CnZeOSM;QZ zWB5b^;P1&Uk#7|j(tfn3=t13{U8-nF+ggeig!VuV&-h7_A*N_WeJxtKFe0SegSsdH zRMA3n^E>v6_Sa%5TB5Mx?&w$+2q#B?v=P6B-;HK|b;dk{3zsd@qo(S*9P0@GYrQTYVoGAeTt8wp@ ztOsq}K0U*f=gB!$%N@8b`$gNN2fV3TXQD1EtnkPCgg;<~-;oIKR~Og`rRtEBE>BG9 zI-P0{q;!pqjOr7kN>@H(dR*VS{66ZEioV6V)xi2z8)f__>RVFpL)N!~2iCW~JD9%p z9elW9s9V_EuwVUHETGu0jEkXDcMy%gRKKxb znQ%^UV82p7_A7PI!+wSJKjV!@1OoOe+`|aSep&;tUm1IzURf5mUjfHep$X&+Zh#$b zx{oRQS`CwX&zZlgpZUAGf{*MZn!TO4Hse>AvI$A>&D@RthwIv;u3Rc$!k@Fkf7K_v z!V16HgtLd-&u%(-{Yp*;9fJLejc1MQoWg#kkcv9-=f131W4{7r*JAyc!*+GCUqKLd zBZ&Qqgsugq_&)Zl-bA@XQwULFMA!il#D2vuvlo7`UjY$24ZqlF_{B~GbT<8AztYnE zug!74$(~{CS99FhuQU+*l>(o|b}sB!>c@U%P~z+d_ABGxO1!j6c8&R#R)Ff-a=3Dd zkQ_~to9nS(;UT~yTP?;HYK8y=k`vZ)jLI*-B#tH(0CF*106+FCla`|k=73fwIv{Z% zvZ=%5#eSt>J0yJw7@5cGH})%BwO>IF*?x@uiXS-*B=#$Ql`dkxB3GNqoyk)Mm40M+jenX$|=~nouCcGa5S?`R{6#eX1rs9U@Z`SO6n-~7qaQNT21ESo6`Y0&B zrEUV{l~(u@eZn8K!snatekd#B96*(VbiM3Xx}Y$S{Yuv~uwQ9{B>R=d3}(N&Usgt9 z_AByQ_A9fm((Hz2b0tTJ2h*o4`<33blwbY%QTf$*qk}xy5Xs=$3R_t?;+zp|DRe)v zhQ9{>Le;mYSKm2eMzFOYynXCUd(%w&`WeCX1>p`pR^shaJM)7 z?$|>6s{G)pf^Zbyx|y=;a@*KKXC3L*=T{dDuf9Ev)Zrb(w&okih4w8o?HhS4o;2KX z`9#+YUZWsCfit+xnBofT-39g!3W7VW5*v7s0-&wcL-I_a#0nA$c6FisCDJuRnEw^_ zt6c?dwO@(L-u!;{EA}vNweRLGL}R}apRr%1xS*ZcX9FAdD?)a&k|i71I0K{`*menA z>{l$lHJHN6U<$eDN;b?kNf47W63exWV!t9GyHR`DuK;L0a!P`J_A5jRfCBPTo7k`L zZX?6jhs)+)JaT>u!RHsD$42eJU$7@_@0W;qP>RwRHps)?Ts;JZ1eXh85P;lz!w5js zSn*Cq+WF;RZ5=i8QL_IfK3E;}vC-W3KK_AUvX78r3x9G|hwM5*P-pBRwH%#V&ELnc zU(s|S{{9c!uV~MZiZfaUJN}^dD`ch)gg5?FWVP(d#(rh|=qT8)j6eHG2M=kvQQheVLS!uwTJquu1@REx{sY0J*W%VugI7Cw&Ec6E2;A7`c=ecRIy({zKplEOzc-0Gl#lfMqR{yrD^)uuYjTX zuwNNm4@Nw(UqLz)HWV&=V!u+r*D0uJ{AQkF$b@J!3Kq$*UuhurD}$e7t-A8Q4E8Gv z=O+yg4FDPcu@P2iHoepTfqFQ~Gu7T`VNlI6e{VJh!CEKrb-$x4i*1_yo z|8e?O8;ZmKVEfe}=v$&O8+}XcS9JYU4h;NL`c`V{me{XQK>Dd$3HudY`#(|L8pM7@ z%Z2#c6a7DHzZy*4!hTicIQi$QTV;IBxQu6V>4zu#Qu96jY<2w^W6XEvFm;PuJANkl zL{X}Bt-+7w%EthyR;xhvUo=*BqxH95RcTzZ_3C;9P3$C@Gt}>KWvd%A+zf8LdSA6a zpKG(aiJAOAtnlCT39qukZ#CilX7Vf-V&#^7d;RuXCG1t2*VwDxP&_SrRfB#EY_GcC z6nFr8)m56JuR$Yqd)0YbdLMgLh6Z{vK2qSuUbR#G9@hqg5=x0@yrGwxn4}%De`?}r z)D-Pi0nHFsqonZ%wO5TbY2)@PoB0NjguTk>uL*nAX{r}`T%&ORIeS&DDttcIAB?8R zWWpFc@3X?^^$EY+3O~<;_hXq9?N#5b!hdds-)qAAq5Lsar+<;X${4C@9JjJTTlZUiVz2tdUiI(LpFXiyu?&Ju z>Jxhvdk0q5F~-{pCN#`EZezi58w!#RN?4^*Q$bLenw?1somfpOf3FZnDMd2@N|$i`7>R@SMwyJD`o>B#ras9BvAT zTddwyMbDSB&M1KK@Mo;>pC!Wk&C5}t+^Ryk$1rb>k!e7Es+Ya$L&YFwuew0LdfTf$ z)DpSxTw_wVSCtq{Wmv@~G^nvJ6?@gWhDgcws!{aV6oI?f&)N9Z9 zU&rw=_Nq$r4Q?sgt1i?GaYgHZ_9~;VC)=yGsYZB+_No(%8kuvLaR=n3jjF}@a%$C0 z*m!@<%#fqymSzI)elV8gEelfMIg!ik<$56Wdd3#k%-@2Ne_XpFr$_HB?Y!hMmA9lBe>~EKPe`2qS zUvP0q>w}-ztNtl<>l1rbpXEWdSDpPUW3O6d+_=5!TJt^rVs-r)XPEEIqtz{P_53nn zuiA<~*h`KjwDdmqs_`1= z$#AJ_>{SMTk1J|WQhSx5SHfQPXA_sYz3LW&JqxA>-(NM+q>bCF(#?00y~^mX347J~ zsuy}(S-Ah4y=sjrd_LDwqbbJiRgYNV_w)(B!3w{`g!f~a6zx^jn!RuHdNOa+aF6SA z#vRaJW$eX1m(f2HT>h5PJzX!Un+Six3jcu#?}zfoP@O)Wy()1(owZ**ra#@yM*4&4 zPxlO_KYg;ls?pw95kB&HTNzJn?GAUOm2Z<90LnY$;e!dQ3hhn7ri$9J72W^V^MQx3 z-WNg>H0ynGKJXKJ6-JRy_E*6P+!wXuf7toJ!R%G>izv+dhjDvV#cvY!sxPU(DECqm zmVLHybH=Faa||=zYOng>*OG5e?lyIk?N!S(>=5l$cWEY1&JD&L(8h3<#`$tiFa^Zt z<@;69V}FTJ0OR2+tnkMZ;r-_2aeI~ZP^R@h0(aZzet4^?RJ1l{l?nr!7wNPKqYIPHJeB28Eej>bI zT|S1=_3`Xg`hG_red|_s+8<2cDj!VWYC|x8Vy{Y3-}?8R4?F~Y>y!Oe?Be?0w4MDE zdsQEMl~*78?djpgo$6uU>WhaL1jC$Nndn_6Y9s&3yyLpi9;X&?dl|=byyI%U!LZl8 zG&*rcRioEEBb*;>k|$Hnn8qU714qbB0{f=jeR8mc1D3(sg4V5hO9->gtP15V7I-tr z5^V9dMrJx|JC1c%))Q9Xv?BGo`F!_`(4 zXvr5l92NWRCD(X4{ay;PT5y7)~m$B&DV+3CtspkG|so! z{?n&%3Y|@b&ea0M@dpKx@kaxMgg#nPF4db9s(a|6g6E(X|Ac>!7OLbe9xfGE;^8T? z8ZYD>w@=uZ%k{lVtyZsL=mO4gxtDfKtZFQ9&uE=lwI(lE3y%u>I@gqkCrq>17M@(u zJ?-ve3W942TDLmG25J+yc86>8% zZyl_MfN9+>%%|}UfU^2$P;NBL_#9jB2d<;uC&=Ylo?guMe5{UM;g(D3Lt$_5 zB^YC(cid`k`CIzfFqbsaUnW<_T@VYudk*(552p>CtDhIzP+MsmXG2v_ zsnc84=&qD!bEOFvUs?MVNw2kvwofy3!BsKF63se@eQ#oIh0OwUnY`O2O; zt^;~JkTp8Ln)l@L!clu3j|q+7HQCdVBI+6EB`STXFcd|WI3=9&N}L_)McFJJI%!Q| zHJ5}HLP&V6yyqjVSo1Djl0IP>as+2mBNfVDO{cr{JKAu= zIeNy^3`dN}@=ld>Sly9LX;txt3@e+aJ=}b(~1laT?{wG61FS zzF1NSP-qwKN<-Xt4|nIUU`WXmxn;{2G;ZwihTod3nB|QN?``(p7%ChWDzvXGq(ypT zqDYySJ4A?8^zoF&x0R%aSwoPy#|^#`4hXlW(cRDYI-9%}>@HfQ7S7W6j*+ooX($HZi`P&?xi~}ke{hqgW&mDn@-D;OP=Zw{=+n)!{ZHhd7UfVl|O_S02u}l z`or)TEcyX`SNZ{c{Y%34>9B2jIKsdYDS$)ySXkm56NrFp^Ysy3j43Ul?16f*Do@zbbDeIJF z^4$5IRUs7~cthhl_pbBaxW_wgfAm5rC=MjWT<)4paAgAP=nR3vMX)jbLM|7K1NFwo z0M#)rMn38|6jKVvg)JQep<{&PaD-%#qjPzx{!Cg!i!my!Q7#R`bQ#`o+XPfIt3s%0 z&IWpUsZ*rowFo2W@;YSeh+R4cQnuA-%eCWJ^288ITBy8{HW@Cyyz=m(kdc-ns!Os) zgx|H7zo`=P)bjo6jxob-1(MBH@@WD^On$nKFf zg2BsuzZ8a)tae-tE?nZ9Uf#s`xd^Nhl9AyorrGA#=1pjZ8oZ`yNlEPuxu^rj0%UBW zLRzp9l2YS6_e+gkJvgSuz9E8{VGnx<30bjkxV92Jv8HNIj8k^yh1-uFw{Gv6d?#bV zxY|5>SY$)5;?=8%SL^bFje9rbRdn|(7%{zOl)kQJOD}fh2VX%f_EdBqclXF(ttdFH zZBz;U6$Sk;;}f7WCN(OhqNl<^(`!cX>>boecUNo{*_R<~#C0 z`jn{^Bc3HXgkMl5juB#p7CCDLddH^?Y5P#`p;?h*Z`5-R*8RkMU)=j!ZpR$!4NlIg zYUB!Kd1DTH3@za0D_y=yKP_*W(V(`D;o_4pZ_VT^RELM~M!vzV#wa9CZ}s_EUTNZv zd~Z$e2KIeNro9$Hp4Vv%O{mesy&|E!HHD*xc&9WKpXsg1`Lm=g+CthG2(P^ru~G7A zNjuy-rMZ~5nWhgxIF9`SDof4+$#CJTl0o9FU~~U+-t4?tc~|BYU3mpN5q&30ZQl0= zKd89-c$=3?buS}C{<*7krgisde81=0TYa}ks*QW%?_uVnIuqI#8I12}n?lUu?I zYh3ei$h~``(U7Zux)geG7b4#r6LUNgxQgQ9)5r z*AfLpAQ(_2B3ZHvyKxsn5(uCe0wfR(NlZ2%mRC$7++3p6()wVOv#)FbTmAii{r{U!_I~f2nR905%*?qnXYRv8FYcsPtK3<7 zQHzCmJtU6N>+u(!1<_bK3DvJ7 z)#DBOl_l~7 zDq4?+Htp4mWybm^&}*XTC$`v>>WuC-Qf&Rz5!5=11(zkmk|sD8#$`Z=v)UOKZ}0gX zTI}WW8A*bXMazVj34D znwpM8_x#SO--ao3^|L~;PJJ>B^G??yKgxv^;N%|R5Dn96$60j8V|Js>%AolfTr7d> zFIvRP4@1lKz8e2@A2Lej&^I~sj~x0B4*e%wC+4i_hP%QsnJ2Z?m@2-Ylj_&wl91G? zH+bftvk-j^(T#Tn-Salu->Dz6>ua3)9?wQ3@ob~AqZubq<*WN|6_xC?3ZU0wWWRm0hwCCOXC~to&ll&`%nPSY_ty6 z3>Vw+R=zQiNfU+|jH|_Qc7z=IMfCDyocZj9r)O|cyUU_ptcn^Vi`prqsJ5_0J%m)E zs57ak{`$Z4y9mvbwq5jY7x_+Z;CQO`@+2rz%x;|eanDVnwh``YNTb#LSKl82#qaTy zqFzqD$upjMUq}@|hX!F;G|&Ag7A0Hqv8TzjWN{qHFF!HY?Ud4Hu(WP0%@kG|F-dr4az6ji0I*($aM4*+avXHLju!5aI za3+3dy7zV>L~f?@`Dt`ctvV6<@^>pY3Q})JoUF+|tEFDXhbf;-VQ`AXFew7&r=#rp zUb`{qS)F^`ZCdaOvm`7%{HOuT2%V;sXbsy@G32TzBYh zuP!cy+W?}se~uIM_AR2z$RvMy03E_YJo;*TD0gRG=c8WSGy_?!ab=ZK!E1Sq0Gs@<49a>y0^5g z%&iH1-@AzNjTZe|7A}Gc_v}Yve4&Q!enJ$g9@tZNdmcplls86{b}%iei0h8%^2WLD z47xhK1+lOeP{lF4Q{2-QK}Yp+>Wd(KMWkai7RuI8 zBbW+`rE2ANtZ15Q6W7f;VW$flB#HivdfnlZG(ntoj6?r3Rh-0&2W<&oJ0rbL-Q$gP zMjo=5mbf%5&CXc?>!;Oshs5&Z=a?$vae~>DyPYF(e9ZJ{yZ$-W3kNNxH(e3s$I)`H zVLC*-kyz3_@K*{Lv!fiC?N|&~M|Gw~SXEyj4v z#Hj)Fs5nRUv`bJ2jNbgX&g5o^byeHCEV~4S68HTA3VTys`B#InvS=6KNZe#9-;W6RA?*4odryzI zrtD-5rR;7uEzgQDE$M?bM)_V!-a#gRhmx1CZN=#qVWHQ-G`|K-Y17x+t1l~G(OnyE zblhlZ+9SjpGJRW_zJ9zIS0kdRrS9#8YFkZjPHAO@@vY8kUwP1JvaM`-AG+()ff=p^ zgOL@{w9+2A5duqYM&{@nRM`4hF_yC;RAox8L}VmKeotL_i9gbGFS#U~qD7=Rt?oar zLYU;iAHq->QRuLk)3imQ&>5U^8k2!Rmb@maAEr5Otf9~%vD`I0H`4ec!jLr;*O66& zb;mU_#Aw+kp$J%~`Aao<6T^H8LaM2-iPBzrEN|odjsgkl5WsIttYXiQP!lFF*|^))~3anYhPP zejBP(|H?^K=7)&q?zZBqCVJ?EY&8WIh=Z<)5!0Rw#n#j7Ohl&>2aEY4jM>8o_J49WqKlr-Cs}Q2e@4B z6J2nh=L6(H*Y;c_lULK*a8}=hPlVGY@+FQQM#TLf(#4@WwtdlW{#F)2@-Q)cn__1$ z%PeN;NW?cm^i*wxY{6IEziO_STK*Owrj~c$1QKRY;z3AE+q%(j-@d&)mVkbLPr3_> zYIJ2R45lHaLto>nJZ7rcLKfOcrul~ZYKok&o@$Y9hDXVC?+b`^ByKa!e}>?KpMnZxLl} zaz7$E_1|_+sL`Q~j!7=P-*zK8o{D0W^g}5ylU~{#X{(2#_U)6L)lnNNk2y`&wJyCV z1}U48`!&_*$?*v_w+hFP!nZI%&?Jeb@nU{ocHl6mPJQ-sPQCPHr#|g1r=C+|*T=70 zYuiO7L7&rSV>6) zXs=#Y18a@y(>{c!$2w}TWfSn$WRNBxf#*Vy)>hK;1s9nld-WTX9!)jp`=+K9?Z9N$ zD<$a<#O4_-+MHAUVG*q93NUJ(n}g_$NV-Cpo~wiC-64DhCaRj}T%sF;8s1Q=oA*(C zS_Yuruu__LJPJAn#X>;`5W}>!RQ4xgVWaH&m!OI!&LpZ~TO?Kxc4OW=V#QV$N_{t& zp~!hG2OYZ#rNy*)CAtN&p5@CrO=NAVd>YJ#Yk|G`4>Ffgo`jtwYW&0aJ&7&H>9{3e zln3st&D8K%3vNiLX&SYIrmzE1iYICO=m7LY+Mcl?=_2Y?XgZHw-y!0RQ4dodP1wsC z^{_qh!{Qh0#SjwKZr<33(%75U*b~1i{stZ-&^MWubo?N( z&V8;}R)`Hi(}+66ST|v|nDIeG__$US*D8EmB*jIBkBg$XsPJ*o6c-&nt~JHA4i`6T zQybF~=Lc>4<;P4^)S&&jrX~Gu4xoQPbpBpoYTC&3%+aGPsg{xOg^q&rJd->I8dC&nwWGoI8ECQY^989!N z@TsO+vS7-|mp|4rX8i40w~ie*#&;p({lw9prE5ImbB^-*Hrn&4BXGY4@@7JwfiE}0 z3YscEgMH#JN!F67DnAh8p@E6)V!T@xVgKizx?p*CObe0M<4p2)z~U)+)_0(O zEc#D2y#boRI`D_`z0glnX%u`{xb*wgv9qR`I!*|6n5_FP4Qqrt#!*GjtPY6&RkTqS z;4cjAujr5UFNW98@05NX#%xmQ2VIA@yaP4x$qLp$MqHPW#wp*E)PY7|Nc+H`pIIAd zbjnE?Iv!tP%HKnlU&DY3YTq(d{v9-1;P%yzm+x++pD5oG)v#8y&5gK?=c3h(FW!c) z)Z(T(>ZAY=GU6Ih&Wrs~vS<1tR|dvV9epw07wq~mb$@}>mvAZJ`niSX)3Y^AgqL50 z5(?5^iPV=ubcucLw^G40siR@yxo8z#*4xKPF51G?Pas{962yWhsIX@!nuNDi(EtA_ z?F|M%kbdrh9NGVALD7SKZu#QI28VbAr;1N3qHA5tGlFhrHtB9rH}!=xIr2W%St zh^}F;o*dWcdAt$2!C8zKTYK)SHA^Nu?bstb44bN-g5lJ?WFX4FigI6rsR|5(Y_e7F z%0ZD(a}0KpjAUbj*q?8unXEh5OrEV>*m-t#0mULS3D0yE8X(B_h8u<6GIUegW*66j zpTu4O1fRnwBR(vrZ|I*G;z>AfBz!4E5Y@BX_(T5BvW7=b;PdNO+Nt4a{J z*3&BNSpeOwKt(jqaO#=T_|u&O(0`czlcyN{<7sqIiN=JMXf14ZnDJy*g1AJnD(pG- zPC);8`+3=ao(vgJyHR2>o~F`D`Yf&|!55MTm;Ud+kl?b~+@94#y&*kf8(jsI=)a=>^WXjt)qmcM zX8IpZq-&J^$CQTXf9z+8sWe+_X(NG_TPy{y8XKSDRY z@jdq0@cQ@lub3l#{rftSyux7)Cc2W3d=~wyhbo5u`f*`U{W^!%Z$8XXnELH139jE} z74|H7BcOgWp9!enO;E@48dh1R$_S{2SB11}V5{~RE`;K$az5;x7*+2E`cuxn|6TX` ze?tE|`}$0L?LWOfVb_z!tN;6}6|kNRW3N9cYGyCi5Q(PRVm`30AjDqW@MhTYv`7hJ zdp%EuJ-JYl&tCL+GW>YT7DG#TS?;4#tp8!qPvTUJr@8~-#*@!*oTWYax#?M7f$Qf- zUgj46pIASKX|EoI&D!fiBKg{@GdxaIVBdOlKFnD-`-gT7wC|f$*s}yx^tIQ_KZS3v zGuc0Hxb`}GdvV=M|N95lqD5z4pNTL2r`IRE{rl(t{%W1U{@vMZJgp&8FQ5I(3mH#0 zz?_8{Pm7cwW&c#zlM5yJ##4{S!jGpj*}r|^#?#NWXFoT6IQ#dH|I7C8j%Mw3A(4FT z)p=@2d(DSA3)5b^(5^xDPlY{8P(|5ZG`{)yhVlbVvgQ+qJp)fIP%9Hu8$ zt<|IO0QQMfb1}u7e>Jw5t<|Z1LMO5BJSz^j?%_jw5RM0_O-nuT)h|SAc({l%>P~lq z#XAi=k11~db2RLB!P5oe09V36hrZp3dyV2bYL7Fr(Rl%OO{{U=|L>5YIGz;A&S(RY>yQ0&%fYTs)roi#?6Fc!-NVov^Y~ zLZju|P*ibyR`*ES*SIZiDylIRUiI`b;vudK06)?sAL8;ord8UWs-1*F&KmB$f*;ws zh;B5bN42mba8LKf@Izbqr2bT@I26{#KIx#nc5l2h@_k1;JTaU_kI>v5a6hU{M;wl( z8b?zt%I7rM5EL#xetHUz+1VqvETzt8vTpABop{X7*>#QgPoh}frM}8o^urcBl4vR0 zB_2w|lR~6_JQMA`)>76eo;16Xij8N;8pTScf_4tP9Vm!BJUsn~_Drae8k;j2x*3+K zl)}#nDmf+MK1*iATMpW?NcT8fKM2g!NJ7tf@O(sUv1$Jn{uwH%UVfsfq>adh?nG$#T(8H2xu=q552L0`!aY3@pl`^569W@ z=*sqX=uI>hQ(gLIv0IGJzX2H#HwGK(;2Q~@?YRFm2`bVoqKFiymwDjMr9{M_^#vtlC4s_Wo(s0JlbFRm|!gv&LgQFeps&5}B9;S;S zy?Ha#Q_#54Ke1!n^bVGH$ZT2ZAsX)uDza&=h&%^sjlq!Cyq8(^E^(H3NLd!~n7qw2 zWUJNa5@)5S_7c{suFxcN{lJ%NtjKk&63n@t3&G^R*DU25TX_>zY(TcLBHJtZu|%zD zu4O4@Zcl6<_clf+ZaE&Zfo}e_LZ2~K^r6_YQ}WT)p^!UBo+jed(ojc5a1Xa(tkVO= zdFis90o)~I69){aZDYkyy+jOE=Oq}bc;!IwP(`+}Xsj4{m66vQbv=?V@xb$|F|E*{ zj;D!?aN7TvI30^;$?^QKse+zelb2E|;ZoX2dka1y>bfrdN0YVA9qH0nS(cg+fsuko z5$Hq5uEfu5rlB8NOv8>^P0PNuMB?ey)#Wu;ls6{LzMNi3vWwox;M7mh2t;rnUBfz~ z>v_fS=?r1G%gv=XA|-fSxc7_3cK3!IO*QqekoQnr4xHk0;6#a0hyJn-P}o?$9Mnga zi5DD@<-0)izl1G#vJ|amhh6rb=O>}Hh-Ak@Lg8#aa(28+Wg;EW=rAuQMJ$twSntq3 zHd((VMZE9QJ$UflYJK9b?BE6YzSAT2CK)!&ZCOPtY&>=&Rd#-FTkA!?RMH|DoK(9eBM? zjoV^3aECLDISO>EEAgA+^JJ^j^r-YaSK^@(T(*5FZgeFcEk2i?^2L+2cGSvD(XEAm z(J|gjxGI?6*(-;}xS#RI;RONv`%pbXhJzYq12Diel#!oJ$KI_RW9sb#{19Ao0cvz^ z93eW~dw`ZkXXnvp9Gzl4`rrBL{|EEcXI!GcJPVNhh2PHb-}$N${g6{BeZc}=VJ#k0LRgT)-=i*r{yh80dJhY8d_-642NwEAx zEGTc1w+j-PWFoxsY!^;tA`uIBA_zOkj|wLnPN@j(JoE^s9U0ANC;URB3@;W>V5IeB zlDz?*R+1w;lkmo3&F5&?MU*jEZc^BeurtzQFW-V<E2VRWl>{;VXtebHTJ=Jp#&Pt=5`a1DgkI1|yB-!Ccv)g-ao@o=A z(-U*4_9lF&Vh26iow&ng8Ui2mYFFecyeOcwjWcm|aa(VDfV)*mv`c@F-bneiBl4rW zH&B(OJIRsgp*Nunkd-E1VfacHQIb+4 zIcc9Z%n3C=Ll60Agr50$WUr@3lxAiUsUV)D+3ij1oRQcSfF5>AJ=FR2P$%_J7p#W^ zcf--;5x&EOosM>1cq8rY@ct*L#-Z21C630|2Q(yLc-~g!*7c#@?I<5;dF}ET*3xWHz^AAz}6MVkRSXc{NSQXVd!pY_(DGd zKlo2cv#-Njgy1it?hcJ34|;y2hCI}?aek?|<^h-Df6=&1ppeq?DQsm*ALljB2 zFty%+MMUkvHVvzV&#LlVdK$;*v=WK!#$~G{4;BYwBZc=-2$PIogE1gTkKBohi5jBJ z@U@~(q3KCoD06)dY)e3kOt1yFNPQl%79;6LNEV{%k5V3?ZtnZZkqvKJ5!~GO;+)*v zTamE76agXN9iM>LNI>FBQ{_9jx7t!YkFF4d#K67(K@?G#d~r?i_20#D^C-fIerr&O zzH0YJmhGW2T6Thtri$Cqj4nNHWi`E%$T5$X>h5x4`!K9=C~tgVPR}suDKXrE&Bn#) z^z`seE$NAL!%p7yQYrB0u2W84B0+0Jvg@YssLs3GJ9b|+{quxzWmWZ)kdMy^Oq@s|0RyN$lUE{ z*zW9l+);Z7p5%}1UF)&K-p8glTHCD_zT^c`mVa(;Nj&5}kDmDo@h1OJe4c-HS9%_X zVW>CxTjfoTCvWnApYKg>)={mMq?{pm3MHmhX(C4Pr#GI}EWMc^k`Ut_WocMREhlz> z9wJdjr-uPSi^PCVYE6pLQsh-8#c7b}TBc zmB_BU%&y02vio81*<^<@e?0(2r|GS&@@rx4{0##gEk|rnjZBq~qAU*4EvTe#(bkIP zUD{j0Gy*S0#Kmiu8?Q|H*0RiuC~WH5_3!8v=FY?qOvAQegXue*VVlKki`;AL`HiJ~ z)fJZVACqQZY1Mbzx9-J}wpe!HvLy&#$?~-Gc)QTtJ03D&!gk~3Lr6@Fuo7PE95;Ms zXuf!qOErN$iSQcv}p+K`Uu1Xd{YU=hVND+hJa8g?(>X zZU&2K**053lPl4SO`)G`k!!6z>nzwBv(VlcN=z4B9I3>0*d3F$l`eg>?2siM04Zd2 z$1^Z)WJR=~=RevGQ{2iwM1vk?oFWP#8=vuu1vLSAl{`& z!wu_&*fI<^W8nv*`T4v5uJ35|_uuuMSfPm91^&CfBb)H+t?#N5#K`?U;J@oTxioYC zto7Z<>y+mHt@Yi80m0M5@U!dxm)Cc(eM1x}mucbGcVER*x4rCZ0J`n3vc8*lLvV}y zZ(HB(=o6x^e{+3jzdpFW;d|hJ-umvX-Yx0r7hT_t>>sSY|E}-E?Lud_zB_uYYNLOD zefOM{^&hgnJJAz{Ox)LtuXVJ1*HE)HSeW(QnsF3CN|ep7?W#qFYxJcMiP&&91L2ZDXxE$GV{HN@w+D^Dxl{IcDx9 z&WKuPMBNCZi*v2|$OxD+Sto$@vCd&eH=;7M~YU6 zJ`b_25ZfBD_|>(p|1EqO5QF##NI-N1qN5RwUtM(lZ4_;sW7XS&90f9dby4DTI=;*~ zVfxi>!|WALUDVBBB){@T+T8-;VQJaIvFl9liCfsjj{O9?V>!7^RZ@MF{8;0_WGFu!y_ zYuewL{{iNo#r#>!pThh%F#p%gFW!R)kxw)KeCBsEeo2P`R6i! zKJ$-Y{(;Qjjrl)f{+F2lLFS*q{F9k~81rAp{8upld(8hg=C5M@Lgv4X`3E!qHOzkz z^RHt5KQsTm%s++sGnoGd=Kl@zo0$Jy=6{I!?_vHd=65oGALhS=`P(r6>&*WE^A|IJ zCi7dFzZ>(P&-_h{FEIan=D&maM=<|Q%zp**pTm3&jL$OvT;`w1{KJ^PAM;Vp z5aZ*_KZE(lG5=uZzn1w;%zuJ$AM-C}{zB$YWBwbMKaTm^FyD8KUo!tf=AXj+Da_x8 z`MWTG6XSm7-_HCM%%8>lLzurC^LJ#v2F5+izlr&0F@GlW4`lu;n7=*q9b){H`PVYP zoB18ge=YN$$NWDr?q>e?nE!3&&t?8Z=D(czBbo0o<5uQh&iwyi{xQtoi}_=j{|ClB z%)grXUuOO%m_LR2uVDUG%(sv6Bj$gT`JZO~2bljR=0A`5k1~G3{A-y1dFEft{AJAF zoB1P|?;FOA%>NeiKgRs`F@Fj3o0n7USoq>Us~#WQ#kgRXnx@*^%2Wcq{yPCi72O&FJ^{vqcgr{dM#?;=?wIE^ z(iS-NkqdC^&?1D9SK7k}KaB8`2tSGNa|l0&@XH9ljPP3sLKaSdQI#n}0*tCG84_SrO_3o1MiqVg z1eFqCRMEHn5E5Wi&6ObmM#_9-IUiX+0DJ)W5bz=3pMigN8sq-v)W`k}MS2NEdI|J5 zL4OnU6`-#GeJ$vCU?yb~=$k;_4mzGCP5Tn`FG1f2`aaMPfqn>dy!n1)gS9H9$-2PN zL{%IvizC3O8ZAQtjH=sZNPtn5D?34A z1OEubR}EAC1pE{5ufV?oUj)7gTmoDITn=0g#51^#HNX#m9{_Pk5S13w4u1?~mn0Y=9`;19qbsFJ6wRcV+S>W)*^1tUd^I%UBG7*!cEB*3Vm`6sF; zz^IxmLjsJdd>Im8RJmnHfKfF|h6ETX70A2-g;)q&2wV(Y4165;IPh8Ev%nXCF92T$ zz7BjB_%3i2a1{{GSv%eXegymo_!;msAoda+Ujq*S4*-t>kD{(WqMAQad)^|8BfzLi zmmvX0)vYolz^I}R!l1$ejH)|jNPtmQB0~a0Y+7^3<)r*?vWt@M#{a&`d$>F z3Rnev5cnYQQQ)J%r-4rc{|@{+@KxZez@@;YKx}Q!SzXLu3d<6Iu@G0Q)z~_Ol0AB&(3+|4$fwe%~ zT$QpOxE_ex)*K%K@r0uTcXFic2JQyd1M7iDfJac-<5XFU)p69g2 zL6`leJ6@|?`8_W1xaOMZnp^o-T-{xL*ISJa`BB^3J3g#;lpohzrs3;cH?A{1unsRB zus76+q!m5Ehi@(R10Q{gy!C9J%6puv{P z7p3I2SMrD=UTY=q93_t^LLO1V%G-#Z)KY!h zD0yv_JfaABLO`+ScrPhu7F@-qzGSwB9@iYnd2Qms4+x zB~1*LII+0gcE&O%7MI-4q%GzA$%lddbmk+A!EaqhofDPO<*(%-U#rw1C=MklB zKLP2nh}0@OKk00Oqs+rmDXFC0B+@B{3rMTjW%M2WeQ(|$z;KZ23A!7Do zsNxi+NvJ-Q5TJqbLG>45%*>hQjaY=f*NAR+SPlpW`7aKm=aWwZK8~^QZ#Z`Gh=AW? z9Q+{gf9PX3BYqwDYA_-&kR0SE!MUSm_KV1MzQ{F1@BWs24wFrPOK$l|^&umUs;VG+n0&`1>)A_WC{ zCWPFD#CJhR9)#pUNH*x%ppON8Ea)Ra9|^hxbO-1b&@G_f2>Okn_XWK#=siI10s57o zUkUofpkEC7d7z&MdRx%RoJ4>g0ndyE&x|N!l`NzH8t5sYr+_{L^dX=R1brar*MWW==+}UL4d}lC{WqXr0{SJO zpAY)^pksKCC6n63qWrN zdOOe~L64+jMo=-K)ih{zq$ut}SzH0eqhwf}`Xd62v{_)A1#xbOb3Tc+kg#J___vpu0eK zfo=oc2Kpe-2Z7!n^!}jt0=*aLSAl*N=$Ck;2#( zU_5%C)TjU>Z4QLYfspACG95w+Afy1ob3xAq{dUlA2Yoc?qd^}I`f$*Pf<6@Vn?b)B z^y@*t9`xRz_XhoH(60vla?mda{X)<$1pQpl&jr0T=&ey$d~?P@{WXpHtLy+|%28Ya z#-sCPaRnG@bHO$j;$}eH42Ua)xI&1V0{Rrtvp~-RJrndy&_{qi0`y^^4+DKL=z~GO z0rVR{?*n=t(7S=&4fHEOzXJ4&K)(od6X+(;+koB%#f?O9so$niza?uh4*hm4`t36t0|(_w|_?|KPMdl{|Fx0~@k=I_OPpD~s(e{bgdobe{+`-E{0 z^Iye$A2H5k{;QeqW5#~W_aWnS=D&>j-ebIr`7dX__ZhEczV(a+%-@OmRx#3}Ui5dN zgeJ9*9mjmNjJeF;f%)EL%x3;`nSU8$7v_7L@pk5qX1>=M$1;Cw=6i#&BlFQmP3dnm z^PggTf%!)=|4)qnl*yagGvD)!!%%3TPO)oRQi}}A}e1!S!%y*FSQRXK{F8$%DZrs+>SQ?eI;D(is zwDU+2%04^dV-a7E^T;rsudj=Q1+N5o>QT+OHg5-Q{B3=~IV=r&vnJ_3{xZ zw&_`#>*MbSMl-xo^f#RGZpObbZe`rS>7Qgg!q|o5QyAThPcd#{T*K)fXFSN*nd65t z7BN1-xRJ4r)6+XW>93x#BgYS6%x8RraV_I=PQRFOFJmmn-^@6b@ehnE8JBW;<-gs{ z;r1Lqka05O?-*AwF5&bG89!%?;rQzrCoonsk~fq7Ugh))7(Zc*;`r+rZ)4OM-(-A| z(^oKl%&2jEZ^kiVf-3pvL!fOj^7&m@VIH_ zHvGQ8?;HHS#qU_Ip81nZ`UZl_@LP=^o)OLb7(cxCGjlI~c#JOd2P8e^%l~yy-oUj<<) ze)#xmCSLrIxdp#Z@xyx!Gxy{7ot}BZU*uOnc^ki4{MO_5A%1x7Efde;W!B?&M9)0# zFLn8#4sB`Oz3>z4YvkHZ1$D3rH=yI>n(}KLYsGsI9r|(HzGrgv*x)GNV{%4pclFo| zi?Nk0hBOzn7{xz5lTCk5NYGwoe2I~t*VW$Q@K(mnjLHwQn8SZze43FKKlDedUHV%o zL91a@`7a<99z}HP#9svOw zmAvg22xXn1IM~Y$%b+E7%VYH?WJ87VtQ}t6zOAKcH&kGnJD={%Af&r622L_u z6k>)m+wF{W2LTeypTlDAr__I4rE?;n8(&ZmnpA?=_N(rzgs?TiA4>2!F64$sr!Z91%{1Gc{A4yz9uprFjB z4_y<|O+JKlLk}U{yaODzfDRAS;W;|IMF%*`=MLLJ2eN)-`EWje7<1BegTizd4dD!y zG@lqAqQl?l@FpGB(qTIt$l|iKMR5L5W**H*_p1<{?oFZO_tD{xboeVBme64h9k$Vd ztgW)N2+y}M_b5i1Q4yVHc9g!74u7D-U+C}#9ahufV>*yQ4lu?b&9|}ux*>zYbn^uv z-Dp896?9lkhiB>VIvrNg;UhYb&1QRz;QVA}rduBwc3C%6xkLGa=nyKuGrz5Yl}Ez+v~&;Xyh)O@~+MP)CO?bRf$gVD&+o zZ(#wnhfiVJuP3Cvd15J}!|&+u6dhinLoFRXqyt?Xf-el%8#RvLF4 z+9pc&3O6N&*NTtIBI$(!l#XK12*{gA3nFCL9@N|b{%$KkW1%gxs(s;){1sL?q7%0 zsM&pA%bI0-O)6u)iix)sXNvs}s3aCYvB3c}J)dFgI!LR^;{BQQFM7sZGEP zI_P>BT0gsKL*pt^ArHplq?Redh$@nbeQAV{c zHF#a}3F9@qE}6l&ma#LhQy$}dzhu0c^DAOp#@L$kNn`vo;~~ZyIX~rJ`G~{HPg2bJ z)-hhd`Tvpg{gm-a&hJjfw-_ThAGHp9l*9WO`*D6{jP#m#`ZIHW(-@aA(p!1x?{}Q< z$BbP#zg))G7?mHu&iVa;aW7*Z&Tkgu2F6P{zp0FGF{<_ILe6(H<3*fbHsgzo4UEa0 z-y+6cjMs2}GZ@wS{6fxe5+l97hyJ3t{_{ECjg04WKDRMG&-fkV&77aXxRdcJ&bOGc zhVeYk?{>x)8JigI<$TvLcHn$68J}f5$ao{?cOT<6Mls&TlH?62>;1PX?p%SN*`@yE)&t88yx)h4CTAU5v^f zsQfX?FL(jxH-Yg*M*6%0{W&>5jPwm``cwWy<}FKa%rFW&9K4A;z0HKjm-x zoWm11zo!^IjMs5~a~an$Dt~4+=lcrdNk%*8_eaKkjQu!2<*)mg!}M-+eAB2A*9>|S zUJ||c2%CQNf-jtnv6`0bNU4qzt2bPAdefz)=MH;yMckJ-g?q@<M^0#)MLymrXHzJnR?iNXX=quX3>AJ=s)5mHKq#MMJXSY@?AIV0}oppeKVcl&t5$! z^LQk_tmoN06rUy1qd)m?=rN;N@s{3Dza^U@tc9ZS!((YjM93UMaZaJyiQ$Q*4%C|mis962L zF(?rd#OvrhWkSrLOB#jpeorAi`thCH+M|X>^zU&Zv{<nCt_kgcSVs(eh5EPYvmc;3s zQ1NktDQ3|3V}u%<6beuSV)DL2OMfkTkmwW9?}zk>9i$CkpBP6egZo4`NRWMEnh-On z^)aEwxfIf)7kt8fVlGZ(pLm)2#CqxzMyK<7Lv8e>t`AV!F4T>TPN#ZFT6O3$+YI4U~@%gT@RYV?dxg8&L$LpA&pC?X5 zA}@HP@p*Pc86#sbxai9=NmY66lNP)X*B<9cSLsg|>r2-;AYB)c?)5k~P<*qD9*oU3 z6|K{-$XFN`53)E(QYWeEWCBh+{Vzr4FT~BmxxHFSNoew10jkxIL8Bt>Zp0dRdmzEW zxLE)c+YDG!5qB*>L)qhqx-hOgz+U~Xh$Vp~ z_c+jyUO|F9A76r3+H9$;nNwtRIJJFS`|5sw02#gcnANbp9%a+(EO<*RZi2Bi)minm zwTIf5?Q4Qdv}vtP|IliBX%oJ1b)m)dQlriEQZ2&Ut%>U`Cf9mf0%j8l=8+_bNW&= zX;yN7$-^PmK` zIcdSW{iuwDeHrl}y$Dmf@|vioy7CjT#VZrG;I(zr+gkNOuWdu8ADvJGSy9AWcDxC) zOcfo`7^Wq!3!3PX`@P+?#C~s^D%O+2%hyKR%bGg0(zIFo)tk7Q@Wgdv(yTXX_nf15 zx)pL8RwHSYyPZYs2Gmy|RJK>s=4lqZKdtuLi@c?4qx&Eo=)PWI!#C%kjaU@La|3K4 ztkMX{L}cp5%)A0j^E``TkILQ^bhsGj6?avsR#4DWifGfD6>)RGSG(&RJ$lv0+uB?8 z{v*Hdrq$CL#BjeIllYzKz5$psmCt%RHbVanr1qs9B9H0)A4B5$%MqjZUqq)D;B;+t z8BVFh30vyV2Vs%D+I_Vc-H=&UwYy;m~M<(Q|0 z<1K2`qt+e%hU@#;jQR)s=}1q~I{bp8e(*)%Mtu)Zt%lU~FEHxI-7H6agBbNqV$?T< zAN5~e=pXfMKKfNh{WgsH@8qa|Vr%HA?}l=mX4J=UbQ0Pm%&4#LB)L;XbhA;v0nz^h zqy89;`u@wdVAS8*eAM?09rZ`|@u=U09)H?VZ_&3|YQMpY1Nu*ZaNp1u7O(z~;8sKb zkfrv}MZy@YjqZ!2hzc0}xcbS_4@2O&{w84nZVfd6LWDE`zwa1i07}|oynXp0YIk+8 zCAbsmtfn_#7p8y<`XTl7~B?M46Y1k4DLtb`ZmHCl+)>tm=&yzcH@*P0Ap|x zghj9hR4%p$V$I+)2s^(egYX+8IvGsL9^8O)OaMe8%!LEy0FV68qBXb+bAZ>?9H7jf zF4P*xbpPfYpzj4V?w<{v1Y9D>zt|+;mVwe7w8ACj43mJ(=ljh;7x%5fTVaQr+O-GVvzl%rcP2k#*I*ER?1X%b*EqF?(!jDzO@-rJ4nXMqV@ zaPjX*b;TW5=+CB#UcxlID`>Wa8Z63oeAP`Gl6cd7ri#yz!ckrm4TrLr64d*q1a-Kv zB5BrZwY$&JJJ=!D(y$6?{d0ncXsncdjcc`L)4!of0b7QPkQi|Rmcc(SxMF}X3%!_8 z4RjVD?ZR)dR1KIIl*Aa(@4b)u^-!th1R(>-f+h&hAdwOM6ppZD*I>?5p9ae?#F%wo zOyY6VeO+MyhLq2`FE(O`VZE=tejGD}8a?_hq?g7aI+sqRamd6e)d6oMuc<#ys|X#H z@Yx5o9w;AFyPqt>MKE1)BID2{0Zk67jdy6BgZ&$SRcjD1Ihco>f~~ruOq$-!`> z3!NN1igcJ9bi)Lw{*E(E4(>u?o*d-TDUW}git*2r1F=%T3}F@)|Kj`L><}m)RPzlP zfR09Vcd)QOAZRkM@L-FhKWH-G_NNOS{lCa$Ag&#a^JX4_4ubrPO$Khh?yM&REAc`R z2L8H6^!vvEG#PjZ z*nBc@eY45H#+_<1@C~~BnI{8@kZbW70_h--lL6r&ut^UAPX^9G;$TbQpA4KIuO4%6U~PaCa2=e0o$g0Y!9%_? z%5j<@e?0oML;h2aZehs3gXmv-$orgtFVDuUNIHlsl4G;;!BL1FofIkp3kI%kfr++Uj z{@v{@<)nfCM>~V%wOs!2h8)s?g;2e%sT>e=v3|q6ylUh%sT>fJ$LAh4*f`K`p7h^USoRN zqDNTtu~8P?5o6IuwjVe4)-j;hVe?JgA&BSqed#mB$7l3S`ti45v^3Mx&tCy>cP?KG z5kXQMcw>%thebb;CFN}nkoP^_+k?N}rYlItu+?}+G9IX&9Jk9}-D^dMZn!D?s<#M0 z_c(QT++n9aE3T0~pRpN_!4Giu*lW>GIpD9TJr(KDw|mmBr0vv$?k;_InyyF!-wCIF z%&H%%M_)qU|hnt85fy247=7H>?`X1jrx%QiNZ9--Iz-nt&l#Nru@UO<$U zqH19e5gNv0m_H08^=Hm{vegg@z+g8Gh?42!BV>vJQ4%q$7m`$b+lbI~GTfZ5({_$LoUoI@mca87QoLXEsr8s+<*_~57Ew3Qk zoio{7P*^-IJ3oJBZ!IHlT8=rlu-KeakW)Nmra5PNc7AELJFl=n(~^-SuV9LK#AsWZ z(~_E%o~&u<$yw71r|0EHMn$}gE z^bxe;oZJ$3@u22B11Vi$Zu9b#Ag0B*J|#JmM7(XZHIvd2UPm~@HXPkpv!y3HN1&9p zj1g%f9?0}$Ng=B2gXo5HWk?+l3tu7LYBg9<6kd-LJ8lx`f*;)w6NewQKqP)d>jAt{ z)1om&rBC*0H{eG+!w^TmE?O_m9Q2o+Uo&IgH=709#s0~bSb}0gp}W0KR>sx{!;0cUZlLx z@`r|1xmEqnw!IYJ|9uRuufP1Ebfu4AJ^Sk&8vk?oL(>O`{rySi6U+T(Kx>&!9yP5k zeT^A^luFq>qJ)N3J1V(;l>EMUmKPr&w{5g6LwpDlr_zU#=aa+p41as8d{~yM56`z$ z*_E7@`26El$x|rhD*oo>Qt@X>9~!U162s3Km28DFZD?6k9a}0}^Z4MrCMW<^I*SzL({dyujrxJ0cE;GT#r#aUq1;gU-NP(Ija1@VSjo_ zZ`(%2Gy3dyX!%**8RGr@PNrA(fuyUkr|RG<3y(>qYhSw7p*m1y4PQ2;dzq@`HudG} zYcsB!8cU3ABRL@@FO{D{f8Pn8k4mR7G`-S|LN&e>`sqJczN&{r)u-dRom)b6ZBhC9 z+L^BdZKGv56u%77HA&_}N5!j<()im&a)@+c+WU0&4q_lj^0$Pl9L-Vj$#Sap@Rv){ zTMkQpU;TahV0pglWcYkkyQ_Q^`r74JlBfESLe(a!9-;MBy;jr9uz9NLPeKIZL9w1dW*lgDr~3a)7;!7g)-V7uhu=ilDl~KTz`pgoul|A-k&7# zX2uvswT^2XEa&%cGyiZIUsKHKx&)^Oeb71EpD7x2F0Ze8bNtne-DP=)9a(XgNO*aY z@Y3b>&W3S8kMxt&pst%Q6No9=tEsGG^!~LdS3!b_^Hc zj1kVElroJ^Qqvs6GqR8*D?P)Kf%k&@!fCd2r1OX2I6~N!eYY#GV4!Bk$TX3i+gABtFWnmoyvN^YzC{K6UVP=P1iW+QiwHY9tpxj4IEa^W;{Nlwn>-b6z< ztDtn6mS(e9vyv@oD3+FN$w;##+qC2n!_za;vaF8u3@yXqaynd^HflJ^nw4rDf^_MY zp|%V-N77vB)*e#<|3~@X6)p zP0MqeyTfDGgOoCS94S;3oz8_*%K;iW-ZHX=;09OGHV&6#oDC$@Po`zx9rR;KOSX&T zTDrpuO;|PCa9i52u~}9dgrK$~MnN&I5zg!A1mQFrS{4WBN5uQ`w~fhw+J`vke>Nw4`a7HV1T#^4V?4w+u_OP@`qosbwH1*=3=! zyOM2!$2H0+Ga{NLRZC8{Xz3${WBIG#>s?XLLTK9hEHQ0Ig$(i*UMY z#0a4yNgG7zDV?Mb(nbuoWjSpb88)h`-JuP!B;P`5(k#Q#XQ;O57wNXFbX#&(YMSh8 zRD9Cau;C-pTv?( zb1*YwRNC+aMvA8}(bJQKuQC%YV!@!yl+{PP^k5Q_J~J(%2$WvYNpEc^ z^iPE=C@C!>p=PAaE_P>e&>zmZOXR7>v`8xlwJZybYn&w)7Pzw~xy^6^=M=*Tq)*Mu zbyH)Y3=}~gaa(aQ^jplWBuZk=&C3_%zSf-QE`}G8gklpwwguTxKUpixpRA!Sc?AX8 z)2M#FbX5L;2$@e|QBDCBz@Lv9=~{|o-I*s%g}P*+^9o8JcXD1yX?`yJoV_NJl0}tf zmbi2B3P_D8LryPrt!db(!2ZLXC8T#q`#Os~XV}PC6<~~0oVg&kI2#vMbAhan3};Quo^+>{X4bSmNRwPx zG}C7r+=bX+npRX;oLxK<4P2Zv$z51Hvv+UQv(Lo5fEvKT>a*0+30~7rbst2$327U#zS57Dq(wza-c2j5KMufq7uznI4L{7S57|E zU0et)x$`EO-7||wRY7(&xzt^ln@bj}U$5)kQ>9G_N<`%@5uF)&oRUL!{;txTf=N@U zy{Nu{5@llOFdf-bf?U`m7$PwBy|$k@J-JsAS&y7zkg08H_-eywk1-qiZ^jcoeOgW~ z3@^1i^(?SrV^MBastXVVO)8Za59E-Vjcv{xWGWOzMNUr_*BNtBp&J(`m_kvGA%*B2 z*~NJ|qRaU#xVa>IS`k%-63Mpgg-f1L0+OPwCc==Eq9CHXnI{*D-h)P)G?ls&^C(-4 zD+`w%*Nf6gRCc6t$c-KIl$=5o6+=bzNC+l2sEh>3e%uRJNvgBxmSQ)^$Am;fvti^# z2U5w@v==>wj3@8mn$I$!IY(Sb&|z5~H7X{~G?5OJNaeHL4Q)2GzktlC+ zsSswKlwCmck!j{^|K-IjE-yKQue|a~l0_A9<5E;Y(uuJIb|I&PrY)#^oGIwD)6rkDC!*jbMLClwIVPmYGhp2JGOM)0@}R{q*f1V^oMOZ>E2&X)ug`1R7XDZnYfbeiq><|4TAWLU&1%2t3i8P8DsRLCq8RuZ4ij(X+-BVTAjg#qpy*pnyC0%C52a9i6syja9*A}}Y5vpuRgfO} z{u81pJ^0&+s8T0>n$)ujEByz`RB`@&D=9~%_3usmy7X{mQe|ryxlAErLFq=JOy%!G zzP{w^f8qP1vMs6)`O6_C`oiiO6>^PHIwk4KHl7)k>|pE&8EV}6^5y(m4oex7zuKqv zw`*vfgTusmcI6H(r@xGfF6I7`Wo)@@D$kZMv@9|mQCrea^SoM;t>`L`mSt0njHNbJ z>3=SAeyZ;=o^AX3)A`F8dhMVX)t>(JvYclh=5qS(OHllZuEN3f_uHL+HNGWzD&L=n zP({d}y6^Sy;L!c{QQ~j)V7Z@tZqm#Sd;2fmzIpK6{1LHbOJ7m@?<-hf(x*qV%rSSp zk#s?M&4#Bc(~>0p!kT|?|6G+1YvY;NSb$H`x56iv2)X70yn|T5x^v(n^!e|E+a#~p zT?*GG?GyEq`wc!X9ttV_Gw_JW5dY>$A2FUhq9d1?cd;M0&;-^()3m`c7fRr2)YK&{~$ z**>3waH;k3Z87*G;1Cg8L4Mu(yc)xXj1KXO`tb)e!EKwK9OQNm)qT)j8SU@*JeWRDbqGsHI|uvO!*!hC@=zYV zq?gp+LBp|yCc9YM@kTic@Ql>m=*p!1TdsWjR!S{`*c9U827n z;mhmKTk*+sN*FY~C~qvM^V?UMPCftdJlp+m+0K*vKz_xi^3_7*t9X@WlYC0xo7tj`*Zxf@Su%H*7FDuT^E~1VKqv+er=xufsOoA;e$$|5I z%y35rb?{--m8E-J+-@+LwuoksOMfzLw!$HtHg%)v%8?OyjbbEXiEt+>)0+N8`V zEX4L(!A#x*CO3Ux-l~9NQ*bElVz^-FvxZ~CVW8QThvL(7bJ$S8)y+ct)yTQfTtW}o z_13!bT`}gN7Kf80BYBoEKVswA7f%(Y+YiJ>+a%if;f-n3Q^}w-zTFGZapf!)mndxN zB8dG(xo5))73B@&9X=u>BoE?h`H!5~m*Ge48HwMyd`_cffEJ@&iI<2`TwDBZ#4qsq zwgLZ#y)S{UtE%(AX+sMoKoMjUJMN4dpyST+|NhSJ_r34FlXvr8l2YX}JV?Ly z_dCDe?=1J6bI*Q)4!36vQv=RT_PfR1Y3m@ki!IWUW#WF)uJhB{n&PMYMQvy6hk;e_ z%a?!Aj@316yL$bmyu4U1?9;~mryT1KktL6HUY=H4?0<=!>1|k*Ng+F98|H9&Oq)zH zbLeIOU85;l@fM1IHV5olOEx{_CR&9N&m&q2(DvwVcWVmVdL=P{Uo_SgjoE5AB7U?E zSovG)R;_vA%D0~T@X3pU-#+>-)Kgl&`20o3;dH)poEMl?%J+Zc=_P!>{d(19&AUIg z;+!ovJBRzN9%FqY8ihZ}pZUF%#|3WNYuGS4JT@tl;h0I8zz)~V;VF=l7*yiV?Ty@x zNhQq0kT37#MC9TSOAjW|8Vx}gx*aa-pHL@ca-s7?^E%&k9yOiv?_TpA-O2a+7<4B- zAy=4ge{bo6?12J!Tb;w8%`pG{VeXNqP-Qn_q6r1?2 z%IXT$og4<~)`Q8^Gp4m!04e7DwZQdzo~I#9lvEw!qOyXKMn% z5KCB8F^ttY8JTo-dgvC33k`=uX*iDz45mkdbHgCG970S#)+fZZwd>YzIAi0Po8+Sr zlgp>G<|~#z@`=o6Nyu@Er4@zcocc+~@Fux4Jic7{w1y`s+|&79_@lWPOCO_qUaR|; zkNlqQYkuWhKfC;afmdw(+AX~=uetd3b#?EO{m=JqrF%!J)9sI5e$LH*_~e$~m6ZGG zn)EHutF?sKm`~L9JB==_=b=61y@ekvP_Q&6N!$Y_4XubnkFbFTj zI)?P{aJm-j{oKPk%=9CFy65$==MC;Ttmk<52w6` zygvP#SuU=h^c>FtTl)2mAX^t6jTxMToY$efOnXd|7PB zSJuK|87Wrc%DU2A(CJzuvewh}h7bs>nMD^VIvz#hase0ViAVUgq1}RJ2L$z351oBj zA)Ce`wt^quyN~&^T22g(4KA?o>3D(+JPcqB>E>AfW3fATW=j|ba$bt$e)&5&2;7e3 zrFcFO{oD|nV7Bk*-ZG=iN{n}QHLTJzV$|+BY!sSNr=;D*K`3^|R@vDzu$$^aaOZ1x z5$ukM*}Pr1*JaB*!@ET7hAI{Zva!`@HkgF-V_+ zIg@J3viY*+_PWhC9+I!*)Un*^aQ%2jH#fxcmH2@s`~2$8m>(Osfx`1+-tQykN3=;J z=7-z=H{W<1hqRJyJeXL`R&(-5hMem=C74DQZmWIKmW&SGSY`{D}9 zL075o%PG!Q!GXAA4{eFk;+>HFV<1@-v-{x-$$ z8h+gHKMeonR&|&5zEeo}N5+RYVKvc7K=E-Q{y45H&zy6Rw<$wLn}Q>wd=D~M1{Kj; zj6cpp#OFk0u0rgIzRY7VU*fSqSMpLmu9|n)kK)+RnSZ~3Hkhxh<9ke~)@eY0fkzvcCCylgF!Yb+pv!@(!8D z^WoGy;?weeHlb$6J9jJ1r4E*N&bnRRulttUcqHu$ibc)wcqsClOa7C*iLfjX+v4|3 z`A_^VmH+bb)sXt{5hlE!KaNp~URtLH9SoyMFxdryH)S zyXr@Gzy80jsZ%_ruI~Ep-rsRFE=_iLOIKvCFklvrmwepZzii`ojvt)^9n@{`^m+cz zXH1^w@iJb&xkLT=iMfB;@DW3D2j8jZ302f7GX8(E_%a=6pET2h_UD@}v>#YF8D83_dlG%`*6R1X>HfEU z>gSff9`Vj|I?n9+_I_Btj5ycYONRkc5+hFY27WzdYko>gsxQB)PiUi z{w^FJ#VB;)X+me5aYm56EVEZ6-C^U{Ve4=M5PWRS4YJuB{$!?GdF!0)=(y97-mb_B z;@lmFsOX&yU^C945Y>&n7~>w>Evmub;Gi4B-m_R}w`jX0*i`SZb z^`}*@Fp8|79&i_t=G|D{nVt{a!F2q>=jHdStw%KP*mcyFuUo(PcTv5TB&W6`|4cq_ zU)||1<*+{=2b*jTSFXsc+8lOG?#lIz4~|WQ%U58KOxNMNjppHsoIBveEn*B;3}r&B zJn!2rt4ap2;!>u3aOi@rEx}Z>>x4hB1NlpGpASFDb`oFwio5aI$G9Bbit&oM zF+Ox7l0OqtHjYX1aharN>e5|kJ`4Kpaku!hujjk@c1RN`pJaz}bdS^IiH-O(Z5G3F zy@813=D6(R{68d*HXyhf1=NF zM5ImjONgSE)IW*4$yuf?=v)xFF3ZvAB@oG1UG3S3-}H|dx9$5Mck}HqK16Z<+r&*< z|M9SLY;peao!Pd;eYSu3G!jisGx3G*gLMGkg$x48A8FB&xcYyz>xW0vMg59DQ$EQ~ z+?{@_zNQJoOQcLZ?ZpPy`O%(FOUP)WRNSR?E`Rg`kM8%kuAk=Zf0^|&zY9z!Vhd71 z{zwyjzNXgnf9DrCoLwAo=j7mqV_I_; zLi0xc7`}KK&@bLs6L<5|L)@4a#3)S=CV8eEKMj1E;ii7EaEpI*7u2v#f1h!_K2Lww zP>+5GqWnaj6?vGq|7F&H%oF@R?8L4}`Agz6sPhhA8TAYODu(m+zs&Suy0G5A5P^}u zdHer3jX&STyyIVH`b*s#FW;3O9d~=Io~7PTvd#4Hao*|kILRL@7ynn|&-#HVUmiaD zX%eUBoj=MfKXE_f&-3=bjQlCzSxFDUng0B;<;#|GkIOTT^N!y<)A#HbH@l#ei|sj; zKYu`x^egL?CjJO_z{qdj@hju~Igb+l8F-C`iEXugclbfX=grvKC>T?~DhI8D3c zB_Zv`-N_@;jkJ$(cRu?lI>IB;owi65$&Vx51dH*77nh-&&zp%ZX2Z7=_q*J5_T`vY z7?!wA|Cut>@omw)6#90NjMIMeuD|A4KhN9$GV0HGI!K!BXl!=(>JUq%FWsjl9vBBA z{KfnwZ{Gfw`Tnrwr%}EDME1W(6UmoeJ;&;ZbW1`R+evau;?rMZlH6?gv_+haZYf#X zB$kpd;B6hZ@v20 z@4xYnpSt~At~0f8+x~+8cZbqTqxHW^-)HMhFEY9RG1}JM*S)e%<^E*r`+v6ep<5sP zYU{2J%0;N*$cI<;0@LTdf~7`3a7UpX#=F_vxo?2$UDf@{%Rf&2tvFW%3#D_oK+v5D ziUZF;fDzy~uySyfV8$?%-=O;!XGhEVB2&%<>#}j(D2$I#`Zu-6b~y$}x*L zki{KEL)l%p$S!{hu^c{$JH=!bpGrkG>3{#qsZ}^a#+?H@-KfOLDY|PLr)b1@a{Q?t z^QdRt(F^J@W!!68-Q%om|w>0P>#3Ft|bWK(#C9)w${cL?r-(f?zug zbD`pZAsBE*oK;TZu5hHkj3a0q7>Pl#P{AG%DO zan7jePIDi00#f*DYQoD_Le`xuj6;f1tpuU`W!$mu!f^$4qbsQa`6u?T{n!y%|B%_wxZ(GnLHUY5oEx;Xnz!Wm}7YdKFCM@!lAf1yz` zj9ixKADU24B^x_A7_mD`Y1i3e9MookpScU1l0PTtYb@p0%9ZY9m= z-G?S8@=`}hcv0&(xmb7|Eq4v{SksF^Pjn@f+XZ(d#{Wok!Oe(!*0qk7xQ_{D(&h>9nO6nh!4hNaNaQ zNB5ii*zRE$-xxh2;Ttu3xX*D&=%n8wI2?>WnfsLAD=&P8!%GbZ44-Yd)$j~MCmf8` zDc)}FtTDs2*iR@U__8Oj5V^*Ke69hRM%y{rk-JBe&owdA^!@X-J$TTr_@XF94D!&)*f(cPK)p5))@Hq}imGv5g(E-P*`g_mmrS^q;k(Vx8kYEk@QeMUF> z7k3k0Bo{jacz?-6wylg6_%}-)%Ma5&m(+B1ZEJ7CAalb-T|sxMGf1^Hwl-p5ZaLhZRd-HzXLCn;*SW3jEvbf{ zmK5Y$ahW;Xdb(1by$w6+JGblI9eLUM?)KKWuG-JLclB(;8JIl{IM@#Mw(~A|+tO?2 zsOsfLXobn4tJ2j8`9sUrJ$CDPv+jAj9DHs3Fl@}BRpj{fxGXy2Z$oo7l=y{>sfKn* zk>yTE{%Men!g6HnWDflW-1>!E7xKT!5!^_DIQ#O^1r2#o_XJV*%h$hp8!PHRcQW~O zR7t*1p3^Sx6SD3MuT7P-COpZF$omC%lPZtjph~ze#GlKzc846xGm&{wWLPHR&+5PY zoA;A`=dqN#hAS`c(}nsBKf}hbGJJVdy*e~POUtUCd0n5jFqHgms2uO7a|sg^j^BY- zr~IQ0eRtA0pV=#q$eXSVY6(J_tjFJu^+9_W25tCjK<*OTJMg!?<)WazA?5zUHssIE zVX)0kMs$5r9I+ZZVHm?$7<0qmmfV4WEvebCqj8(qYL+vRz2k`GT;)DHuGq=sPOvTAg0KzJF8A`qgJl>4OZM&ghn2HK(*(3B8r+)q`ii1HKc|D_s!a zo`mW3ZajBoB5qpi!kt!ZXm4$8Z>#A_b@z10do;SSxvRUW8I`-yIB~MOvmF(-(QWmu zT3;KzJ%x{eJvX=a;9{z-Jl%#5LVZIw-BH^&x5fOzHi<~KrM;nEJ`0$GZ%wszHMh6H z4&t(UaKq{|`)k+MNbF`$LmhfEzGI}f$gJFe#K#XsBn;!14EimH9t~k<))af z}&ng9O(9^UtQdEZh7?0rY{-(#39Oi|vB z=U?B=XdDb*ST5>Y8dyf6a$vHqjKpn99_5eUM1JGuPFFaSY$4DN+sR3E^sQxkqJnnx z`{;*@-_N`~l_QK?I12TAyR^f3KB7)n=I1M)cl0~A|M2pEjLzdqx%2%yQ`>u5 z>N}e+PHhfHMkZv86*Ek3+!94K!@#_3KBo`i8+n-H>l|EfcG&i_Hu zUOWPSXW~zE<7Zd$IiJV$9Yf6j)fr>jeHMIwKGFdBqquy!rxf^5PqCpR{9HD6HQm=c*~YA|Y7`}ux4os_?oPkD|rG0pOP z&Hu^nw4Y>8^=U6({#dR&z3BYsnfVMS(^qa09!HMHDPAKPS8n7&<1N8hPR|qT%=Tx; z-GpNf?ZfP_Yj?U!w5G!G%|U=qFRrANW|=a<3aaskMre8fli3qs$hSR{M$ZgyM0$$7 zt+;R!{l50`LEONF;t+4dS|5?>63$MXb}h5GGl{k0QqBxKDALk3i(+Z|&o4icdM5@r zqx&@XU)Ej8i^iL<4{KFA{T)i2lamCq4R^~8S-r{9- zCcg^pRR)sog*@Ef&^L-#=^*+*|U;m~uIlF?mwqxL&{>X8StcWhIN_2Z4_1W9}dvaaB}DW5KU2MoWDlCsQ89Ool4 zy=QW_m^tvj2c>A$y{OI)lTYujFm{ZO?i$JtyRQj4U0vtnQxc93=Q0RLL*MAwK35?& zbf%hwK)ts@4xJm(RTGvoBr_O)bY!}dlw-|7xGX4(N;T2X-o9KK{uZd{xap z{N^Yx@O>mB-@TR_$}#UG(~oaG#w(A-<5SB0u}>#ITuHRgFMOZ*c#vOQ{;`h_{Z~BD z{w1e_pB_mx`AKN;v-yQ6enx9Orfmtaz`wgD*KGgB?mO$uy>9BzQ>LCZ@Y*{+`N(_D zUiRg~>OOFC)rAAc-c|Ri8~^m~>bJc5&aeIWh7W$=Q+L$;sq4op&inPmoj*MEHLLD_ z5yvI@{qol>-g}3xbNt#J>dymP|2F=fV)5)Yl=}%ZJ^lB?Z`pKY`fGZ#9m4b`EmFR1 zzpdcY`$HG)1+=h7Cfv>I1y>DeV-*bt`S$BAGFX&}HEuas04fcDZn@|7; z%Bp|?Vf=9W7!7dE(pYwE0u96Jf$`Bv+|-SBcy?TdkAu_@F032JGEjV>`uO3=VQCav zC0J%PJ}EcQLoh*3FMQ971&!H$e6Gf1up})y4GY$yBCr;$U}tN(uM00N6OIq=LU*WUSl1x+wBej*G}11`_gqYd zu<1O+Lro5E4sntJc(8*exsNQw;w4;FCTqY>k%52wR^i1)L+rAUHZ#bju|djpHpGHV zQ}quHWy7viLq}%{nuTu8x|l zS!|5XZ{Kcr!?kD18vQwuM_(Cb3}+Un<&H~fR^~N^umW7X)+^l8Mt@*=}~w-&!|;ApPL-&$xVuTOu}UY=hl&+ik*bEf+jKQr8a*L>%! zr_HYdU_KJ2ub-zi&lD&cu@SfoNq8`DG5*+(--K0hhl3VPDG5)u9p|3~nmS^q z5_F-R$^!Xv?Nm*(Q#ETln}Ww)hv_eA#BaBx(bA}0B-HdZBIUYMj_AKr-(TlhtiNBX zzgfz?l)XZCmIL78gSzV{gO{B1lQUn) zdxk&!k#BzM2habU41MF5Z-?>y5%~^T=9u1jTHj|9U+w4r#T*=VGT>i#@nY{VhVgna zXg=X;Rm@QjWi!i`q2NSv4Z0CyIK3yUI-%&uCw^}hmHkMs8_;x(ib!V%1|YGf8te22 z`}c(%JDM>rFH7R(3Cn?97k;D{1EAU#sc-4nQQw7|Ik%;{f!+1nWK|5l>CJ8REk@%$ct7HRpT-Kg&L)^*WN-mX|d*)3uJ|B_!WLEJz5ezAY` zz*d$g%H*$f4p+LH307%ti*9~i>5dFv<#unm9ZWi0Ee+N==?>^RsX6J|z2I}wwR^)g z-b?E&{)Rqnt^oPIP9GDm3EJA*FwcDf*0rVDy2EGGH?(hSt_d;chqY+kVS7_kwH%_* zE(J0rrSn95Rk13eTT1W}*kpb`8J}BumT$6rhgnbI(f$1T{m5Tbzv#MaFO_<*?&~kO zN9x(qrxTBu z|LEziZEDuKB@FAsZv2-D+wgC5*bWS#UxTh2rVoOe!4cWUA?S8IOpfds8QnV)$b3o% z7HM?vNOi~1t^C0fpb1&$);oslUZ5L};w~6;Qaan6sG%+rLU%>f1E90#u5xu8)O+nc zoz1dtuqEX52IG1_s8Qwp@q3a-;TG0u#ri_zskGRc-d`%t(|V8fWic7np)<)8 z(|f$|rl;8!_bw#eH!ae1^ksZn)>xA#$h6XDKaC6Na%BAc@b^Yb9O{RLLKF>bMRlF} zoZg+ZD;r|qX9Rl`!!;AbW7wZNj-i>+@qHK}kTrW0M{AfB#^g`<%r*6PfPO zaT(r1D55R6)56m@I*HCPT5JiTVrLs>snJ41H+~#(l7TE#F7TS(IT^I*q~l-QCruxj zTOLNQe{s?gjh;0z%CDQdl#Oo8(W&&dUgUkwl{}v!()4geEP%c z_Vr;k?j)<;i}<%RZ*$TuQuO;#QN*$c9d&0k#`sBQA!e*41pRS-+v?tm=(t)%5q(uCJNew6S;N zh7e*wxV$#(YV8QSC$nK|dSAFcTzl5$b?Y~;T_5&zH;B)*POd3RtG@7*=OJ}o5LP#z zva-3Ys~cUHUgXwQYp{4=Xfl%xRJK+Uwht*6VjFUGW?fj_P>;WD)AU^DMRnE{=(Mk$ zChPf;%FFAE8kM&-+B>@I61=<7v5DUC>=@p;td+tZ#a)-6Mudu6oL_@|`s3Jc3hcv@ zl`-7EJ|WLixw-u1bhg)T+Z>`@5=FnUqqDuCz0=P#jXiEKH?Om;xeY$m)U46}cMXoL zao(lM2|B8~Pyy}9puHy}kvUhbVMaj) z&sSh+4F(}cPURS6Zt(IfxY7oeAM$*dm2u@7d}>?+FccPsptI>=2<>(E7v_gP<7;f- z7i8CC2kPkP_}SuBdVC`pw8F|(!kI~sq-<1fS?hGlU} zGaB>wlY%e(^DFUp6aGGjKWV&d!{0UdlYzc}!ry8c=)*wXl7ny_%OX6(gQMZWd(4AR z90fb(!TIpubLPQY;K8-#K?)wMF%Mn}4|>gmqv63F=D|vMa0NVg^wGt^`S9RT^WZHj zj}9I&4^r^pVe{Z+@Zc}-K#qGk79RYb9w3PMb4_H)-Vf@*lArWzusZxo>)!;>&9A+5 z68PuJf2?ux4o{hACSS1N8&`z*z+YRO_^S<@4c8bx$?(^IQ90t%dbES{H1n))H%4#5 zYMB-a^!ar6yb?D9uE-&C=EAex(kN&4+l#Z$bWeW;f0mz{-{}csN$jE5%`T3 zNN*-9n*p%+v8FE=L}fUh?H$ey)bvSbwguyV5=5B4P8d+*y?EWccAIsV% zqjo(v@eOB((Ps&8^Hi#P2ID<#ST=uNvgZi#3^Bud7#hWlH5&7SBUsTaJBM(t#rSBS zoZI8RG~<(Fl8P%ant;XoZah}_12UtB)+O|%Nf?lq8N3WVqYy2#%d_L7G5ay$$WKo= z;!on(60;of+=tKIl#3a7_bP+>UDBk%?DANM<2BItK{#^JU8m?7N+T0f;sf|LFiv|0 zW$4>Q2V*O(I=*c2#T%V)F6Z|&OHBQ5IKI*tUc{OM_#;8YSy3>BwFRSC_S>b!N?>!=+2gj%^O|;<^1v}M)vT1H!a)9a#V4GQOPetjE%gQk z(k`E3#Rv)-4x4h5FOvQ%u%$3x{M>7Cxmn^;=w`N&(|xxnPe?Iz-Zra^;FaToWvHvg7SdkIQNmB%NbNeD^jYZr(;Ni;5tdcThXHvj~-ltgw+f zynhs-fxp)L2v)nL0!XIS$RTnD5pvB62w+TS6dwQ~o3zH1F&i0%u%q12FCK9X2@5Kr8$_7k`?ag^K`mPzBOxzo^02Hhg(BDH>XmmUfkDN z-_qPD?@lWdqyXKptiH1e^HQFp)9Yz#EaoU1owlbs(Xt<$*gc$;ML~R30o}-u@hS{M zPfDR=J~Ekz?o8<(K|xs+fp&gW`_dlDAF4sAq4JL1&D|IbmP&5`C4DafBc@PYWU}sI26mxG8?1 zG3p?3F<(8Wh>tFFcMrxWR$sph+wEzT za`NRY<`>2bv0_-dfmpW^3s)!hzIQg}13^35rci0}Alt$)Xq}ZFlF=@#Yt|f&ri1cb z$5K9)(!}7m0_~oW>K#4XQ^-K$7>>{z;&b7~4d|}X=$nCD` zL+WDX@`#lyEykO*ed9WrD0h*nMgaLSOc3wgdi&=GGmfn7Gv^LL|`WJ+QC+=S&Sc~wXkZPTGO<66b=EAmJ^ zMBc<9m-4Olbx*B1Ra!yQ^l)SsxlCdP9q%!6!${LOS|rGHF+Ulecq=aM&M+Yp=CU}9 zZ=X$gAu2&GE2GXB<}Mm4v=i{D#5MOhwn>_;o;tO@@q+rchSaH6aND(gVJ&gAAy62# z1t?7b)Ex3XK%pM8wr-(2`qh&|qava$VSL-D-6i^MDbz^vQX>KRiiP-yxn-%TsoiND z6(}#KGut+k}8)tn(KK7r-xcJUlJkh6UW(7HDypH-t zsz>#3Lw#o_CWBGyxsC9VyA9u3{)(5FAb8q}oEutLG31Jhv>kfogwz~XpG;(j(9?76 zqTb%-uHMGfww~?yNXo*$E}5t_w}=lJI-yR-;A)pF8Nq77`iAp*(bU5k4${V2OFaa( zt-Y(exuLhEeS5E)0*>p+%(l71R7XqwMX00BJhL}5IXu=Y1LUwH=e(g;QNUYSyCYm( zzbe3h$7ZxxmV}VAb_}yn&^75Qz@Tk3VnHhTC&OEhU{FXTWUkzoylc7k=RailUc=iA z|K0G-hSwUt%J5$deY>QK_K$TX4;E%x=U3`!94wecE-cn>rLAJfx+}`9lI5d{4h6li zQk$)L)K}Uj-jm&Di$uAqbX@AKQ21I8qA6L#dY>+L;>S4DLhe*Cy{|Q@eG04D(NLKp z#)>3BeQw`K-);;7k51-vlcubK&dH}$X6xN>g%thR2UAjLVmEm5*(>D><`~dgmtlP5 z%6!yaTgt^E`f9qklrlFh21o>5Q;UzQ%+6I~LG?tnjM$71j!D&u!0O`Mw7SbUj#SW+ zdeTXfg|{>KssrV-NSX8q(nS|q6eiHLpgYE_?U$YM>R;4pVIu$=5hf>acnW5p`&}Yv z{&aoUBIzLQ!!5BFH;hJ}Oc({%WlQ} zn8XC5G$>@0r+okFrG)L3X7XMfkHZXzK<`FF$;;Q$>%cq9dxQLOwtI=_fyKtz;t_G< zAo&h@ey{@_ywP0~GVzY_F`FKh2Cozx?YMO5Jqo3HfeX`lov@MX`SL6 zT8v<=Y)Q3kS&><_+KpPusA4FbO)+!R9-Wo>*{_xFX43ECc8qk+&;A)nwuRq0Ia1w? zQ`y4?H2o+k7dp{@p`t7Q=l3&yh6hI`1O3WtcE*iRNLzb<~=!bPB zX77m$++$(oMyC)&)Qq_QyCQzEkP3VwGkQ{d|&GhiZHvJ;Fmq zWY^McQlTCTq2(JYJ5pTFcsHs^S4p{GYERmIsB`H4WHW2f!ylS-jeO+u(yy~Ix^)0e z7aeBvdhV;SX=^HSw9k}O)+;vEY;tpa@%-#RH^=8BtZ^i@q1Aa|6Dlrz+rrLn3`Z3N zV0A<dQFblXl1x}w`9XUbPHW)S^EC)9v1P%iu>Rj^l0mQy5_@X zOzcM^;JL)@9;cje^L?c?p zgJeuG-%nQ`+<1x??1)qgVje?gZXDF+PNak;cp&cr8@g7Yq(KiK#K_w8hpycy*D|J} zjnI`D-tZxMr~-7?0y?nu7ddNPJDQth03~d2PqM~OUcaR;PMM05Kmyo+Vib9pt^Mfzm17+K&5%CGV5{UMx41ADmK|;CAy;5}ZN>~ZgMFB8t>1~+ zg!#OJ687C}#bacj>C^KVrB8YG@ecFfXj$>+h9P8$lYCD5F}1i)vek_9{nLjrg1VK< zS2fE>RKXXFGgS@f=?;w!9CATzZB1?U+O-?P+V$1XKvQ+y+O=l|GJ<92ecE(X-#(jZ zjb``V*Ij0{WGAdu5tt!$gTK;E!6#Hl%AH^)JK{!Lrnft-qalO0dohKCZR#?9F3n(3 zEZ#n_Iz6iY^V?{#SOKGyQM)>lz*y-%9Fa1mV^&gj%Ndr5#?{?ugB~0j>CuxSLCj2| zV~a@$8(y(aqs~ecYka#=bq-^Tr$eJ714ZoS`?_vwqlknXrNs=7%j!LQ>2-xh`fw3V7fL| z2xOMaWo&6*sF5OiGS(H%|C(2tg^LIy-E@KrEjcMJuJn{4myjFaosGTA8;xqDq6RTk z=2l?JiH3a%WmMn0%<~jv|HL#a7I(P?s8|3vBBO(VnhGe=Zu!zod9lC`kzS)KnxeJ) z#j_mN$4JG5Dh-p;jqPnM7tK&NalDodO;MAvds)>LjRmv}?i$AyeOIr?#&-)+sz}5O z!jD9dmMRwgNbfIS<$zyA6?J+nZ@HH<8te8I(?FdWonOGr=;lR>3L{S-1G)BdV*bYZ zv(Dxj7I|5*DyXV4%7*C48F=A}n$vQD47XNmZyn{))jqMBy=c%7cdTHNS7;s#jgF2L zlT_z5tl6t2|3yvjA_@foMFv#;B7%6)s9j}44qgJjbZ&P;QjH{aT-l{A`~l*#dV974RmXEqYV+22h{7j zltj88{%Qg3r35nkiE}m3bdb4loJAuabk9(<;E2=0Gs)x^5KS|b&Rl}o$+XN`YnwUW z0dp@<%5dbDE^>>#<9zSBskf)8wHsffW>f^(5v+b3)fFsJg36GEwemKL>5;5Vsc0UP zHG0}O>dR&`GQfg`C_3$JZ5*VQFr{P{O?;_?dJ%$Nw_u}a0Hhl@&10u0L7%N6(gmxG zG*6)Cj{G0>LyHAa>Zc;}JSbB6brDIib79;jt6aKpqHpokEgCt5QFVKono^zG87@C* z0&BZUK}rf5)4^$qu{2529npG^(`&_4V||LKbmy|!Jz;v+;LzYi z(N6@{;-Jlfa}h?cVi08u)c~$<#F`x0mFI>a^UF6S+q<6@iX!V2^D`MivW&c5)y2p| zfpr_wiWNL$BDT{wI){M?x5s95NLFZ~+_@c!=zfUZ>AjzUq0wDvGNQg3ox~BExOdR4 z!Hf9;HLl}?cbv17ro4=SloyZUYcQ>0d)eTqrllkv7x2Z`YD&$^?+(@}>oT_l+1T>g zqP8@=x@TA{t6Qy`6ffyh%35lFy=^hO zlx5YOWD{GsE<=4Mw?X+A8j-lMV&Ow;Uh*1tAG)j~ zYu2K*+QsBGdGfI-Cc|%Id=-B&xyUnQ_vx#Du}0sU@7FwlxkOttue zAl+(Y?YLVz?AGy0p8_{zNc$Cox>)%-;?`1YspAk2bCfvFxEOT|c z5W~qaWM!!1qXfG$mVuSh`Tkpe{jgMr_ARrXs0=vTLMn5xK2Ad41$9T16*YfV@7h=G z8V?w|cT0(}wl=o+puBPZpvlb_bIe4)<*sVMQPwaKjsG*)gNUC?pOxdQ!V3m-G8O?F zZvFtv600X<9?-QK!oZE&v&HOQ1-{_ZNA6Jzt#ISdP9BSPa7Qn)yHk)XEh)>Pd?$+) zVz}o7>!WivW}gikZ9c$O6sM#FV0KyUHjiKkUzXCMGTbMFIav4@VzvB0wz|4Qu7|-# z*=m1eP08=F%2?JQOOZ#^&;-M1ku5;R=S8cLA=!oABr^nVtsmC}!sA`o;k^gmTQ-5u zs1>5#qOMVHY`y?TMD%XEsQ2PjXS)pi$CoNwJq%m<>4N-rh**O(-sX@n%T-iZD~3_} zyke5LJHpL<0o=fa`)0dk$4H*-?cKWW12)|{W%-0z7h@#`6wueed?N<=vD{K7#?Vcc zrks28GS=T_OG>1OHPm;dkf+cBbvdgG+h8zWE?l?n+}0~dKS#bssCKwM+$}cH9Xqb_ z!8@PcH!7`T?{3I7DN2iD{{@QVM0wKj$>H*1fVG36EWkl^5-q@q)=$buJ$i-mK2xj1 zrqyAiB=Yu|)pS*P^UOkAiXODgEQd9B&F&@}muuN3k+CBzn~TO0qK+f>c3~LAozGWh zA~d%*wKrhFIM*z?S1m(Gdy&yb_qs7JiGMLU$@BSD??EtqT|6?9X9<0GHw`H1R7x_Q+7lD6ba${OW7G6B}=vNy_%2%_PG8V3d(}FSUR5=m@GQNqB?_ zTTpbITGEx0yR!S_Xa_FD6-ix}jK-`i=tXVAiY(OANZo2`**C7+Gf*mFTY3}CZQCQW zh^;qo?ydW_nQnx0a>Uk;7vv8VUEPQ0h6Q8Ojgs{EE?V0|n=vvuD^ZS@AdR%MnNJDG zn8)b>tQA66SUQRtg(B}vY<8v2@4+I}X3X2T;h21q%7@0;mwLeEXC}MUR8Vz}7CFdj zipg?aYurUe)qTeu{SBNt;Z1_BImKE4HH6EUV zF9=>bIt(M3G}at?X&Uq4;<6?2-f%bw8uq#uK*}~QHIh>@@FLvESBM=__^#waEKTom zdpGz6k){_*4&H+_KSpIFOjd5{e3pdWUsd2nVd9OiaHS4^*i3Uz)I^e%ZtQToWp5aQ zijSP*aIf};W~?Yg*2BIY?cN3XJ7v&0$+x$}SVy~j#XDs$f$biU>ejfjW(A`fh?68j z-nPV{K)$F=Z7>(e(JnejNv7Ll<6iOgEqKM)LW-Qm&yK9UK*+E!NQU=No@=qzewVg_ z#0_N#-sBTfgbUMnMP{=m@a*+Yb4ySzK+_W^oy1=NR@R>9rr%|JZg>pCKr#}VuS9k9 z5$Ttti%?3{j^!&uvTa$;fpQ!7G)A_1hWkbEs<>oEUXtx6<{F;Jj{7v`D@rwj>}k5I z-9i{NYOvtP^RIA?j%1CPe|~Cen#iU-m;QY+K^9Kz#gvzn!$ec4SBCLYb1tQ@`v-II z_?oi&oe!q;6;Uh(Gd4(y6p_zul=@PtgwchqAy~PD_m3}xER_ju_$ok$4!x);9VQ=7 z4@v<*l*UlSj&n0kf(+AEh4EgR^I_H=Dgx?%tNe1VON`tC5#v$=EfCC1U+X3Q71mGw8!onfOX^AtY`Ec- ze5Ml;GdV8riQQr{ex{q*Z+~r<_nl#$vUO5^1`Jnfrt)KyC~n`TXnj}w4NyrY5wwAb zb?YwirOAzMiL0I6I=)C>WJL<)wLnPTXl!j)l;;!kab9>kjuY&x}lPrgUNGjSOfE^mbItp!V`C8d*6BEB#TBlJxmLOB_ zgpemBuF_ofvQ9dx=`H&t$Q5KU*T#t6Q52r89ZfjJY!GLjBGF|pdhGLy{k*a^a+XRZ z%ZM$nllRS5PD!02>*krfD%4b+6XsM<{5UZjsSw+`AyfP=~~9*B9Ae9(QkuHtedk zf}kWS zcB>q!EIoENR2HBuJZmji$FiM`dPIiaq@amcE<(1Cd`nw<@$nTLf++PC2VvNg%y%D9 z6OH!CB}Ej8_HJWb#O=V8$|@Q^by7CafxLj|_F`4tL@&BanW5~sY`R9wdmDRNJ9<+) z8&VyzFB~VHG_^Zf8EX(3cWx;3mo#ESv{aRtU2x~a=-}JtB{+*Oa+{Q?J~`|r?hCgXhf1YR9W4OlfM8g}-S2^O#JbJvd(491qdPMSlq&xAw=FbI& zTMfU{uKvBsu+#9 zG3l~G4a}!AI64#7Yd6-^ZXB9IHVejj`zEHU&zQVLEHnLR4-Vw2&+XeQ_uj17 zP_rRkuSB&0#d76|^-t_A$67y*Kr6#j9O6)-vSRSYGv!JSPxa+oHSX1<(_#$4@!sJy z_UBHocBcvB-l1o%eKx)lT-%xfSxyv-C~DnU>V*_h)OS7yMXfW-RK(PdJ4v$K*wxN8 zSvFwAvUrN+Ckqs3 zn=BjWnk=4T`N`rbmY*!1V)@Ae#n~pynR87RPqF-D@f6EX7EiJKWP#$Wl4WXm*jg&m z9&+8w=%1a%x{_>h^@^sZ#9TBrDdwW7X)zZ~?d{D)Q@eh1(cI`-fpgJ3bIzKZ=B%k5 z{<(zKy8m-hoqH~-oqIN_oqIm2oqI;AEt!*gd$ol1Vw$L?Naw7;y-2UDz`aO!tk8XW zKdivLcn7Rdq-f8pK%{7w%aC}iw{XK0iiXRj+zMw~s3GUDuMlo4l7 zql`F1qwF|)?ff$0>}iw{XHTPyIC~mp#2Ff8$JuMdml0=Aql`Fv8fC=U(0fcVm@uYi~nmOH=+?WRwpspG9xG+}90jvfj0`Sr(b$5%+nE z4_G^j_0Dc<5}wcRY3~-tdK~`W-Pzu;1BC7$6CTd+fkJ&(vn;>B9WNI-eOyk8mF!r9 zi_N3LmkT8YW9wo9+@Xn6{9L?sr3~EVrcYeHA}dJT4QLV;xxxYwb|Gw(#1lKXhtX~| z2;3qzm1~tt4!e3fWKEF^PY2dkI{EIV>ZC)Cuba|!3B;?7CSGMUF=sT9d|#h@%1Jni z{r-Hp`cM3|(ZnAaP5g$@MDl%o@+nu$Z{fZ51?qo?;X}_?`W8dd-9`t7w>?kgb{Zzx zuf1C3h%1aHo?tZbP@{?D`}*WlF3JDgHR?Zcm(j!yqlsO2sXUQeYkvcw`Ml6*(U&_?gckIFOPky$#AlbJI~L7R@2I)yl?+e`f!i;` zrakouT+#QBH2ouzPb8n{^GTPB zz8z-2{$FeSlki#QzVtULM@*9U`KOy6(bp$ESM>cOP5+4G6Uis~eA4BjZ-?povtMYs zCE<6?{Z+qIIbxE$&wsn=5q*8qb4A}j()5o=K9PK)&nI0j`gWMU|M5SXZb^8fxp!MS z6O-h9{;25@eSOk%Mc+Tt^p8kBk$j@hCtWW3c9_0IUM$BO=FqHiPSU=T2SIsohzG?- zi7MFC5E3~R_GKhKbTJ94~?3@qt=w_AX+ zR|m)wA2=|y1@>`?A#R?>laANO19nhikY`IE_u2~GhPxPW&!mf6d*}B0w&sfw3$cjs z*u9bREMrS@gfkxTjJwGcv75j9q7GPcR=}h+y}Nfyu+23KQjH>C-*r)2!;a4Ow)UPb zpo z_VvD-;QsXl{^t|;zTHnG$bC40@9RHa==aSsKDU|tTMe%>e8nv7{Da9)CFl(&@R_c= zO1M*wc%jL+7}gtZG-MvV|6t9Z8xK-E(eNO{KU5aF|JJd;IH- zzS`rz(4$9d_lF5`-%8;7`i~d-E3=HxXH5Ps!~bXa z&RN>|HMUVcZ(LVpfo*eDn?(uIj`d>Z%KX~+n z(OHjAy9XNm_?GhjA`5q`VZGsUFFr>beURZF&e8Yt3&Zaie%|mShVL{?3dd{B{c^)S zhR-te{zzv1sG@0 zF^M2}$ao4zw`n*(+^YB-!@%%YEy^D>xg`9u$$!D*>50sz$?wXn>(U2{m2~rM^4Qp; ze3^Tj%TMO*hF=pPXgLs>4lSaLXV$hCy7rxN1Gk` zN31fwAFmHv_{k?8XYx$vC|!*&>x|^bxy*9YLA9p;%kV$YrRm9V6aUxvGJiUk-+{9K z63d@V7l!{;#%KOvJg)cTnf`C}_)P!XJbt-p!T1jiX!_D0hHt|1!69al;hQo({ZI0b z_OCa2KmKp^@)_;F){`$~|FO;=FR}12e=~hvYw6B(WB9K$`Et|eu}=T@SvdXh-)a2A zy!ZQMFJ6q_JszL$^Inh7c;9DyrVHzhUwM4y{|7ui^WFa%pZ+D~2cOUU_mF3o?=R@r ze9!O^D~-?aGX9^p`i6YQv&xhA!;wTYd_!J-VfdbFe5Mb>*KK^J55v)7e5Mn_xxtgC zd!5IpKP!!YXi~t9&+wmU@_znWYJA#d_zyNd!=FWG9IqrL)=b^UwRd_sH=3-xKgZF9fF_-`@XYxtFCsr99FFw{%&QDNpa;(kj>9tLWzsnajj&ooZ|Cz5T;3 z&~nzUJ4d_8-Nztz0^sQ84CZtjFte&>_7-uM%L*t`EZPz8Z79(#-W zzcK;8@iuk8(eP5kRUWq8s&WS!@4dOWzl@I`9`czEQF*`xO;SRl^3Wm|4L7>mu2?3h z6URgDRsZLTyS}UXrLe)|W{dy)ZM9FN{`dY{`9z;jdajscmwY0_MWlbFXzEkm$9G%& z?!HIkdAs3thA%SQW7utYs^LA~wDd8WcBoH1%E$9W{P`2#S3B=8yvFc_hPw^VH$27g zzrUw?-!__ds82o0$Nh{y@B5M3*=2a1;d;Z93>O)G>xU};Qo~mopLVEEJ<31$CDr?m z;U^8>Wq6%oJZ$p(g8Ltz`1vx!|520MW4Jg$Z}QiL>F_k8kN5bGm-PDbuL|Sy zScm&S`&TMH2Rc0TkLB!Xzt!~L{y4+^6>i!g{`x^p51;;s$A7QU`wRDX`d?$=BPQA3 zVsc6Dfe7F?l1q}VD=cpXJ*tN;&!8n z8;mA~Mia^R^~t9k{qym&{p$a>6Y!smf4<=*e@RDmg7t6P z>e~Wbh|%2EtOKQQc&CPMuK3dbQ2kOkY;v>3*0-vCBK7;JJil2w<-SI z@JojO&+sjVlZH<>Jl*tZhx*i`yzejRbKb4~t}r~@@DH~u|6aq-7+!DKYS?M=v_pOB zQT~M2tGx=t`>s{`ONJjXWVnd`@L`p^<#CFalo{@eOz!^w()eC(=*RoBA1X|bVWWFI zzOVQ9N}qOs!+oIrE0yjCIz04`<*V&3%}@1@Gu&U{rXAu@mJUAs!#g#d$p5C%`wRDX z`hUp6M@+KcV{%FEd35I=$=whxdrFSt+n#~Xg?=Y=%gUuAqBKk-wQztZqXkEZ)Kf1+|e%KO3UiJ8_* zTsS^Bk(C!5%^f#IYbl(YES5;M>3Rx3BU~1^E%ZUwu-mY~aGjyAM|#6is(=5{2L@kp zr24bM{Qc6C_OHJ$F?)>PCr(uRmp`RAE;9a|C#d`L4O=`s!)W4XQ-TyQv=HX*M7yF zhNS79geMQH-mixg?>1a${O5Qy`NXUCs9v$S39qkL-A^<8=5q>Zx?gX6AHVY&m4Az2 zhey->$;S7w@mkgY&NYhX8j?Q7==Z!%-TMtmA99oO-*$uIv4*6tHus;tS=~QoNcwj- zEB`}=dpw%%YmM*Yf?HMpGq)&SZb+K$TaE7{R=D9U#QtKB!uH5%uaWsTK4%dZy6=4& zuD2QK*$1U$x{%XUg7%nmV^X=*$_cQ*y{445y-G3h#{L7cspI;h&=?nYU z-_y+=3Ro#6&`}@jo{$6ps=ex>( zwPB0l2_9A$O}ynhs+WY6BVJ_kMDhdUC*c>qt^VXZWPE)8llXieK1Suk#_xUicRi&3 z4;g;*uZ1+--)wvze|qBi&g6B5fk)H*{ZCOjANQg?fUROxd{cPj=c=4Gkf4Je~ z#zLCzry1YJ51y^^6NcZ}Tu9UXb;kGciaM2FZ}^6-g*4sUjql^zR;m0m4L`QBkf!@y zoDe(sW;Dd>>zZ zzUs9azQ3c8ru(SzeXM(?%0G0mV#bg(-Ip2P$5Q9FUVEw9z0C0WhC2;?cR8W80-F+~ z-1VQe7MH#!^~^K{J722yk2n16zZBARf4%X2yz-w_ev9GTUtCDjebD$mo^`d#|Ka6| z8AH-^f12@qd^$5i0!liJjgC(Y4ep93#FZ+(mg^C=Dt+%AW@aXLaXSxxq9gKG;xA5v z%>U|#-1_v1Y}kcU@6$tJ*F?H+PuMVub?YM&o0qJpKC9-eoE?0>GYnT$*Vfk5*5>32 zI!ERmxwRy398XKOXGgN*u-lm(z>44OcrI)j9~}-`(|x-KN3!bCR8f!O9v2D66V5|B zy<}N3{S`C~jix6CM+U-b2l^O7zmGxux!dmO(vIUORg30{#o*b(6kq^v$eHkxviefZ%GJ~ zE2`J&%W!!?vsLWhCuE*TpD>mm;?L9Twg0S;P^)cTGMoCV`iaWv ziOMNnA;q7|${W%+Ua})Q6*f)|kAz!O@Y;t~Ix$K9d;~ z5>4p1s8kx(61S>}RS`*?vD?+#)qHWvotcd1rk48cT|x-I0}r^6s-vxavz#;JWE#4* zcQrvG)zsqX&SsIqIlfLBSie*02E#_fZA8j9t6ZaD?HNkfZZKSLxX!TFaINB5n}g0x zn*+aON?59^5&q`wZOu*14fVL3Dz&o%r!}{^bAjcit=63lU7cZTeb;%L^%)jB8#P=_ z?S|bKI)Az@Y;@RQ*wfI9W0s(ZAD*N1>fUx94tSPZw0dy_@irWl+>2w28_w%R0^+!4 zcee0M?qd_X$Fb+PHRJ?!UH$w{KCNhH~a0iY~;=KT~ zAMeZ=yxRLPyIlH@eY<#p2Z^E5@ z&BL*agySa%>ltCh+l(e&YINrzDwl-5KD|2kIMw^>v5FZ((sX~C@qK(sqv{dACkM3S zN4(zXSDIWB`uYrCxKi!?=~Tu4F?@%IluJU|^YJyS)$X|AkVl_x^zjM!^K(=`bGG8? zn+xfWZ&Er5uWD8I{-)xXDdC=^_tX-4_p}uE??VavB!5crONGPNr~kh?MZ-tD$LRMO z-e7pO;fGeJ{E#8(eMavzJkjtV%Rk?Hy6U~oaG&ADhU+|RHNDFXPcsZXWInsJSM3we zGMf0vbJYC@#!o_DpZ4EyiRwT9afVO-8;5tT`MiPU~Y3egPKEBk#@d^)r^D+$|`J{a;N7k(zRALIlZRihdlShg?PDo-U*4yw?}v-@l4~`c4)<{U`a(Ww zAF1c_%cZH$@c4L_h2xVRzVRjvANizxEamRY`!x0aaFKq{!tr|#KX`hK`bw|-p1alVH?yStE2+DGd7{BmjPGdwW2I__*wA8jckn{_8!3e9}Hr z&*zs*Q{N94>F--Oe&pfzzOUgUpR|vq+dKN9y_fa%t)_JU%|#!jbl{_fHx=@=5zx%H5auY3lpoBK;p0j(2+a zwTCr)pq`V<~rE-lwVWhl}+23p5-(9{%*8 zLOy99sps>{rK!*G`1nG*$Nee~$ChaL$S3V%DR*Dqr>XCUi}bQX?LB(a&u?PHJW`TTNe>igj${X)CP{wfc1$7=Y^zij73i+gcq@K?&m!`fSF49*&UBmHe4?ni5kWbo2>iPU~Y3egPKEC5L4ad7Z z{84owpR|wE^ZDh{)c3w!(ms}Q_vL+> z`hK`bf5gIZmxnLhrr{%>w2!6SeR-dzKEvbV!xoN;1`QAKqp9LF`9xoy{Bn`{ez-_) zZqjg^>*0mXg?!RJQqSj?OH-fW@$m(%8V+L4XyPSC6Q5%=k$hjDe9HO$l0Laj{U;t{ zH1Tkwi3b@?B;VI3pK|oi$B&pj;=7F|-fT4S4Mr2m_w~uAobNB`o_38tvBhX&qtV1o zMia^R^~t9k{qu2SxB5>!&1mAuMiY-Rnn=E{Pd?>*e@TDX>=EB-H1P(biLW%8NWQO6 zKII-fPviME!)F^FW%!wAsQVR$XBhq|tNbI<#ql1`{evF;W{;+wfAILv^=Qg%N#HN@ z_>W|YhmUrC;kkd&qu=Av*Ld{h9(|!lZ}8|NJ^D9&h2i_0N8jSn4EKvYexFBEE(z;Q z{v^Y{?9y<2)9`(UuQnVpq`elSR~aty+<$EO;!ZDrTyOM?44-3oj^XE@tKqoS@ShDc zhV_O|HT-L@%HL!70mD-JQ)NA6-{|o0=m-|hUOI{UMs#^=8*ble!_{;*?o+!jHXJv+ zWlH(4->djfmnmL7srb4H#V_O(Uu(E*TWJcy;UdF*!^%Ix@YW%v zw;Fz8kJ4GgQ!i2aWrK=K4F6@f(kC0@}G<$~`zTfQ57jO3Lyw;|a%; z+?dHR9>Gb`Q^CVY!J%?z?V*(i1q%+TSh8qg^s^iDstyYdKVs>TPdX|Hjt+t+A9L(+ z$DaTTPYF&8o*G%=Eo#bGfIZ9$+7^?tM*3CZ!HOU*A42P zezW4U3?IB+>5A(V@4iOyV}`%JR_SjTO*=&2-serux9i(a^2hg&{=WTn8V(V(Mz6AP6SGDWQ$`b47)^Xjg1#?Lxw#_kmBP8&_w^34coCD_eLiXG5r1m$ zf$ly{KJjjoe~0Bm;?+hIhm9t-8cqB{g1#?Lxw#_kmBP8&_w}kRUc@AKpHG^4#HD7B z?mkUE@wb*g?s~nJPvT8R6JKOBF=I6GhY9+=Jmuz!v{wq}YTwt}Zt)@}x%+(5)FYm1 z_UP`@Tl>w& zx4%{0KVx|J%}P)Fo8p$YDAEqmx8u{aOQe0G?~m^v{atjE`tPIfUn!dD;p5NEKJnc~ z6aUp{V$Nt{XzAnY`|^~VE7D#moU46bkMScWx%+(5)FXCVy3yUI$tP|!`KMYwB>vRW zoA@cCiElHScwvIRFHgC-BJGvJx!U*j7(Zf?yU!<0J>ttO-RSPqMt7ekpZHdjuX~%;pTwh# zCjQy#d*Tm_CX(;#lTSInUM1aR_Kq?9!oO>H-ekDj@I1p~4F7ETg6_0Keex+!|9tGR z?_Vi=rG;<4_@`Smenj715}$H(C;qe9qdRHF^R>6Df4dF0dGzvksrzxa6-OEW8OSEz zzkb4}RId~+G`XLBQsqBm_;$m8Fl4xi-~E!xzu7Qjc!J@tzo_nCG<=ugs|+tS>@?hL zxc&>O_s7pG-egGrl+j6ep1GI8C!1Un-ugN9zm%OMciQ#ceSQ+{^L;y|=$BdepFn+& zkGFIfviH|&SYvpE;RB!5czwn2J%+C_tf&eqswyg~7A&Y*SW#73QFTy7)uM{3#T8Wt zS5zIcuxiPIszVo6RV}PKY+=>m6;(%6R4uKjI&wkPlNMARwV>+g1yxU8P<70Ls$&;a z9k-zB_ytubEU0?Q!IdX2tU9T(>Zz4gCm&Q5R#q*mta{o(Rm&Gvol;q~Vqw+O7gn8G zS+#Ou)vC&>(-u^nzOZU_WmWZps+!8GH3wC#T~Jk9S+(we<#CHEk6&DQ!s5!O98!7GlFFwpsXTc}<+3G}PdlXYlqHob z4ykr!B5L{gBGlODd}uSJo`4T(h{cc1h*BMU_K~Du)+Ujx4Gi zT~s-?sPfW9mE(&la}`xX6;;C(RU;KuqZL(S6;+p3RE<|u1>27jJ3h`OYzI;&JoIAcosSH|bIqMdeiHh2eA?HeT_1h9a%tbcQgpd? z|5kEI;b(ZtO}E+Fp=6r>pSG-W`LRnEESKkFmo8j> z9G;KE^XcFdXU5mZ`NV(eB25E{yF4=u5`qx1k1r8_acA7; z6#pwDuZliA8l7~)6HkiaAFsILkIFA<1ZRZP8OXMB6%WKMJ@DwG zMnC%K9amg&M?r3PwjX`;3jD+-{=xt7@`@{*r&m7uD3EzTSa5bfBHiHRepebs?kYYl zDV}R`ge&c#*W`a-_*~=PYv=>ST+qR{xD?X9e4IYb`-LTbp7=DfgnH;JtgT(Qe#03X z&)jrY{kDe2R8u@`^6bm|_Di|@_I&+Pw6E{eKmVQfKfY@C%f|`+=ifM-cbWhD3+I~d z@33%`i*xno??vxz-g|$O(dD9_|G)JI&HtY;{NCdPZ~YsG^S$Q({=&JY`wbS3a&fNy z{JrR1=e_q=8eJ~>`M)^*z}7$%_p2 z<+oK2g29-LwX^(j}x_y5^@^FS)T@L_z4Y^9Krq$JWts0gFyR?=Q7X)i=&S5l;f(!NQH5>d94 zNJt{rzLYIRkz~#8+R1j`Gk0br>id4*-@m`l96WQ*bDrmH&o*<;y_SvR6gb|yPa;a& z(W45w-n(mXV8v)%;v6hieQXuyc}mFdb2X9oKC)pF@kquvA=FB|@^DP?J$;>MB;Km! z0`bUjWslv8{iqS6fUwskf{4Lo?is095MuD@Z+CMw@V<-Ktf(-A@KN`yzj z>$t^a?_E^L`v2>{j(^m2WK-=>r6{!h1CI^C|9&b&eBuyo9IEe#pyL2tR`hs_a&>tX zgRS#qva}3UqD)m^jL5&Ia&X1@NoTKSs2Hr>vQg>F2vxPIvwZs2si>yPwv5&+F;n%} zc3f_z`D4{{@>@gar{<|v*gf;?Sv-32&8t_Id2%gXd_+P1wzHP);&CUsBK__@TfBN* zkLyLxlEu5Hy**x&B&xO`;A!5Bj@4?8hb|lEt2wH9C)D+?T@|jjGR(h!@6H-EaebZG zj+fKah42;?_pB}Iq91~j7zvlu3r-cb=d{GC2i$o2AYogp`leSRj4=6G8b9}n2}!B# z)!6uOtU!3VtHz9Fu45j1q-q4^zTTLAu1_OqdG5zON0f25&)VAUV-Mmnm%qBb%Jsk} z>Qy}o;mXAy&V790p)-%>*<-5@CCK8M!nN{F58MuG-Zio>J=5o>8U5}{!H<*QH1Fom ztRAf(yhQz)V%ZJ*6-!oy#JIP7IkDt=Lxgu{%F89qhsrNSbX6>oUp}R-Ol30k1~%ue zH`DL1=?A`bXz8%Z@+&5<)pE^S&y(HcsMWEiGx`F1WnHNo3mmD!I57)4%-eE>UV* zQG3l>ZO4+?+A=TsF0rof(-yNF6%p~~wzfyQwsg6Fnl{(TueA?z`nAulPd#F=Y{ANM zDf{eSB8OH=m~31AM(okb(8m+!e9FpQDY?d@?c!P9Rerk@n`iIWTva7LS+#r5kyQrX zB}sPe{;TZMCUxY$Dq6MfRQ8S(mhkFFyO%dbnrW|2S)g0*$8&P^Ztw6gIp@&TXnr^s zEjP5>x9HpzVz#R*`;Tr*jrqPxVPbN}cde6=vlEIVomS}HlC`}9rd9LbOQi*$_1u!q zHO1)u63F=~Auca4_SD>v*%QX|SFQgpvP>jX+>ST*^??)fY( z+eNa;$rC-WTb(+pkL(U$f+>u7le(4Y?hJ!*BZ>5Q6p@zk5y?luN5DvsNR{I%$EAo6 zDPRJT4v8HSOBYWUzanu(!fYy$)=ejpybO^PW-83wIMaIOpH0x~O3&MouAAjahL-D3 zEQ){rBPM;*2ccZAnS8hOd^G0Y@wlS5t0D5kifwt4`g$cX2X@>GF%q@W-SFw_2IDb$ zY0H95L`{E|yGBpc^{^=aa-w*la^m6n8`2sp4_-d@%}sq#UAB(Hf}=tBG>0H$gUE{< zANki{6p^I)r1=sBh~z6sr1L^VIxwC{auYA1WFl_D8RO`wM5>n}QsxXISq>Q;hP^yo z?0HDyOU+o`=Z4b{&vgBmwl&&B@a1bBjk$A@gmzbybMJCLaV6@;l$2(Mc*DV0KB@}0 z_EnGgkx~}(Rzu0)s-1*+;FVKq!FtlETN;W|3v6w7>x$M+x%A1^#^iyF%(F|!a}t^I zFFr@CF)Vb$>zxh1cjvrYE9d|Aj@pVBQ$w@cdzY`B7nbFu+$*}bbKkNjOElaUwRh&e zANw9V*Aj8DZ`J9NTah1+KKkal{g^C|NXn+<#!tZ)?{#j`u+_>Gjdhp1;(8Zzw}=m& z*ZI~FOK)a56du*_mUOF|EbMB;R~h4HaQF48HB;+(_ei?lXmq{3I^0)9`PuO|yGqw5 z#ooF5vmofDdgn<=mvLWr^Dior2_HW;eC@LF3Z)sBbgcL@Vy>~D$$S{it_xx2HN4B} z|M|_$FI;8mKI_YUSq9F+$1|SCEIUz|cZZq(+Qd9$)H~G+Gn`jStPDyHm}e|rwp}dp zqsEVo*WD~d&Ix?+vDQR?GBe1FT3$yAdQ&isg54x!c#u#hk%TgB97sENshC(!ewJAy z2mTs)mw=4OakY@$O+0c+(xS+~TCZt%{zU@UE%tl3;|+gJbuU z>U7F{K{TdMwsP`~jpB8&s@XYjKC)bcM{$jkOL-nCp1M5cW#qj|>)o{uS<0txUTdwG ze3rQ<%u4amW5fB2N>&t)^z+o5ehrPAa~ZJ@vCY_)>?3SjwjKKmEFniX7{m2VBBc;P5>K=_6kL@N$68eQ!!~w7Mq35!{%ekmCAW+a_kz9cw^!9g8Fys zBQEf5dCE1KfAj?1J^j*wUnYo)*7V3)Y31gWpZIlro~5jS)~e>6`5LbITiP0zXVof% zEty>Px^mg$u{J*{sOiI`xXARFhe*-_Wa1JelCuzz{KgZBM~qA>ClhIwB#{E8h%`rr zOl#$clre`$4e~^?R3a1I*54p4ZwGkGvUS#G=ZF+^hg|5N@V#_PN8H1`nm_LD)%}_- zX2oqjS*CRe%NExovT;I56aXWAmJtMEv3%6z)J=uGlwxga-bGZ7~sN5~2?CB`! z_H*yPpHrvhX!#=hBlk>QlQvWK_0Czbarmf6TiVVp-v3?XYKqJj+TL>QeP1`Rx8qYI zWp|z1WBjK?w_U2G>~E#Ac}{ta(p=gOXL~I-R8VHC)Al&=;^*$D{#bt6E{6wRwm%fB zu#UFRLINFYN2q#^qwVyaYpsvsot?MP_WG^WOP&@#<5{%b{=7m#EAk@z`as$5)nC*m z_?g`7?5FIw#QIA+$7iEE}^_GUQ_k0GvD62c7DUX zMfI^*fnezSyXmsgSzczhpKUR-J;B>BZh!1fp3#0W;m&!&UzY1J7?RzoN@tYres3*4 zAS>}sWaO&1aeb^Njn6N>CNR0CExhIaLz8v(ws`;QlFzd;w~V+FJ4=nN5-W7;jmVYB z59JPYbKPaQJ+9bTZ1^F%`NBS~*rsaNqps!Jm%MofCnv$d>8$ABv|nOy(vlvWDrfyp z2j&k>a*KYaYK`A1ec9kd&-QTmYr9U2?r!6Zlv`u{gT2MevvjUD&(ah$78_&hbiwvm z`sOTm<*Zrj11k1tChyU>`p9+78b_G0F5TTt>dMH?`bieqOFPTM+t|jGeVXvuYe$pz z+IPi`0SlL$-SlkhcEMFq4#l#K8r+WgGwQFbeLg1Vbu5=`+Kw|KYxb^>&@#NOG0oOg zQ%vAxmEpv~H#5Gi*Rfq(_sTuRr+kflLf{iny?Z3;tKr1npzi%`SHEZ7DU_Qw-qSQ> za*KL}VOgodq1?~!JeJv2_-kd@y5!Y~WiI2k)?4UXQ_)j<{h>`$3D3V%yx`#UM09W( zycYWA%MR^5a<}cxSTQqDahggzU(#BVD<~xZiU-k6Osn$V0Dm&6BGNZ>#UY0?ri% zsfVYaA1~O-xsqXHebR>dq+1Ya@$I$6H-C^hVrOUf^dj|~dJVQe{$8HZU4dgtl>#x+ zFF{PM{X(xsGL9vN%=~Wn5+2N(YId-<5yz%aTEl+w5|Yju>nb&t;+UtBy>$6Y=oUJ0 ztyioH$0UW`XwL`*z3UUb5)A5bOeRghe19ki`rQ6(_o@WPaxHCLeL`V>-myh>;wWD! z-WydO3W{!h;u|KTeC&@WWiws@e|)?&pUhVrGyGyP#^@DXI~cNQVhU>SS^bV z!(qwv_cuh|SL2wC`K`Bp;b5G&-|+O|Y#jUcEug6~9FE+6R`lL60mrlh#?6`;0XsX~ zExAi`aZIi;L;pYoEX?OtH7$$7v51^2h21*{+R0?adaBOq6 zY+~RW=sPg&D}(KaV{>jV6RLd!1~1YJj>pvE*vgicy>p@<#A4QMyz?iHIVo#|m_~s& zPpgjiieenQ?=)@1^C-ac=bw98gzBr0_0_G50_~$WW5ogjag2{IGjQ%(*l^aw@PrOp ze^;+ZnE6|H*^B<&?smk_fJbsi&|BEUsDHcfln0J=Sgs4Je+xY^9_}moV{q*K7^QLY z(O^(ibLR0Z)ZeY5+2$6}V4}<40Jo5Q2}jS#co7YH<=Jz-bic;28XH#OvF4 zX@}z&PyAdLg&5d=Lu!JVC91!|rJ&6+2EOSA&bmGq&38)i)?Fbnkbha?Gmi(-7r83m zL{2i<}T|0#LD;bitiv_>}lr{7Lu<`6EYrHzk^Y4D=#pwl;K!#L=bQ2JGeOV z^?iq;IwXIi4j*rR2m7`?t+?Nmj$`+hOV%jGf}G39laBTIfh}}nG;bTLvRtEQe zB!9P;NcG?}xI8fPvV@*8z z^X21#ue0k?>kPEM#g|o6EaD;cIFD11Ad;`2XD=88$HPA9lI3yzsD1P8dq=S1;YNrD zV^rWT9CLBV@tdCjGEWyzYT4V4V|xxYZm>=O!znS_=iFrDSgT)p@5=-ToN~%-Uv?~x zy-l$RX-R(p%@9MgfuKc{x%@kL3{aIsH;;ey(CY}8(LED1L7f2+LE ziuC2p^vhEtlc0Q*DKny@6~}sy&Fbz%id|vsBty_rX!?RV%4Nn2RpZtZ6 z;%Gh^YaH&JN&!FjO2ugpfr9sa28?rPK1Q)#d$Uu(e~O}?>%Dj!^UlZ! zVWh%iQNb!DNz{JXf{rAoRB(Q(U@&ww8EY9@t>=kLSQM5liD83)b-`-!2O-e4!J$$ z=%F^_c7aC*On#9zrEvwK*V|}&rZ@wpZ*fa>+>Y8CXDBQ$l?lsVsk`!)BKeOKI$36r z3Cn``g`XJH|Ik zAsfyuv6^feg!EP6<-V8J*`TzgDeOT!8vmQ^k3(K%!<5^PY7@=T{I$BBj%>>YD+Rk( zMH3KSb^(u(L=Jdf=omjl8d&ge}~=F!9qM`X>onFH6GMoeZLhG`xG-7r6YeReih%!oLWeWMlLN#`=iWFL;UOKOXq`0>6NR$8?M6WyoIROB|yb zzJUAaIg{=yqxH?MX}YNV751;sw6|J0P7~Anm2GqUD=c0+HS($qvPawjw@<}

    RT z=1^?vLe4j#4 zTX;t_ZUu@rK~Pt%2Ic2`7=3d#;%5#W#`C{H-!c`C>Ds8jwu;9iY`?*!^$+UqxuErZ zZl*sg<{R89Vu#g>ApKhCtjQc%1Z%CYa*d4V(!^Fudz@HZ1TwLwbdN7W_V2UMm|ZSK z@Z*_du$Une$F8iMX7Hs5Bn-4isz#yt)e|(mKJ`1?(G8j6ZjbE2<{xKEjK9PBJ@WNA z#wZ?;c{*~}i|??Y{88V=dI^m`Y{oR12|wVf<(U(gzao9RGh6EZo*xi! z_QB6X$w=Ora{a3QKfr#^p}5K8Mj-vzagdw!14b8b`M7^9vVVrBc-Rsp!22LVrtmq! zYXpCEWt6~1ts7CdW=m*dUVTlP#wDP>>E#}+-N+u5zmLbRlz_J7s?4Q3Q2a1O+UHwv z2|S#=Ovw7RxF$AxbM>a5B~afK<`CQ5jN;pMOb^9UXm*Xq~40pl+?r`FG@XeEeDhS ze&Q!qED?Wi1eQ5nA zd%g_Mu7KN1D;Hn2N9$jrnqn?h30o$rWL4Hp(Zoh;DkL4Qgt_|H^0>dD`TxZiAD37O zXH!4PPG5xj+im50XyQ*eQ)nNVRE6rByx5dr@)M3Ft3G&@g63;f?ZeOSeu9zK=HfRR zB53{1BmG6Hz)*b7(HRYBKI|?pWgM!4_VW_aImJldcUr6tjIDxE%~m>f41|AKxTAJL zHI$tR&1P~T`@=iJd5mQ>*k+Uy{JDzTDlU`Ye0Qx!h1{2 z$!LDs6(vvB0B_F5;@*I1npj^%_?)jbU~y_SZ>|I4f2PY=XHhN8?LM`0^*EF-+Z6ur zb}h8;wVJeF1L@;PIbn&`S`e@epMRtj&1Y{m60JHoZ}L9pyAra0qdpvNkEnzB7oNZV zB0NYAYM z<$2F&77J$F)Y_?rU~+!p_=rXp+&@46y#j(uRA!&@Z(zYZso;525WFMi`}Jl$3)Ww6 zavXu+>l=2mhwE72l`NG~T+4#_cA1825KOyd*b`R6f(F~fn-U1l+WBdkQ#A|f>yAFJ zK>c<&bwybl!SvqtaKE1{*w=brnG3-s7j&QRtz*Mji_ieN4w5`pg1Wwswu{Cl<)h zij0oVWx*3m*Yjl`S-|KE*5*afE4i$Hb`A?Z>2L+F$!5WFX5|)>EEb$e+uL+LlLZr^ zm!0#@V1da=<1tYmSa9Kz`_=6CEa+?w$12iUaM$3XSw|WR_D`(%z=PoSN{{IiQdzKA z?VS1a6c!v1ITSQEnFTL-rj#y7V!`aCRs!mYENFF7nzbZ>1tL?G)fw?&3e2)6l@k=e|DGQc~w*(*dNAkdi9|FECICg17`oqU8 z2s*poRK|-1Ro)BYeLWCgo0tz%+*vTju;$`5Hx}r5g}1ieVF914u;3O~ln<=^77g>-~wt*BtZf}_qQKg3MYx}W8f_;moS zV_skJ$Nfltr!JWNM4ts26UTR&>|sHeGp0RzCkw7B>y0nbV}XC^f!#N@pz*?~sS9;j zQ1D&!ZkV64vdLl+d$e2mFkl|PRK57ZZ(m7L9j)djbOcgv#notM>mYdQ;RU%ruL zO0rY5wt^m$|n~$LT?l!b9G)Lm`;*v z*3D>yFfJ}dJh2g`Df5}KqZ%RJI`H(PmyIAcFKV55KqD}!_Pw(5Yy{QE;@2PFZUis6 zu&Ch6jc{9UrKsDPMyS%l?RMHWg8ptsT$gDh%-*vy<*VZb6!?vpyFKh&fpSq9SXE(yyW&GKSQyXEXR;#DCNF$hUjV`X?X@tlXibi94 z8er{?eB#O+q%o$?lr(1!N=>jUT%Pl6VFD~IyAtz3iHofEgL{!lf2V?{RUXlE1ny=xdHU< zYF&G*)c{u8JZuiCG{Dy8sx@4*8eq~A=BK0L4WOLioE0t508UE#y1(?)gUlS|3F-C7 zUpTsbyYamqHbj5no1Ik;?yhBeZn5=XF0=Afz)M@9Nj<5S_~H*U=jFpfV@9{??p&cy}^yks9(-@45Wc zLwW0A)rGZBezn(ujp`T?k%~HK{;*oU`(quPl{s-YlvxK2YP)Y#`_#dW{3Rz9PS?Sx zGWlE2w$*{l-rY7k6zf2Jv$c;ZUmaLHHaxNPM=h-EUMOc1Q46bc?Ss;;)Pn5DXWupV z)dFkO^4(d=wUD;)=^fiqwUBQS|5o8k4NUA`pVAgk16M9qStcB>fwgO&%DHOSfK#ja zKRQe3>W}5{y64IB@n_26X5Xh*0dvYhK({cp>Qfms7qw0gJ5dH-r{y?QPAvn^ zV8u&%aiwr+vE=H4y`}J?I%KIvcL~JZ%{ac?wFKU;S*aR2vjk%0gh$z0{(#WXv$I7n z7K25H|3mwG-=Ui;>S4^oB6xQFBzY~}p6S1+{9`K5&mi%SV@N!I9D48GMSSdo zhQvL(2F|SL3GR63Vc~&(ZwT1F^xFF4A8^Mch24|;AH#S!Av4J^0CzlPQ=ZiK1hnU; zN=#Nr#U0a4nvMH>VA2kcgtQeYIL4Oe?d$c0oW=FKzUpP6=jd*~%e{WU_BdU2%HYJl^ab9_M=GU|(xE+&41(#OH$A|B|~=xg`SjkGvGVNeR7YURztF(exVL zdG9Ho_B9a4nn%u@)EEilA9>};OnQN1J$72jb#FlS#h6RVVo7)$`T~umHBoT+lltxh z;c2*|bn4+NKi|SzA(IUwrexr8yjHP~%A-MP^uo%+tgGlfJihy6NeqbZSoU+Va5~O- zJJovn_jl0SfKH+)qxs`@nSQMx7D~fw9!zw|#2NF0+~4Lgq3yE$<$2|3KKR-)Ui^%M zcOJrOZa$ZADOK*C?$UTjxD)iS*!4MH^0?>KyP^b`6C^OUQYjnPH%zH+%uj@+s}Fwt z`0@>oZ7dADn3Du4ktsJbR;J?m2}`CrrK9(*JsY>Yhz-Nz7F@pkIX(sKy2hL36{7c; zyRz0gM5Th{rH!K<7T&_8JT8`5zD$Eh4yW&_9S_B&E|}Lm^-qV~oJYK0ZJy%#$_$Aa z9`9j}%@fv><>|P$zU$^ME+61Syx;T=X%}2y;pi2na|Uc{tGw#s9*s+FllqZ&JQEIl z)LrO$I0a|a-@74dng!7tN8DPJ_!f_IocGIiS2i$lFiTMESe9DH$Lj7`yb#<#T84%JM!V^ zRp)DSvYhayu>EWG^S;2ER|)6SOy1#A5^L1fzxoP2t5o0SypF(y%+{~SL+^cbt5>d5 z$&SODW@IEb8WjTLgshi~MLMp(+h6jQ`ZqYc`G)40FKKv5`iAsy!6JBM>~O7FJR8>; zKX3V#;v$%HvGx1enzJ|~+F;bdpzko>LHO6Mk6E~osP5?Rj2{rPWU9#m1ty-R`0dVV z^d2L$k~>0nLN@+1x98y=*;0^fE*F;l{0M*9U;KP@NhutdxcA)dhfG}YhT@JJcgvu7 zW7hcnUmxOcRI{cuttbbnvaXrSq~799_a420=5m;&yn5%!jySyJ(M+G4ZWVA=<%*L^-yMmoOg%7n-q@oHFX7}}^Mm54VrZ)3N6 zRm0v(Q+H}V%cATqdXDG#At&@+HmyZ=axJt)Ike34ME1?YXz@m_IymKGKKuC6EWE^P zd4qLv9prdvZ}3z2fZw-r-gYvm9s(x47#}Q{j;9H1%-)9LH6v}mU}3puc+S=|t?x38 z(2?=XIkY$cPh0A8vLFY=i?VB`Z1t?ud_L8Z##6x^xad)fXq!y^jnVdZKRst@g?zfd?-2!Jdb!G)*Ji+5E@|Qk|Zvn}? ziO-EkeZZftH?_AI-3kvvHD0chy@&JFiAPV{*a{l;4^%=%gyA|1O3h8Lw}QYKTc^bh zDY%wnn9k3XR=ANBdLT6)8LRUr%*1+IffcuGcWhlY9`|V4Qfv7(7(c!LwXohR+t?t9s|S17m*^hVXcEs^ub$GAU;xBJuv z<HU)bA4 zyI1+(zCV2ySXs5hBkNVSRtX{dwLy5Z&XabSROXqMlVFF(6i!YWpVJQ8RE?{HOCoXI zRk9OoezilznwZMktQ7px+`tr`X&vCUWz0K);%B(sI)1J7OFQ8D;e~bMk7nT9rZ)3l z>UY4t%yl}ex5VNx4`TLTI@JNMqM8m(m+`@MbUf9k-|v8=toGurYe~3yoMQFcmmM&T z-}~nKQJMIi(BjkAQahjvE=BxY^&0Orj&MjWLj27VzsH37NS4fB>7%gY$x2-u%2gu z{C~J-vV~P&3%8r#J?BDICj@(`OfcV&i95Id z@|J4tgjo-{3oJa+aE}CQzUsbC(0I700 z9PfhQx}W{ucctM$cC&ocPj|t(vBKk0Z%5%WS10T|@7x7eGA&Uy>mzVc&pY+ouXMpz z(;Q7L-iP?tnxIJuH@je#W^dcl-Vb zK*rtUC_4S+6g@Tq-4HlBPeDgE`2>IoZnc!RwJ|o5vM{!>F*s;Ud`?Qx-0GmYy404{ z8&*mgA30)m1QilxLy9IGv+P7Ck&Hq`9%7zvZ z^@foP+AIBqR_QOaN`IlX{0pt+UuZ3d)1t8`Sr45xa@kjJz zXPyZjf6fS9e^^(@`ot9ejX#>k!Nr`u5YsrgkdgtV;mbhr{zqSiF9)Z-@{q0&Z7L6G zkyAFL8=SJ?(?Rka-UYIJ_;g@`)>g;OXDQB8oIRX~!2JWop*!#oq@cl31509HLl%WB zA6AT!<-hAe0vD*r1V)b63-9pd!o z&~Qd%`EW*@HT^3eBpZL_LzMpye1_^EA!CTo;9{~(#A9$FM_&&bSXdaKLH&skH0P8L zEk?S+DIdm2@b7FeviwgrWZ5tlnBY;G$)CzWl@FU>s(jeQ2$~WGNEiJpwJiglg!BzV z%1jBHKctM~2ZofH64EoI%>FNBrpQSCS&i^D!^=#OUl~^KFJ-1kI)~N!OPMKQK>w^r z`nVxwriAYrQfB{`GE+kOht~T`8KH-NF&-Sb|BLY;%ZDu&sfT~%gY@vPe2DVD@gZ#M za7LsJ9nOdJi^Dz)>lJ4NyKvYhN6$R=10gSZF7zt5*(hH#Zrw}Bh z^9L}>lv5C+-~kMoX7r1pUv#g8?$Jk#ky*l!iABHY9?c302CeP(KKW00$D|9SAkh*aq$gm!nE_TxV%~(BP=aG2_34cbqyXg!^X|f(H@f z{j&mUlWd2sG0)PdyM#Ri)y~|6S$f$X;0;m9nxnwxl1`q>!2# zApMb&wIQC`IF}>(m@0a*Bfe9E{2m7KtG~_+d;TM5fN{yP4?C35t0B~|LkCi&Bq{`n0PWIJqj{~5CCK>pt?(>(tN_^*Af-{ndc7Gerf zHcXxQJH{D5ehBlzXUF)9MZrwjk3QGYxf8^^WRl#H7z7y|r!El?T{L|hv&Teq1ka1x z%)Em;#!izAg3d)!(Z2WfTw8*^Bvk+6F#7DfW)~ix3}f2vTw!d>7Yq9X zgZ;}+eFg37%GqWv4V?Zr%sa?w|L3-&L+DGG_9ERjhty-48$4Xj%v<9L8XY`~_slJZ z(07i_uNK!6^ir1v_Y!oDp(V!%KIo$RU&1_UpSV05;_B~LLnW=H+z$5RUKtqCV;rFWq9SzYfwf~8g(Cq@% zkAZgSehg}t?#CedCVJdJ&BMUB>3JY3Q{$GR=HU-|YToH}7?^i@9Z+R@-syGtgPvM< zCM5?0>rTr7sw_pVdkG~6f6!BM2b3HR$Q><*L}g0uXgNehImEi4tBIC-S`P-~p4J0Y ziI#g>4^Yu>dP?t@lpYS~9j%9`GOc&C9-^YSf%Z}6FBdJJe?k3G)HI;?|7f3yst<0T zlHWL@99;wB2g(i%9Y0ZT@c1eEoB?`T@BcCXM7_cDKa8H%`+uxIr~N_ePtlhQv`_0j zWe0}JA5m|x{KcR=x_;BsdjAjk=k$M&{12n2_5L6F$LT+%_k{ipqo?(rhP2*OkV8L6 z|A&mfgh{tc_k-4Z%KmWZ2iYHrp7NS#9_Vq?^FZr8W&b$zgX|yG|KIfVywmGI>pf+E zIrM|<@38jib*JTk)_cnS6ZEv+6Lw%&`?TEAa!Bhv<$nlzTJH%t973Nnp!fgqe*`_P z_ku>JwA>SRU>H5E_mmzE=pC(xh@RFv!v9nBwBG;A z&(rcn^znbXnqWx$F|>U^)PviPA-N62AN2TXJ2;^CL*gHTj<$p7b|C%%TJQfc|3v$P z=bvi-H~o2gM)LB~Z{}%rbv`hDc*83sPAH=-V7dw?RnL=~dl+P$ik zvyS@X{!7ZaG|xW9H=A2}e_HwkpHLmZDh+>%=WMjykW~8ukK;b6c{bxPF4t_7kgjRedN+j{8?H~)tGOReDhL3^yKoTywd&FJ9|r=3{9VfIokRF4NB%`*Ujg z8K?H+8xlm@^;$3EHHz3lX}F7L$J;zU>>rGOk4N97A?b`ydJ{FfY1KVEe2&x$3;)Ns z$*cSphnE5Pi=UN0)d7;<=ZsX0iN2@M)uSA|K6ofRCx{y16XnIj&=7 zD{xrN2QSLI9lPCSG43XB>(Iz|0|x>2xQ6-e_>bgT)rC^N_z#oS=7;oraKCXE+Jnz} z;f+Ie|P|0=cx)-?6)Y-9u zrq}T2$L{p*F}Q}?zJK`eOGywub7jWqH3q(Tak0pX|nZ9j)??sLA znO&Lf86p9=e{80$-)&EP%!=055P?A4#7Ba6{^IkvOYqEWx6@B?H^tkwy9+Pi;&VL| zZ;AQiqaWnC2rcu)r4#0~tlsC2|7sNGR?rE;gZb~DoAdEDK1u6}_APT?{9Er8z6Ddf zaJO}m(rOc$V1dHq<2=v=hx2Fu#15hJqs2-Wm0g-3$mm_DZ)6i(?2!@DFGlvqddEw1 z?q+!SHc%vYb~7vv$y9OM&AiH{FzL--BLx~%H!sgT&-xO>}VT|bzFIUwnQ7eDpQJMw@xRl&RgfQ%B~X@%9@o2dv(Gt{WkmCDV=c3C&sM1z7wKO z2YXbBbb(~*^0>W=yTDT-eE0r6UGVK(NoJQ*7d(A4a+S1y7gSWr7M7)Vfsl};wPr&X z{CKULzfkBG$Y(c2eo+1e>P#^|?ybK-Ji2RP$i-hE7N&Ym;QcSi8=t{1Ho6-c#Er5l z)^vlCwVvPE%iUldA)wQr(hXueAG;ZG^}trKgGTX7dcZ2_QtmYS9;nbT(Yo@g2b3)9 zjtJECfLlkqL!fjoocm?Uvf9xLK3h`?jc@isLG`syu1URc@OCKH-_;BJ7st&Ap4SIA zr6za=?(2h5m9OnPZuP;)8O$T@iG5%_;aZ1#TOYicu};2ErXOtTllzi&`ypELjd1t5 zevl3MR^a)nAC7*ybt|HzAD9&@maQ1ehEJYXQgk%fP$c~;gf~&S;|jC@Eh^pKPzL z^&fj{44IE>0?NOVd8B81c4fXfR8)ZC1#~f*f%3Z~9_gQ=u5y-oauA7+YX-_6lX#?; z8H;zY?l0#j^GJ^7Cw+mic%9Vx{{kxRA@SZVz$adK zPoqC zGxYrR02Nn}cw}EoSAKrDOJI#s1$UL&gx3`>6 z_eq;U(f0xspOJZFr>7S@3>0Xioi>5VkNl5+amkpXi?U=sZUlqW{~|Jv{L;8Jv(S6WevD+0`u~lp-wysti=X`Hrsjvi#US;+ki;YZ_VT#=*mZog{Bbcz{VyQ# z$nO<$S6yw3olEiKW{~<%;gLT)@{r{*xq^?x$8j@A{r^hlk)P~)_<7#a)3pANVvzbz z;gSE$;<7Bib)J@=Q4CW5zmW9Eum0$ZlE0ur)AKM${ipEA-}>`Q2W)yKNVU(yAoV|= z%p*UnaO%}M#fnC1{&^Xs{!@75pI1uGAGx1fn4;%pkouoT=8@m-S`jGBTSSkak3s4` zg-8CpGw*8s>HymQ@G(gJ|4h;&KhKn2A*3}%jLb9m8KnMGc;x>>4{TmEUPgp!pPxbM z|0gn!;(`FypOGlCkwAcNF@3XkHNvlnAxw06<odkN$mc=|kK!Y}uuAd%W3>Gk z#~}5e!lSrpt59w4-aEOJ{0LF{pH1>Z@zlKO`^CI|()uez>3pCm+^oezX+xO6duKEzZ7}0p6Sx|TZGd8 z4=YKq<|L;jWitmmVo!6_DrTjzOcuN1%Nj!@C>Yvt@U7JVipD3mO z6duKccaIT~A)B&4qLlupk@P4|lyp2PcYPIYeR4^^eApMXfs!y7)Q_FBuf7&Jc?&O^w_&dC0l{4A16-fevk4#g97&`qBNDp!7e1&wx$vi`n(tiq%;&-R&`S!PTX!|Qk z=|6=>asA%HqXAEpX#Y2r(*HP;ABy*NJa)-=*;Dp8ZYrh!6dpYXRHxr*+o?gtM~rEd z{!@7LeDJ0Eh_cV^X(Ye6X_Wp`c=X(G!^-_8evKL**0ha&-!}9;9Q}Tde9xFS>^&U) zevS+^n#lI(=L|ajKzj(kZ~tHJng-qv4>}Jr=o|?8Tqt$^YS4bmpnaAmdVh7`Tor1a z-p@w2P4xaLy>CkNpWZL$;OTw2LHi+t&fzo-y#J^7{Sncib3W+%ov8Co)V>12f6zWg z)6jhj4xT!%Ky-!PpBy-sM9}{}2USAvKMtHbB8aH-794u|e9@ruT!Z!zN`T%s7_?t7 zXrG{DV1H`Re!!r8fSiH-uR-to>GLkA{hWdQwL$Om2ffFqbwG~I&eObmLCrO z_c@4?q4G!I>GK90dis0;eXal%()+m_Jbiv((0LJhznFuk&j--w0*J!j=YVpC>K~_n zzt8#P44khUbe@LZPe-ici28%BrXl$O{U6wuN0kTD54Ar-+aGGb2>jsw54C?B`rqed zO6c<$1N-8Le(?MawZ9zx)P6V7)KL4);Xi2ohT4A)e$e_4^&cGkAo&^Ue>nI-@;9)* zJm@^hVEG;D{|Nd)=R}72PXa$!e}?*B0zX*)hWc*~|KI00e%pJ?bHAR`TyQO(VveQ{CS-J!`_=m<@CLOM1~?mX)qNMl1L@D zN;J`=G^&U)W=goLJC)Epr$Kk~JP#W6>@(cnpYQkgeAoK@@muRz>-W6Rvh1#V@3ZH# zuh+h=vtQ>w>=*yqpQv-+f6sjo>+65mzy7s9(dWzmwLkseVSieyG8U&k2g5$(B!;Xw z8j2rfT-g+|3d4-~jrO_tSTk>4Ki49L-by_8{ffkbhd#_pH^qwL4Q8uNU)MsIB<6TZqHZ_07&?x1+dA|JNUzA~0<2Q6+J^?Y{U-_mQ)Q z&{x?7k5=taczhrKt$k6>FB`+G_ted)TI7RA7ZfRX)MJ>wLx#*;H4S`z(Vti5V;JU; zv^%{s)RL)ZB$CfHn+w|zs<~lSMj$g==`1U0B^Q<%bM~$1dp#ywKJI167A`DeU2jOr zYJaBQotSU;4Y@FZXI&r9XgK2zde`v8i(HtkN7={CJ~xrs+26(CI zhA?Y?EglSy;KmF@Uc71%al?InR^(q#)B>62GaLZcbU$6!Vk!;nTkEfUoT9yXE0~_8UJ{sHx&zM z?CcIZ<;|>+dB0BkJc{>U$hGQoFg}qPR4n2>6>DA?VpMz28DFt~do|xP6tAr{RaZF> z|Dbi)WBK=~ShU;e8}oPK`1U0)#LhQQ#f+O6iX)Fhae=DKA8V)bV%onBgl?(xXWleW zi+5Vaiv_;Za7uOYXG*4JuhH7diz&N@+v3~3n9@rPoK=tUVk!#`-x5d&W!l8)78~5) z#ZGMct@%>b45$2-yqLj>H?!ANhcZ(trrC7 zOQvgp-pI8{UTjFnO?oDNn|aB!qs4YH9~NwX+fDo24d$gAG226c58GIB^6bx8ALe(9 zEeG07`LH4@rGtl;`7puw*Phc1K5W$-Zox$J`}i%cb{o+sK5TXHl&&jcu1w`rMXt_N zKCEQdoB~~u2l%nwdF%S?_%NrdVxPE;FW@5cHtm<0!jG*CvO4DG=*OI$s~hYt&5xBS zixn@_4QIZ{l+v1~&5w=cY<}okdYjqlry2V56hHQ9s5o@^>jP%6xyR2;4}MJTy<`8E zfMC2ba^;rB=lt06oo)wuTLW<~&G&PZ^Z2o+^{vV#B^Q|Mu80M{?B&PK2GvK;&c2C% zo%Ee%Br1SONgqy}N%s zaK0vh<=>p|Xe1ua{KWU;LT{u1rreb4n`Gn5^yzu%?3*rtCHGm!YdJdNQd0F2$6Exj zbGl7-KbG0yCmW3&%%=-t$|Vbobq@P8RpfVcgenSR&(>94JE9nf8%u9`!($+b)o%8# zJhwWWdFZX;?1-y^*w^D3Kb*2c@sx?H29^%rUV&iP0+4FupQZMH9v`rrO~~ z?~8}0Vf9v@KG#@>F||#``YvCehN(T@@3iNTEprENlwy3$G)!T|exaiA2xejGPM<~D z(=gWvdHZ~IXIx-WnbDWNY1qXuOZYJn!3@*CSsf}d9jo5H*Zt(I8@PS`r0Fw_>6qYG z7xwyXq4>^%g9^PC)6w&_eR9`rKm2_&Z-CX^>6qpb#&+g&Yy7lNca`La=~$&sYe5!| zEk2rNY%r~6I(9MKdEdrzZ>FO=-wt`c8CaP8)vfE9Vaz`F8T_|a&%mzS47YaJ9?rb= zXsk;7&Yx%dJ_9q_DZVEs#+SJwYEV>bxeyj8YJWo}A&5Byp3Mq-g|NHX zaSZne7u-cRb4<@(2&?s;{$pv0A70_}DEieSA>U0 zVJ{{eW?}+sdGkd+hcnB4B5tHUnTaj(kLlmH{TAM9eOK;F(M+uQ>dC-M?Z3yfSK=XN$sR!tQ`Bj=yRa{r!;c>(n`f z$w?~ypL2zjjDP@nnQ2fVgRp;LTNIi&`K8 zqI9Noy2W&OofAWa7o6zu4;>OT%;>ssg9`uW|99E)2&Fi@z|CmfG<(Qmt$v{w&cR7X zzcn4*{o4%Z;G(k*F2;{Tg8t&5g0R&W)RQ;;#XaTBe*`xiH? zxF(+MwK@v_LE{8Z6Hl8Jg-`Gy8W&jUu6(ln!A+cl1E%_gOKT;@;wxyqFY^40S?BSs zI7iQ4<%z1O%|7>6ugAZ#|D5Y{`L7-qSScHt?GlMUru8;o^V8ILff)P-t*7slO^8oj z>yLBvvcUcYb+yNR{_5eitP^rg$^n1%uAo;>u&YQU?n>)f-YOgHR!=a#jMl5x29Yy% zc?aX!BySZj=BAzN_E9bdSD^Lgvl-_l{UjgaHng66{ABK(rAH(2Z?s-?d$36Bxn?x( zM(aU?v!%~!+fV-0d-)cVpC9}Q#6@U5SHty9RCMt@yo1(j@7j7&SAX#StH(4=`wzPe zIp7?9G;Xf|h&u9$GKS)&<%l!V{eRhAZi*ZH@>HR}W}_~Q=r zy8eVu{qfC*mvGKH{@gFMWUt{JoU?BG1?^a1^Z7n*La)+*6P0Y91Z zzW7gi9p;yOx|x}F2j{H2T{jDq;!b${U1!5{e0Obhzk+kt)j!U1C$+^6YH&gfBi2hd zw7yZH+QtZG$nOQ}oUoC<;Ps_hH^A_bIeHZ#0~nnh%MTjFfI}^@Qqku({;sneUU+IX zBmQSiQftpYA+`3hP~1PO(tlrvIqM`RMGw8+{j-j9V*Y!`X%{Etw2KpR+QkX~-?i_* zm-3JN@A~=g`uXqr`G3#)Nj>?T_38h>dWTbdlNzMHZUP3Wr<(ygMeJLffko=&W?+!} z&}NV%^>7Pd{=~kr1=!^Nu>~~9eIok(E3qGJ1v65=wn8MiziR~ssZZO$jMSrTz#{i$ zC?xk^h-Y&SvCnD;Gg42s1Do78wL>JiKk9(JR8{R;}oeM%>o zk$SEZeP4&zcXR=p)N5Vn`@zIMq6;+1{X#cXko$seq^F3h2PnLX-iIgcc|Abk(;k>k z+UI&8lC;Aiz9kZe_Ch&{KYPKB#GSoxfwYtLLM&+y>xF#M?$rm1q#DfDMN!oh`fJNGMkWAWd2GIFY z!cH>)3=-!J0*kb}41y$SUl{}q(vC6+3=+o;fh39FhJZ!lwjsJ7sNVQ5|KH|PNlPwF zVPvhn6{=B~f^C*j5KS#e!4w_#t%C!cf03__6a_3U=nUhi z3gZml`rc<>Q!oMXr}}OgDcI|PkQ>J7DOhaUB3q@j6o#9~nq}NyQW(Se$v5-R`?r=Q zvzMr(q%dqZdtYWTQF$)nBU+zO`Dw52ef^Nas9Y+(0p6!DESJ~j#lK0xq@_-1&5uuE zV7j-2C!VHYeF-H~-^ZjdjN2qOn?$BC4zBlG(|a$4@!jbDgPCqAfBQm_wQ=z-glmkC zW~@0I2-wxPLJwOafw4sC!Hj2*0b65l=yvTnVDF{57An35Mzvqt(-rT4F}EbsMeYM& zAI1}s*M0&8ftY9?A#CGPfH` zRM9!T{wZZ1^9q3xTfX0N5`}LrReWzk=MOj88otO!=NumtS1d>>0mj}Xwu_&a0(SG= z0cJQlzj>gQHR@XqjNAJK>Tdr8jO3@K&!ztDjDP<4enRHdrw=1c%|&Y#*3777wk?^r z=;O9>X4SEmZ?;E{F-fylz&P_(+qQ}5?oMVKw$(y{(XC7gfi6DR7jDNvAVOGmKa((@ke?GXIB4=EboyPe!_unQI-VNjj8$Vg58s z%jO(w2Kf(h$_VP~2`Gp_8b*y2m=_{_iv*LI~Uon1LSCl2sO2ei13qIf2 zRgSMxO&D~^VdGDDPUa5mXv23#4~iLod4tcac&sMEXu{`u`5t)qp%~A3vt;^);4VC_ zW$IJe-Ho`c-Q#8FV=M6vfyKU&FH-Rj&DJ~Jdg^iZ#v8wu^S0r~33Kr59(?-i6cZua zD%|-@#j(7175JHQ9Xz%t3Ey*hN_>a;D6X!&(#-F59j?OX`h9&h8~-pcza=fR5LZ9v zVevq-5U)Oa%yiC@R)XK^e|x=N?dg-fv76vyB6*D!_jOiWdtZiR4B1(mK+)6X+vij1 zL_D&yHo^PaTX`-4wMf=LcGf0ns@3|`oLr7%HnOuegWBnfgSk_`A~_P-S({;A$)&Mv zo8A)XNqr^D4mk?OT}WmjJ8Ls+mwfta22Uw*KeDqngQkj(qjD-6$&$#A^^;_J z*e@bIva>eB<)#@4!t;pxC6S%A1x$q>h)IY2M6w35v$jC?`=E>F>d8brva`0p*2_LT zZ+3nm;z@lNHurJ1a!xUl8OYAs0`ENbd`Q?_LZnA_))vT#TG{QX*@)ywWM^#wEt&gr z>#h(ohU}~@pgsTb?TksH{S0JhZ3QRQ$d>P(l}KihdbNo6bkV{``Gkz@tgSH1FT!)r zZnC||&e{qWXCJ#{Gm|Kvh3u@Y=$va^J;OBx$&tv;+6tNbmZyqKd_*!E*;!k`t0Lsh ziN;POYalx-`VMow^J{ZM;(iTeXKe#N%`Kisq{;q3cGfnK8dL*-uowW@L*4#3AQPf7{hwQ9v5N5!?B9si_F%cPx;jh+5g_6Z4Uc?5rIy zv3|*podraC4P|E;#*lLSwo~pcGeC!?7k;`B#rDZWM}Pw zZ=U#?F6mq%J+iZQg3U6fSp96GeHgN{cEYQv71FmJk@ZJ*)=r4(7r3&TuL#Anke#&? z9&>qJxT#FUvyh#&6YyX65~gT9Bko6b)=o(Oaq6QJ#Hj0=pY-DHc z0-ojeyF0EE^P559ov2m%@-vikkjz4M)-G_%Ri9(Nm6)HA$j;gYrpL_Y*XC6b>5-ka z3*wecSYL^bL9!W%kA~%Ege%w*{b`2mtle;4;6X0KgGg_N?5y3udNoNaelU=owHuI8Riv(-7*7VWvvTkiY7KeyfaPOXE97HE@oeO0?SU7L=ex`p zzmaT){H#5&W^uEwy{av-ZN!gBytpevTuVjr^>= zAa>|V)oXKd{X~A&K3FlKFCAD#mWTYTeNZ`?YOZ>W>>uQ3?E|N0&THW@(Vj@;XYGT4 z4MlRTO=(1a$j{mbd185ijN?RlHuAIffoXyCs@h+ZNXC$#wGU1{`ZaO)=O~g{$j{mb zyKR?Y^3#d+h>iTLeV{e-hwy>bME^-5KWiU6Dru1y`_N0s$j{mjsu4D4j>Qx0*Fb*O ze%LC0QF+3MxZe!#EAaIke_t` zPH&utbL9~FhlTvC1CZw_Z}F>$$d85mtOF1qv~{7(${{36B0uW@^qqTq9Qjz0%tn6J z0r*g^^5XF-LS~To{cxMKvr9I)o+Cf&AY`}**4)@b#v?x~!t-AhGKBmHSp)f52Z7Mi z6*9zlMIt}zAbj{DCq0o#l+QqZ)mVe)PUrfdMLZ80$j>?mgjV<2 zLafh`$j>?m{)3m}`KyTfnjt^y5b%xmymmDp`ycsPhhU{p%^h$f`j3VDtV2-$Q^t4M zQlfkfz4rFLv>^O1ihh;(1nyzDUc$+GvtmAPKyu{g zWh+!s=;O89XB`T$g@3Zwpg=P4_%#(2nms5gMqiS_dgg83uZTj8&k7j|#P5%1FO^4O zeh4c{4uzOcP4f!k_l3bOvM6N4rB*FRp~mjfBhrMd;1nf=LPgn;T}x3Y*$WAhD4b_1 zil9(2&Qe$yh4D_$Jqe;Mv(?GS(TDB}b1|8YLX8y}mBfczdMw+v2%u2$SV{sP3MDVC zRp3P-md!Xh1qG}{DYe|h@8fM}Xg?AWOI)1ulJ|-JcrE zg`@wOj*}jRG!NO|U)X7X|HLrU|M;8uv*tf#dd~jmPyeOvjD4T={P?K6I_C9xx&4JksOC|b zoOWM89kX*=uAtlqO19F*>Dg1&vAu>ah64>SmgsM#cUB<@n;vw2BB22m?APrSv+l;R zM=dg9_6_jVY}d${tffiVvXQJ9K)Z`Srb_a~TGw)B!Pt_IM}>`}a$yF3ZO=IQ)j z>*34nKaT4@a3^7Q5_9W3>fzC8gU|M!OOi0J@E5Xs>*0Bm%soh)rH=8h(X0@whp^7b z4FBCSNfdNYkWKx*Fw>aKi{`pT$zNKz0|Q|)q+LSh0b5kvvCaX)9AWg z3wyPm4e!ntRmbl44W;STg3e3-=%8xU{v(-E!}Dt4O;z}3Z8zy8EL+&ky@3r|<} zuUMdt?a!~f{E`haPENNiw=Y)5(p9FW*s)<^uyga$8_U(PDVLMYwz7e};a;<8`jjM$ z|4pNz5E~XaTzvg-Obq(T~+k0|Z5+*A%&qMSVsIE}vd$W9Y5_VsAyY0(rICHeh zO#acLBy9MX7Pn3{#9BOQePtwt<^!+z>V_(af1J4~Er>4(JG3ox4As}@c&zA8xTe^o@ZKDax1wk4hJIS&h-^O~Qxu8jQ@q+V9z|sDc&XGZl^~Di z%i)@&k`kyo`G|kzG{Gb+|J%Ob4kgg7VcgaE0*!a1D&NbcC2%Ci??+#*p^xdwJvjho5I1u`GZab7Fqy zgvhC5Ei%q`<`%$$o|xl8OID%w9<`89&xh7Fe2%9$8t+59%v7!O!FPA(oXW6a9Q(HX zz{^GXu%q>+s8`RNBrN{z*#*IQpjb2gM@QCtq{pipULbpu@SV>m#g_bFVzZhjh4$rw z;=pDz8IdnI=2IN6{yGQN#*6)4IY~T^C;QgV%7KQV1Y4&a{7KlXp08u)v!PHfFymrJ zFB4;LRK1m-1qmYi52x=$Wc&D#yrKP7@;4}0)%tY5I-38HdM8t*zJbf4;~|wZ z(0tvidDGiH6Q*wUy)essK@xVs)>EYCE7UFtYxEXI&;Km1+w9nnTx zYNTYqg8Qf1r7nn|{)lR5l*xe1Yo{AqRM7Juui~Qan+|pf6Z@~aNTcx%j@dSr2D{dt zaVjrB{p}+bKYlU|+%N8wie3E`#~5zYj~0A^sCPHA&W7`-V=SGO*6Ls2NKMgQsTt^b z>ydJjdzuPsf>kx|JVE2hCdMxn`uOB??Yfts`b50Hf0G5Pd;~63H=^f(UAe)xB?Tq~ zqxO`pLG_mublH%Z4D4-9uTHU0e`>fMmwA!|Ds!JK)^MMP?q_lxy3K^5=Z0Skv{3!c zUU+{y^BEdX{gz|+p!J3ITI2HWPw99XJ*lw9BydJuF&ijPssG?Po|D3m3hE?%DxoX|+K# z-UUwvliotv?#dXGZ>W8e+K;9@eFN7{hrMh`K+nIKR>8)g*AN;py~jo2 z;o5S;{Cig=Q2OG&0LRA={Ys)^EP@-QS15jI{s?3zl5B0}p!#CotNZn%!69J@J7kkA z>aS*ZKCGE;sQf7s&3Ej+bewVk z{8{hjx8a5WO7DN@fTRx;{;uOSs**zS?hm&wyA2vcmnyCppz*`pZN+9WphYe`*fMh# zk`Eo8%6|bIzA9zCGDqY6UoQrQ2OiUSpbPg~ri1>`yiZtuitX$3>LvymDIC6|kYM6M zc4P8lr~KFKFpPS?sR`lu&Z+JHi9{gFODTK zCp7gM;UJAK4vt%xubtfp61ypU5&djpYj{ZmET-{A{IeOk_V*j0D4N0-YVNvP*+vbJ zAw}VfqYJf%?=Eft{~r{-IQv_CLwbEZvfNYnLfBf`!XmaFnlDrMqHIr5>lLedaHH`> zVA&3(0p)t|r|9ditA$uY3SV4)G<(bYNjB8d_##&+;M=9|Y#62SMd%&lmv{Zya4Lhs7rd*U zrcNZFQx}SSEzpZ3v6Cc_`=CTp{PLn7vwId@I{YL_W{e=YM2v9;fu&?5ig!NRD|SDSWXtA-52FQw87mQ~2VGly;)T?kb3QNa2fuc`^|>ZIv)qN8t;9 z=HbWlZdZc93JPB+WSNcqk*1>_`)$tORau(8C;a0 z@WsT#NnNAFQV=~u;frZ(U2g;9QqXx$;foI69*=zPQcyId@I_Qja^;WM5*RC>@I_p$ z!~Cs#N}&89g)e5^|J1&Is2K276uwxT&g_2@UJUcoDSV;xU?1CeTQOwP_+rzk)Z+u4 zMc}GL;fwt#p=)yki(sxWg)gGAUWzQzD1wF~6uxjrLq14yu+_|9PPT>o&wLaIQ%yPiNgu)m4c;$DDl?~Q2 zDSYv6WbQLr$!vI0PT`9}sYJ!OH?lxIm%1-01}zPKC^k10+23UAaYd{OPi_1)1X1H9i;_~KitgS$gj zIvjmZ;ft!b-^1m!(ji-x!WVIU2Q56~(_jvbFK+Hp+Z8-N4b*N>_#)<+FmvCnFTnkU z!WWy3`=meir^3qL6ux*Zvy=OqSt>n#so?!3JI5)P1$=50zL?RWCs>8Ez`TUQ7nM_e zt}zd$fTS>mFC4ZjcJZxGhG;DcU!3q?ayw{l5=iZ&@P+V?2bWKce1-)^6u$6kGwixj z^$Ap&6u#Ily-Plg^%2~4D15P^%GX}|aU%58Q~09DS$#RT_Xnt@@rAwNI`^JS36SMW z;R{zDp{cV>-otYz3SYdaspK)){tixbQTSpfh!2;kyoH##6uyYqZ+FB&@(oxRQ20Wr z<;UA?La*ue#sioCGvU*tufQ>p!WX-{EUG*zUO@I63SUSLoEmRuK7;XD6uxl$W%K@4 zR2*!5OW})8SJoOHzWoFaeWvh5cP@|a5$hOetCuJ7g{5NDsOOP~0OAzB@HWI6>UE+( zQ1w0o1y7@IllY=X`P9e?30JWGb(_Q&`z;TNiip_3T2BgJMBFYh;F@CgUu+To z9UJ^3_oTG1Uf0Zp_`GIS`H)|z33(=cl?7)7&R$lzxWOqpx^oMSxQ_8hd6f! zu5w1WW9qA{O+0PLe@}kX7en?p#`S;l#V}4iIQ8Syn~!dfn@Z-qkB@ypjTK@X^64H7 zNN?qfEerjP?9uGt$A)^he7jr*vqr(i+n1PKP-$a%?}d3@Evj zb5OM~11=k@tXEU|3Y_(6UISjeo+lGzj@}j7e>oH6xu>lxZ_R|&dJk+ZcYK3c4+l%M zpM8V*hXQ>X7JrA!PlYv(-}wG_-Q0S2&5GtjKj4M`oHT>aKOnzbLTA>ZEXcB`_wNeG z0#gGEmfmm{JeEq?&wV5tIP3PM1K)yoEz5zu18<=9b`EfLx2-Sk%=x?iTYua>CnPZ! zeudVI+Ahk2b&f9-9=hfMM~^Hx!jm~7n-7M^f3iJ~%i$KCdu`5-JIU;pi6K5+CC z@b>6(FD-!L4tu}4odr{mkTjS_gd_K5n{juKeBDn93=L@7kSS*sJPRSNfhDOC$zDus+gJ3e?vl|sh_x2Z~b zrSPdozlG6T3Oxad*2as=;D}Xex8bfba4M4XyXsH|brKJ5e~c@G#|ch6^UKRX>7uhr z1aCQT@Dc|RPw<;iTnCGm*^9s0e zrsjNhRR#DozusiTRSDYfO^`RKn^7c0nSAl^}7uXPqBU6-+4a zT9hSQ1st5p!L3s(TTeK|AbVN+5y9D6Rd8PUZUL*O3b>-*+e%9PgMV|Ry?sZ|Rm18= z`wIg1s$qYI-yem~)$poe+nUu~)qioc#-xtmxY{o`r)MR3^XMx`xI@H83mgbcH>u1~_5++^V!gTV!Fnq)&J}h*8I_ae)u38^z(!zOfCP}PY5|Uo%-p;1___MOIQ>eu4qcB zt$EJ|&OXHLzLDaWYBq>}f3;J;oeiA*%7bfh>e8aMFd4FNPqb_;aP~FwaqkW_?x+Q$ z%VAMBO>2R(|4}KJ@rB2^7B(*4$;=F_1D*f2?57^hcoA*Z zTMJG$8dt1%>wvTGO8aEOj9O6#3VY|drmn98&i?G!!5o8CMs={+(RA1K3w6NR$Ju<~ z*Ip4&2h%47Eu!PcM&lJ~J9>%_7T*|2j&OSD?)alLhzWTrW+oeIOM`wvQz_vkeJz<#! zNJ$XcEwQcvPPd&hmfh9>oc;0m+}_;>IQIqeBxYeM+2N{FUQk)(0-lXr&IfmfA;SJ_k*(D zZ*Bz6zCLrGUV5e3Kl^>`V~NnvE#ybI>lpMTs1ZCruPHTq(g-tq?-efj&6&^-}wL9zd_j={tv#tK~{kC{>p`oDNMuBdDGB$9v_%J zc{Ak7MDIe9??H0-W^QnA6g{=12NHH?%v4HoW>Wk!=zDcE|4jC0VTI!LJut8KMVIiY zlQ_jk(*qnnn%Db>E}HJ{0k8Z>wX12a^ttOE;Jlaaz8Du0JlO+5X~72_vhFb{zM3A8 zq4{do#a@&vc0l#LwQc_zDHr;jHaeF?^VhKX%!iWvdY}LV8OIrcOp4E@2iDPiHWC{a zhi1n0fa*f^TW1Sy(&xH+U>ePDbMtJ&wq8~bbT266bsq_!`EF2u(0n%?#v7zImiNFJ zC1}`{8p@>jZ+d{kf0GecV;a+q-pl0P&-@r3z@+$adVs@+vq`E)9KM`qQ~E?>*Y!eA)%H(!XIaqvIlaK) z&rwj?<9`o*U+iwus+{& zD|YII6Nb<0AmRc}@$K}&S(->LUz_0s_Q9D6Tt_;(g~%pMMY)e8*2 zghw}1f@nS-^!-nokH>O>?7h|Bdg1%w^cSR}9A1c+=$yer$6W zS>H72gH`j>c0||u)93K}fW!Z@qGsV5A-g^}+dMMWkvD?o148e$(tJP{KKt5!^6i79 z1sbv!vz=*vpg!R61I?aUVD9m(51#nUk=S}Lm`U*kq4H_Ipw;uQY;elxgJpW{kGFB% zqxpmSpoHcRau++XIIyM8TNsn#A42cB()>g6qxI&; zbo$}Z4Ss)HLl-8+N7N4-KBA4ce?=>u=m(R;vyqxgUNk>ZKh)9uL_2I3NiDzL5BQth zh#!N&G+$9aB+-0D-5S>OHA4Df&5AqYF>%2(e^Ecw)BHsPXFF1^zen|(JYQ$7dk?4h zjQWAYXJk{`so9v{57xS}UimH8XZuAeiPuD*osSqc8wG ztSLdsjN3GC!T{vc{7A}$Pqs)N8Gt*B$DAcjoMKXZNdxHlr1+B7D|?z-q3;8#9MtUW zkql!}{7D1A;ZG`j=~r7DfX>@R=$N>_abZ$?N&~>*Q!4vl)pqSQN*^S9JyH4wlj2tz z01m&BXzJX=&A9_$oFsU9g^3T%w}i^0`IaW1mEVwW9{_!OV})zd_m~v_(g1Mym%5a; zUEj<<2&P&ebV8Te;}jp$Aav7wOx?So<(l*$Sa)}C^$vEV`I!dc1gqcki4Y_qeOp4zL-B0s7@z}?X34a=dd7GqkqppN9DZZyc z;P5^9dj|V#D<6cd2j0a#DGg&%{7-{mLi0aaw^vC%92x|_yqx;#Hg_h)2Zg>DNb^Ar z4COSf6dM8=-NYT6c1GY7KhzKe(fm*oD#Kq4}hS;3Ul_rFt*o^?=V17;CnN zBu%+Z^GglE0h(WG(_Gt8{rDje3J+N|sA`W>d{aX(gXWvscDcB^Di4)!)0+@yABI!> zQ$z5K=AUX*JAB`-dkEfqn-_5j^*_Z&H3S?!s#^*J=9gyv2Ghre#hRsuaf+YnH*ol= zJo4MzoLB#bi>pdkMGE@Sd{w^zr}?TTvdY#b?fDH0&UqZ2(DuP8{;J==;ja=n_w4nu z3%_Al+jq_tyHK3sv-%D4G@sSbg6FCqeSX8H>ZiwIb^K|5tKY!kx2nv$Ea33^H{7l| z<@&xol;*qo4W%^SRgc@`u``9g;a%dzvC1T0ng`@JaQLr$(|uiU_5X&K!v1-}*BMNT z4{I3YXg;i;yag``MTcS6La`a^PXyo;Kh`jm)BIS!H-B8DyKWdtVKFRk!--zBK6(xLvFSD! znxAU~m^43E<9$=P#O)&xEPw54X_`09*EIqhzOJ_yE{9ZGjzHiyiR*zeMl^rd2o%u# zUC~SJ8&vL&K!=@fbj34AoZ|Bu0S=$nj6eH!eSR|n;w3}7c9)0K{9Yr#;rA*@+$nLX zbOcnKs~;xMxyPjVzD9t<_Z88{=WQ}N0=c8TPjlm3nH2xmD5%iHwVL9~%RHnt$xI&Id79tugR%tZ7xhe4XYa8v_m>*~*OG73a>5!8y3C z-yiFTQ~YFOu#x5`YtWJD^YR;muP3wi&$$>%^OcPOhp%k$`H&Cn#4(t9?DY2neW3Zv z#(=|L#uwe6cDiN^_8GOANiD~j6rb4`*wB1t>Tfq6mE}d>fBZ2PW$58e^P7zWhu>_~ z$%7Q`_eo(<4Au|{AViPZ@b4j zjKg6A>EzFs?=UGov~l3@p;e~q9n^R@4ukwN3X=P7F)4nuap3Ty+0L<2n3**WemmP@MlU9kX{2?@d+5~X;(@uTom)kdg0=m|PELnHp9!~M8 zO@JNEr&b#EqwU+)2@suQn6j@Dt$!px!36Np{Axu_$679*oq))bH+I;!n9_V}6TsnH zvo)KvHoi9j$wMKZ<)?_Y zqwl$Yx_U=HCX7k(vrWJenxAd%H3>@#;Xj~x{YcV^_ZMltwm-n(YZK|3vr%Q^AMpG- zq4a1VnC5T$104Rg%T^Z4Hk|weYdS#VUjSo3juHO9vulL6@0{DHI z6u;Xa;PAVh`{C#|@bM4yHTW-G?Gk`fd~bh%!}m7prg-$zhCkqa;MODWuf8-7(I4RO zzpdPyupn&aBy3wKeiB>i&!qU^CV|5Tcj;pF_U~$w@N0efCPTxkOo|_F5sxM9uP zSC!2tVbhuoYaJdwp!wn^L6GK)bH|r)m-|kF`_R=Vu?k0N{3=*I{h#kZ{QvoHk)z+O>`uC*Tohf_ z#l;hvVtD`FgG$-=DJvJczDX;R!?bg`&t_LN>b_*$tA* zn!gn|km(tpe9*bpZPU|i&l;2I+Zf%j6~1zd&sHGwleF%J?Cj#VD>F2ScOpaxzYSlPAlItlQiTXB7*ygj@~D{N$CpAwcMU;VbLCM1GM@OS|FM zC$%e$zK6*Bi$%J@a=@}GIr|8iel<@wjA~zGx;&F3WQI?F7icYd%G~&QA0e9!)pvpX zsk5dMU-y&oM~l1QRQ+Z>H>;iG{RQb=aQUu9$56;RGG64H@|swSEas1WH{wH95_ zYh+ZWbdT&0#^pm@aA)I|q=r4jxk1cqmQELZ)Duz(lig3;&v>-~ol}m}b1QvnK-?d> zZ)F$oXWlo7OJ7aM?D2VB;H~T~;B`-mNU!m18miyQ6J6!TTgdb#=$!Pr!hp16$MuPL z$+?}KaOdfiTJ1VrLS_|LcS1v`;d1*AMnrmzpxjPao$*8Hwc`#Vo^6uS35joYMV4KV zCS-VWojbw~q?hzZ$QcJ7J1`OX6Nt zvVYijZ90Mf&tY}h59EB&uszWU1#M5%b}|kT`Lj$7Iw8*J;c)zYaz0>tv^qho`gTaA zmmv|av2{J-w~306U+YHAKU}sG?kNk`NeGkU&rp--1lhSMufh~`i29hR3wA<(_M--& zj}pZFlH0~QATb&LwAWIDEKjea1FEmh6y`lOgUtVUbqDa5lwI5EPR>8Z)!YuS?lTKL zV?oY;MnFmjn3aZ1+cTFe-|Ss{2ZX6RV;57%=S%WuR0p_sJXRf1CFci&+rIt}!{hlF{Otkm7Z3o;qeqs8)b%zPrEY!RMGI#f1dp}Q$NY5@i*a0og zjT$f2$@XCqIvp_c3ipBVCFFcynW%L@yYSTxX=Ac~%;MxaKsq|&?KBoS-xO2jdzQQ{8?KC5RZa&=Mr9h^7)tS z8f}AzjM+jCMr3;>!#mnQ=!80+|Jj(h-)!%%HV}IfbT{J;**;1B{5IGi`%KECbv2Qn zMtW)+%q;mlFjP+VC)@RH8|-ql71h16oh)A`rVZMJ92`IEQS)bZP#es?aDEUwrb^t; zX5Vgu)FWElH6DDnN;im+6O(*BC zZ(>%CfG>~@Hw?q*Fg{{v2~u=yZY#i!Zi z_^|CRv;uE#g{k&Oa{a*~kF`QTSZ;`S_)_znU-8ozbjmY}3MzvbOV*SRZX4gGL z`5FovS|RR>W8=flHAMdGlX9qi?`^#z&B^th6}7MxZsixOf4$O#NYAL9*$R?X`{Mee z$mfe8&D{#8`->_oOSOsnBdrEnz`ZqefuJ0jKJsHz3!EwQ$Hm>q`NbCa*#aTs&PyVm zZYJ`RG|6g#@O^KaBxX?knUK^1Xq9;v+@kTi|Yc-V>4Z14RCqO>_%LF!j9? zSBMg_Sy?~}oL!Z+OV^B=Z*Z#x4%~5@-njb!aXk6IcTL5`2);l^grEA~n|ewv)mtbwv-ux4@xm+@>P>l5$;@w7ec z`_*}0osc8fCpAO-Go8-K)MbRs{`IOEE+og-Tcm3cvN%(k@&0&ZI=y6ht76Z=qA6Fgm(qWEJkS$~E`UK5=5K32>ZPqv>W_oWFO z8lOgAEmR`PXU%)x1Wq+VZaZ}437Ih?wh1=-8}#3IBFBr(6WRn3?l)tFCCU1;C%l_r zy;YT*^NyuNdn3mfP4KZ`W$J-Es=p^}n&9wI&V2dRgCt(%wrB$WDZR$*;18JC+}wz_BBFn zyVeAzU_jNsu@Qm~oPK0oOg$g6KO5ntcmd<6B{|Li$Xp{B(6NAdj^z+;6CQjrpsUF-2jG}ic{#MldzV6isnR6z% zk5c+0GW~iZBy>*Q@y>w66Ol7)8sW2=ruzQVET8YsE)|y z*-y?tvkBcs*n7HevCv*Jf3y7>jc}sY@a(&*JIMOHRB41;+&jgr#mN4SoG#M{Tmv?| zmW|~4z_yy#2w}(P+n&}Z@nvM;j7I2`b8x)%?H7SpS!!I3Fn9N}SGKlP|2#(L=});S zDwMuFOtg<7*3tl%S8nQe?Iq_U`&MNGY})j|g{zWUKPPe<;PaEtxS1v-KEkeiX@D5> zyDRk?$n}ub|GohZER&cjev{lUux#QQU}4Ua9Xsqu{iE?atN~28rSA0Ukk1R|9z-ppOWo2)7MAuA)40Bv`!-RjYcPWA2B21erwPSs(qdt8lZmi=UZktxxP!v%Qt|+ zt~;uXPI7$M1(FRQ?s)aQ?oM((N!p7xz&p<=N+QBWME^6S`5R#5(U?MJJC%R&SUpGx zOYY_RK&~emZr$~;>g_JUmW9-O+=$KrdWwckA4=Uz+%Gv$S`XR*BHz)eOrpJ5{EvEw zeBb!=PQp?${ycjB(!)fNn}3|dTV_gc>fw;H&-JNQWdCEoW9q@~mB2ht9)99}b_#lb zGj%Jk&X#0qJ@oXhhh=3RCKu&!GC!jm^^g!~{WWj)Tq1p>ylp+KXmCENn@T=U8a$`# z;pOXd&oWjS67d>UN9y4O%lMDoA##1tNJRVx4Idv*3q4BeUq+B-Jv=>n<>tOg>iM=; ztp~5ti^IG2ko^&9x(e|r1Ud05xat%6F}6$A11rDOre+h_e#RQndWbq8I{n2(N`FZ4 z*F(vpD3|P$RR4>O*MX0X;Z4;OWO|KhJ#}!)**!XXDXAaWJPmble&~SbM2sQPKE`Aj zdcRhPIYme;r&%(UZA$^JJ}mac=Ua~};eUXlH$VJd<6 zCpH8yB!>Bj@-^UbZvs}+6F>f+C@M-RTT)k>P-&w@-8rp9$d;__5|y+l zM2A8M*>@7z_pOjSS9YRRo9Jdq_9PLd-<&yfZ|d{u`ToBD|NnXZ-=+IBz3zM7bLPyM zGc#w-`_5vy?iwyXbf(lxaLR9cO^Iey4Z-+YAn;i zFDk(J4}19o*5mkjr}8SmtjnTN{ZHWg&HH>8$-CY4$F0Tr!85y#=9TgM$*Y~wxb3oqJ!Sjh`osHVT>)a%Zy(cLJC!}&Yx0l^P<^7} zcGLvipH3EPSAYu3VRX!i{;XbT)Ek{oZt>)K$~kQO!UJ6^!0ZE2ORst3_idqyR0VLl zD>pdA1J^&E^m`F-K5g(m<~XiTJStxVCMovOKKd26_sN|zMBqs3B9(pKxIObyOBI1p zwsSZ4smA3o*>VHgx85Q1n1&86uRNb95m>y;MCX?QzW>w?FA<2S+1vO{FqussRpcT9 z`!1~+7MwSXm1!+A5x8Av^h5SBzW>S5>LOrh7^)2^e7#huj0m{ioC>-WpZ}@8sJ{#Zi_;QeEZkn`84X2;pJEa`Ry?J+*AESrQ|9DV2 z=u%pqwnr7W$F$0y7hsn0*5OCT;_|}G&wT;BOSjG3d6P?T#o-s=fma~iXLkwiPt1va z0j!1&$&2oa+ha!B^#vGu=X0ECcRs$JE1ECBqo&`s3zp*dO?v6K=Rowj@3M^>aeGWp zz4sh-kxQLDq=&NMnNfS51DC9jPfiprzl_`b=fEamzfozAf$a4#^BkT7(?=QA59Z+e z$L#6#94xvA_smVf`9+C7l!23G?_<*L;_^US3ClpIM*3~09&XR+qg%^>+K1Eo*9Tj% z=MxSLECbW(k5ylg$L%E}v@HX}17C(zZpP(VIInvdSbq8Lv@7QN_<9DveFmEJG82z% z$M=_Ny8aAYj~G<1;$g$4k9wQ*3<&+Uk8mu+?H~2Z{~4IRL)vYlE|-7bte=6cCKq0J zj>qkbu&2^9AU|eirCtoz-j01!3JRZ(Tb|;B>nFYYS}9PG+gCAm2yV}q`i-TaQ%<(S zhelVNo*@5HFjjv4oMR(!eG%4Jmja~%Dr}cBCnqYEf)KsDlew?4Oj}o$0E3P5t$su9 z{r>m$67XDi@T*DIxct)Dn@Ye?TEjtikrNv~b0q-Hqp|(8q~Bfqe5B6XmH_`PjzxX^ zjM?jD1S%z9(_80W4Gp-xqs!kFgLNH_f4gdjuV2_*SPa~{#=FbE#N~~iy1f{5Fj?4V zb}g6wB{xuQ8k=5W0$N9QSZ1eHvevl2%8ZXF0%;Yw8-3^E`YbdUjmA4W zZF>FiCf3txT1BAf$S%j-M{xgzQmZcnTgxpbblBL9jgK> zW1l`RPjmgBRm%#&m|crc+yDTFe=@lcxJo?>aJys1rjOD$ECgxp`p0tRRB`&YNEZSH zU6PNId^~4t)GHP84>cEb6!Ez|daf+4z`{%mT0@ z{9e67PpKZcmHu{^0I!qgDa9U$d^CQUaGg z*WdZz^Ydc~lT=Oc^_|Sm2P0+;=ZE#h?J2E(Dj!Upc`|eGITJR1;lB8Muz&Qcf+3G^ zdT8UB`QW#7Sg)eQ!R+x&KAOk2A~C8I$Qt$CPsa!MM=960d7vb6(TP7o ze14|CFb{x}!Q&4#;qpdz-jxSb!ha7=iNNU|@C74)`JS=2}8WuKr5N=YZ2^hVmPXx#N}ZXM2KUsb+=AvU;W>=rKs{@_AMA zRL;I3qxBdh98<5l)nO98-VsGv;Hr+}@LP@C{XLqP1%fWipKlE1+V@d?9)S#D&-ih{ z-1%l@KLi`Af4D3x#pQ+Cxbh)*sc>s%S1Vk9s29T@f-7+{+XC%y|BG>{c>pH%@HIPs z2DjH#KANY0WZUW&(y83_FY@4(p6Wv11%apb*Tu_o?UC%yJK!*LG)-qSm;YMl?f{M7`%VwhnamzfdCa*31ikn8 zA8N$UbLKej4lo(^d3InCm;Rn5w?QBMyBa?R=-~4oKU-p5N-)w(^DZhRTygzhu(fu^sA7lz6Zh_KOx#`))`1+YD!&_j& z*NA;rR%)^FQ$62ig5xn~+;~>FJ~OkDGl5abnIIi2uDoVW%>-jRUfye>$Q@6sWP<4Y z+ua?yaP^@i>n7;5+AeEx0)F078&)CNPIGg>23%j68T3t{;TUK&p27J+IsX!Z>0j(h z7A4{G!Hl{j1Q(X`r)^q@pU+fah!DIzJA2=62mCyvQuKwu=ip}ft>18b)cZRSyxFmn zXR)6vKX-d~c1Vpj{=Ta+!1uFHOsAEZ;`}vgxDL`>MS{Z%xbhMab{#}*J2P~M zDtG+ns%zj<>FGz+NjN{K-JaKgs`8U<3u3tZSX_7&M0bk`Hq5Zb>03YMDp+yUPCp}v zJ73=IbZ~cIK}dZQcRxqjq=V}R4!`yr$d#Aci)lckcuW7VU)=js4qA_1>t^Wl#Kqk8 zmmj(UCaqQKxP@!4C{1-FFKRNTJ>2p6HgCNQx;j69aaoH?-!O&C!0AA@ZFMT#{q3^q z5;$LG;9(Wa)%WgA7r~MIo?(5Ca_!Hk=!>9H`|y+ld+_t0y7ct|uv@3Hxc4Ql{U1B; z0(f5phwxLi@%j3^IS(G$Z0dc}6Tc5pJv`5Y{$o>?Ip|AcyvEF^}Sumw8s+a!|T%V}CO=rN|^j^0_-dz9T)aujV_t@EKW9#e$Z27H3 z>-Hb-Xgf2xGqwj%OvFj>!O|w8LeB#0qtUtnUniz?8dk`qKgZ`d=sU^t(Bj^loG|Se zaDKgNwA*s-diG8@3bG%r(OtWR%U`9@M?j78yt9udndA6gI;4Ux^WApbd4c=al#=aX zP_oqXZT@;otlvBA5HQ`YayV-Id)&WYWt;*u<{J(zoW|YntU(7s+J48Vdr!IZh3F=O z=+fv2oe^CBu#DahV!!PDF29evKS>sQflYyOkNcSu`Rw&lLv{n3rgeKNE^_UG&VZdD zRZHXajcP8x(MqMjeT#xh^7Iin{MJ5OLEO((8@t%z`b(9mYzFrg#%vnuz{Ovzm;~Ow z2{(+qriIh5)FTln7Ny;pxtF^?B83F7Y~_Hp_w{W#y=*+t4_{bn+m}nvxsD9@_V8NN zD`_r2+@zubuk+~grgdEY(hc*$f(^ILcRdF9cwwCe3h2_D>u(i5zj|yxxaEXXt}>~ z^L&%m^GjOKClSvdX}Q00^L!C*f92-+A>97TUqQ?LmA`_P`zwD1E%#S$p5MXkuiQMJ zgWI>TdH#lYzDCRamC-uhE%sNg0WJ4et^qCgS8kqf!R@cyJimh5U%3Xf++VqQ{)BkG zM9ck^Ye38Wm7C{7w4VRK?XTQC-$6XTq2>O{&GQ+!{guCgmisHC`2;QYS4Q(2TI{df zJRgDESMeKYxxaGre1q2W3%LE2YeCEXmC?H1E%sM#o-fdPen9K_0IkRWbNeedkN0mq zzF$0^zvceQ-$Bd$mA`|Q`zs@Ti~W_qgO>X%BYlhgm7B-gi^tcu++VqQJiU1Qe9Qfn z>p;u>mC^Y57W*sLftLF#*MXM%E7yUR`zzOhmisF=k7sW^ex2K2`3GpZzjE{Vbn$rf zmisF=k3Z-3SN;K7?yuZDzFa(>yygDNKS9g=m7B+lbNeedj}LD>9$Y;ByXF4M&Evhf zeJY#BcXRtI*MpY(E7yaT`ztq(*XH(Dt_LmmS8g7U&FyR1JpQ`%cx&X!Q}H;<=o zJ$_m|Ub^M}%FW}Wx&4)!$3t`bVm6O|7LRwv9s;_=HZ_g8KnugvYE z**rd3JRZ5_{>shck6Vv7=Jr=^K=teI{gs=?6Sp2e+sk#<@V`p9^We-&)af;$<8fQ=uiQNTw)J>hZlBNQ@wKhT z(~8H>w%lL23AEf_xp{nS>+!I-ztG}eo_B5ID)6JO#JOR=4YKDfHqouz4xXvH`zZn^ zByZl~qjnG!4l5{{v3U%VHIt>T9|57ytRiOZLgASiz8A-y0&;~9Z){#Z3dv^7y7%Y6 zo4!M~?&viZg+K07ef%PDoYuqWi?T72e~z2ue+8Tc$Jf`yv+_){j6PRE?4ORGR(R>4 z^<9NUSMOd29(w-vRcYw_Oj$)(m;lU3G1ES7gyI(}t|&?rf=(SSDc^AziexkEjb%51 zYeewL#HlDeeRja&!MDJ9E&s-YC)xFfBV64V-Ugu?_vjqBh0^bp(^A*`ygu6`SJNP98mnH=1$)504RNS&rMJ_N9*kl z?r4ncBmSG<3o{ENbo?0=Q-v(>>E*if89hcLxv;R_qX>$}uAwSWKF&Uw?4~l84C^MCX7GQ@hL7eYLcuk}l{z>7EPTTyyHCz7pkc z^{c2Zr*greWzt=gb^x@#ab8lYLmrq}@UALy57N7BIX&fB9^hxL)&6c?kH$A|6?iPj z2QO-0+2{5|`E}0IF}_m)2yXa2`rsaCw0?N+g9XUGnVQuh+~P5tU&=41qxGyt^Ao!H z#aW~4UlH&MJ_Xa3zPFtb>4N0&t;@z2g4+SsTKa3z{Z#t0dBDp;V3o8?QD>Y9y1v}l z;sr(ESxCOg*|oa1ROrBY>!@O|u;gK4x=c@$AE_SJ8;U{s0;4HSJJ9;SZh`xA`<8%! zyLGSm*U|k@5}cfVtOOKZ@Rr}5z{-z2^U(U`h3gGUB0bRk@OrReh@ce2WxY%?i9*+# z!yn*3@)_{b+%GrkGP*vcXvJkY&j2r5K|OOVT0dDS&w+Gg)poZ}X#H5e))%H7^DoxD0KZgw8cx4Rqw9;%HOI{&$H+Xa5HaNpVEhDz4MU~w!Y~1L%YSI&b=n1{RMVz2(gD1V6vx7 zP}5usv>y7!nO_9tzNi(y>x`fOf?%MD669)=hf!|gI7L-g)Dq4l+6WvtVSpp%8; zfgiO)(R$wv7Dw!gphEBJ1GT#J4T?VTcGsgqIEXs>blPA$w7&Sns_&Bu;nA>BiPSxG zemZ|sQ~6W4?OIa)*hv7z7iG3<-cuO%_L_;XpVbYDiko?$t^hip8J!pW%@Q5&(BCVr z0Gcc^-92@$D1)LE)?QUAfPpM3t ze`sJXJRHB?{Olz1dJo#B%H}xE zMb|^c-n=4x4}LCm4`!^<{h_by^)kB))o(8ArTf(k>E|___PqmdX>ILnAv*?@kERu= z+it_!{h=ybZlLm!JW%(_-CJ-5+`i&mo;^xm-rVT=OsG94>BJ70`OdiNP!_Co0HlbRN1j?PDa z-n>;-09VC6ZCEoIT@U5)=2~~W8yb*UV!L)HRly5tH zK;tOqfu=lF~YnQ(0dgy7{ks0UVj=23Q7nY**Fl9fFS)YUYlg_^DZ-UAjv$1wV z$Z41{bK!WKfv9{^bBYA>Pe7fbm}j%q+55TTW@GeGXgcw1%~}g{q@S#16nhvx4!*O+ z*&dZQW>~7rii2?U#BxuCzNoxXyw5}X?t^1$E*Yk2vgK*9$x{0raLc)lqrXxkkgTMT z=er4lSL0@1twQygs&>^hSPjG9bn`HGLFc2QgKx`4L7jJx-LLtw`TKwK;p_tpnumcy zui(fyaujwZ{#l=~p58<_g(IcGQTXN))-z`~3Zn?^2)lBmi$CIU!o!3MI5L1Eg~p4s z>7bu~z@$!dWR?<+9__2ke)2gA(LRD$M&nvpGTwwX#J@LTE!xKyedrv*(}Zg|3Ihny_)Hv5ha-i? zSF$qo60OgMKFm#y^g$vo=ScZ*6xtJ6hv;QE(r@2z$3Ngmo#H6mK;$rv^kgDi5%weg zQbexA`z}$!hlFUoJ2qYPHjdO1;_pS|5gZvbE{zRGq48&!Xq*{K`Xxu^0g=yhq|i7p ztViR$STbl_7fWga;c!BPH24zE_0V9BDLeh>c%(l*n6&emP+X;dG)O$x%3z$Y`7ndpxB~h}Nga@+Xe; zGmZ>eryl#K6Zr_yZzGH+3@7yA$c*Pm*%8^8=y}9niI5T#pXERLC#0x!{?vDSsA{bd zwkUA26m_3(RCXn3N~IH#bNO?htvl}1c_b@S9jPMzu6wgwT-CH$`J6QMoPX%Ceqg+w z3oFm*$W-tHDxV*48-R}wm8M?vODhKr%>9k}HxyMYL%rp9Y}C7;UXS`qsDbNDz2_SZ zzr8PU2hGYO<)}~m@r&MFk33_>%GL7B7rxA1--B0|8?tg@C;BUYLj3cChplZ{IlZ&6 zhA;QKi?Uz|>VKk-99_#_rF3QYqu&m!>?JS!&i6_BQNFdGJuC0*LjB;U$VT|ROUHU0 z1?DHeps~X0N%1&VpQT9s;@fBN#-{Ja`a~t>H$QXkUcIi<3 zemzC116ZFvIby|l9aitrP1pgAx3%A}WEMXEP9>%z7_qR(qJFz6tADLbOM?Yxf-35Q z(S9@()j>rh4f-E8nrl%%gq8hNlVw1jk}L1V27G)$53f$(LvX{(A~_u1trxE|7&=bV zPj{Cb8-9ClVP~{oMObz1?@#RfN_{m(77ThgGQc|-pYLv8S`M6axVBd#3*XC>gyO z$FHj9rVK_5Kd)Fd0rkfz%A)T%Wsq$7WVx9Q>R(fox4Keypm03QIWGad4^q^ueqPa-p+NvS!4l;+wPFhD>|%KaG?uwdtuLE0U0dDPO% zQ2__%UX@=l1IwY>#;PF3#bTOv9ll?;bdprTtgC%1y&ci}2-@CGuUZvUXYvgOnBer< z51@MhmF3p^2am<&_o#ky55Sl#K7EbG;Z+7vJ;A!2=fD2Bfa}Z3!CpN<)RxKSV2uW^cW95D~~kE=><;l1KjI> z_G9HDQ{&!1W!ww<$3OA$gUp%Uz{hQqwB64*_I}(MD(VfEE1vd08js$uDQdO_-3P=> zU$SD^Ae^6yR>^(9pVU5;C0FtNjIgfm14hg&eA&1L-@gGiZfYQFN$~Z^{y4uYY|p6y zMt8Z3A_63c7PgWlI-j&s-Ir7xO zW!uOs-eX)pH##%wAS+Psc#UBXRv$D{qz;O{&lfZ%;``a;V%!h7OwzHqG9JtCMknKmRdY`A5uI6t2!8gzDa&I-*^2HbpW%`4e>uMw4 zI3fE1#b^yX)*l3|)z)|}h3qqEA6|>%{=neG5si#ZxPC`k%4&ggKl(31woi2bnG`Ea zEl{f&owMk?Gkbi#^&BnWV6%p6X&N)B>h!3(KOGvh4xm z$gkD{9kOWs-^1|n%K=Xt)DGCYqv9AoUm`tH8n7$^v{m>P!OrzvKpW3e{q*D=v(v@NdRVNR3|=yZDD!!U*|AB@o1SO=KdntYC% zhTG@vBfWIMoS1d8w{7wL3UEo%LBEfb9UuFR%?}EW66%1J=KjfDGI4zujjqxGO|O>3 z+Z?fCVR2^%yX5sR$ zl-I2n{Jh(A;NgclH<12GUU^SwQRcHU_$vE8NsY;eJz+ZBx2HlypOxhbHui*f)TJgK zzRAAtP`3&^d%_Gk{%y5F96sjhke=|`v99$`{Kl|)^TMVcFd*~1N>Ly7{fT;CnB4=K zp6j=ubT4{8Lt7LS9q0iUc&puWJMO^Bw^8nppZ&UI4^h&>|!ZDlo4xg7mv*Ghgp(?aIVbWw5Z_moH&o-(;liQI!?H{SK z^1w1rRk;58nJ!a*|I9#gZrKo3_-)IELk61GtUmgAlM2i=dKxz?(~y<>y~tL9-bq8a=?)FwyDy9J?8nN-Dqwf`p`ZQI+n#o;?C^49cR0F#*|Ef_I6Y-A zJ-b8IZbOT{b|1m&BVG;Z4l5jfZCI^?&sY4aTX$Gpul>2{^hj18{JLBjZa4fWFItZC zU+T?SWq96w*O%uZIDfXhiB*QPgs7A8E(qPpY;|hLHeeCqqH5)Pxq>gN^ske!eMH?aCtaiHD3un?)3gt z@+5q}&}>H~s25rJ>->2m_IUWNn-c7}%()@l8i!w10K375igKT1j*epWiBCPd!3{55 z6&}0b{7ousQiQfQ=s4q^xcns*9Z-ZvbXFNPj`+={H?bHf!lkRsCOq88+B2wCCFKgR ze~gj2YIZ%VA5?lk0gA4~FI|y<^%~EB0vw?=H&~vJ>=zWJSXSN@t{PN+^UUUPtlUr* z+Z7rs@KUS~V7cnKW>}!?@uplB-g=u-9@>EKk6vZ0EL?eSRQir+ ze0|lGnzFDc@6=tjqv~w@SKgx18ZGKKWKLHLR<^8)?F?P_-CH=bw-YP>s?zKXce(F; z-t{^@KK&i+1f?$8cM>kd=?{DF*$KY&J@~!yJU-u`4^1*~`%pdE-Zydm6MZ-!0~h3W zuP@KV$8Y`!WT3U$rU_?KaeG34Dwl?38xH7nlR@?yiu(8|RvIdf-u%2n?f_Pv@fk?N zO~B!ut2H~q5kEcWUN9KQ9{;i$ zc7SIF&;4j$it|6_t7iw;#cQ6->*ct7cdu!Zg1Q63j&`-j={-_&KnlubOxk)?4PSq! zZ=O<6x%=H;9Y)~$z5QDg1z%}v1i~zBHhy010SXRZ`(jpc8m?c*(C)Z!n7-Gj`mMOU z&Ug=-1Xo7d-_YoR>{aOf;zQFPf!B)<*NjYX`!?ev_#+s9?1HQ!#Oa&#DYjAY+{WEe z^c&}g<7e0)7?XWrp7ms0zh8d_4Fc63`wa$M?7+r%|4Zy|LHe9+MUN-r@^h>j{t`^y zm2&s~PFz3Yzc$qiB9c~jq^t1xJ!(L`p!c_J+mf?!`PBIq`%|z=w8ppa8;<|uH~2%a zs61C;$WENUr)rz(1ot{ebSavI@9&)Npic0vkDbP&fjECe-{E(`;y34fvyY8s)3dd% zsaCLLRei4EYBg52`2lJLBUWiMKpCe`^dt70;K)+LsFHVBW`4pNLD#7B@i*t<``5d^ z>8oJ;>*%J=8ok)#kJW>(g1Q?CgOucvJrzAKe#KS`j=g@jPVSchD~EoM{UTUaCYU^x zhx4mH;!L8g!yVLOXDE(;qC|I#_Pg7_*F5f?Y#C{M2Ketr+ZGz*=`PuYNFd${^ zk;-74{^a`DD#5PG*L$l=ae0{YtEo~j`rYTL#zNe_@qWj?5o8@$a69L{CVT$-znfkO zPA`0CKiePI2jhmOmjc~B7xtOn!`FAeA+|#BB(;~*$^H2Gmi()!Tu|hdYO7d|pBKr$ zo5}=_ek(iK#>lhrF%3;6g2-}}F$qj(R;C-93I)5)SiL?Z#O1%bu_<35_2k!etDDGv zjKcqE$`Q=#Wg}l1jqeBbr|FTPCeC5OqzSk^Li&4x>px$vtnkD6!E0)|DLB%7M6oac zUmxAnlqT?;lcS(3gVVz_H60anRopOf;$_^vpi}&>{?H?O{9x@bLMM*2BS*@CBV$Ko zn#g>Pv@J*Ba3Wh1*^(n;!I2t9WOE{$5!r+zZOl<{E5gP zIZ_`uGVh37MdV73^c#-CS44hEWD!TEoFnyu$Yn%+M&uHXbTLO^A(5XFIiDkw$C1h< zayF5la1>^7q#qIa0g>+$`7TH14oB)Xku!;WlcNxFqy^n~I?i$ccgj$VeMbl1*=^BfvI2x>@Z;rl6M|lCzuWF>de&Eds-uz zmfmYT2Tae1GY#}-?XBk;(^3M#3w4vQWEnQS$s5X5=Ye?UQ2diahDa8sbzdC>GG5nb zB}`}SUpb4$*9Qasd5xGUmTdYGp6;19A7t{oFL-`|wO19q`56)l7W1Tnmng93k2o_> ze*sVmHuygAk0X*PC$EYHVAIy6{+b=x{3_Ub@VBb_r`wc6nTJ41HJ0qE6UjOpF1CMHv+uz&%HEu1I>n?ct180%nAkb%{Ln(S@uqUtA*gR z@|3FMfK880=jAmE!QfTeNh7AR=^3e3c`^zt=@-SrY|4tiG)KXxqp0vOJN;dzK(-xU5 z12I~+&;B0ImM5LgeLgG$*S^hLGrKdJzWBj{!?9p*uWLSWliBi@wAp+J0|u=h?fJ-N z5Rz?n7e+APX{W69(OuZ`p=f$OmjO{zv)y&=1|zxQ(gvgDAX;;9xJ4$Lzn0qb*DVJ( z{qw)#&j4;YSQqh*EJ@!;SG4cnxN7D!GQP++hUSX}#g%p+MF$>xHB zH7kL`!?JlFGg-ZleR1VVaJ%M|Q@>C)KkP5Pa99NfoHUK@GtU;u9aO85SAnebDU=LZ|)tDJ$@nd*I5_zt1tULDB6(sJrd?D+F!7-fwdPT z1{CaygfWTf_YUd0AbD$Ufo&udTmT(AIkWbk>a6^V2sm*->H@j`JU0B)+?5fqyQ^hP za(DK4zo^FpBjAZW3-@SuX5Z(6^zJ_nhs&jo^Vi0)_Jp0YZ!QRj0n2{evslU6$BHek z_6UcG+lR>BaI3t10+YRKRh!GR=TdcwQ%`3Bxfk^lnR4~?(Z(@ z63V{MSLv-yT>u@Zm0Cl8v)5Cbwb*3=oc}ie`CC~wd_l*#pF*MZv2MSQ)v$8RqbZw^ ztZ@H~a0vT;Ez))z8VbiXoy;C$#>&-wW?h&MRabO%5uE9dWG@AiUm;LhHO29~1Difs zEh+mDxF)iX{H*@0oHOOgnqc_6USMeW7>y^QcAnXp8wBIWUiwfI%GxX3^t}26!OcGy z<;-2I{iRBv<2?@^znE}z>^Ws5TSiwNn+q>YSCm#TWbFl(6H==K;i9!?mYvXG^Y^~1 zw`CxlzOm%gV&}m~&IwRs=D>M2-|}fo_I`{!lyfftF13g&-TYA($+Asx-2z~6K!J(g zNo?O*Vm@Uy{IlD6(EuN|{PvSof^r62s}IU^%+#TX>Joi#V| zgFSCuJf=2`yxJ|W(|2rn_<4894IlXG@}$fuH|&w@)uFG94-^?~4g0pV zCz3s8rn!2<$HT_l*&oK*GjfXDwtB&@kB8q)Rb-D3ef1>G6CPiv_}+#wWc8EloM*wO zwU@T9vtrMGu2b*A8SutBnL}AA`2Ku1^PdhAo?kyYkI&izc-o_Cr$SXdS(!3JHhpdz zrp0-{;6KIYD_vOo0BzyleF{{6IIb}{We6Kzzqtn|!#$T=b_F%E@g>;>8c%}Dr!44l zB9cu{a*7X}0N?hE39hnc^HWH>j~x#|%!o{ZAA3K&EE2AbgO-<`m=}Lz?*}v6qu3Ru zEKV%8w_xvYvSPnF7Z_@;rMs@4E&pCa3ROnHeCzM>x2CZ1d)<#SafCyz%BZaG&+6$C z-EnsC>Q%cMD=k*f+=?!-fsupW57Y9?LH1H6bAze{>=-RO;&nN`p0n%6nZOHs$LVJe zXYDPF@(0xcaHZvh=fzvu>!F7C>Cp@R8MMxHWu0hFpAbGK z%p!b5_>k}c;eEn;gm($=5Z)%dMVLu=lOv_X-G5;>GR}^WCZq_3ibPLH6H%kvxU-_^27Dsf*PRX9{1G3I=2_xTH>xF@VmY}fwrBc9 zpMu!i;PVu}?MH4c;`?4&H)w?0W!Tv+(AmL=n^%gSxv^Z_)lD3g$f+zHxG$CtQuvEF z9K|3}JfD<(AA(#s^q+|Z6tfJurO3qqDtQTVi;-IdC}A{mQGgOHL@pAQHRN~^$b|!n z4g-|e0(49$3OgT#3qfImQ5fWSK}a_b>EbqHkpFDt?~nZakRNh9U*zY5 z!g-_Py^tSrv?mHP3x%19WaQ`>NS==L(@=Qiyr!b?9!Q>objUI8==)@(L(XdwI&LER zj-1y7^nE;v1351@^nD!iN6u?3`aTAIM~-nt$BstvAeTG}g+`sW`8l9)$O-L{A9Bfd=vd?!8tDMiAt&UcIl$5^AV zRwyiTB1=T%L>7q135TI$krNI@L{4aqh@8+25jl}53WuD?1Q9urF(PuJAt+wtD3(U( zyvQXRA|fX=K*u5{9E`#uCmMvpAV(pWJP?IJPN$LJv)azb6CLr$cF z{E(xNOV&ny$ceO2c;qPLlKUe+akOgr6mn>+92${`bjXS1P&nk!_&PMq0)>-BI^=lBi8>=4 zax`)ja>7nXha3+%kqiopoELID^$(l9BU5 zj)$CxLNan*$nlV4Kcs&_k>@OR?hEIiL>NIhiO`&|H{s9ET=+7=48ml>ctStIk%R_> zN`$qaxOj>QGYHXo@i?9Fgh7OEgyw|32`R$YAGzbQ2+_LhIG&w^451&PE1@|dS_d76 zrwFS)aB>b|I$<(l0%0iO3_?dj14328hWA{2RfK3AaD2WD!o!4#gwce4gsy~^ggnBo zgg@VL@x3O@B1|VdOqfI%LpX;Jt#ggjLlYVh_9m1jtghn1l@Z=2OeZ`{m_!&uh}Mn9 z@p}+D5}Fh82$cvM-g4nT5*8EQC%i;>m~bm0Ll{bk)?3EsbtAMPG$2$b>`K^BNzO}H zOn9F#oe-^ajN{!&m_Qg!IEQcsp(~*cp#h;fAzF7B$Jg+NV>O|OFo*Cq;U&VuggXfn z2%`yu2xkzw5z>U_ggS)131tZzUX%PF6cOeS-X=^ZJVuDt-Nn~~*4xEo2qOsR5Y8ZU zC8P<>3H1rp3A++fgtf1@_^SxZ2y+N;6Q&cMB1|USN|-4fJ94-@VrMC-)jo3kb6ag@oyZrw9)d?j%eij3msZo6cH8^W)a>dMC+>JcrFp1B0NmElQ4-e zfsi4LCJZH@=)&3Q)gxuWv%8}DceNV3hd%9?sc|=|X2^Np^WK|NtN|%O(4+a&2 zYqHm)R>nkv{*gLYG%U}9uD!ITZW|j33`aVzj*HC%#?xh#H@)%$Jm1-TxynP}Rp~p0 zaw%WX>0v+3Gsn(?6vOF>*N0sNsXr3N$}IIj_H6c34N7KiIrj2-oFpBbKSdqdKVVvC z^Ai&PU;c&;6Qzzy{b5Nu#OdWvRNZoC!*z*&&ae}5wfR>h za^rwYWo}mI!S!>Ci)WcV1*ui?PgYz!3G_@<7uj8V4hr-Kdw!je3WC*Yrk?DP1GX*A z)QW$X3{KoVP!TjG2e=J1*tm1#ZeUPzQRuVv4oE*7*IWI{RzRnMb3Et@5++OnOB`Ch$VcLQj0>CpEwkl1w6nq-$INCn{D%eE3 zjJ}~<0t6njFW-B887yo}*|J%f54QFiP^z@+0vKH0(M*8W%{2YeuxrtZQV^h;cxA}C zP)Yt;ICS0Fr|ym<9pdy#z3G_M?Q6Nj->K@bECQ3h-X{%Hz@Q8zlMrs#n;#uOss$ z=@6$k$Hidq-WN3z|D73=^*s3BByx&oV3W}fwEwZM`McGhK7(W>#m*PLqy3eWifWa* z)__AB#wM#xss;I3b1l&x;Nbb}L0bJU*MenH$F+LN)_{;v$rCpA`VLH}6VEOB*MOLU z5sp$Z-$B3Fy&B3}YCuwb+MsmrH{k2iy}UceR!j2tZbqnG@#Zo~I>hOnHs<6#omsN9 zSe|*5|IT)M7l}Nr=IGX!7TxGa-aez_>%W8U#rvk6JE2VXrfx-~e*XntRT;#t`=dht znzZ4Md3YmO@2wEf^K63L6YNKx=GtFdnrnZRX-%Hx(I?mK=}yzn$xJ`j zgXY@b5j5BS_9gNDqrDZMk81tNPaiq1{=J#xI_7))==wgLC%F&GYjktIU6Y6J4!qV* zoOTi#X-zcjp-{&kzqM!o3t{`n33mMGA^L7N6S|L5d;NRnA^!N3%-1JVT+sV#{j?`8PhcO5h(xb+4O?;g zvVBMP-MXTPq=UO2*5H*?JZ&Ox%V0@*HOn_j^(#a+0`d`jo!arWl@tt)F*)38*%8>y zFkpqur4M`!pT0w;I~@R)HCf|(O?)aib=9Kw^JoTMPT6#C%R3?eLciUcGLh{|ar#`d zl#wR{9&vi|4u>bh*U=^Anpd{+Jr*x4>eSl-o#*|as_PG+_j~QAKy5tUAD_8tdsMl7 zW#K>4`=oQz)o68XNqSc-7@sPt?j}iZhHIBao4o4;cb(V93)NEKuc3bDKjv5PO^>M! zdcR;V__8anQSJEy!P#r;fv?#j`2Owzf1=r%{fgMMZw<@36C%70egTEwa z9OUyi?vA{%ihnpwaQM82EwUwK4}9Ws2YPJj0nL`+@vu0(L7z2OSI_=udfiiZF7>cd zlcZOwEMZ@!bZ1F=2M>w}A0_iiu-Yl!LuJrj^gG?0$$E#1_=R81KG&k>K*G+lV^(XR zApLT|3FjYS@ZgsYn^tT*#?Ky@QL4Wp0HmK?v)R2iN$}~CX2hXy&d_&u>~VN$5g#u$gcYMxY!9r%zu)2X1_nJ=Zj}0GdUuTOp(Zs?S(0Akh4zMUgp?$` z_j#6A3;bRRdS4H^o_KOA+&pG|!aDaHeoVJ;uRZ5?0_ET5U%S;`5JViv)_UB39z0SF zM(uYwz<&}qxUuMjA2=Jn#5ZPSoIq`=)|<^j05hsQ4xX_N;r|*^^=8OEQ9 z!i>Dk%=%d>?C(+dqkX=yX7*)qf2z6s`aM#+z1~qqlHU2Y=bq&){*Bj_WDhjlZofbE z%u~UapNn*NYOjMO8f*3KRPXcetnYKqdiM@6@n!jt{Fqe1e6!h8PQ-Y_TaI#Gg=4qz zf1VH>cE0Na_FmXH@6f9Vft<8!*@SvC=>Mu|&qpa=zT^H?>9g+)K{h2(g-_Nw7@IUt zDq}DE`z!bPReZJbV(dTDdqnH(%%Xv{c%4Z$q*H1}r==-BBzObYbW0d*{5t z;IH$htexf~D0`RuW}k~Td>-FX*+6|d|B7wFoL%V#sQf+*QN5iE6Q3@9+|5lz(mwpY zpR!Wq`Oox{%aK5!_+#+Kxpk8CN)+Tvte#_`jD9A+SJ2I#K{vNc%8fXj&nD6B3&HF8 z0X?KL=DK_TLqB8NBF(X-Q}|uJm~V-?G58;PaXc;i*UjaD0YqNpBnd2SEA{ppE_mpY$I<8~q19=|6xr`VWBgA0+8*tN%dy zXOi@`(LV#Ee+JsZMLt21UQ0EztpwqbvO z#Qp%=us=Xze}HY+A0V+mNbNYdMeeFqZz4s64|1BrbHwqf6a#J&UDu$#6BrWZyWYW zNbHla4f`Y{_DR@=eG(G;By7Vz35k7DlHRuLlj3$PB(6SXU=PQ!xl;x-2%npO-?h!> znO%~D%}L+!f4uKpe_lP1a=WB=aBrIY&RTsid*{r>Qe4m|&T=!&X%$LmIVp?lxi zE%Ur&Rk+Ej)@owhI?wpM!}aTLH0}7Q*=?uHi*?FFzGw!^Ps?65EI3W>(SX^&d7R;U z&;9qV4TxOw_05MLR-J9%Z=SIByyx$EvNP9fIvaO%KRItnL;sBIYH=DlHsGN$V!u)5 zoh?Ku$E=;gLpvI+eP>P40RdOvvhzG$U9}U@cxu{l((nFP0Y!<)9vLkeClh!7L9nr> z4lO3D{f*WQ(>tQ<+(mgMzq>oz6BoZXP?YlkIb>RCwriife|y5;c5H{m@i6%>`+3xu zKk2)@R-p5NecKdw0eO}x=wA<&~?epTY zz_Q&w-)^60`x$ImV1NH;x6ilR=l?Db?e_V0`~2VKq1`_JukAy-eV%=QpijGf9{;}I zZlC9h1J!Py$K!w6?enBOwA<(L_`r7iytsY%_wlXm_W73MhuiJ*|D){l-1|1F0!&k9 zt|FL8lL~<$)>lh@7b04Q8|QO}_=_MnZgV*qU!MxplBLk!Ajpk3a);*k+*4omyT|AJ zf1zOFuj`CaXI zpsG}-(_3JdBY`&QKku`8VV__hiGG^azAf*&9D$taH6_2k@UY{2!(PwX)x9VWb zMd*9{%#oK%i*fv)3<4zjMcsde&#@N3;dPxXXAXYB>UnDvX4!Dbtp*W`?o1EZd0kOJYL0}6%zgG#LC?l!%u-j2Neg--h<~Iij3>5Nc{(&~~ z50dlu#NiK`Qa7qn4-Ve_5-w{O+0k7vWP^`Dfl>elCH^=$o@=v$?q)wA_qqHlHmIDSrwre=v&=CtVi`pzKK)Vln#dba*cj&D`|ar~(MOO9_-vX}NA+K#Z&iO;JzM|D`Gu_f z*XJM4XR1~E(fat-?N96TgI4Vqt7qFUar!{3_75Nb*Y&qnc<-)bG){}S`0aOP;I z%4q^IjSJ?^vFToEE9PDo*)rbixi`ezR=y>$iK(&?b9Y?d(D7Lb*TrP-?@nh~c6^AK z=&J~zf6b(uXe^3JS>D1jqPSlsChKqL7?1m9EV+JP0T2_ZQU6Vj787;iQ2((Wh>85! z4}NI1kwV15`?u#99c^31b?T8z!znBh=m+|?<{DtCY^0HzeS3eqxxd=p z-)?E|z~!gCzb$#+{qNG>9!~n(!*Rb#+>aLXul^{~i~HeXw&+ijj%ciy;(oQ5==c`> zYka=H`g7ubw3w`3+>aJh+>aJh+>aJh+>aLX@BZ{3lrT0R{NRuyIy4vm6 z?c+t*l2G0H_b#?S(LP?JeY^;HUgorq7vaWBwT~C!#*4B2g};9R@9py) zTh8~#`SaKOgVw+QviiU0FZ@sGZ@1g8Nyon3e%(I)x_$kS_Vq*B*AMyE^=sPg*WCI+ z;`L8j_Xk?r?_2jLTIV0DZy$f%KK{CW{59@>RsT=vPyat&KSXS|7L(1EcKbCh9QfaV z&G8{(yS13@_G|8rwcD@T?bq%0>vsEfxOlxk+#hbYU$?K<$2}i}?d$cmTrU>C54Nw@ z_n%&`uibu4y2$@;?bjq40`YnsN)m7JJW9@^8gTC^Ut&2~i82&_c+O$o!TvmLo^Ci# z?Dro~Ufw0xJHX$XC;sS$%ey%=i^$tJTGwQlk;$;2plJCC^CKeyL;QIm=sYM83OsRs zWSFl%Z+2i1js*F*;sBAsKK@~BJjh3$qU0w=c>B)dMTB{yz!Cmo!GR&(5&nKWZ=aC) zVZq)(L5qw~C=^b95*x&a4II8G*k^uFpf7KJ7;lb$G%p-S;TIVk%9}kuC}@6ExHTI! zrE6$nWn^L%j%aCQV#!i0n;MyzN@Nn&!pOuT9Hom~ln451Xd>}6HZn10wc+vhvgC0y4}Hyrr~dxs)WM)-=6e^4YfIb>eQ z{HPE%D*S1EU&a0$o5=kY`*Lg8h^2oa!}%#u;_^Y>X9@nqwvwB;@Zxnfo7bD<{Ke&v zlPKyxpC0afUs|8HwM^0>F7F}`80PC493Eig>m5NkkDD;Y&K2do)1=80#!}P>*Kzi& zEazfo%F_*s=JmH_LCH=C!XiUa!Dr(R<0_LUTba-S19Za&P;5QoJW$mkeyC{8_Vf!3!&Q{{jh*D^>dFhA z?-v<_YU6x#iusWtDAtLA0U_Q&sQB^%!+Bxef#K*>|Ki8Xd|p^& z2ycD}@BgrOHgHx|<^Df2v*!gI@R($%s0T#ELYG zdT63LGfYKYGOX!pM#a5NMMlM~sjRFhr>@uN=B>G1L{x;sI{~%--)F78&sk^BK4;II zea5-tzy0~ZGkfi|p7nd4^{i(->t*lLG=Hw-xnu6)#q`|;i((JrCFswKo95nghGuH~ zf9;Y*r_We`4lbHMmu`Q_>;(&#+=n-r0hjw{-?w1)?Z_zF5t(kEyI|3su_eeAdtgD+ zd{pQpEm*XmX~FD;w1HBt!9RB6f<<%i7O|Q2v2!M#e*1!^*u`_m4A2|q-nUrjS#@=1 zi-BHzxK4)Mfct}cl?YiPm4`ma&K1t#j)8~ z%N_UL(>S|nfrz5=o6kj%*y1IPjSJ_BA))N+n(muB8y|UR?7GXYoEp1$Y17>o2-$hN<(#s>MX<7lEm1_M#?f(#F|$Dv+_c(p_TX>4=M$+`hPJ zK@$V#y^BtJ5B25rr;BDUQe8-!Zxe$fRzGd->_*C56p-YdQ#hQYgk3-)ZD z94}-PxaD*;1l<}DocBBSKJUJJ?_n5Ts$G+~3-ow9WAc3u%8eN7!nxw6fG6IC0s3Ct z@!Z%wbMLvAJmj8#FI~i`G&bJweWwYosSo1SXaZECVBdi~%XqIURw+5vM5M1vB@d9t zXolJ12ToahM#S+xu?v^Xn@1157eSP-x#Nx{_uV&l&IJ4)VaS}=+@%XtFSyM02D&xw zo##XovH5hyg>aaMmzg^UD^u-s$GvmrDvy0_)542pH#Xsa(3V!vl0~|YWxTA|-=d~D z3m2ZjKV(&*&5g4c-Yx#gKeq8!6S;Wl9dofxTu;VN$L1`#PkOu#a~^;nccROi?p+eQ zXUXEG*un*i?$(yMaKY_M7CnGlla|04>cC1xP4gVQxWc9fv$k#Y{VRj|K6U2r6V!TV z>?-=?Wm*4|?F)I!U3v8l7hde&fAW^eJeYFBO#h;yp2($h7cC(~Q@Q1S$`bkzp6-q* zS5B4tvg0exeerF4YrC8erA*swAK>pc==_n9NXKQH?PsBlH*L1ZAIpblp!Lwmtj%^e zw0quWd+BkUyk1B-XlLVQd(!c|0I_(p-3D!F+H6Nh!S92c?Jj5}x!F#fKsvM&+5z1P zZU6XYd-;jnL))R9(Dl%6Xcx5plkhtUKA+ za{u&_9UTL|NiW$WPv-u7(xLU2zhuuJ%LeD{m+UmOe$GpF;uPqDm+bN5B9Z7~?xEe# z<)>0#(@STKC{f_NwuefB#E%H?;l{_|c;qlJJ8jKKzos^zG#P#7lO~J1D>M zCA$$C{pXkLtyiD)2$;KTAE( zy473k8g>lZpfPACbQ&}bZG^Ue9)8eB`xblrdC2iq>VwADk`C>HJ_~L8`WAc5`ILut zLhCxHU+6c;e*ty~T?Os>CUV80-=f|rJYR<#(CD|}2aP?AzAhyF2jGKtK;;Yau^(-* z+o7F*1RvJl_$uXzo=0D{M_x+)V_&v6LEF!K*-lIY_w<+T@t2{e z8(+4!LfdD*Y`0yG{_c9&o^}QLc;Cx*4RK=kgD>0bp^^8$Y*Mj@Bt#%#pY2Ddd?KCt!d8^$xgZy`IwMSl0`R{DCH$m&y^Bmf>ajV^agYeyI zuep(W{&%Y#pNYO+-D*c}LQd-yyAv8a@fCa0%{)Kl6}ubScKR!J<1OGm^A)=T+I}AQ zv%uf_irsK4I9_?hj@(9i`W1UAw99_Qj=dLJ^QzqmjlBC+yRiX%PI=XCht|z~)t)vR zzIVQ6cilnylGp6W9LhJpW_Ln6pqrqHme*{VQKr|uW=~?$()PXA>;uqP=WBMyo#^wY z(D}&sv)AmJ1>pPnYxaC-JG31dc@{oGH@;@Cxr_AQkne8zJolO%ht~a$dKbd~b#N>~ zp53q6ZTG@&ANiqe2VS$MH6q6$>V>BN_L`k|AN;Df*{h(B-L=hr7JA%=w%G@u4T){` z`1>M}n}4#+o)3L=<2HK@^s6syv$sN@8u7Y4esLtS<+#`F`OrNlyl$_8Mq;nqo1o{P z^}1cdh~0Gd>-Hq*x=G}N{{7O|?KRLxzyG?u75XnfdEFklBocZ4?CthU=npQ~Zm)u# zIBUDT3EFtac6;Rg=nq;4jm+6@PlLuE+-}!AfE>x~c8Ac9Y`5b}v8$Ht_8Mrzr?%Vm z52B}4+wEtebzk0YFMmJq)@--ip^JXF-R_1S^PBB<>;sX=dtTUXH$Znm+n|w6+wD$h z`(L)(iHEpZD%0t^n@35CX3LUeyzkgy*DS++pmosLgdKJQ zT7UWuJNjYt0UZzRfX1Pn(3#NmyQsIB_C9xqy$M=31-u^t-;F!$hUMT`wZo2m6kMMr zKeThr4tveVkndYN?9Puve?a{y(x0K87Wi)jKQ!6}ZKeEw?640&(|-p43ZB0Vt~U6; ziX5LHUuD{^`y_H6k+!!&BS)p}#HYA_OWIxq?KmcFM^_@p$h6%l^tiOW2^z0U+s}R) z`p&eShIZaW{?AZPB5lw7XXJepKG5`+;J=D;Po?dp&~|7Wv=h1>+70az`VG=QOZsop zcFkkd`=4oh8gwIcK6DFoIds7bX}be@!tbdUdM>o)bCJkfH>K@3^naiUXym`(3q1*% zhMo_Nu8u?=f!0AMy+}T&wS#=nQP4E>XVB>5k;qnP9W=HxZ8t)H30(zk+l3yW@7;~Q zpdW(Pd_EF+96BDl0Xhx(N9cU$LFjVmv3t_?8t5eGv(TB)tb?a;cvf=kk&@pkkCoeym|1m7>BPv|CS{bBI^3+d2KXvg2u_M|7kZ|$^WU*>t` zPP-EtsorUi{|fCke5XC_tJuL&JMC3pqrMU3TZ=usZKvJvuhe(kPP_fzxF1LUuT$@Y zopy)NGj`fF9n@1tIcO(z6Ere$r#=50JbxF@zsdbMJMBr(*d*$O*1u<`z5H9$d;U(l zejRdM3jc5OydM5f!w)(WT7M1spz&*W+UudQ>vq~(gp zvCE#hjr!;9vb&*eOUbt#xj(SWUcLkVAKYb6N`o6ZA6f@p1&u?Wh1Nq4Ks%u0cTyj8 zCNvFQ4z2$X_@Q+V@3JGi;0vvTc0n7U-Ox5@-KUWEF!gO|I?)Z5dNF?*wN>B{`Woh@;`&ahJQEp z#P-?^X>iQkYj;(*RHEAkJR0}*WLAoUzY{)fqTH02-JYcGc;lHeOr9%+NNK@-dN+BI*b-Vehc8vO{kpplR6wGSLq zPTWfVk<|Bj@}IyxbmWPY`vUx+(e}Oev(P%|0chlld+qv@;16vR`Y-T&rjz5yXaZy2KbJp-XHI^yP%Cf1=lI)^Vi%%JD`zq;QTG+ps_#G zE~k>doBGd0j>>)Z`1hcPVf&a@f&0jPb~m(P)IR1%@H=gvy)=$K=Iyf+GpPT*eRkLN z@PkHfpnhl_G`e`7-2jb2+n{yOPG}sOhSo!4H^LWM4{d}dpb2OPv~CGFX2So0efG4Q zxnH`^ZijX}Nd31^?nCf{Mw9#OnpxEU5pY85TPSxca(oiJ(1ul%zYRW*g993WVxPSU znqEUa?*-q#QNDq4-vTeRb3Nr|(+)q_XFm&Vcm|xebN@@^x&wUA?X#Cd8=t2>Xxksa zF^BShrrx>Wd5Lw>zM* z8}<{AlkaZI-%t6)l!G=xqYse(^ZV^JpFo~3g8!4~ckOnEnizl3UJ6a0anNpuHk^6TUJs4d9kjQ~{W}lZGk*e} z&m6R;{RMu|251L#DYOgP25tNt<)8^@7qkt!Rh~m5{|oNb2kr6DG&C;vUpQ#bht_@Z zpq+p=ewp&nj(;urYp@;0cSIGyhhbE3VWY34TL6=K9 zv;!KcJ!Ef!#-Nec$Ojz{ZG+ZBJD^LU-NVQatvl+F-3^T%1OIK@zYV_7_TvuOtDuc1 z9kRE|ee94Od!2eth7YuB{2{wt?oXpWXv67;?3(SAKkJY^6Iy@vA>u5`opZ?UgeJ~C zWJh;!KlzY74H}Ju1KK_Hko_z)atV0Tl)DTZ(7LM**$KJ7`jEXI+IcNFplvrEvM252 z`Ay(}*53?XXxlC1hc?avH?-lshwQYZ&pu?wcaeV%>CiZ|6Iu`53XRR>`EKNx559fi zSb)BuQD__*gU*E3EhPN_<)G7`kq5wan0(MKp-YkXZ=`>Ka(^e^LzIJde-JsLX=ppN z?nB56jYHGW1azd0ULK|$p@{_T4ef+RBNdVOBghL)Kt z%g|pLV>wiB)yt@=tyYf)9`^NpflzEGw2iA(T@IyBJbCzcNlVg7kwQ~zMs=hBY6&uL%aS) zIcTR1e`vJ)u)Y3R>a9F%k3SB6Ll4^>(Ae<9tgl1ga@bx2tvlwhT|bKF#~rpip@|a@ z+tW^Y6q}))}Oa;$OUuHGRorkr=71j-nrEMKoD% zy@kJ`Rps|btQz@iSjGKq4_l}5=a6B;EK6urm7?$*g1+U-)F-t$bxHax{z-jxljHo) zTt=$n%B{94+FWkUAvsz*eA1|4@@w+PssG1V=aD+3w${1^D)mvdLM%l{68;bIul~F^ z|1-xqQjv%y4_B0nV3NJLqPBXZRn1?u)oqp5$A`-wBQwmZqeG57QWc>J2U=TYbH$4C zu@rfcf6*)B{7*gVe*^y&Bv2>?k%A3QzPQxyq(zbnhpxS=%$iMl>KN-NNXy%lj$21s zmE5g3R;ji5IP2rE5~(Fsw65sz;hF-~Z3WFUN-H?1rN!i0DgR*7esx@KBi%z4(Ryi7 zcm!ce>4kieq*YrTb2QXmX8nO0THm6;tvFhv8et6s#t1-Z}G8Ozo=Qb6iD&4!hF zCi8EtJZgyZA4D|CrLt?iNJ?#Wy>&Hz^uRCuhao4@FelDm*qiX;(pHwSx1_@Fa{5;? ziMeH3DVnuBr@=%oQ|U^vJLAGG(yjh4pqCb<7pXm^^dcInt**0J-++$KDkGL{fC75i zK(S|}E6{Iy0&_f(^eg2)?TC}A*+D8tOEK3)v@x9vSf90 zRjRVZYNd|~(zX0shQ90G7nfVslojb4{-naMTa7-@42$Lw7ArQ&Baku{ohGx}H+AbTc2|N;ea7V&waUr6|Wt6X55$7-TsCory{Jom= z_$T6$Ozf?QxBdOcfy7X&mik-XYR!d+AAu8f=kxR#uj@8-VrbGzsfKQ%;8=h*JRVm7 z>P}Wf<49%vrXHt7W^+7BA7dSNLXV0w@<+4I&aF6oOwwwuNR>y#E>=@-TW4HV*fn2~ zaCV`!&~dsodwOXNqvLe1Wy}HYEE>Gwv?&UB%xPC;*IB*k@kZn7F1Nl(-j<=34Utxx z0riYOnekbShf5smGt`rKhpwkfCx_FMw%E2ZOI&9uJ5XDW|F`Zd6?+C#SZyR$s-K|s zQ0Mv)^yBnHt>r|8wUdz`8B+s6>Le8^wVbHKxf68YG|KuI#bksKEsK>ZN{c8;cTp5? zI!j65>C>bs`<=y5lQ>J`X_R4yE0kYz?6=ao6mF$#mySxW{fhq?h0jT!r}1|kqu@t$ zY@p(PV)4j|xN5fa{hy49dSB=%7hNzr?*W+-+-%OdQpw}hjFJhb zY|DzH5;K&@LYg;uJP)K|^T8S1_i=vV1nu2r!q5%cEioj6F?VuJ<=^xudz1^c+-a4H zd?KgJP)~%eur%xni(O|Gjk>UPF1+e89;}2<=RJ=8L~btSDr&1cE3M%zr z*V`z1=5lP7*z7CZ8LM8-^HI>}DHluWa)~EZvEKU`;p0C(b)0D(`MA_s!u5bN&A7u_ zj+*+9y;8(j{@;U>Zm+vg-Q#N1<@ma&GEmei^QTcnFC9+41TlzQdHr>Ey{kF1uA|o_ zv<;`rtl{u%8D{+}M524~LfmqIN!>CyAbifcL}sQGyhi5WPnRXDnwh1`@``e~RB#g& zNqvv%`mS*5yEyl@LW2_&l3BuM%I?s&j9)ySKnz%Sp4MB!?f*2HvtBEIW!L|DGf&r= z=`6GE0%9o}zB6~8@lK%iBm3X=FZ+K-ulBtj8qot!cl3kSC!N@cRro*9#H#_Bm; z@Kc(z7;6CMn}vhR*^M*cz&MrVOy?4(BWrOdLBTBY!C5>EBZu4-#%y4dUYNn`a;E0{ zNe|G5)PpvLi8rdKAa(>f>Od0$O?OQ43!Hq^b=gc^IomRbB^QdL;8YlB99Z}vH000_(pEgd^2&K6!7kQYTp*OE~kPZ zKO5J6b^~mlhkxTNhrjUPQh>7d*tho6IM_>g0#2oDKeiN%_7j{O4~?v3uGrn6@psAu zk1G^@?abQCtq6aW6o0vi-}*j&rytpW6Fu}Fd!=Tz-ZmnJ-7nJm?+{nYm7RBt<1VRp zm{Uh7pWhNyq*V`@EA;%jd6;z?Wix|Qu$NYI`K()$QxD96`1fRtb=@Y7req`0VCPEZd6~?-l?Nm2YNu`iYx*X86(a4(nG$wt!aKjxHI z)`Q(DOHlh%x`~-NpG{r@EwSuupd||&W;E!=Aj?S4-L-S3T5V;VeG$*-L`A|b!+=3r z0tA97{+CPSTgdp_{c(*iYT|>x6(PaV!%Fg{Q#xOFxhn3iqt#pgNrIz?4dm-uq4PE9 zY^t8;Nv~_u>2*%J13GGrC&8)bF!>tt<%{WjlObaP-{$1&aB*vGGA4Xp19vJQK^6$9 zf&aOh_&100@lQH9-SUVL@s6XCrsvV3*V^htnRSNZo-_aT9bKL36J^d0^$ZxiNWJZ! z()A`JWaLugh__xXOQOnJr21Q-0ek{HCZ0mP_j%2?)0HQqkFRKbsM>UYnM3~WFX;TP z{lCcbhe&U1clea+0s-Tk)Mom%M7i}-l9EHUUmIebN)GY4%KGrR!ZtZHHN^4XeR6QF zPRpB}0~^Xio7@Gv;x1+;vxr)m#K0M5` zbO*Tt^daJ9&(Y|0D{VV*>&HBk1(i$~-KT>dj_~o^<0{(!oeIt;wOny3k?R@$O(#A2 zC7s^j;@4;z#K%UGHM*IcMM*scZ9PIIt{AEuQu7dX6384jg+s=%_)M>=cus$F05>FS znunySTWVX6a9GNWG#?M?6`8tZz4J*DM&KhFpVD&tUu}n3;M^cMzwGFnd%1k=McP82 zOQ`2BkylbAqDGzN>QC=^v#F$xI*oRA_;+(Jms2uoeTJK?)}xkQzH?h4ssEs7?iBu;=t-r=u5`dq}%(14rjq92|~+_SKi*=>7U#ce(W% z-mfHaikhddd^>vohQ^ryid+Ux)tZ^}k1|h}K}KZHD978Hv;kSR!MFaKns1}X$ffvZ z_d~tsSS+&CR>!Ry$nH5mlW{BNF&5Q-X1oJEuhaFk%XSr)fnRFw+x*KJTdJ&w;Z_@ z=A6zr_?n|`n*(2`$o5q=tQH84%ILoct$`avp?ICC0EjR`L zM({US7s+j;p>+N&U2g408zs@RgFoE^zu0r?O#DWz#-CuXMXnO)KT%=b2Dg&nSN1%S zU@m^L#@RipWH>u&tnb6EG&sc`UIb?>?%uGZ9eZf2@XpJ{9#+4T z|E|#Zak;$K7PZ+MX*;dAX|z_7A$f$-L`qLBT81cvx9at|75Xq^ zbFK9NurqT2Ls`N}0%WDk>}HB*nXWN;M00IwXv>h+;jnzWl2XQqlJwQ2cRT5HWpzpVI?|(yG@k~YtnzOpz0pZ;7xY|`f3xIYtn+tO zsAT2G4wK$}zms0N@vgJN`ev!xU%mJ8Z>D_Pqq=-#oR;6{pXHWn}C_#+ajjjiIf| znnFVIR*N%7k<@L&oOMULNPr}|x1 z^5W)8Q&U?mZ@mnjvggS&xu6IbBP1g(5ANEAN|? z=p3+_wJTrkvlTD1Bi~i*ZYw#R^M+SA(#Y~%FZk(oqRH%auoO9gy{fB5gsm`J4e%e`PJ&EL=0|uFa zB9A_$ZvKs(jQ_3E^+%aw$>rP5qRHN`8@2N$1~cQ5=ugl8z}bGA#@RMq!7K&N^iV4e z(~{tH=YK=JepY%w>OB1H*EIf44PU(<`6TISCp{qf!L{Tzi$njY$_21Ck}R%*vv zVV#Z~rRZvkHe$IVmJAl5w2`U_q?;}(6KyK>qzlm$oek+S5N9j}mabo02>n3j`U$F4X< z{NWyO#eeVM65Von?5UV|u%p6ytyFQZV__Y>@zlCF>$rc?_)8cM5<~oy-c=F_YH`If~~_ztp%SQ}pgJx_pDQE>{Wq8{fk?0&XSIyJN3y zJ>CP{2G+4tX^(em{OWtgrNNKCkS|@-Rwb&hLg+u(uI-oWDx5n2kotrBFA9{Aq z4Rlc;8%W2piv{^(K;bX`W5Ola!Dd}=U7>ita2%+6avQU6VuNIz^%k;=c0R76k5Jmt z=YXCEXX9>-Gg6B9;}ydE61Cr2^w@MM_zpXIbQZSqwqO4J;EYGS4xY8u%PX9fIW)Uh zrQj@|K0_WA`%;OtIse8^Bd(Ul=St}5xEsfCnrg9{13AgcPG*ol_AZ^j<78DV$Uenl zyyrN?W|QiBBwEtWa_ggTD@{LNMvaHT*(D#4=V~aI>H_;wZ*aB^weDo4q9iz7znn8) zur^HZSN31RCi@Y+m{dQqe}zz~AK8mNmxR4oo9&PElp!3IMaf&Oi|LFaEoH*_bR@F)(oMX2=_bp`l+JyPW->>mI%Xn(sO_5&j zq{}cRmy%1LlTl+>&i9#E*NG(c>pdxbp0h>2`myEh_n$Xy1Ap5ZjlaFJ1o(C2+h|>j zu1g8O(K~Cx=7GDG(7*2AH2${Y@oUtHGV83EuBq4myKKU2+hYIzs$2r8RBcoln2yoH7eqE_757caMw0NuB4KQ_ud}ceVW8lE|fS zc+bCy)N-Edc|{8y!&UFmY=Cdt;hPqwT)zELX;ZB~|A8#o=(j+~JCTf|u3_HxJ&n78 zd$|nUGq}wjAanvCDK~?1@$dJo+(yc!DA(?ki-?tS1<0dqIc~Kp+wIxP5~YiEh}D_a z;kL4TmBDqLRTlA3_Wea#JEcm+0u`2N_{_4qYM)uxBF_)C9t5CVN*=%ScGB*DA%n*! zXVxFxla(>`c_{UM{08{Pf2{du+F!M`nwP%@w=8t0eZ-INp z1X%Ft&2p=a0Xg^4823@N`lEzW=dQ}?1%Q5IuN`E+v40xlD5**0DrbEEveyeV{iPi2 zM*8Ej8;DE(N859(cjIGk`eTE2pv1~)=oy!c0RPk*Sufh6@y8jaj*EnjLEWj^}=%tnkY^xIBZI)0Jb%T3!-9qGhP_;mx&_Jq*6O zZMnF8@%7e^R5>xX$P@a_i%B`kUIxpxGg&v;;n<0*&(S>Ky$YqVYW40BAA6CzR{g?% z_M1ce(uc1aC9~i01CfH4DJpoGqN=rW1-8T`?LF}(>~*Kc5p~v=#7|Bqz5Sq0f9wv) zO?yi*y{^BKB$`Vlt96x!$p4<(WYA?@Mb1xf8TmgzQcnK0y9gVt~pJsVlmmlx0qh;$;<*Te?c;a7v z7UjouI_2H-i=Oh8*4udEUw$>^FaM=3f0#W`xeWcN`YWwhdE#IGMao}NbD1g^cjuFy z`Yr2Bp7bm)?Kz5N+vefA{BWmV8}$znYj@jI^gW&YE05CoYkRg&4O!6l-yJg)^U4%g z_K>1{`_a1m`R=)pEZ#{ZWeNzs4dnmRDLVf-!j8+pS0@#@_$2=}@{c%G=Z}lka~b*1 zB`K3%?UNEH8Lh zQ+~|Xb@`ZRkITS&97#ENHmN|ALuwF+;6y0H>5ePkYY#r{H{&e;aON zezs7{+c8v9OM;($wNJsVB>2^S=A8F3UOb?2X6AL$Uvs`MUvBY}RPud!v9s*=<;Cv# zzPu#zRlk>|PctWyRN1;wlyxgb-ItCz0-#&M=lk+=D7Z)Pd_dz#yM93Ym3RIl81?r` zG(V;RUF7f0Q7mbnSq+S9kLY?5h3b*Idp}QUudr@aC`u5Ps`r!Chy6dIac2CY!ldSr z@|X8~jq-xbt50&&;eTQ#vtZ&*UK`}I$}PfB`rkvdnZKrVy=~&1xJuCfx+|^4wI#A& zCwlDeu`ewCX7cUKLmzYONTJvJTUXg*N;W5^LWzJk4+}~O3DxamM*`> z)r-=2=6i>HTk$hI@h|^8<)8kMEVOrw{7%HYfcd(l?TxIIPnp_?1i9rQh$Sc)!CX z`7t{y#vh(zvc|FF%yOjXn2(A_rr*grz~6NJ^=`b3arYQkC9U`49q*&Q6K*Bx*Ae-y z|E(H-#O+_>c+jd(9y%}H@lio(ZNDWI2?F)me6_aalSS%T zDfJxVsps_4)+6KaVX5bBx}LV;$1%}_c}}IP+`0vBCF!s3INYN>#!~5qyNSO})c6zJ z%cbKEBGaYZu#-I7>-iNuKEZKA3Zm1efmFrnW#^tFG5rZ2wL=+LM#h6@z>|(?`RcfrOVVE?JvO>$`X18j zopg7eEcQ@)PbAXd=6B;pk-Bi=l2i<|3>h)ou=h&FWr2L^JF&u zu9WuN^L^)Mz@J*gdi0s0@oTRL>W|S*{LaU#uoef+tXFRT?A+EvL&uqI6}j8}%FoZZ zmwq`>%Ms_zd$|I}SJhK8-;MVF{x+v$Z(^0ElKiq}V_eD7aTYai+igR*GPTZ>1)F*Q{=T)vh6Fo|NZ;)Bf9o-4UeUd*e9msr1$ zM?P8D+IF*iQGBjXmbcQG9WKlAa1q@(StOA1<6>l)ptFFKu#msy8bRf=?!%w1*6q;e z?-%G^++A%Y;gmc}>7x0ami+iw1`h40LfK~eh8z* zQ{%fmt*jl9dDn>dJYy{v zj%9x(N%~9c398$y7^O(_@m9T~Vbz&HqbO(;^?A=iY$SWgj#x9+e0=JpmJ?e~fafp4 zETXy0R3FICO!WCo6Lz*<%aM6sL`2v7Zld88`jcz!?=$G1>)^Wxl8dh-d=kY;rE)9! zRFp~;MFK@xURBhY4)WcDa`oMVniZ5jOv&j>h$}iZjt2kuKD&0i??n^UdhE``u}3R_A@D-;e+NiIzX-JJ^2nZ#|EigqlS0VxXSa z4N*U;5JM6E65p(af8^(ye_O4jmSkLIRr{6_&!5_Q#Ou$1b>RcVXaAw`cS={~QZky~ zaRAL6(v$j?z?6PLuvPcN6`40UZ}97KK3(m3SmnNx1M9N&`PFn*HUAFN3J0 z9M$>L2@et%{YlGR@Vjne&1QT+n@*-5W6d&l`XsGrAA$YZ*}(Mmrt z30s7-^b?v|cMhM|a@)$YufHqYo59_2K;y1+{k#gdvyQZu`|KImDkSl&&Q;v zF``+P{GMv@5x=Tl%_}FeDAjIFR|#>^85cuPWIE~Hl{!7=_r3hiJDTfAaqD-=0mZUi zAm?CurVF@}^SJXXnfOHNeTI7Lhw6IU9Xy7fRI93U^B0quofA=I_LnT=j?WBDq- z+T4%M>d*YW%yhZ6l%B(9jimoDvNXp20ww!TR=I0-s{+TXMRx}S~0mWsx7betjp{{}d)pwd7`XKglvZDvL z-9(>EB&?l^)=2eFO1`l=Ut&B7Tt@qf2K)BDwyuMHi(BDVlKG?>pQjV}He9K3)}5+g zhQq0QZZ{1Kx02v=8mN1SciuP_xU~;6KFiA~T<*StQy^-!s&=ng%d?5(i`}pDHJ+@p zhm-S$(b!nVphCWZkb7=!D)2UoT%Xl=8#LVPcWlL;vcY)Nl6EuirT=p;{dPiv{^{_M z)f2fyZ&MSjqdunj$GDd(qc>UqaLO-CU>}}*64xywJ>jHh=0jrD-tkG&^80z|K{@NN zW!8G;Nu{)#t{%TvA%1`ABjEp%mOt}7M2iQ;{6l|$yY*LPY7W8RF3)koLXy?i=SOJ^ zkXv&CB0WHytV+*LK=eNQ2I_72v9335j_Z0IR`;HCh4p)$Nf4L`8frM(1>=Q}QvTeu zEq_O*438$uZywGgbxB%^=d*ZzoTRAdGc(V}lC+lRH}E_u&u!ZDlQz(O7E26E4bH0{+K}eJ4pX8Nu*G!e9G{V%@r_7T2qIQFaOTa;W1J`4!bEw zsG@>r4&{DLxs6V_Ja{(v;Sp^=N%@=3OWP-j#Zm7Hk*8JUX|XOGK0YP#G*?X-PEWja zcvo3PMcMF4Bq1tUJo1U2_rP!b`S24wO3$~t;;VKVu`CifwZQX~%$^D&v{j`49X?LC9%9Xy}p$loIEl@dE?7W+$9 zP90uXacY@rZtTT0Kjq(6Qhv>KPW`tG@3dOVQx(ltva-B2gL5qPY#`s;XQb_)365Kb z$6L!=DpFQ+CAPiB%_#XclkZvbJ=ZheH{5(8S8a17a_{x|?P3DY7ZrFuo#*!yc)pP5 z3ky6?@%-)r&)4$&t^&_D@_a#o=i7KbKhJaN_q89<&+iaDIhUl5CH-5JyTDC^ia(f4 zdN=7^lCAp|P!Cz)=BG;)> zT=+c$zpXc>Z54|ffts+_0j$eUzh03OOycCr$;Xh%|C-;3<&nswo8Tu29)8&mmP^ps zN(RA~nJJp#xRh4*JO_Tu;TM&J%s^emjaw_@17K6+Yb0MHuU-Uc{t5o$Jk5qR`6l(g zx^z%6EXc1}w(uIR^{$d~qlt>eMoHZ+Yni`yp`W-U80{ij(E+(APTFOHqIst6Jk#r2 zhvC-=KecC(m!FpY35+&T22uVF%lZgV z&A3cDaOT5HZhYqSI+lg4mP&ppvDz9f*?PI2Y!nkmwca&pCUlA{k%p0UN?(jGGvM)RX|;UPTykTs@%zG zW*H(yaotta`jWX%m;+!?uf#7ewy{ooQ0qA^zLU$N zXLn!F>+e;2`Y1(N3I4-00mE#SG4;LJqDn)nM(0re-ffa>ySbx_0@LT(v>xQV!{JX5 zFPB}Z66zQquTn4Vht`TO}Jybx` zCkCpa3NbiUAbJt>K?I7+wTyq$KgIq~rPgOGk3O}bdAhd_I@oVn;-^nT9ZFqZX=>1C zwe+|5KtI;?T5{z;g?JCo3zM3PvQaNs>Rd0*0sIlZ%SbHd?Cyr#5It(#{ z61m<&G4C6L+#Y4!0y;ki;vCHdF%hqL7#{0@m*{WAr-@^SYW;QgY{yzvrAL3#RkQm0 zO7GgSc;Jp97z{l6Q$d~AtZ6~7{-oUuE`4mqp8Au1Fyk}C*Tc2`;(FQ5v_DDV15F}= zdmck~fRr{$2IWVA*`xK1PU+_uWw1_F@nf7dD}W_9UIa(Su^LC0NXDhA)p5WaZn0d2 zLjzy~Q|_Ez!MnN@-1flHvmdBZnTWswMd8StC)&#Vu}G!A#a%Y!595T2kw z#$_UMZo5i1Cj%Jyw?X)h(R|e$oiu~*t=zWgz=j!&ES~llxy>=9!upTkEMogUT=Y8I z^i@0^tMN4Or(6b}7`NzDRyjqdD#UYNPge4zDBs|ekN50%VmFjGTF7ayR^58EduB`YjO z)-3OQfZRGW1ERIQcg4sxfqxsompD!1i*YZPflvHAMyZk`%OKlC9yfo_aY0-|K)<}J zcjJNrk?9XP{qh+qX?l$K{2dy1T~RnLhfAOPrGdjbKCB=j$K=lu$Dgj{Nc-EXe|)K( zALG4zC7{o8$ap`*Sz!1=F^Vu4L?jNhF!t_uI&z2=a2a-_{I%|P`TX_laO$+I|0qTg z*#@=LFG%!RlO}_ohZI4pP4%-GkFzfLo-q3SIBq12G}=GB2#(H+G>*7~&uCY*pz8Vu z@h7#P=f9~A?w!acr}O83*_(eM`MWRH{JVK5mw{&^w;BGExmivA&P#ND zpK&h?wv6kMHxXh7%n96SESN>54 z%&odRxTC8RzgJm5B2S;&txCo36|L3^hIY~GN^msZsBy$J3=@Z%{JOX$|1;!obMmV- zebNj$hjN=yjL5l%{OwNuj-LKk^>^R6$T=@N#2Q;ncO8P9L*2MYa6i<}dhE?w&U)_U zGH_4hHiKL8uWbjnlRw72TtJV^;y0=HvjFOo%2(X7{1duuj|aRK17rJUBX@*YfzRiyL;7UFnYr zYws}O^(Sg&AHz!I$!yx7tR$x@$voc4tR{Hw_>qUR-Y;1Bb@uOG(D;4U!4>|@0>lr* z$X%Vl*2KW+g$n1pwXzSf4Ze+k(0qORr|`vvsA-IgGt&Y*Pytc)0lB&4<6Tobm}fhD zV=~L-^0vD^YUAvXxyRr2i?+OfThwx_ynkEE2aSjDyW`*MmFzk`?l;ryMPr{Y9TFupXB#Wi+Kv7Vq|S&nyCh zOU|Lp`4;P>TQ$Be=C^Wr@ZsD9U+%gh1G)U5K<1nwkd7pixJ&7)nO^U7QqIcK-<6|O z(GvPn-n93{I)$q%xT|JY?QUo2S=*yUfut@jS?66w(9^ly@k^MHTweWH)+jM9z>MK3 zU0CJP@zpbwkM7jv<2}pEQe38hjG%kSU%%UFkDmFJfAwAe)Q!X*ncx(YHB zrwrazn6WQxeOH7iP%tXb-3t5|9BpPOz$hPrsgytbZQ`GOS`Tg9%jMBK{pJ)>1*&P{ zcwS-5H5E-veVXys;nRR4mdnE@-#%%tHo#o@v-_k-jaQ7c`&uLU!w-qCIwG&3y zz+6R^1>pM1Z8G?IALU*R$A`oVlwZYvj1MCeG5d`S^v+a_pEVQlQ_jZmQ0x8N(Wlmm z-1r+Q%k9EKQINOUW$}DS3m-4BVwBF?X8DUP&Eo{spp(DY=;CTCxEz7yGSb$C)i`$p%!1io0aW^;u=8hpcl|&o*r;bKy7M*)w2O&0mbsvrgnS# z4pL1I84_fk!H_W386liod1YA!4Dr!C9V5`PnVqsEMxNyFQJsuS$LR4XB4)(pjYsrI z>{*A@qZO~Ut8gNp4Akp8C6!^dMxSTafl3$#r-M1~*wz~a#K_?o+!~g_PYR9dh#--J zOpJ2u$W&rM56~M1L5m}S2#yQTK_CN_p@1C(GElRaFbqx%E5fR<3_>@fniYl7L1+f& zgw;W4JBWovFz|*ncECjl-3p-%>4mU5P|mVIUkDtTLc6qM!-^0K%b?(tsyE}p6=4ym zh2R3M5a`y&g+&mWL1;TrOAldmkk6FgCAy&*RaEE3(r$Qa#s~QrsPx|0K@bD=yikO4 zWS*sjUL!a;tQ`b3qw-jYkQ3vZN>mRDG-Ih5Ah29AOMm89#OdR&QuiG)0pl{_bZLKg z-7xoc73bXY5Np-YB7$5w&s(kDL#VMHqmDvhwyy=449*A$a@9o8YeEJCd1GAq1*MI|uuzFcGu>~N8vvGJ=&wBlrOTS6^ox-F4g(Y`ztiH;pEYN?^8 zM7&*=bLVwOZDdHR zh8(i1#zA*VhuPi>^JV;dsEczB7ioNP;mGC1=bUrO^;??s&8kub*`EIxDKU%-# zT*RdsM-+~788|*Iwvv(6x|^q&?L_5&r&2y~xua+Lfm}v;rRPHLyABlq1>L8o&t;cp z-ShWBK|~+}6=}IvPD|A~h}3!>Ejdi?T|0Jrfh@#12%h2j^xx5*)3qMDd+Je*L%I9h z&bEd#4pmv-6IC0XTbY?#Yaa8HZEwb*8ny8~JV24Y!-&B^?MIE^Mc8P)mZMWjaCzHP zn;_?hP6cD8r=U2e2dXBLp26nQg)*w3+hgD(3pJ+8ahQwX3=dvyUS`x_dDmUL5is+DNxL8BBQoGt?XuN z8!u+$tqpL;3wXDaxLq?_OtrXAF?E6Gw6( zseYol*y#7Z-Byl4IzP>B-5kKcWA3^kO$Or%SpWz;4AghGC;y)Fs`qNS;{cG$>nC#k zUFLx9{bbFwr6H)Y7_XgX&-Kg+C}z5i>~SE$B?H7Z@U}NO?nC~yK8+5fMp6MiI24=zYBKhmzmseiZ z0Ze&o|3Dm&Kff9x-TYJGKbib(PW}e&I*7<$fQ6X~W5>wZrucOL1SHyUCcA<>L?uIrBcOsiDD;06%x zR9SWrYKSx{Vg7sUAuj{BAdF12Ntz5|fDFapv9Jt6BlyOEBe=UzGxnwx{=JY0y|Duo zI_5K^-XKsxWEcip3yIJh1flIfd8IHS{Cx0>P!`q_2fh_#g)Wpw8h8=j1cs#MG+{c^ zz_-Fv0fwZ)jY4Ci3K+xaK!wEx7z83v0dfHbYFIBMf(n%jFbG5tS_di&D}W$SgwPCB zNF4@2P%{RK5PD<^%Yd^c>}F18=Gy+aj#ukO(L1<|c$FNP@2To9=d~Q69;a$8Q32=U zUvh>QS%T&E$B;scah`y_w&jS{p?ukGY(O!k&+HP_$t+!ln^1k8q z{N5iicOPZ^fkvoWJ{qpV|kV2bLTg5 zD8_B`e{vYqLearT<<^zeM;E1S_&(0XUCfTq!2Qx23ik(6n~zfZ$3VUvAX^^0QWKQ| zEtsd6O7?5VCvJMRjk}_m_|Oqu@X5Hh-)d*vS);7Y0x`E(Z_|0kEQmHrzx^G zzUU~-F|)ejBrnomsi3v*uHbA3vpM9uT#OE;^z7{Ovz#33TZG>afxRH;1|8KaZU~cqo7KsV9c~$Is34h2&?uG>(Af4 zibtG36N}?A;`y7nb-&Z&oJZBCINUczN)*!kfU5ULX9e@Z|sz@&*O2Q!Q%k z)$B~NYFB7*4Mb4X`m>4P>aYkx>p(@~VMeCV42oKRHkCL%K#6XUm^a7@?GyXR0K8KP zt=CqTMbf{~@oBxxU%8Cn&C3mNO$MP6 zxRVbht4~lDh~O#Io>z&?EmUyl277)YSxs3e~j5Qg!8GRV# z^Z*mV*NcgeO$L4J0X<*X7!g*4qTGln!jA$F_>Kl9gHr<-1olR?VCZ(1Vw&-3K~SJz zU|$H`8;cqZOeHEM70`haA-h!2gv5J>mPO**v>)|(@ASV#DNe6xF1MzMsb_EZ=02_G zHmP53;9IE^qkMQ7%JIf=WF<7tVst~V;UxV-+`c~3&0Z%bO%i)Ked4CTGN&V@JV zIK5V3odv^8^=_uD?79=Vna}fZmf=G_7m?HFoy@#T!_x`1Ws$ahj@~Lr;4<*bJ`FF$ zNqOh@F!_M-5#?}oJqu8B=B2J{k{6uhMM+gPnH3b&s643`znl59)RPgGLIpFA_heQz z!C6^{tp7~LA=M5mi*)@}%hwpA1eYP7#9r=uEc(qg_dT{uYcW9gy+38&f2^=7MO}sg zxf)TF#_{zjCWCQ+EX2SSTv1p5CWCte81z4ayTT$UD#kT+a7{of1S&BWAVMI6&jc_C zY=zJ|SU=zhz7l{SP>Hdy4AuoO=>JwwGP?f2b)&A6#(ycN=MLb0-B~SNxEXvHCI|M!K^BFqjDA+Qx-zEIWMJg`DaR>dt{)92WyM%)G$c7j&D>I>6={j7 z#MYCnGUjtKS8}Iyin?oCO#5W@FF7VPx+O;DN!;}OoESBn>O3$Fy}6tRYQ5OAo~9nC zdfa-A`qUyjW<--@>z$a7{n&gD)F|aw@8_Jh?v=bHtT#tq%AbKSZa0KPb@L%o~On!xQR~ts;>w7$Xi9hj6HA4$0cvQiF_sU zTB5vF?u#_92+Ae<3B5#E88RuaK_5lc5vPY1GHWMG360uaTK5`re|O@b&g6+bP!HF- zGQR&gwk*;y(ealRI^9@rmmTh8rFN9gdCO{b=llwoy!q6-u_9UNex}l0@OM7(q<0+L zt86-6v#AISY(m@4Z7%hPZY zoVg5nrg7_jKU?eE+1_$LJ6Uc0oh%}c3tLg|GOdfkU0ISNDOG$wdkD-I1Q@cX59yse zlYts?ya?PH4I~PgAA*H`A{Y$%AHl_#QV%5>2o&^e)AiIrEI@=n2IB)-A&^061P2FP z2d9KZpp;a=5(5osyGf< zvorl7tP%%a1de$OutN#m3VR2CE4&E|Y1iOyg{J~U2=vNDE!Ue7%C%t;gbr~e!YdSD z^uG>@THiC37@C1viYYKUt0ApWkX6){g(*U41fiX3Xa@5JzajlOU~C)&eIax+4jb@r zzmEraF}poSvUc(=)|qe8^VPPV^HrJhI*S9X-tW#x_f8J8Rt8A!+xo+d_iKw94NL|( zr#E{WVw((NNK(j|`#Lg{!AHX~2#w(L0SE$HL5`{x(m^1D(9PK3EG#Q+`!r+!BRFHg zP5GVx1c8YCOMc&YEjy%LOZB`z!lXkkW1m{h`}G!ktMB`XL#$IM(Idii zt1=+u_%iu<1NR4BPY75x^6f%V@KPB-)~51f}+v*#Y9HqJE&Nmf`DqCOu7?5a)KjH?~jcI5YJ-QPK^4w!3t!0T;2 zlfgKE^jUo-gZBn7=zj!v4mg4f0$RasPDTBiF%}>~AcIeZWe^%cQ7;ynh7=mXkzsXk zC@h1}A`~^>HkJ7M!7sw`#SAH?4hFszlv{V*b^-U^fBxVfhQts%_zmf)fbJYPxE8gb zZ+50@!y*VBU`QBMC_?Ff9TYWDGnE*cK~b|^6G2hyZzhA#2tqTMH~8(~)POi~5SVi4 zfo0$s939XKfx&hxz!C!)^nIH@vXYI})z@>*{-5=HvwaL8xQzLxn(sT`i^}=z66ey- zWGqY`ZGGL@o|Pl{+@1vy*XrC}l6yNoH}i$LPo;jNtnCc84lDT#mhto_xlh%%; zGdJ_<+*?m(&#kAd=c(&>9aKN8$U!wFx1tkiH^Ge9q8#@rW#sm&lDU_$oO6(0ewlo9 zamCcI`-at4TO7#x;))fil4(+#u`c8GGUYT~RXLMuqXEVi2hweQ$8y{##<^9-kTu{> zN*&qTMDDHfcrHL60hAy;fxofE)bQMQkZN%=E4jNjB5&Q$XWXYE3b$v7Uiw}~k@lkc ze&1_z-(FL#;#0gzgvcI!7*WRiPq&msI=`#^a$4L4m*JO{&1i?5%KT34hsk5=!mU=y zCM{WCRbJVBr-|37?xH525>95-g)>HEUF*-@Kl5=8XBUHNHVl<<(#4`WS(d$5Ic`Z2 z70CQAZ+cFj#ru5!uJzI=uXb@6dMPws9VRQk!t!H?bS&v_+`9YN+{x{qou>+Cb;jD9 z+tYlXQp`QrF+(!=w6GE`+rnzi)Nm+X+1>1_1>7}rM^)+@{_+lo3Mb+mTSZz99|t4ja!Hj%nVsUByiw-4m?${{U7`F#?) z{S2~BY$%IFf3I(P#xQ;aV=@jP+$A&&bYw6Tn1iMU#{LVqubqN&5UY*QGp%flmgXr#Amb< zHRT;YT>Ux--B8LYCb&euP4m#tcBh>sk;{X()w0wQraLBO^vfrxBkL$1+2NG;AHTEl zfepp%<;mR6}m`d z8+5{gvPk`2jk~QNZuk6$WsMTFmkpeYpi2xJ_yU<%{}a zh*&|sXe-A)W&Z*L(8k*{x=dE6r)H7-A7Pn5y`%1Cp8r>kTc&Pu8Fo62TRr*Z56M5B z{LzCtzeMqJ8Tlnr&g5Uq{P-dA$K3p~l)`1?mpQ%rdxOq*M|5=Wy0aRK9~8*nee>8v zQsVilmTJB`Qfb}btof?`?(JT#F~LYZcZ@2BK@5K4#U5G(%?BJ-d47P@45DUvd#dSU1hPM6Q=-}sJ5e&QtWnnFG;6>Oo_*>yk zU`PiCe=9r{Ac6`c3Jq;12QUa68-3>wMidu_U|d)P63i4D-UAVY)`7dFTXcjHnn6)3 zJZ6v;)Qo|45PD<^%Yb~ocIzEeT)o4Yl1m>tlHyeU={K};wL{y>z}^t`5&;QjX#p@R#q=UHGAF8PFU(cl z+Z}$jn%`=%7Gn8)fa$ z`=fG(nW^O`Z!yCGM7BcC}^t9k?5W#i6T^)j#aAm(tU3bj0<3(L@Ol1(f|g5ItYzmaaaVQTOsKE@jxAfMo`q- z0Hz^*UzF(Wyl$VP{R8_#ET9zv4M`@FGA!onOo0sKP(mmMp+zWaLBec>ZDDmV@FJW& z_*-Gj;1}UdU`Qhee=B@DpgZ^fxNu8Y1ffUgnPCxx9vjuvGR(jdnt@vL2!miofD!}8 z#?S~tGYGB3B>_6<|IQRzgvA3sUkEKiXa>Iy&_SRn#{%pikijW^V?gdm(^0%5z~Q)t zEA@Ocex;=5>=ViA?26O$FL}LPk(PHTlA54M@^tGwmPRE&bvI-bWd&7HVr^NHH(tup z2Z~Q;zB!@g9j$MN`Kgkj00YIyJ6SUs{1ah(AqM@Az*)-AtAjuhriRtQ*su&-SE!&e zWm42=#y}C=UvMd!!Oa0841#8S6NvDT9$)Yq7ea@5a{_eG|E7G$fFrnTz!8L=a11ag<%|H|r4jyobVgLjR~kl% z(d66I1clnvbbY_JE~=iPx6D|fP}Lp$DC1stpxc_a|dX+(M8wtkNXD!~UNv7B3* zI{61vr5yurUZnZU!jwyXDQ)Z%ysx@i>$Op|$fX$e{JnE64!>IeUGk)Aw3dfhPjP3e zcfYx`XY3v4h+NrRu3kQ#C52r@8JTn1gv{#W81nrSubxzE@Z}6E>4Bkymj~WcN|^`B z7u43u>BV1~FjzWM@4W*jN7XLooVg^K)o0&b>~6dKAJ430|38PBR**~HgWvEa)>*%# z?XpW6oy%jFEfv;%q)Pjl?Zg|$75W&xC$3WumWVU(dvJT7S&3WnWu=r$9i|bh%2hDw zS9#p2-0UM#f@CiFUBrz~@qWTrwLUsV>vTgO(@0t2d>==jY{K$|TS~vQkgT%Y^~RhY z#~K9(4$#L%rE zdrzV4;QM|I`r;473xz_!c3L>d2A z@Fp;%U4y?B)MTi@=o~mQg^rP~4Nzhrg3vLNoVhPFE(9V7t%ICdb}^%KXa?@GanbR? zRRKy2bgH2dgk})hE6)qi!5}cCe-9WN2Z0Eo?I1LR(1x@tpcMi;Q!Ky|0~z$urBNMM zQ?sv`^3-;VgVw^4qHK| zdPe|*z}~3#OTx6mKYU`X6%X4Ap#zN2mN>={q3GV|ed{zIBW00(4&_at#5aKmvj@K^ z|0Bq%wFcgyyb1ckMNVJH=gQRROoXDQK7KAu`MLswYfLK0hB(|sUgY28pK~r~r(SnR zlu2sObqDY7F1Y6&RF%d~qUmlq)u6uzuNM2=;|4*Q6$hWpDt>dM&Tv-eaeOj6F!Xtf zQP1+b0=u<*^)V%$xvnB>*v@zQTlKjo_jCRFkOgPW)DL63iu0*XwTK&>x-BEe%c}XA zfeM)ahC0j{eLf*g^{Ma1xC{h#Cv2;;&cDEiAat`0&Y_!h=pNQr?u z=;P9!exK7+;^zXC*#8LB?~b{q>SM|Z0j4gWHg$g$A;%KGClA8(g;;ya@~` zGWZSYseta>{{zdlVG)EL-itbnVEV++!@GA`M0p^eK{oHm6qZ zViUi6cCr&b+_Ix}~b{M8Vetm3knsS$4Z|sX5j1G&SsMyBTLFm3P z@C-uh;AOvNd_z0a=K`E+|99te!&>5-z^#Wi<+FK1xyQKhh8oi9ih)LOG+uLHb+B;2 z5nMCitq|IjFA4Am{qK?fA%bPS30fg^GyY>>v8*?N4&D*qPy&PPNnshx4q)&Pmo{9z zfYRg0Xi4V_*AMSfI*-RLL-=6OmzDu)4|sVTnB3g+zv(rELsG1=O8B( z*c%HnzyZsiK#yOpGcEDF03`+@C@Q)&5rk%N%7BaTMk7GrJ^UNXyTRSJIpYy-SuSHd zk~Mh$-w)KAM9G@mPx|?6MX5t`e&e|1{C=QK9fbxo&nT)75Xvy@GEmOir)GX=BFi|7 zAo2|9qjq!twL#0>o=5K7eK6;D6P>RBR$1dr?mo5qc3k=NO$BnxKG^y~41lW`xa;8V z0a}AWWE~7PBS-XhEyw?3?`r_89Mk?!)2S&Yjg`e3>!eUrhKj7LNsVev8Wm|3P0jSN zrkOD_C1edHS$$a{D=V*%l{Hq#i#1kO-pwntK2}~?AuB8Eqpa-wuj{^lzjN;M%yXVI zGv^HQ@8R@2zx%%K>%Ok*{(L^pGj+oCaM~|VD4$rA*Dsn#MV}Y8e@i(=J-KFklrYzQ z7P7IL)i^o&%V*hVtwI}Mcdki|v%9k-Id+>aVE*Q%imKn6qEtfZxVgSPBfPlGv%wLeC=dwXH(0M5eBD%A z-sg7*I(0eq&xohT##6L@NcCpzY!%A80Pzzi^l0_0&=LH6;gh4S@X)T7v)=|{Pqf4zOJEPX8p1+gp@boYD?q2xbyAn zA?5uLg_0Q5Jxmm1tA|~F^jEm;<#~q8MeXcU;Q{^21Tz%{Ni9frCY;oQpCyTb86rV0 z9G{{BDIN;Vk?=Jv-0_}I{5|8$@Xxi&1vH1RFPYMw^0Hj{ zd&aV^Z4^8De$JX_!G+jc>wYzMB8 zTANYKxZ~Y^NG+z#83wy__K+Gn?P?W@T!ir^Tn}d+;FMv~tL5K8BA*NS?Itx&-rXOD z!#XkRnF2!`zh*29%TCfPu410w=0@I$EF$vW7g6)L+BwtOxj9+Av$ftprS`9i1)3(y zs;KGnwY1*Fw%%I#s)rLF^_RbdiY_q4K@E;2b9QTK=J5RC_f$BR|bM~@ug z_;^702YLqISThc4ie_(f_?=4WYm$wFt5vgOt7&MOWhQ_g64y?ljL_mMZJcvEN)b7n z{_PuQHA7yYe6B!rf}&!lLN_@2;$+zMJ9l*W6muKEDHiwWeB+BPOJZc)Zj&!c6K7BGlNS;X&k_5#LZeB4n zX#^N)HU?akVk02#5}J>12}Zy-TP!b0NYZL#XpYo2s7*$w?m9bPZV;}AGhdqhK0n##$_ZdfefurANpS}b}at2wL`aAdU))Z-8wu)$pb6uCaQzHs(JbGJCg2ljkXuIHEG%#6_TC4qj(-un{OBpb+hKh&;4Qa<+vTRtQ+ zq8^SPx?U~_hc^l67QhX!G_y5WGu-f+_$*VsH(I?BDXoX27gwlky2f+8$QMFB+a|m6 zs5mCSOXSBlL4S{S8KIgc=pQTci<_W-zR1@$LH==(-_Qj4Z6d!N@-+?PV>&APo5nMz zeMYF_CL4br`Dzi-OC07<`2vyO4*7^pcH`fmy=IAg_R_|;?<$ecZG!wJkuPk5eAZs# zZxiJEi~M59*EICEK|D)EKKtgz#j{lOEmQrF-@LD_!0G>HT;WoCIDD@Nxa+Pv%=CBo zGSPZhJ$JzAJf5|;_8> z(U6lRcGMys4bQh6JLX$EqJG#M*kS7c@*Q_B?)$)KmMAt!I2ge|7Ue+rGbb zzJ2UrfxUdNuw!^v5=Tvwb0d=_)pl60&q6(=(Kzptdb1z3_2#NKayW4|=Q;L*pyxUg z+Phu&L5YgHx|r&m(;ee=Y_+l8>usqv<~ouzgU%asI%I_Mp0alM%-it6m}jojt`_-1 z$meRV9FE>^Q6}$~0T~9Bj$gx&FS^LQR{*1LFPiHGR9KCwB#lN4E;4-@J z-_LP2iW*X94Yl#WRS&tYmKp9T5sO;u({X(VkfR{9LcHH7e$>5W{aD;dy@>N;jL=1$ zjbjV#WkUWPpy>6%FGVECj4aLf8$Wt|a4%7QM-l~Qb_!DPSP}(EE$EU&LBc73E|~2X z`>sz@Bvr6jx@J%ilPY*4RTmCRRe`zgkV*{hN!5aH--Xw9e-~cb{ayGTbfmxU{;hCh z5*L!r%7-UWkaSKgNTMLA1>KS;NNT~*BnpyRka7&-t|v8TWlC8=+-0|BSP%-0mFx7g z4v>A^``GvCaw~_k-x?=WZXN3ekM}e1d{Oi^;&0_FrOEqG+)k8ze!Fl)ln1TRT6u$w zj;rA3sVYd>f_J}l3zF@Wk_Pe7-QR_;cYhc5bfh%Q2Puz*WV?iGEyCroYWd+H$8A&Zjw%JRnK(BPAw7fU! zxk&GI47V#sQneuE(WrNdqA_r;MKO40!^=G!FBQ5jyqv0n&aML1(os-oc*P_zG<%$^7Hf;O2i0fnXnG4!2Y`*f&hJmG^+R3IEAezz*l_ zi0q*3h^~TUXKcPbBCQHuOVTHOPrO|V^bymbAo})6S3$G36|RCMNi0a(jLljRT?@+G ze!%v2`a{wnmZYj6KZ$~tZ-tbDnCu+~o*;_DG7rdolpfvWe%T>*9$2goPH4IgoUFFl zcz#5llh6eIJpk|ZivN+!pf2n?3b_`y7Ul1W@{|-jkVJuR^SKt3rmEn*B=?AuT5w&e z7W_}D3JytCK}D(x{wq}lDaRn$?T$rX7 zc=tqn;zLe`%#9h@Gsitj>@ID_N3Q~BlWn$lJged++f`sRQ@}@2(inXE3QP=AaiPrb zl-b##CXGSL7W_U*PfXgCw+C(Wr9+ukl73-a@#_c0K^BMt^8>FS1vxXY~a#CGfkCIt4Xj)G?9~gl|Gg4!^@*V|YJ5}uoV&b0o z|4Bzmc}ChZn7#{x>DzC{lr2bE!8hF#Wk)4Pfvksp`pfU7#@OqC8}zI~4)1k9dt+vy zy)o0^^#@tvebAz4f|F=}*KSe!@UNuGAO*fxqIs&K3O)(i<2vU&#uD!yR~4kxexkrc z4bT6dEWbOMg!?N$k#PNzFOorG(moa$Zu|f{6>-A-URvZDbg7xCyalCc=i$b?8NfuGB(iqBe7zU zk3in%{-^VM4SCM*aNTf92lV@?gnju%*xbiS&`0@xj2v-klhnImiuG%`a6O!UrFQb~ z_uAWc@?*r~B+>6h1@1V|UoFbp$=ZhR7vb5N<~<$r+lBAdqKWocj=6}Z{qcu=sftx+ zI${$QL~St!I_KGj%M_BZHX{(LZFZ)(&=@80HO3T#^l4|0-WRR?Hms-Cn zPEl;cFgSMvoD z?navtHw`t^EUH2#&RvJf_+Mq?u^~bG;lZcq@1V8+Mh)TgD8<4w^8(l z)}UYLN+^eC{)}%wd2iSE6y<~ey(?P(Ec&~V%)$fHR1^=zhJ^gmtp^-rf)uf8Q@iRjH< zXZ1$>`y2KdGEto44m{~-al=B^b&-r)*ZoD}2ARJ|+`8^B5;vfHMa+8zstcq&p11zh z`TG~&KjqyGH|ls2m8J@(tqn)>h_j}DYWo(;XOq;k{iT+zr;~(#&@kDDy=LnvOfcT; z#K7gWb@)+t2+^UWTC<6@cMFifW{v;YGh`lm z%f@B17M8;^?)bwtd;Tf&&<{nffkABVXgkX*3FSQ%s!!`#EA?!Cr)BG@mL}*iT-MvI zww?szvcWnY_c}28;fa~P;8UJ*4ci;5$<{>*A1R(kC#qzc_tzfOSj`&PMhllUMYt)N zMXwx#+H_d@^qB6dEYFEDH{QP_RzHqn#&X=-|OpW5e4IX~HH^;G!V!}HrV z;Sw1G(O}Bp@y^d888?Odi(D$zCeiY0a*??3$10M7W*lXWkood!>sPMI%HbKW4f^*V z-NzlAUTCV~vWYIM`ikXKE%ih)^eNO(sHN)B@w!RsDQs)&S>8y0bZpsrv>$an zOZw4%yJbH*Z+GoSx^G{0w)CR|Y}{-8$8YR<+ji|h-pxsD!_a1dRiJu56TQoG(yO^^OY=*d1IH9fjd+a!8&PqKQ(tA05=`z<;n2S!{b-%{P{zjXE<(R7oc#0sh8tSdDWXWR_@!JnqIv+ zeu_nJ_GwmcZ8CeuXpkLy}>acxaP>~!a0zv|c&RdZ;qC?vE4R6_GP&)iZ$%f$r}Pxf5iw@qfdzg3V2RYXeUhy&0y{i$ zn5|{>Sn?w|{}=h}$*}Jzo9@V)-wQ`CRR2=)^`~y~GS4;|MQ0f`7D>Zv zVC>&;37;rmMMT0E?Rs>+@=k+L|U5Gy%T9k zPXlPw(Ey1ma@wd7vs5B2yP3JV>LiKFZsyT9W^Q^0NqTx+dTdG0fK88<^fY67Y)Oxn zJs$b*$kHCy82YoqkcyG_%gZQ-}|Ynqls`AAb!m1&`8WOM0}VXFW=fE$Pv+$0PrpN7JHvT8l0=O|vD@y!-u`8O)D` zWPXwRYrN32o2>!nf@#C+ySQp)mLgfm;+CYwT`NsbBR6eQFwV$5(@YG4y%eQ+oZXGS zvM^|gmc75dy(5=_&F!8>Ea#30?sQ2da%_~(*o)UH+MRCdGro@yEI(|TWE8*%TV zi5F%#Nn_}545OzgYz*_(*M;q%E9xOz)+yfLW?ZHzcBNaJPcc)wy+PMUMEhJK&topJ zel6DPoN_q#+l*iF_bKhKBlv#aaQHMaq=B8@8{Xbclzk6qYxB#~C;TjS6qsHRq`qd#c>l*1c0+Yu7|eZfpI zt66cge_ya&_}6-)Gr;YHaifAJx^4cxUGXe=F6VdF?_BG)>$iE6qWgRGB_dy&SUw^u z;(o8bR^&G)mT#c{Gm+1}H=#Y9UHxmt;jWiugz_L?v7dFoX*Y9!*}mzN@6on*n|V{b zUAR)T1clwxS!{2!e>Zjl`ZI^()n>2`{Q&li5i3_?#QVSEdf(cGQ43a7^K?+civ>*H{i3>>; zq}&rzR*LnEl+q56n&XH&sB z()1rjAxME4jgA6ce7w67KCoqHoy5XYRE`4qd^TIw~ryu-OsPqH2rM~cA zmfPqKh;6B*?C&`S&5?7UwF&i^i)BzCUEydc<)}BYisgDc2y0WjS4llJiR)>S-Mhr( zU269;uv z#>kkDPH?c_GaXj?+o^87EZ__iDipDR5chG-aI}~a>V#OtTrK8`K&+vHp?Du60;aA<27ykcT6vX^LMb)*8|05Fme~tWJ;tkAG z4ee}YU1EO^_P-PSpChjDGg1=;UWN2;p-Q2)m(_ zL1=ap;oF)byj|V(F~c3;QO(B2#KKaqjmMmYGQa)P#>40LAvzB?h{ySo=x0cL+~ML- z6_!Tw>>2F5;|<=o8;@NQ;3bRX`qaN{JR<)6W6|Em_=Wb*pe=}=;HdlZM!pNx;l^(X z%+fL2zJad&!o5VfIZ+BwV0x1GRLE6e+95!J=`cYGR;KEL*{P&rLAN9pB#l9J5(Sz17>~}u5?eFv6Mja1H+W$2X32J}SIswra8$?6SujT&54)jCmmwK3PXaC-l zo^QpbxUe}pjt(ZzhR~i(#&WCT%bI&kv0QVPqXE_Gja{q7uI)RmUAYbI$`diiE^|#S zrYwG@vTrwxz@0{sYG^sE~q4mT@uoQ>*e?8|F(7|x=xI7n`Pp-z`oCBzP-OQ zyJNVQjP{^*^Yj=yZad0^yU&6S3$vtCysj2DwbQ!_u1{5g`MGf_78J=2H^>FwVduKg zBUKkV>LQnl3$0UCa7q#dEgyrFgQy#wl!9p1;Z$1T)+GJFcM^0vQnE!bse;#~4H zyHj+*t|wb=lHUt=&@*ZI`>Q%l%i+x9=KhtPi5A+8!~(mmm~SVm*;(P9GC>D5rmrJe zGB54DU@!T5sxpmg?WIfQKH8zyj^%2E9F85juF2bQE)dY2UHn8X8D^1?4Ac2}zfkAh zwW4=3^hWkmt#Nvdf5G$awj^`To_W{)7S?<1dA2)TQl2u*wTV4TgVHR|8xTRBh7w|Wb8 z(v`!R&&|`e?mS&8^5Y@DJ;6L}7a*S3+F5(P-7U}V5H4xX{@s~|hc@JbrQlojMBv7qH+ka7@zn#6^q zLHw^&dt$Tp$8JAp*8bR4U@o2pEcm|Do2%fyBr!;)!0t=KcgXekF@f`=+^{Z1U8Z4h z#Xz4344aDt;ziuPRG*VvFM5~%?(_QPdOIU4bHl&u>pR+0_Kd8|*9?=f9Gc%cD|2&{ zEeL02ZjG{qZL%`AN7Q|#yf7@<{8GgEsipc+tMi0xGj$|joV7Y7`Ix( zY=2vPNca-DqMpvUEk4AJ8~y5ZcSdOC;4kV!(w!zsP<*osQM~*o~Vyru}Nr?=nJb#_y=F(*#*t;`-I2u{6!@DxYeR zA5yfV-n^sRZs0Q`;SJIiWhGD{IaF?$$b}~Ds5kFCj>=7y$5CQ(+E3T2zEY9Xf;iN! zO_IJ`(rYyh<#WR~3W=>axtb?OseH2TmHs|$M}472(=1YpWZ}#<-xkWEd7$~G4HCf; zxIAngQIrICg^R9x*|F7-E8Oz1tZl6qWo-L#C^Spz3zhAt&n}6tPse0dW?@)&2vUDT zC54MJ!un)x^D^~rN5YbUnZ27`GEnWFOli;WToCkhI$<}_dvOUfPYlXzAwLfes#D*1 zm(bckg96nCW(L;T{LDjwTnWq!w6=vZ1N{uFGq6>@bD6r@BHcl7XX4pEpQY z=LtcXEfl`M_Wb{)Cp15{f#D163C*uFuvJcJnLZTgXTN6G8K^ceLV-aDEctEJ892u` z-&(y3ns7GJYv&0w^?BulnMVX=2JUYI>$E3O33kSTb)FeyP+*;7gGvV0c~MZw@}SJi zf-(cuUK~_%T2N+SAF2u}slQ)J*b}OQGM5HrULBM1()UF7sGca>?P|3i|yMsyw zW(KxrptXUSfz}3Q23i}K8Q9|k$4cOEX#Nb={F2R|!J1#P`7>DaOFk0RZ`TH8{yr$P zg?hrmpgMmOl({4*^S^>J0~dfl1eFX7!d*cn0~dhZ%i0#2IZc2QC1CnSo6f=x1Q&o*>B8$=xdmfm3SWtQj~l26nK(2nF`|7E&7+gjqo&J8&O= zWl+gWnw@!5P|4eaG6R?8z#ufgCv*%lsD(0H=+tXekSl?$az{|fPC=QIgEGejWj+v; zIVLDGun(;YDrujlNpP{@7eOV*2W9>-D06gBW?+vG-1h~x%9Nlw&kM>7TFnL!%Xo_k52L_{U5o%zIsP} zL=$jm`W#8`=99im(sMoOT}6Jir1z8b8vU(m^uGQ@!s4F`*d%hbYvleq>Qd19K9ls7 zKIvJ1k>>?G=~`b`Nna=Fg{mO>9D=<(&gT_WZ-K}~9^FwtUgdCT`C>_5sp)dNKUQA; zh`Yz$`69RZF?lXRpFhCSM{tFfe_}^{URxp22l}$3mh_c$z3vu!oKBw65z$RjuJ-A~ z<$5P5*J-^x&+%O1a!31=Q$Gu&T-^&h>bJ-Fxh2le)go6da`Rr2_R|-OMBDFILY(I^ z!m82b*GW`deyY`rCkJ!QDCi1Sb7Mu}Xzza^KOC31C}WIV*hVSiy_hgK?w#%qPht$ACXuhD)Hjn@PruD$xZ zQ{fQFBXqEBDYcG=KWLpRa`&qEjg||=X&^`JX~Lv;>zcGxID5L zm*2;7`Bx9MckBk454X#6S#fquXyDfzk(=@_Z-3Bd?qhx#|3z-`r{4Y$ij&j!+9+}} zKl8R%`uank7c)XT8|Y78e^?^=XMQezYL|(Q_qM{~EFzE+;{i^<(?p84ZA z(pK3ba$B=^)^FD#Zu;kJ^ITh4sE)i%lJ2*DFO^W?T%gvYc?9MywdhID^+F$ZR(R^uk)oUJukB`enk?Yq8xm_X` z5xIz(fN`6R2kRI=t+%VZ6YRi7=qnJp!bZqdi=5gQRIj$%GLg#_xmH51wWOrz}1`m4Mr=eVZFdytfy*}L)at`_;F$EPpeYeiqTlbRmyT~aQ) zf8*ob;}z-Or=&05vqay9fnK{0NZL&VxA2`X}kHO@=>C`g}>>JY{Eno=1L#q__Kp z#FI({N6F`LN#7vp+jYv0?i0?A>xa4FYa}zaUgVmWQn~z7n=k+BQ6QgAf0O<_P3A#I zZzTLn$%&PVgzf#==zckj{XdqMh)C<9b{31iA>|T>IDKQ|>};styT5!;G)eucRF1Cl zd0g}^zL5I`4VwRcV2jAjOd_XtX344Cc#+#6-y80ea>Ku~HN;jwI-ff`Bh0IW?n$`F z%c*XtSoFT8dd<3~K}h_&0?nN-Kl12i;y71+;E`*-&6XeE^~0|Y77xVzn56^FD}u5z zmk$gdWQ>z6?P28%Lf%2xVw({a+^Sg!c$d*;F`@j~oe!WpI zanm@PxOFpMT0U;}E|1h~+eccg3v1TBaSQr3dgQ4aO0K+-q( zq!&y2I#0U(#qe2@UZ?3z8W(myTiZFjQ>xUTnMQw5+UPD_+d0~u|2rK@?4_m@EwKYQ zMJ4uzO^QmIVM$2GZGm1GtCn?5zGFRVn>|-Ynq2#p9e647YjtWjBQUjjaCuR9idjU` zH`y&Oiks|yE5@gDWO~%MYFYIkN|;;Fdfh6tp!yLltA2IMsvodNy>t$4kUagqWrHJ; zx9ia+x%H5DN&GtXxa55%>HQ>KuN?Z%Lz*$${Lb_Lnj!e`iq>}w_PpvUC-39 zxz6u}Ys2AMNz&g7Be;4sE$H{cwc)v@Q)zvxq`vGLoCh|xzTY;lKHbmdY?bR_QlIWm zd1$-zk@S_4UZaUTCkcmtAuM`=rscP>D?r~Lca6R{xDzblk^%*jOtZl z$MY)5&%P4Zm2I-wcdV84b0ob+(>&!gZd)XOr{r%i`8qo7zQeSRxxT62?0DDnOZka? zIBa)P5qC^Ro6KkI*M{ZYEb_bVK2m$W-)fv`t<>jdkM^telAkwMu3M1wM^jb-;k?*m77(Z`ny-P%{-L+mh zEoA3`)snwP^1q4xs_oqMpK?8S{x?_O5~**d)VEn3ZsB!K;~oB3#=q28W9n4Jvs++WgbJ?Yxcqa=Nuq{r)P*v_*=F0#-or$$KMl4~N%B)>-TQ$JtUMMPG$R^iiS z#HRC{t+rL%`SK1^Px?;BJ?j4`>st$2|7y#s@87cO^-t8cU~uf?Q!UoC1Jl)WM2{Xi zem4C}*2^3AjGySm&OPHthX^l9cqW%Ukiqz z4@B%XCgsb@A&@u~^Z9>K|)a^%tdiarOj9w=Vblj(+F)1w1(E9`PGr}3hlctxmkG~}^CuZrP z*X=fmUxiy`osvzr|NdPAA9sJMJsy8={Qar+_WqQ%XF*2mP~C@ezbBliJ`J!vUobVB z=iF>WYuksrOQt^06TMT>_~&`r&&A_uA+=+p*fHc|>2Hm&LoKrBEm1q{PP19h(6r~0 zXyQLsp19xqFS*a0&<>yY|Hwq4$?;zy#nwxG6<;(i{!wp#i29<#Darkz_IM6(i8+&N zLKE3?+ApiaGTy$F>&XfGWvrh>?VQ=X`m{f6k^1_59n=o7`h5CjUlXAe`=#C&C~ea^ zl-ugddaaE|SNSqW(z{8zKB*fzbAFq-ZO`8)Z+7OQjD_-t5Hil$htIsS%pTkmfjtq} z6M;Pu*b{+05!e%fJrURwfjtq}6M;Pu*b{->EduhlqRc_Ny`1TKUap51XW8Uv`kNl! zO0T`h!E!^vvutu`UGF^eO>c4QvGWJ#7=&0TFC))zeLi20$U%7q^*MJv3N!Nz>Q9>V zn1PdZeHLGj11*H~=b`SQmp=5U>vFk4UK6N+BE4RqhhG2C;{XexA>H%LH>R)bVmYr7 zEQg``S0nXc{r{=2*LSUj4urhK*>L(Pe+8$0Kz*q&og1R52AZV}smy~1B$T(#|! zXR>|>JOX$;@HF7rz)8Rvz`4L1fp-BP2L2iN3h+JP7r?fCQ9tkq;PJrIfM)|I0cQZ` z0&fJ~1$-FzXW%Qq_kdpj+a8blfkyz32c8Bz8#oC#12`9WBk(TZ!@xfSUje=c`~uka z1k?{a0(d;|G~n65Nx&JvxxgENcL5&;{u%fR@IBxcz_$HRKkx|P@xar7X9FhzX8`8{ zZv@^2d>Hs=;48rQfL{RHo{0K^M*xopo(4P{I0-ldI2U*$@GjuPz&`_D0lo+P0@(H^ zs2_L)@Oa>9z_WpqfHQz|fj0v00zM4DQd%#d5kK;vdh^&rX1$B+ob?F58G&a4H zN4~SDP(9gBy1sc$({zo}y-K)Urrr z$>hrNi!^!K^wR37Jtvfx6Bx04A)avr;BDaEqpG69O zcKWG>k-?|R|7V8=ju|;De^lX+{GkQ;1^H*4J)mIJh{920MhqwzFt}jMs39Xp=8qU$ zaK?aTn{W9A1;h1AB!ARd zBhKg@{boyOnw2{>KQf_2Ba}aKpwv)WK2b^xA2AYT<(rr)xkCz1HAxY*rLfSXjT|*1 zmUi6v$BOQ=1`a=ChLpc?#O`y3e-pc zL#z+*Qpbw+cirphIMY3%?n8C&xsQBwFQ(f!-COGZPREPx<8;jE+g0=pk2+TNm5+|E z{pFMF;P~PAR{c8OImR_zZP7X@qxN+cQ#4)c_8WJSY!2$DKGiK{TdAKcOPOygJ!V^m z@ugtugvz4Ii=`KzJz}Kvw!u?pl$2FPB0npdSUy3f2kV*rS8X3GKIMcC3FViSR8GFQ zXLU*Cw8%vzQzuWUu8MT4npjj?Qr!I~kh8MwLfR8fmQEr*n>kKnks~b{>1GCVcSo+U zpnZW&1pZC2eni&){P?vVp!ta=9w=qG!W3T|>D{xkI8t6&Tv8b;XJ%-x{|CgE>r*`i#bUNQWt^a{8vA2Ky<>s62J3KTY{A zHnrh-SyResJ#PKpdV}(k*JJl-+}~DgX5Xss$ZZhk zn2uO%>7VYK>AQSwaM-WGzVej7DaX9LHP;QFaP zVjSpGuzuGAOuC*Ed42FJD}A?>>hYdusO_#i#~gja`Au9|DdKym{Vb=pbIhv_j(HtF zDx+y(`OtQ;uXTIj-tq40qb$p79ZZw*%^sVoLl3oI$3s7v!EywT60Y-;rqLH2Kic>F zyNugMQ?iP8&tl9h8@D`}}Ngs*h?f{dK>q9_5P6XWOgq{H}dY zzw4af_DSYz8rxoFwS7~OW&7#%1J$KE-Fk<}D4@M+JN;;w7WS2+e%0y5gFa|~*F0@+ z{jlwMJbF@Lnk=l?WKAk(QM>LLFulDP4f`03+)~k9F+3A*v z)!#Zatw&j0{ha4>WCoM^%X)}j#vz#vnomFUyIZcYwz0gnG0US5nSGEu$FQ9h+19#m zYD^6JNqie4r+r%MSASRs?ZO=2hHXyUz3D8+eEO#Ik=np~uU>C{s^!0lcxm6I9~u|s zoiSIszG@odM*ka|Mn71NanUx?`Vw)i-_6(Sl8xc4NBvTpwf%hhv-t&#&I#^V(|rre zTf6OkjC$hp;^$tq&F$M>zg^qIQm=CA<6Nw^G9Eg%{n~}y>=o%7geo?B1yeEE%&M>oq4 z9#A+)eb6Il|HpG=@7|EMt1sR>>z_R*_vW#jJKntCyNXTPCfe?&IAE z+j<4o#8W9dwFIW_)Ozz1I-@(m20Je4~9)`xMi49$=e=<+~eW zrt7*B-xdwe-(6Yi&^>M!r!H+9tye#8J8Pd-`^A6564@YLi@9_%;LSEKWs`k#!`Z*Qy;>GzX&?U%T;Rr@q9I>tFCsBdnb*k!H(>G_7A z&UkxbbC8?H3Rx%fv$S(&cYU)~oT{o%D;j(S!Y;eTjWT=M9N{gE^n; zkD|AX_TPSTb-|tMxrXW7qjR;}){T|ddJ-LK|98=5j^C#E==##wa!swLsq)`c8#l); z?GqX^cYfD(sGHL#G>)1kw}Yi`W@w+*Kg?w2S^1u!<5v5tyN_TWr|<6EY4c*|OzeAF zkIK2+yYG{A&pd0P&&Ig*(SAM8V|jNkD9L6XRJ--L8`>3gPC-3t7h|iwYQ37L-!)HS z&|2%&dDk7AKk~`*8;2TyuKgNMedb5wNgHX0`lEX;mC<@thIVNl;~`(;4!y5+l9Mt) zz7KY|)~|M(^?z#F#PYH#c|4+|tXdwEm?lrn$nC@`+QRxYj;>v9yU2I5XH{92v-*D+ zis*sI^CnG|r#&JwyG@mjMZFrs9PzPOQkkZHYT9H;Q$5tleAkw+kv%RHDVbSaSrnOE zSybFBQZl2cv??-5_B|8JXB1UVEh?K>BAc9I@vmrdS$S3U)QMHS;%#k&?n%?jCN@iV zjy&-d(bCltS+SR~(`UZS^FtBYos|~P)Htednr`DK&&fEoGX5Gf+N*n-9C_-@aXi{i z?4LO@0wNV!#U!oU)S=^vI) zch|%AkEvx-tEaMq&^EV!Xc_(VlMnOVzMx~mjV*muS&o&MFZ+Zdx`0^9Ah-8+sy8fiA80;mBzQl4_LOUy1Zg0D~Oko=cNz} zF{enoX{2=Ow5ioLHD2}z%CbkB;WoWNDR10<94#kQ<*VfB zv+1QpI+z<2@sv4=Wr`-q$&55ZblCY7j`!Iet9m- zw!zGvK8{kBbAWfwK&-x>l+T>(sLJ!JQ+dk3}M7lcM8U*J@oGCkb+l+c}_3$j)G~bgfIrDW0x^DQL+kFc++F^Vq@&Lb7{Q&)~AN{vtF zbWPVaE=P6<(XvI=kS`Yio3aX=sj)tZuVO`FXzY;|BJopw?WVu;DVr?YuSu2V z(?r0Hjh<8JR?AI`*Ne84-C4ZejF5Ny#+N%%^iGkT!qiF`(9wOJ)+K#UdS0~AqxRT2 zUiSA+ThcD}F>%lL*mch*FAbYec*^ewzkNxk-+%lh`K6sSWzH$R&GG3eUhY-KayEVY zlivL1@ve1EE!TbQqCb7!ZTl%wE^_|zj8mIh-Yft7dOfzC0{`Gw*Sz1{tj7mhj~rtf zaGSmv`9x!vWD%{D{%iF;3VG%eV{-OeqIAkJ-xq!2Lb*njr;x#timKA`vR=I^rc5iF zG|}Kp#p05QlZpi8l7PX=;z<*yiJZZiy?RwommkX<3p@_k8`uZfw^y&CNfWEfOQjre zrlq}RPBO{8N~aag%#{gNQRn$85v!qp&g+lHU)3aw!&OkG&rbG+HeYx+g`Oo(L@~!A4nL}4T zB168SKZTBYz12_83vJga#`mz-kJ^UhCwch;N7*?}_sH)2uXEjiQNxFEPOrct9Nk(p85(@22MWz)tufmeg zHfzjyQhBzGTaV5WtjE3YL0Q@%V&>5Kn|<4zU(^Qoeu&y;t`CpMA31c;S@|rZ&jy>l zxLz-cn5#z7`YB`QdpU<3C{wW>Ql_(Y-cac4} z)+gN0SJ&@`&jH5H;aoYbTjxM`Zgle#eW%Upi=Qpb=lrVU(QS9-EY}oS*B+Lm4Wcr7 z@EWr#KSZvtvrhI4w-1G-w8Y!oSJL)T*+hrx*S66%qpYT}{Zwy1X*F_fL$z1^);#xG zIQ`IAY5gjz>lf3tOh37QkxZ9}MGv(_*6DVtOZN-9W~(0kE_JkF9r?l;6V?}&v_$Dr zHmuL|xZ_Rrxp9zebNwYpyf`&~u>9tKki14=OvG)A9QoC~eFwx@XUNcsl$hUu+gPe? z`VpJkDyq%qUO?rvs^UmYMv~*=Wya&AO4&Y_70EA+J$ZT`$K;tzu{j!NGrskv)#QsS zCEZ+~b^X(81`TbE1nz^hPv}SO*N^K9u2s^tqleC4v{BCu{ptux)A6nI ztjhbv9h$A zXzOYC+f=Pr+sE%W1}v+1@c*nfl-LuTcq};a1aRWX;KbqJ#HrxKi@}N4gA;E9C*BE8 zyce8!KREFraN=X&#OJ_?pMVov|Ha0GxIZ}Y5O895aAHqz;s9{s>EOhX;KVWD#HrxK z1>nR*;KZB3iT8pNp8zMm3Ql|*ocKOC@l$Z(SK!1p>uvmq9l(kEf)ft{Cms$?JO-TD z7o0c}oLB@-ybPRp132+kaN-@{#CyPrkAV}P2PeJ?PJ9QP_yIVv)$=x9#QnjECxa7* zgA>Pr6DNWbr-2ivgA*?YCtd|kydIo*6FBi6aN+~t#Mi-zZ-Em(1t)g=UmFkN{@}y| zz=@r~iCw^neZh$*f)h^%C!PsT90yLk6r8vSoOnGr@ey$1qu|76z=_X+6W;_Uz5`Bd z^#b}2a6b2%J~~POJhaUJg#Y9h`U%IPoEH;$z^%XTgatgA-o| zC%y?zdo#4a=z=@B76JG!)ehE&@e96X-xF0z25OCtr;KY-`i6!8~ z3&4q&gA=a;CteFqyaAkeH#qSzaN;xI#CO4opMVoPzHI#`b_OT*1Sg&hPAmr}R)G`m z1t&fPPJ9HM_&hlALvZ4!;KZ-NiAQd<{t$bE6NiBl&jcr408YFNoOnGr@fL96?cl__ z!HExo6Q2MlJ`GNM4xIQRIPraO;>X~`&%ucY{ME*Xcn~=8XmDa*aNNd0@GI6|;*sFQp5Vk|!HFk;6VC!Cjs_!HI8x6W<3XegaP10Zyz3C${;UjTf;! zII$x*@c?k*k>JGM;KaV*#KGXiGr@^BfD`WoC*BKAd=#AcHaPK9aN?KX#IL}K9p13< zARYov>;g_a2AntmoH!7iI2@c<1Wud`PP`DDco8`9K5*jw;KXOZiLZha-vTGT3r=jk z$;N}22~KPePCOKx*cqI7I5_b{aNz=`?b#M8lvqrr(6fDksj0aN;T8#M8lv zPMi)-oDELA6P)-YIPp1f;+x>adT?T!E!JM*LEywgz=>VJiARGIj|C_81t$&$ zCyoIpUIM}C*A^1ya$|kKREFraN?uj#LRbXJcx&b6ORTb_5>%M08ShVPMio% zoCZ#;0w-P$PP_x0cqcgVS#aX>;KbL#iEo1wKLjW404IJ4PW&32SPxFz|2-Q|;sM~q zgTaYMf)kGcC-wy=UI9*=4NklsoOnAp@m_G^6X3)r!HF+`6W;_Uz5`DD0Gzn*`!*iL z!@-HifD;FU6NiEmM}iZJz=>7h#EZd+mxB{$gA*5l6K@44J_=5J5}f!9IPqn0;#=Uv zufd7!x7v6S4+SS42~Ip2oH!bsI31jLF*xyZaN-r<#GAm04}cS&1t-1>PW%v@_z5_1 z?+>iM!~?*IoxzE{!HEOFiG#t3=Ytc=!HHLc6BmFJZw4pc22OksocIVh@i}nftKh`< z!HJ)O6F&zh9`p|zFJc#P;xKUHS>VJm;KcL6iI;;DuL39D3QoKOoOlm7@mX-<=itOv zA6kEi9l(kEffGA}6Hf#u=7SSQgA>mMCteCpya$|kFF5fbaN={|#Mi-zAAu7;1t+%N zX8k7~08Z=;PCOBuI1-$A0XXp*aN+`R;v#V3&EUj4!HM^R6CVI4J_=5J8l3nHIPovw z#CO1n?}HP+0w>mk6T5$8<4GI(6MaANC^F30ViGyPJ9TQ_#`;-WpLuV;KYx? ziLE}t_yuf1!T>J9_XKaAFBKu^gOu5jgQOaN;%K#0B8Q>%ob4f)nosCq4sCd>fqj4mj~E zaAJo~t^dSB!HHeKiQU18CxH{s1t*>lPP`DDco8`9GH~Ke;KVz?iO+)*Uj!$908VWE zne~_09-Md(IPqX`Voz}5P;laCaN<;O;&gE072w3Hz=>Cb6R!m)-U?2<6P)-IIPqz4 z;tSx!zk(Cr04Kf$PW%v@_$fH?kk4(riJifT-NA{+fD`kV|+3IB^&_ zaU?i#GC1)TaN>R7#K*vipMw*>1}C=ux3!m;2~KPePV4|qJRF=j5S%y~oH!1gcojJD zT5#g^;KW)^yUz=>~x6L)|U+k9o?OWYTn*d3hM z8=Tk|oOn7ou>_oWDL8R9IPqq1;_cwXcfg4sfD=CgC$`#U{Uh!NPV5d&91cz#15PXg zCzgN{F90W2ffKI8E1t)$CPW&94c+l52e#D;O z#NOb<6TpeX!HJW>iPOM|Rp7)+!HGA66K?}2-T_X$6P)-EIPocP;v3+^1O8+ECw2iR z9tlqD3r-vWPRs`z6DNv8=UwlIPq(6Vm&x<|5i3W#4g~(?%>3p;Kae;#52K(CE&!1z=?N* z6Q2Pmz6nmO2Pf{AVeKb&0Vj3`Cmst<>;KbSB#6{r5yTOU~ffJtr zC%ygRhG`0fXNH-o}R^hkLmhu3%_(N8>Cyy zQAfIU?@o4Wf7keh@nOvT+Dv1na+G2G^l!wl4bttqt2^Corhg+T-^U-uM19duG8whY zPe#i!R(|pH#+>ooGX`RD^NUHk`vKcKQF|PmpnctWBT+wbWg62@=tjsh9skjJ z-0%Hpelb(MJT!hep=uf z^FaBV4f+RBOJ+_iDJd3f@=NZb` zIg4C%yNBv#TkyT6+V|SXN83x|paE6FLPrW+7sa~%hue_fgDH^JmL&r{{qn~-Ja-!T=dU56$LsXZ}1ykNX$8a6% z%IAUWIM%eK;F_m=9?I(&R$ljoEw6Ki>Rf|-U5mBMXW+ViD(}(G)~|Dl^6}vMK4?=O z^)YTskgxkkm0t(0dn@I;z_s0!M|N0ybiGi%9{TnN@6+Da|3mO%@B_f-gX`aF(DJq5 z2Z3(_*FC4^Z-ai_TPV-k%j(Y|-)imAxmEM?kgxqgc@N~v8gF;6T;48s@B3%1xDaz~LM%D6*!SxSsC|?VHGWa&|pMvLfwDz1L+^n78dC0E@ zAAtOIsQ*;tFGv1B@QvVuz_YWh{(O|*gz~2$e;4>*%70+{>k!Cy10M?BAN*(FqrguG zp8{S0J_mf5aE-@O@H3EK3qBltJ-F@xRelTjncxNRX9RfYAJ*QH;1$Rp1)hWa(cnvv zuccJ~8gPx0#(xv|IOK=+wedR-yaw~j`NFmRyCQ$QeAoGK2zZfvSN-$ACxF+X{6z3| z$S(#D{lL~&0v_3E{hI{dANiBPr+`lZuYvqj@Xg@A0AB(53z!csTVZ2w&VIK3Y2bNZ z+WO1DmxGsshyHEzD<}_sA?3j15+^E%+et`NFlo)Ixp%^4Eed1b+>D5%_lS#o(b2 zZ9J|6?*#s9@UGz3gZBep0zL%%2Jo@qH-eXf-vmAr_Ador4t_KECh*@-9_4QV&)VO{ zV;T4oAR9}9jPcrE0YgU>_$?cgiH{|kI0_#NQwerW4o0p17vPVn*IcY)6X zzZ-lt_)75C!0!PM9bonU4m=n9Uhq-itH5W0-v?fY{`q_G4er1MY?}R=2hYpk%qCWj&jmopPS$X|K4$5m#zCCyyxc+f? zwZALm_ZBYyN9Wrt$j^lS4&afH^{*S`2cdj-@bTbBfzJXz8hi!x^#EUr{6)fzz0ki{ zxE`Ou-|HYx{%hg79*|!zT>T+m;wgWFr~Hkc@;7kNl3{ zTfwuz!~eAHmm^%;YYEC90=^#n$KX4`4+W2)d<48dcxUi)zz?JR!Peg{;8T#_6?`W6 z;ozene+2k^3;Er^SAlm2-wb{fcy^Ah|7h_3;61=Aza&%krR za}Kfkj|CqDejIo;cyI7!;C;aB!25!)gulmwuLC~;d@FcA@OB^D_B;{1EBH^q`-7hZ zJ_@`)_!RJy!E3;O3cdvV6!4YcdEk$O4*=f?ek%AD_%{%I8}bK%hqqh%^T7`UKMlMG z_+aos;6uR2gAWCt1^zSec0ac5b2|891!KL5&UtKF9zQZUIM-z@{_=GA~rsg!M7lP z3ivMYso` z6?lK}YVh&k)4}IJ-wg0&;1_`}hWt$M)yTgXd=2t10pA3EDR|al)}C45{lPB--vE8T z1Rsz5%faV^*MQf8Uje=e{7UdnU2J``!M8#G9Pq49ZF^k>-W7Z|XCkpCO-)!?^)Zv$Tj-t7om{#Nih==&{r zA@Xknp98)ed<*=)9X!(7>iaM7I>_Gv9y-$IuK@1@ekb@?@Vmg5f!_^Y2fh+~EBHO& zS>3Gu-+}i7zZbj)_N@XhMgD!@OTm8+z7G6;@KATFzZSe7_ygb-;C}#L1^ys-9qL~V zz8U!sfk(nt|HI%l;E#ZJI?Cp+0q+O?DEL_L{|28A{uuaX=zAQz4*5@j=e4o={|LSv z`A>r99&PjMz{i6>1wJ4APvEP;p9bFyz7{;x!|Hnmyes&h!3)5j1+NBQ2fh^iIq>!1 z{{tTGY4!gFd^!AE58e;?&x42BTKWG4FGc;N#)nCh+aZe-k_>*XsX2@Xs*5 z-vZA={$}t_pV|5QZSX$ee+M50{tkFC%5MQ*4E`>7DdgV+e;oPmgKq`j3SI|)J^;@- z*4qCM@JOcRAA*lX{x{z*$Ms7bAZKa1Ag~`g-JV27U%il-_Q*wKD?j11ta*17`u309OI) zfUg0!1KSlsFR%}A2yiU08aN-g0$2y!1f2DAt8Y254!8xFeXh;#58R1z*=O4HZooX? zIlv0wJm7NR8sG-tHekCER$o_Of8Z!!DR2&O8E`dlJ#Y(f7qHVvtG5Sm5O6H80yq!2 z9JmI!0k{>o3)pEC^a2L~#{w&WbAU^MtAKUDjliwIUBK+K5D#EKU;%JEuo^fIxC~ee zTnpR;{0x|NHuMAg14jW%fpdV%fNOvolB91#e!J1A2bd^55BcW+D}eKW%Ykcv*^ACL z^F%H%0@QUwuU{uR&e?jd$r=xw0;~Ye1ZG$1omja}`wuJM510p>2V4wX1FQqC18x8w z_@UL42OI+Qu5(^)j7aj2Ul}YHaV7oYqSs!%M_X@#a9xAE$6iR#ya>K$0d?JCnpwz% zYg(Rs^w`Hj=s>|deAj$E+_L&SjQ9AFB&g5h<&!5LJvz%r)AXM_ML7_lot>MN8q@1f6Rcn^Ip!+Yqn8Qw#m&+s1hOd~$kj_&xhvGLR}eO|+R z=rbGML!aC59^;W9;fuy)64G=G=E+Cnq0e0CK{-vEVp2?)qjKCM=1HD@RIWlk-b0^} z@E-b{g!j;ACA`OUZ-VfPe9~r0nrTbvYkA1O7-=UlHpik3keA2FvG!Zd*5kWn!l#iyVf{3CVv*lybGe zG>J3QUSb;hfr`GuG)L}Lra5x2G0lnTCZ;)ZZ!*o1`#+{dVz&I9X-?U9nC6t-!ZfGs zc1iOdpLi35>od7be*1hoqrJPE;Y%%K?>gxH6OjC2Pkp}v|Mz(|eyL(}Slzx~;6hR3#$y+=ZCXCV2HJoW9YwsD)6 zCTwo}e}VeFI1=gko_udT-K!ec{+Cq7%S{14-IMOspNMBPv)pGF#@pfbn|yci|JGZq zKl67poZCY7Rzq(YumpIXr@oQkKS>krZt?%N?9D~Ixqd7heU|x}43|UhyMna0x%T`L z{!};9uVR!-7dF?Abk+Y|(UU9=w3Bk=-xuV#B<^#L9iPcC0=e%B(%$CU^B;__&wx$U zvjyeSg-xxW^69GoyP}7FB|_TCeDd!La$FL7ooB~qzugQEZ6SO2hu)4r@^+s3-WzA* zc2SzJx$z%^`n`B6())Pwz4d%}ZsXd2fJg2a@E>^6z4{aJ=YHO}dcEZz^OW=YO}@MM zKm0)(hf{VlJh6rBJra650}ll5>#46T_(y-R@k=UM#vYKx}< zZ<}lR&Z{h*0bDW1@{eX)JRZ2{O3SxiVR0t#0N|}PHvK2Sb(dQ{1^B=(EiVNA?`4)B z4xBg3@?OAcms-9T@TyBJf8}C}#{plNY58HmKV4+`0N^b%EN=z;-E_-)1OL0)@ zzk)pQIHX5_`v7-US-JVZ9{`tE+Vs}I^DnggnF@Nw)!ua$fz^@n{pS~~nlr!Sf z|2fR^6M@wKY=KQb49N6rPq*p+{F%jZz_*85UIcu9h~>uv%LiNj&}kNX0`JVX{71k= zgDh_koITL;OyJe0THXOTae(EI=2^@Do_>nuD}HM6>ys^h*hHk?-xVAH`)}%R{n>ny z#qPi>e`5I?Cw@QtO%(TZwc`lHX^RciS#5&zp0On*TF#gd3$f0-WkaB`;W8feSu6*7v6WQ)jtrpCfD-Q zfzKRc`8Xi=FI~X*1%A@Y%Dn}w1O6V^RQbgy_eJPdf9xI2Ur&zzM)Y;7@@)fcpSHKHAp*BJfGz zeZX6QMZoUBtw-5%4+Cca2Lt;8y8!nE)_1q%J_5c0d;<7eU=45ra1ihaU|ZmO-K@T6 zfh&R6051T3*Ywa2+D$u~nm!EvodjgR$Vrg?pCfI&yz=SNvkm(H23!YBls+H%rvvu} zetCqollVS3@vq>-r@Z0fv3dCp&)w_4g? z_OI^>HnyFT$tCiqsqArCTpBPyN@GQ(O@>TJ0+VE#3?a|}X~l|)Hg&OL#TqNFQBkpW zD_T~=Ze6kMw%AssmTLZMwXDU8+A7xC{-4`<-bUfJ(^c^QyIxKeR(2N}R(9(B zLhV)jH#^Q%Iv4u>dh>m)bT732uQy-4|5W(3%Ja9oFU->3FCJfVEU&d zoCTjT&odGR7g-7irM&pv2B@!-Fn+HAhPx#^Lc;wL2A59KjWb-|krF;7`X~wKi9TAw zWfC4E;VKD_m2jPe$4Pk1Z#n((5*`#Czi$D{w^Z~A60Z0)$H(thKs_w_Bnj`6aGr!? zlHOzqKP>tb3HM2QQzdNtj?>SVaH)i+NqDcMH(kPg5}pBJ?2n@oE|Bm85}qmHhb25q z!cRzewuDdqn7#H3C7dh22Qx>)byA+W5XSl&&vSV%l5n4dizIwN;?I+C{%<%weoYeE zKOy=82@i-KlyLU%IexK(DGZHdGp%^NO*|IO|7`LBUGI$I*O;pPtbil+;7p1B)Agh)S< zX+ki{VFWWx{Hl2q!$)?d`A!y_W*7XzobhR(cIV0MzLRrJvwdTzzL=2JS?4=B(=;1n z9TMg6jlPppOtS%u9JJGSGMAA=>fh=6@hH=5-B@p6iLA~h-;YO_X0wE%z8^uTv8^rK zWqgtJh|fR9G$W+joqer_p9-F4+MShYM!L_xVs*{3tCy`UES&=q78a#-AO(DDmo2U; zESm$dtg1CvuUG;hh!wCcYCV`>k(i-c?OQiW>t)zhF1Jl6(g=+Ktp#YZiC-+rEeg#A zL^U8aDYO`%Sx%eUofQb?n)vmd(56_}%rYz8G+R2QDtmTijv2LK4ncZYY|{uoh2~E zY(YQ`bB@S#X&6F1&{m(aW;<==>)b=+A|_Etrw9Kzr z^I<$TM8X?oXdT}MY?f(8%FrLCqjH>7i3Q0nC8B8U?(t1He?A00Pb+ z$cyV!OkzCDh|Xe(aT3#jKIJ)Lcb-NI7#}!1u>l*s`Eus$@a1)&?l zaqC0G2z*af6zUlCA`HyLXw z+bUOqTsm8gO@#YuC69C;%%CtX=eLk>R^^cHgNa+;+88!ILBh*QNb^CdI+~2bgaaW- zC=KIxhT2eOd{IZ%N#063sMxmqR$z-r=kUy)l3bsVE_+FE0RRw*#DqrRXdXba*EqSc(9t z**1Wv0FXp^2Cb&K2a9tUhPv=Thh+uBliZPh2E#a8ofOYvitco^JNIJgocRiC)pjG$ z=%XSVvCLcp?P1Y%N#`Zg$o%DZp0Dnl&33}BxTgEC~psTU=P z2lp71VFCg?`c$N4-V~ofw-LBmGN1vX68`B-mBGy_1D^3XcW?Gj*5>ZbP_0>*2To>O zhHm#mpLX0BYl!lO%Id5H21m1&hG=~|uTNHIH87Y*aZ}9dtjOFGzim1JC45dw*gJI1oR})plQLWFRqrf_ga)zDy>Td_798FE_K=)HxK}>FmuobP4d$+E>4?Z zPQmb&W|(m;01FXLGYbi}3k)KhW0nxyD6lLo^Mg=9llLOnPajBwesYfTeLDfb8Wm}x zxo35TAsly%?(JCGOtTdM9b<6!b_^;@2P-JMuM>|| z$I|2&gQpX;H#?SAlGwJ#(k^Du%58fL8viCt77d_TkENBHdoYaCDVUZSNRK<S9oY#4R-Ua29KtBjJh6rr*?riZy<0QW(l3Sy1M@}2gC#F5eF1btYjK-e z86VyO3|7WSN30P}pLhj*03*WMs5Wl>H$fQIMzt0mMIF{gb<}?V6M?l+?Ng!5AHg`P+A#=1zl4JdL;zr&V{H+IVC3Gzm2SK-1`Vz% z8=JjS_CxnT77wXP_w7i_xCiohk^pQDV7VZaZ$8TiT0$D z8g62-VeSdc=Q`uz1)JrbK(T4VsXL7m9=`VkE-^Zga=Bf{3i8S=_ zb^wb2@Y%K936o%D1}y)vt!>c`mCoS~fN&bFwx)0bxH1E_;2m34e_=Ay0jP}jYzF}2 z)=A<-3;>k5y{%29aquRmlJd6-Z8EtBY z9gxKAM+o~ggdueS0am~j8L%V*lxXK}0sAaX5H5wV#IJ#15!F2kt%NHM9-^<# zz*R`nuse@pCUE++SuI`%b^w5b#^w;VEw59($naz&gxg9^sSXfq!qoXm1cERHd8NXW zuH_laV8*sPS6l!o`t1j_d*iRl=)1QnY&! z!4e96&=KMb5_lk7PLl`LF4Z<+Kyc`z<%}y(1%wvL#&C;D?eMP5WeDLCS`4;2d@*Rf z5ty09=eZp>0#!@M4GYy$GBZnUGm2rpkf3<^r7al|e?%JcMgGmt?`FTpcZ7_FR&+0Q!LIjA!To zU^H%uKlQyN3#RTSWdjrBlB~G1=Q9|NKYMP1aKhR1GZ+I_-)5BroIPIx&EuXQ+M4TbS^5_amc)@0Z@>PT=CUaR2_usiSF z6?X|P`Fb3dBF&^x>BOV)Cs*k3(q={cRlMr>Kph6|f(mAtX~r;fK1`hXtJW-CR#js7 z{N^`21ehc0ilEAdi;y}S+L}9sql>UA3A*g60xBNPaJg8kq_}ul=5$GmKdp!Jxee~VL5NnBfLn11uoM0nle zwX0Vl$ATwb8+BQBF`TN&c*LuSaMV#(chm{4nNjSI8;`h^EB0Sx-j8RgxVOX2O0j>w z`F(XBi<^~Vf3f*v0zsZ^nV1n><&*;(p6-<+bFR(f8e0x$r!pMzOrjjm2We&IVO^1V zdHl&5UK3U$4W?^c2o}G%5X>PblzKqeoiy&$xJe$7Dyzigz$p8i+lrkft!;45rA|lH z`JSh|u?sgT1YaVbS=9~$@>^IV!DQ z8LkPpC6+8VjBBA%>4v|vtvPIDZ_aYYR|M_}!eLh(;?#<5W^B%i8(%n_HfLRAF2QwJ z?R__A%{Q-63o|a=o3o0|S_HV3!_u9J`M`+~<-o01nRzq|c6nXV$QHE*!shB|7Hsmm zpd1c7(G3ueXsT6vFcKWTI^qmZVjMVbcElUP=ItmRJ2yys!r|e5Ja$H9{l|0T`{NFt zR%Zml3CB)2tlbZXXmishbvpL)4j@n!0l1Rip9P(^NiDp`y8*yKNEyJ<^?o{bsx4g| zgz+e(4wX$1c6yaAu|sdcB|z-0tKnKRb{8)QxL`x$#`P^vDqcWL;aR3>495!x<~x>G zFJ8M!rJzO!^hR6?uq}xT9j1_4Nnhgtpc3?&%PI-DbEcMnxWyPNa%6`q`8tm$gia=e zPA7!UIiW0btdlr2Ox&PPy@q%n@wg@lRoOu*9)cISbe_UHmQU@SC7K{&(C5A!Vndo&zX8cK{jq7@FsgG;e7 zi)fvqqekMg2{*NkAV=RIiHwBHBK0BIv0XuYFeE$&&TOy-D+zb2baG&47>c#E7}pW+ zayVQZ>u9*qs3+W`aA3M+);EURS{tM?XSdmbT+7@D*W=+nI~-{tKAcSh9buW<;9mTu zwhb;!1Gu?iTQM~aMN10+X^XVA$1(p5+YG^7f!d95i{FjvpJ1CE9(aUpMv}2_p=~yK z;5oLrAsJf%ADp2@+nZZ?5K!67g?>0MZMay*4pho6NHfE=^|f2u+BZAY=O2-7#+qO+ z+EEMLIdESdY;kb4%1t*zK@^NOYDJ~MZf7b;q--Ol?i*|a%FJ|g11YzX(s#sBE=o6} zZ4uJ`iL}9QI@-c?crpNU>f`i|I?AO|wxIE4k}AIKNEPXDodV7izrm?Ff|Wr6bp0_> zdcGqjhA#uE+EE{Ag9A3!buc)Y>uLcHchnmXaZ-X!zcre8E#7qhA!&Px*#xvnP*t$` z8S!9=!=Z8N8(Kj*L(1V&M}fv^3~lKE>18%5ccdc9C}@m;Cy3yJ(OM7TWx3q4BuFD8+G6NID&r!cAxa$46+>%$@J$0P@@K4$kO{&ej`EJz&*H} zrLQV!6iD^;WVN29KPqW7NZ~L^FcWYTT{(qX*7T17siA?SJ(8D_#)7nQBT09$^r(`? zgVe}F;u|cjnJNhm2dNp2wndE-Vy3iV*jl3D>Xu=TIpJvIMT6hKqgk0@=elCPE0&0w z=c;pEb)l&Fu38FeM{{csE!?(cgQFEX+HfoomDVj$kx~s`5!5Bx5RGkU zYYk)1<`3{N_D_MH?c5Nd3G8CiKP8Vij#WHbWY~pb0n!X5m53H}HJF%?Ty1@GhiGN4 z1``vspa>O8fZK;qy5`n!bA1D?8g-)hrviuhRof8@!4(&74keoYsS{XjXbp=NR!RD& zPLqC3ux)bnc}jP!Bd&T0sBmF0!8q#3`8a4$c5P#>IFiM1f^nCtPgc5X-R-KgL5+pB zBpCO&a*4>U?QU1R97O8i`1ac4=+m&+q`TI89d)`=qX~KUx)H{Tc{Hxx`&=0YJv=0q z*jf8s83qQ)Zr%r65e9v8M?)+j=RQ}yQe@Zmpd-$p84SNGxiG=H-;IFf#vh(s7+3B? zu8ieIxirE4up5Df3Po`9zt@$^z#a~j3D!p(xd4lYvTJ+P6=kdxCm0X7x{Q_LIO_)- z^&*vYecKi|RR4sQuj8%^2MEd;pXrPkzhL>eI^xYiYwRzU&$4{Nk$DPFB90F_GFqd|)+1Ryt>l?#O(T)b^H@LY=(Cj0_On?(r}SB9k1MleU&6Yf zjv%wpo^-aqg7tvXXQMq%V9vghGw?u(Cv;7G9Nurj(5K40lK|le2j%6N0=Qj+gf0w-p9f+C8WI#h35M9wpQay ztli*fiy;5d7I;b&j!55Ru~&({#ZX|Vj`2Mfo>GE;q?Ee7VWaUFi^C>K0sRxH;3z%K z(kw@s7BK7EI%2WV2IDkqS32690PNpdN&7u(>m03^?Ml$_6&7!F#LL)_#Ega5=zSkl zVj7gRs|(G>u<}_)86PmWZ9`=$D@Pq=a=_fa9hJGP{LoQmU}{*iMXWsUC{X%s+fb=s zC0&j5v;~+NDsN{cUny2;z--1lMaFfkT>v@*yP{z8uI0?yNO7&?d6)zq4et7SRZX8#E0@ z8y8I^7_U&922ysg@|p26Ago!CSbK9LT!(r;NfmcH(mX6%3?62dGW06R@Qr~o(ES^n zFoRb=K8#L7e9$yd2WE>#NXIvSE zELmdveV)YduN+(GbP}lz?_twFhzb{mN2HncO`&F53Htt2%Eh#Efg1lxkrjkuOoS6I zIISRj;cXM?d;X}(#GR$`1KG5541=8#AygHuTh?ktl;O{gS3k1o9w9CN7%Rt5_}QA(A9 zCs%23pE%YMiqRUm;CA{^P``a;8eI09OBoCZgeF)Fk&Vll=6sZ*!k7xA!2`K%VWWzL z-#WrDh#oVpXZ+YdaeTvM2`e@&YQU--jK7v#^zH6o)*Z@ln_mX=pII zNeq6Sjo|!s3{6A2 z9;j+>zy=Dwx`!LcgtPM2?Fst{r9pvUJb>tKMM}jCq|!9A8SLsMyE3I1zQ71S?9SoW zzC+w9gXc1NLYuj8O(0}^kgBaHBsIwoXMM)U3D@~~_5+>*v9~m~7za82NJp4~$%Kus zvB4CF&xUk>f17yUREJ*#@uN}WAx@RP)iX%4>v;x|MtHhw`uzAKcGu+aD& z2^EfDL5&-_qHx5Ma}HM-D~dX=3p)uUjVa#=alfwtDNj!aK(`%VkxdBDfT|c4#tGCtL+SLHP*82?M{T5%9iBo+5Rp^ z4nnJR)JL&Zn%MMp`zgDtET*r=T$*sHF)v@6z-G(@QkE^RP!O55uc&{r? zlLEtun25cOm`<$#vw*YQ=L*BX3YtfR{YtOL-WdlZxapK-a3T2f)t zKPu0U7s^`TFi6VaXW0Oh>7u~3;xCY9eBIHYJ=!~h#(l(lzT@!uemML!8~@JnA9i>N zakwVK1}7ci5}2})sPPlx`A<6ha>+bsJVVleD_unrl>VBe(MnhY*w+D@L#EqvM zo(()`SQpc7(8bVB?ZF0P1o8aSPIQ>y?ZL2-$MIiqI85+%=!rSR2VZnJtd9-AFD7pM z!Qn9J8zRx@#l{lO!Bt?+pt8`YCZ)nvVA4Z3!MYtat|zU|)!>qCI|`df==-B&Wcc!+ zCUBH%h}Abyr;iBmf<6&C9k=XJb&aM@6w^Nu3Wrh$TnXJu={30;EYzXRQMs;DIg(QY z8(gS2!y#oi8C1IhO!5$({{@ZPNeYfuHj{7_MG1~KEyi7>=8P59@a3c2*3r<^*4Ek3 zUK^&v^kA+Wrl&ypw}xZQMnBmp4wtMZvruB(LrP$RIz~@{gAbaN!sZCOJLoENSSd69 zgOqiSGF7r^HcpVz<0^2B!_?rE?QHO(dRMv7tYS>fTJNWich8=N*8JJT; zJ@}ugYoSqBECLayl$1T@Dp)H#OH%#VcF-;sBadNLKLls)VZX>v@O8xdJ(eUL$fi|{= zTKKwh#mbNICV4ar9cW(^jB_;OlmzF2SejPWGA_X-q2ldq1{w?<$cyYIvR372r5WJC z7bzj}5*F7Vl~a+?S7g9~8{$+~uz0-^$6%}BSRm8)uzG`1$AStYg|t@IY8`Dnln2f} zn!Ai$EY&G#0yJ9`PVjDH9#VWP3?Vg8K1tdVSDTeV=geG(L#%FaEQ1;5jZ}>9uu|_R zFtKqwkoX9TVMl~X5NnBso7;^+mKu~a4yxAD-p~@RZSRQHlJ!}RveAhG#jW4cj@E%P z?2ILij)a{7m9NFC14b^ZO-daP>tLk0e*1=yaS=<+A{oA^kZ*lQ2!{+Usyz?hHJUCD z zg^4WvP$a`QlY7HG#HlUlbP$@+io1iCjeJo}|MZC>Q-82p;Hr4&LSxk-9HG#S09B_5 z)FZ4GIqD3kyrZhsEa=L3l*QD!PuRNDm2sVRWMy9F>T}~#SIcO*D=!imo08M6aKwW6 zk|p#D$6V^hRHcaP7>-lv#+mPAB{@~O8Xm8)7VffKtwg+|2-7dr?o6Tzxpw291Ct1k zHZ-5-Qg18G^v{7Z;Z-ye%UK+&E(gtlu?j0aX{%Wq=V%MSu&tf6TGqxp+9jlMj!_nK zl{j}e90GVNK*qPQKEcsp7{P9Uw2!kk(b1;EVhQ^J68l-4O{N*%8gi7=5+9UlXaJ8`h|O77nT^Uq;pbd_k))+0qfMRI zm?a=JG?t)42j5H%xY}iz=;%=bx@bABc2%Yc_ZILGL^jJ+lHs#QWSQ8w{Fq50`_TH$ z(OGl^gS+_?sa*y{!KW{>On6i$0?&mkB|lRZb0^Nq=ZV=wL!s>mc? z1i0=uG0WUaN|=&1W;`hCtAj7J&rc6;j^@9)@gJ>WXgz5!|ioH#cHuvs~uLHr4`rVIOccC~|v49$jAf@*luy7#@Q20dCz8cTQC&3alsN;88qMZlwhw>?(O+)D&mr`!**BM(}JP zEBnYOpcKQG30Z9oH8x}ZeXIL9e>)eTaW}6$CBm7^PiQY|GwJ7f&EV4B3XMA4wf{2-q~+VJ!y92aaE?yc=}m10of_E){SfV|(8%0SxK z2+wG4R^kCiOdI2hD!)EQO@~KR!5yi#5iU8x$$-@VprhN1XbvSG}(f$+<;5)&aJXT)3}*ojL)>-QVu;)YrH~shh6PbYSH?(7Iod|&|=393IZ(} zryX`QKNLkYRl|E-aU>+#2DfGC4qKf45jRS{M2WSVwFwZh!ONkE^W?YVkr2!?u zS60Bl!MilHaj8(Z?S|oSiZ<77*|uF;PD!SJ*my^aJE|^KH|YGsX0Q(5y~D$?(v{|l z6ml-fRJr0pM^x8;svU7Sb~F?S_o9+)jVnSMq1fnLuv_P7Bg7Cd*+8aasQ&9+86J>E zQ<6)JDI|woxiC(qd)kE*BjUu!k@VrzA37&mTx^t3jF=l^5~rbJlo;g{quY%!KOqJ@ zKD3-->~>;|Ow6SOuDnx>y($KHmbqW@m6q^TU}*_o`IT^HY$ z@!F+EwFK5KyVkJf^WvH{tDL~K%dRnOmE;&FaSIbtI6h$5@k&C#HSqd0)=AF&EAHaTK$GJDvw- zI*^K_5=^8rRRiX~xov3&jJRgdL-DPZ*mio%_*AGgPG-8D`368jf#Zu0)5>jy^9HbG zxl9nv4Z!%gfPhE4#`jrb51ywdJkm+*Dvtye;C`#5bYaO6UJ{ zzIMNW2IUGQbV5S+Bm`jKxMlVVaI3j-{7xO-+^0-Fbgg+u&FaOgmVuY20QiPX0qN!} zxV9fKdmn)>eB;>FORq|dy=qNWNg}znX0b}ZO>xEQ#7N7WOjN>amn~nh4oV>h&gwNa zhArB)%a&BHUAELMA{=3Bv|O)AOdk%&S|MsyRWDt!)=gv8+GVSj)~>y_rdG3j$J&H= zkn{4|HEUPEx2CGHRjpX^?yDBV8JisZuU%Zb_Nv9J-|i;91kkn1;){%=a>cbZYu3Ii zF%~~y$9&enGfPp!yMKS3h|0!Ix|1+Q^LXD<+y6=2XcM=HC`Rzn zO0eoQ_!1FxgyrkuHhi@kd_~A`*N1hf{b~kyt-@m@SVz0_;4b?waZar<``6&Z3U|4k z3$Au&&vyHa>x9~br+j_NP4qd(36*%yMsY&@m2yHQe8Rzw6Dsj19Il*D37=Fr$q5yT z;^Bmf6izV{olpU4PN+!W%qPJK6QoKDv*~r5qv>C^ymq#cG zZ_p!@Y`r}~S@QGo9HKjaabVgEgPgwpbr+9QC?fvYgT*l;zYOp{%F&2xa{OJwnOo^?QU;bPtbE<{loQEO>Z? zvf%9z%32DKP!>HrLRm=R5z3;cM<`3)9-*vxdxWx^ z9-*vwd4#g!=8=X+ar`DFON_XygWi#@b(Ce;A}|c5lUhTk5JP7 znjWE)!GL-MLwSTU_3#K~A;}|@@r8MWl1(a)P!j*~JVL43$sVDEy*xrWezHd>8zg&# z68G>3<#dugLWz5Mgp%Op5lX_}(j$}#;O!CGg0s-eBa{U%k5E=TJVHr$10JDd=j{**2v|DBF8`gtBQWk5IPs_6TKd$RqU4dxTO;dU}MClENdDG!KtZ;vOEM9N)tu zlns(SLWz5Ogp%ax5lV`OM=0@RkI+`y4JLbp68G>3<@m`Sp~O8rLWz5NgmMn9Z>Q@K zN=gckP}00SLP>ZFJwhqH3-Jgg18n#eaXmsw z@$?8K#oHs4ByW#UlDs`aNgDD9RZe~WA&*dd$RqTx>JdsaE`>*E8y*2tc!aW+!XuQ$ z6ds{0rt%17)!QSKHBXOFmXbX}nY&(};F9Hdgp!uhBa~Gyk5E=pc!aX(=@H7(c|Afo zil;|t6gwlOM<}bF9-%BnS`!Sxn^-%4#Z)P*ziVgtDBT(2d@8bdW2GMr}7A8(c2@GHE)kl)>3$c zvY6Tv!4DBeTh=iD6!#v%W|WLE%gJKaIxEv3raAl}7m$p25x z6IeZl{Qq>}kL3Rc zn?G;=zeFL<|BnO@|Gz|@%KtCU`Of@nxa1AH(&QiXuCK*k>7p+eR-Sl2`Tk1$&LhJd zex*4j&i~HW2|q`ieLFhfxgK_Bc4qGae^~gX6Z)bzyYuj_?41aDkQ)n)zZm{7_|f6NceOU^a&8CDQ&~*^MsbUn{fW$7i!QB8A_Qaii|pmEGV% z6}4wq_BCew&s%0!gD0)~`j#AlrDWatfzG8o5cEsx%AB@cIn&AqL)kGZ7g}Z^xestr%T`GSo3!2 zJItcDOW*x0dbsrc2P+;feLrBu!=>*OD;_R=&$8m-()T-7JY4$z!itAWU*NMiKKqhg z`o^*F_vq3$jf>{t(zk#WFPFYcNqUQ1`j${)Z^Wf3bK6sa*QPZ2J0K`r0UR zl1twX!k#XDA0o-arSB6Yc)0X^o&`^rzJFoO`$r!CokVY!z8{eGS9Iw+MH%QWeZOX! z?9%rN3%X0+h=X+AaX~J9`DByArEflo|8OpSG~ef4aRH8pY50}1=li=afcaVg?p-bP_r~sZWeMd?1aOr!H1rL|LldL4W^!=Q( zx!@mpe4gw)UHbk+(s^9^e4oQv_ChL`z7ZtHJYD)GvS|vJzL{+4>C(55wIP?jH|^55 zm|D`yrEfJU-Y$LbAuZXZuZeiFOWzibpX}0i3mYW4^z9|?>C$%xNnS2}eWWD2^nHD#cIkVB<0rZFJw-g(rSCLxFPFX-IR_7yKI@-xHhH`BjUdg#r7w?! zx6Y++4yAViE`1l1fu~E~5|UE6^i`93;VymeCOa>ezA!0XE`6gT)`fee` z)1_}ONuDl!caY@i($`1QkW1f?OCSCw314^|a_RePy7YaKW}LT6-~Ft4{>bCQta-ci z{Wpu=E`5JyF@;Otu+P&9@9EN)&zhG@UolHbE`5ucd%E1?`f7U*ro3b*`;vldzHnME`1~Wu^W55 zUHWFRn8Ky6jMWq_eQ#$ql}q0ZET?ekYiHHdrSE;Ly|zo=9USp|E`9fM6t5q7e3+#n zm%e`pm%dZf+bLZ7o@de1rO)^RErXseeIr@(cIlhWVoI03Qr10P`c|;!?b7#d7Cl}1 zBCMrw>D$4or%PWiYpGrOKEn}Gy7Ya6^&yu&BhjVrVQLpom%d++bU`kCuaJqCOW(LJ z;y``FE`9UKe8{D5$fa+{rEkckj~+A``dz@`u$IF!NO8XlSVqg_g}d}EA-n%wE`6)1 z%>TG9eea_Br*!G7C;5CXeJvE@d@g-kDaQF+`fjEe=X2@%5XCs3OW(&RhL=m9^8+hh zKmF(a1QYx$i+5n?7yH~F2lQ~v8}iuW769k3IM=(lpQ?+ae}j)h9(!?(4tZ}0gvNW^4Db(Cr3zyu~gOPqa7pU<9gl0@&PC9fmxulTfNh%(ThmTYI5{IukISel;^FGh&WeYt!wy!GT^&Be!r!B-!yQ~S4_AkSta!OPe1)X9$kpKp zCH6*K9sZpxQ@A=jLSiachbP%I-qj(UXK)8jY~}y$H*?d?P!OlK@eG+L#q^s~K_caO zQoKCF|4fRvXL#TdoK;?);bTdu^YRRzPLhXbcoC=O;Te80DHq@wUcpIudWNqgE!i`? zhPan!c!(5F&+r@BDA_Z7H`^q8hJS>FH|80>pKLun!~0qC@(jP9B`?qLAF$-*8U8Fw zUY_B9k-R)S!$&`gBVxeIGrWi;PtWjWVm9O%KI9n=PS8W1;W&$W2l8lZX8Na(9r6tS zd-e=pL(5l6&+vD%oXRu2f#p=5;Zc@Td4}J_aw^a8TUk!&8GbwKDLumvu%6O0{PV1* z^bEg;^;DkW|H^VI&+y|cr}PYeob{BR;Xh^l!aT$On~dT-!!t%?oAsecJ#MQ8-&Hz+ z&X=s*Y_p-gd6V%1MOWPPTa&X*6Bw_!SpS2)}bK+`le z7fXREU11mr{AErVcC{;vVhg3La}{V&NGqxmt87r~i6xpa! z>vDx43Cg0!6&A6DQuesY<=JLii%P23aFe#HK)tLJf|wQ*UVbJba7-O=1A!_0V(nmvA~2Jns>MG3gd zLQybHQFC1N3RhKi%yrZ>s0yVTU!HtdhKngs)2O6c=n61`QHZN!&=q0+qo@i~<|;7P zq1j5>W0GQpE5W>GDXtoou6${ntm;wi$mviI*IMc8Tzw4b3!RMXTxk+WZp$^fLOuy9 zv8XG+XhRF7bh!%5#D>oBR%cP{aV1!vu!Lc_9?lEq9#@042(*Q+*6V6lQ4hJ&en$#G zrNbTd&2gR3=ZYgq+!QDFyW+%bv$4^5g%%4)zTDRl_wePeC&9y)J4(Xe(3g7$6~NP%dk;w-zT9`P;Ni=Ckd7=ket}!M3SC3&2 zBu`)N4J3K`az{vt^W{F@?dwj8tnO($x370|^0zG-hG%tvC*xi1+O|m8_$Wypx3Bw1 z@VI^b6&5^iUms=7`}XyNBzoVzK1teN@%Hu4DFglX^$SdsZ(sW#$MHF!-@YEl_=0X< z&nBA`x33qG_<#2Hb=(t*ulW%kQ;v(1c+dJD^PyAsnY^J#xbgXH=c$N)&>vm#e9S-o z0g?D$p)mD;fhIXhV4ZM#DJ#+%tFizt#^Oo?-R9bTtkH!?fOI@3Bm) z#Wqc=6>6l!- zY5m-^(@kqMrc4&pkRhxD7ylV5Is8V1Y5f$-GdJ&sbyfuR-%y*4v%6JPs^lswgmEcL z$OcMHy|4>w!Bvc*ek;}OH}p#R?xB2sM_`YIeWWS?S#fQ-Ku=Rdx3xs%D3mQ_K-Lsw zvk#D&PQL>gnbu3BL4Dvezy8cE*sX{Qtyl1EN}ly=)81v~Ra*C8jERQ}t*uxy?%y+R zi+qq0BU|e)__@kDOXyj8{fd&E%5jCBqcmzmaB`)boVL2+{tjrA=z$M!69aq!(gtFy$v|g^*JYk9ezSI`Hda>)p@{ z^Tt>!?1^Tu*_wod@=ogbfW6JMM%o$Xyh7{iru`r+J6OjiDozbopBjIf{aet++P%BX zE^9B=F=pL{Z(Y`ZQH>AN>nEuDaQu~*TQ4BmXZ4W6uVd};*aj`8^(ZYv{7U0>oHM~G z!-IY>zRJ3mUaR1B_0ub_Dzp~E;49ozXw~4m6Z#A#){7=@dwQky9vqSXre#oW-9ZI; z&3sO+hBekQQ|Iu} z_!_W<6@os2niHqG@!rg*d8UPpy2|=Kt<^MMex8N}bjPv#2}gMs8PH423Iotzc#6R* zBtq#?uW@~;r_0L|`}!Bu6#ROh56vE$flBKPc03sDa7<%3s)vdFXt1YpcUz;}#hRjz zgiID&Uq<_$+=JFLIDE^kK}io5_K7f*<`r1GP5Vw<1iLV!eEV*A9c%v#ih4Iy66ftf z>q+{2FTL_=jw}COUbr5mnSl}aTJNVQx6mPN3?6u3?7)D)VN?Y}Wt1!vV{t~1j!Ki& zgR5DU^%Js&10r@B&6Q76+Eg~|%I@y&Dr*ZK)yCk!V&gk}V7v-S4QWopJZaEw#le{a znRoA~JJ{dfUzPBO!O~K$m%JUomW0~>2s@$N`Z2cDRn}GXT7j?bq)eQm%fX4V|*9D!oJj+c*6LO*qq)2-cu(RQdRwDw4R=`eZa z=feW!?G%7h;B?&r&^ob66&jBOa2(3D7E%o##*M@^MY&c9Ho_?Exydm5gNt!P0}J>F zoT~v`4@QCp7`hUwp9=w;B|FU0Rn}~1$D$rf-WK70|++m_WAq zF!ab*P5T#ydC2-K#pn9}nLclZ_>sL(7_8AM-gQT@?jP`Brm)PxXIe37Cx~-xmDS@1 zy2{#tBa#OT@6BGtBwH>mz>0hftm&{m+AhULT!nkha{N?jT3?{Nd58Hvn#3D0H*EgM zksn%Z7@#)%B}Jr}Ve#kWSJ;?AbZBFEbuB&i2E5X10`(*@_qec|ibPAyQ_$*WjYV&i z`YMb1l_mja$A=W`<{yLCjJ-f$pdkf{1tWW12nif;YYA97LY$?!=cD&x%l2biCOkqXVT!! z>1Q}>x%F7ZO$zC_)%9UwNj7Jx_%!pb%j1(3r-@-4)?@joq}=Y*Gc8!lEHEszK4IEZ zczi_Qd`;$hIjw44)*z+*5$t(RGdCB^V62UVK?UpCy_Wp|NVsDuf)&ee78MoEE0|Y4 zue=(Dqiyc}@LX#h7*EWbYh^>E^7Z+-u)IN)a_w_qm}l?ZY35f23m>ScD7A1IE9?!H zTf3=uWO4+|@mXgc|D(r_a1pT+C<0s za_cu(nf+&Jg|w)>tkZ~ktRLeWpO{v6!zQ60Lc{GRVF4Zwbt>#FhwWA_7oppFm?G0* zc??c;I_Jh_fvwjVI|rKdmspyveL;@8zY2R;%})3LGsidpT&CFlFl0MJ>m?>EgOk~@^a2Z!COun=#DmqXo! zUBRIB3N=dr7c?9kr{K6Y1`lpGp1*reM4@+JQTPMZkNP9NJGx&!R0RjeM+|e{2^cN+ z?lM38&6q0BTF4#E17=syx{U^@v$u^}%dvoz_I!H;tl-n2g9_Kf_zGGtN*BuFTSWt; z^k(jaaCf(~Hn#IVXy{BFuG?VK$45-=goD%-xPz3~u$6@j70xg%x}H*ktM5MC<@Vxm zfVBkYMek_|T@RIl+J6{rPf?rRYZYRXUuTboGEWAv92#-6$)k2A4!EmvmVQvO&!UqH z(>%AkD$*OY4pS?_D(<>4C}`>j`&W;^~1y{uv8{JKT2Ms*W&&Rv#5_B5uV;;n@Egg|qA?932yJ1M?9i z#dL|H(E5;R|H^>jJRFMz=QUqJaWB`I66f);ySJRBN^7qag*L}o(73n|h{f+|EKz4g zB1;dHTbu;F#vt`WWXrF#$Y5m-;=vUf_BknOBUWXy`9i<-AaxtY>4(J1`CQ34Rf1F5 ziLk3Xy*N0p9M9P%nng|4&6q#xMn7>>uqkghs$(mKG)~ud??XsG8R2wE; zTRjvIxOBy}HOp7j2>zyD@RBvFYu2u*59Y2gct;TjRlzUz^pP+ml*KDmqLlpVU_MeFA{XNq#4s2co8=|>9ZcJIzbWSi9y z>x(#gAccz3ZNc)=rh=Mf)_xp^rR7yu6v8EKIKy39XsyPCab0;C3T>OQ!WW>p1230V z7L+ctHmc~SA?m?{19YV1qjHz^F&vk3t<5+_>D*u)*k7}!sVNq#=qaSjMX)l!74quc zg;p(mfQv!!g1NvDw9RPY6J@X@*=AGWk+Q|~QZy50%@{MX)M|%Rms+2oYZZqq7_dD@ zms;D=9;yWi;7P>;RtN!|Di$yVn=;o^x)VbtRtGR4#q;R8LRUH*YMrsxW>C zl7<}UO6dG^^YLOE)F1=SZvI5C)&gws(RKswvhvKO-Ag0Zr?9RN`TN%t%>g>ngbFqR zDyzoq@D${l!oEwZcOSLhiCIvi;>!cOAK1MVHX3luuCA^wE3~Rn#+OQKD$rmsSZLwN zy8E)Crsn$U>T9a2_dy@RRS?U(zHAOmMo1#qbg1HZWzkq@?tr=j6bL%z zhO&R@KDIB+@U~C$jRPgM{qzB`hkJ7P7gs;Z-|rg_N^1L+53>EsSERE46)36gmj_mm zVTH82p1;qx0PjX6)nE0`t;5-VI4sQg7iXUV$Z0UuUi(IJ{OsiZ34l4Y_bbrQsq^a_ z&Gv5_McKvYR|d$*PnGYdGdcdnG7jU)7sQP8@it~Q$FG1}fcO^||8hW1d{v*CB96au zL{fa}zu~@1K}oKUvcKzcw%^_1ZSVKBgOY5o>NowXY@d&<2Y+$pod_tYyjOjLhbPtV`)yA%(GN#ixux`g%G4{MM*c&;Wtf#h^^d{=;yoUXDT{&g-_Kei(LC70_C zP*U69cZ}@|lKWBGbrg1IQoV2-VENV87#*S96Y~E$AZHw?`05KyGLz>8u5?a(V}8fB zmRM*5yvN%4y-9r{4~wWVzqKvaFn{q?E9S*QjmG?@P)Cz7KfJ9K4Ea6QZp?3N-7>$k zp}nKItu-M~3tD?aB!mX?DH@3x^P5|n;WgIK1+N<+09so(1mBA?zoDsiV|%Ejp|&Xu zwkl-IuaC9CRGA;_nUm11oqewvM9ob3JAfU0GTYz;8i-!3D1B} zI3bjMo>c)M!^jhmPa*dO54!Px#Sp*+PuW*k6%aCv3IW+_H6#j;jL5r6=Mo6xFje-| z=@k^CT4w2qkrbpnuEFASIu?JPo5$84yjb|JA@@)6u5v@0iB+ zcT8i0ZfP)8UKLNh*Fdya;_P#0uzfBzEd2HI8lgcevF}UKeyaX0 zntkP*3Lu72Ifveio=kqnJg}Wr{>pwUFsz~+#Es(%DNCE#!~b~u zL9rhc`yA;p~lLWXfWgyZe|e*Yed(f50tnTByHvG_^( ze;VS%+xKLxC%c|3p1FtoiTSJkqe$@b1+jNxQ~0)4)HK zzj}WVSiJqf47MMb!S?%A!epIn|F~vfH=FJ2X0v?-7BKEFKkhSrd=mc0=ihgR?fcHK zec$DY2Kk9WV-Uhv7gfxP!X5}2Mnz#hz4!g{PyB&Gz~x=N{Q^E{O+=Ei&r}mb4D`6q zr?6i+H=is9&x)bE>Gm%H*BM5gJ82qOJfVqN5WXX7}#3TA_!>Xr_>+zxuvsxXm6M34U zj>CyO-B8EZL>@5IaWj!;z;Uo59?@sp$aIc#@uCe!NOk;5HIa`s)Owl7#~ErJOyuJY zwZ0|tTtlr}iF^XALp||`KHJ8`B!<8?_?W4Sw!z23WIkC(6t)eRDB2&6d&|f+@-DAP zP;mbGjNiVR03m=mnnrT_&n2GR{$<3I+kY8w%(p_z7k3G!F~L|T?W5-9CgF9$)p4pz z(mAZ9ldAl?DV>oYhpdwzp z6u4;&H};QNM@bY)LW_w{FnXlE1Hx-1eo*#fsvTN{mkC$<$(w`^Ncw6deL(mr;iDz} zj|)F5T&4fH6#VPL&q;i2cloBzi?Hr_Xt0% zrQa<4h?dS);R9Ma?-Q=q_n(N5H+p1$wO8tURK?fE$%DcxwQ+J%c$LO~DZE;^YM*n$ z*J(Tx4!YR>bsEnT-lU~JS9nC@Wx}IcIxB^DX?(r#9*wsO-=py!;k_FFsPO$7KPbFU zg*Z6Vahc*5a;YT!nM)-inUlD#><2f+Fv7Jw7e7f+H8ZQ+-sPW~(PiuUg@Ut4< zB>bGlyM-IF4ybYR5#a%ie@1wY#_tuLtMUI3p0Dwf!V5M2obaH=UlCrW@sUtqY|jdf z7YMJ^_$9)tHNH~#I*ng1yiVg$;Y}KUukfhG?-1Ul@h=GP(fCo}do=#2@LrAo7jUoR z)UPD|X<3)lI{X*mCxol>Pjs=u_8ipW&j9X~KHd|-_{KOsUgX32j(@9#=Z|L&(}Di# zgck^hV$ff^@FI=x7GA3HJA{{O96g4xJWDnHE#Xxfe^_{p#(ye&y~fW1_iBf~NcUdq9 z%i~aPmKkrSbjBO`g}W#LHU2kCzNaN$H9mI;KdY5T&6jf;SM$!0e8HUl)VvF5yjRlC z(fDVD=W1Myw|tGO@ldGo`y`#9#=kGTOyj47S7=;~+e(d}h4^0W{}QDG{q>z5s(&Rn zgOLRrMX&gHj~M&CYc}_ny52cMcz6NxLP;kmJa-mz)nChqk2m&Q#{HWs@f(RxF#3Ml zL!?^xF5$+{m{-U;cBiEO@{624Y@g`wi^B7@e7_@nP&f>4`g=rpp~inMJSZFvbM*In z;it9u=);QbQ>w)uCH$-wf12=f8V?FLq@7i}T`9awi(ex=An{?jqrZCLIl|@UuhA|% zU+c%4g$Fg>E4)JTRpmKI9M01(<$gRM`F>a8FO~6-FZ>DNm0CKdRr-=I3`_d^qws1i zK04@PJFnCDRN24y;hzB!h5v% zhlTIa_yfY5Bpo$w9~a)M#eY`#evQ8@JgU_zE1TQ1Pm7;V9QyYn?q9V&yiMXqWt~yu zp;~yC#y1G>(YV@&?9sT|hxBS(?L+o!TX*-TDHjsO1?9@O~L!UweUe<%Eqqz}`F{!DOt z$N6$Z%Qr{(fN(IUzp26>7OuwIeBn=M>0cpyP>WwB{Itg3BmAszRo_j*&k2X&N`Kpg z`wA1=XOHk~;i_LgE_{q|)eiqGJYTp<|6ha`30KFp2ZWbv@gEajsqsPKHNw??=6T^w z!qvL;vhbL2)y|nv0PL@B;c7k46&}^b!#v@;wRA2QzE{h4h4B5tRlB`Yc%N|9FAc)` zwRAd!->b#HS@==ms-15Weq6Y!@27;H(9-#m@RM5nZwepO`1gdL7OvX)hr(ab(*L>e zm$h_$E8I7S$D1nWtHQH|t9lKC11t7du5jp9`kR!3&kj=SM9k&;vW^R){PGdKdz;tt{*-jTN@1h!c}|zyTtd+<$hG<{DJUbkoj!sub&CuE9t0y z|F!U?8vk<&uC5Oc%KqmfNnc$b&X#;<2+x262aX@T-_>>FD#=&1&v=Pnqj7aTdA)Ge zUo$0slW^7k3sdmL!XsLIb^SRiT(z^hUVT*RJ6-CvM$*atw|5bdZM&haS9eJ|YP_lI z)w_kO`raVv^lQhv4&w08gPcd~k$gWU@%Ku3<_rIv@WWa<-%1hxLE*h}JW|);e?okM zF(Btn6;iQt#K#*0vR}Ga@|_5VrZL`#74beQU-%;8!;JxLzfmdtxW?Zj{Dj6^g`d>; z&B6yYzEAjRjelPFS&e^7_&JULr*LBe&m+}egTezE|Gn@WjiyewXld8vmN`I*mUdyh-Cf79Q33uY?cEI;Q6J zpM;+guI@tx;KmKMXW%04m#H$|#tSbLuJ#qPgy%>)iZ2qLD_pG`ONHkNSN(pi@B%HJ z4Z@3rt9A88;ibaWdV){UWBJRq__qmPs`0yoS84pq!fP~sRQP(0KO{V?@t+8fX#Bs0 z$Aqi$pA+6KT(xI9Jl>1-+AUnQ+c@ESwfHlI@6+O!3O^uRwdWGy2Q|J{_#xq{oFU=& zYVo7Oj|x}E-(A9w3s?2}i0~7_RlPnX{G^utA>lo89GoTN^M2v$gck~bPxC~6zEF6zJ8R`>yp|3SE3FaJ31mxEgTF~SdNe1>qnUM0fsmH2A>FBX1OxLQ}Mg&!BL`mt8{ z3E{B((qF6a>>?gNsvUL+zgOB*?Thvbe@4<#^Xrqs&k9#hqI^;K7%ly83O_077fX4L zr-=V!;dzpd+CTqNc#+0m6kaY|mD2}XE}Sow!d1JC7G5JIL^o11si0~IAooQ13 z?+HJtoxkIAyV#zC8vm{E(;839<@jecK1q0u*3NT@<+)V&0jZbj-%8>A!qxu# zox=Mh9ko7h6n?M7SL0zzigb1hKQ8I0_57bw#J@X5{I3b`p0|!_q{=@aJYTpPKaUD8 z6|VM0KNVgh9ELsp{aScLxVpdavhb*IHQ%$~!5HjEJ^n=DU0OPGgzNLSOt^mDbhYpS zX=k-=Unl$ltsfhNpU`+r_$lG4f8Q(ov~V@fKPucOvTlhhZ|EKUn!c{p> z3BOm1|D5on!qt3yNw~gm%zzUX>=%9Cm@8c0H_j#woqVi^=4XYRcV8*I;@_C}$c3JF z5Fc;!$$58?6sTR&?~?N@Rj-?c_h`IV_#TaaT6nK;)i3u5->>oS3h&eSN#Xq(e@^&e zjlUxNh{i|4h5_4iK;s3%k8AuA;U_e{Qus-YUoU)6<5A(KHU3`VXElC@@N*jff^cKv zI_h85o=1fTH2$dY9F6~%@LY|*C_G=|0eEl`+pSRJlY|E~K3{m5#up2((0GmTN{u%P zuh#f>;p;U1Vc~Te?-Smn@vjSyYWzQhcWL}d;XN8ZBYcm>Ulrb~@lkNXh3&at<1>Z# zX}nB$zsBD#{IJGv5Pn4CHwqun_-^6HHU4qoCp7*=;U_izufhj4enR+ZjsINuS&jce z_&JSdz=j0d&6t!p{_}(fG`>K1j>fMNo~!Y92+!Aelkh@~-y}S!@s9{E)A(nES7`iR z;guTyp73go|3vsYjsIGBoyLuPZnq|lj}{))_$=XF8oyL{kH)Kn@6mXz@Lr9#3*WEt z_X+RQ_VwS}Lc%{bI39r`pX5s5JzEgOe#%~wir168o zqrz3YeM5Lmi~peTZjC=Je7DBW3g4@xZ^MNGY`0!5{y5?LH9kjppT^6D_iKEO@WUG4 zApD5Nw+J85_#WZMHU3HACp7*Q;U_hIO!%P2pAddp*Bk+9jQ}9CZIy@qNHC`fq zJ6))q3@ob(a+dS&Uc%Q^y zhxd!e@j>xN@L};6@KN!1@G3A z8qX1L$H(YLyN`Nc4ZaE=Cw>n7`#c_HT=|#X;5C9b$$0x{4e{TsA%5K*T|Fl`uH7eO z;?uZ|lf`)YPOhFdFLuSdXpi50!E3)Y#Fwoh{$xCh{MMdx@G$N_UJhQDt|7j84e@^p z-2dLzo^Bp>lBbXOJn6?*@B;Do@FMZA@nZ3G{{w!hd0i^L1zs+`6J9C4A6_M1j@O7U z!Ry2?#v8=1#~a1tc(eFQyjA>Jyj}ctyhD5f?-KtB@4@YQG~fR~ORAo|62CQ`5HG|B zB+p^^pv0eq4~w6RkBT?qW0L*3qs)skl~yjJ{>HRL}IACmm%;PsN{ zGCYP`J8!@nahqRv;vV_!zGWreMEqRN>*+Q4t84K01NT3l{BkVFFtwITG-uaTJ$)Z? z9;QJ#c=FZRzCZ4*p6yXQ{Kjm*EO7rmO4k2-0-x(u%D7sESBbxZ*NBhdb>iRR4dQcm zbK|E`d@iuJxcW4RZ-F<8?}Rst?}xXFm*ef?OYjcyi}5bp*4yjx zZi$cMz2YnJgyeY^@0a-3@j>wkd|2}QgpWx4{5@Sglj2+ByR~g1s>U4#E6aDP9Ow_-v2%3L$ORe>+?@)#%n?N;!5oje)zm(~9>JX3tFy<9!B#W%%s z#J9tTI4{e;7e0d9{o5gUQv5i49M7QrXW~=1_4`F@@N4kAV~-0m*nQe<=FPu{GWKc z_%Plf{xRMq{v+NazR9m$-TK6H@qY2W@j>yU@nP{L_^5aTJ|_N0d_w$Qd`kRrd`5f- zPh&p;n=c>W8RFmJnd0+`n5W`7c#im9c%JwXc!Bt7c#(KLUMzk+UMl`)yj=V-yi$A+ zuM&S3uMz(SuM^*JZ&#lN@i5*fzB}G5ekeZ8`eW)A?TN7OZ0z<2ks+ zUu$_}f1BIa5P$y~;#URk@2m4x%pH;UgTutPa$XzJo{#YkIo}`gF7ZwFarNvG&&B)1 z_r~)%U%M|o9IxX#>px}*UMJ#(QlH=9`hAc~*ARdG8shK3`=y-^j8%-xiOE7vUx1N8x4SXW$j$m*7$H7Q9;g9=u+B6&@3R1#c1` z!&}6^#oNT^MqJ(E;*0T4@j|>?{BXQi{8T(4ejz>}-i!~4-;Ga;KkEC>?XUGD@g0o+ z4L$c7!n<)>XWqekaJ#>?edJn-D}n?zzy3>n=)l>&_I`{*-2Zb%@Y)#fBfnjb!ua6n z#|3fLo}KZ2;_be7AACUCf4Jqr?f$J2AClvqjgR1VUKis@-0E-*o>_7Htai5HrJS$- z=fdE%0w0$=_PxbP+}iml@l&|f=NY_5jysHxz3u96b$cJrIAOMbiBErg!mReMwZH4H z8EH=l_jY%AtesooY2x<1#dLA|-eLxxMSXT6PY%8rzBk@2zCVx0#qE2JUHClOGeUeHZs+?Eu66zf*E+9zfEz#B{w@5DPCY-=I`4&RolEc` z+Os9?JOkHt!@dtVNxY5EM&hT$Gij&hS&UCh{GZ7)Bfcx~-X0Y}3+=f3;c4P!c)Iw> zc>X~y&(@spIp$}$^>7{hQanTQG~*%M*2zENnd0~3S-8!Yw>jUi_}_`|mHGG*o)CW* z9}xc<&n3V8JtD2xjgvy$#-Z(_)k%GRNgZq-ty1DQ!EGO{C~oV6?ORok+d6OiR>g3; zKH9!j&EmFiRhzi&Th$?M`&M;}+diwc)W@FpZbBUfIo~knW&5z{`P%+zdcKRuqvyK| zuIFp}o9TH)h}ZKv64&!O37?Su`W>$AZ@{(v*Wud!+i`9GeYo~lFCIGB%`dCxbGWwW zHC)^C0j}+t!u#l7yS~_dT=^W=K3`$`arMi1u>H7(#BD#WQE}T>Y8*Wz`E7rvLfoDw+x}psxYca| z$1TV0IiBtJRVned-&d8yZ%v*WiQf&clX%;|t3iA};=4Fs|IeVo>qtC_+xtrUeSuJk ztF!-DK6u&h6qMjL9!?}r9Jl+5vvK`g+{Ji|!ke;2kt*NPR4?`P8aU=IbN z`5uC2h*#p7;!E*t@hk8g@tg5H@lL!z{BgWU{AIjY{9U|MdSm#?cxvP9pca6UE(8nkNBr}pZJe>zj*3Ll2h*& z2E}vmVevijQSn3ZG4T`e3GrHdO8iQEM*J2$ZLitm|2{lJybsS5e+ADLe-Fd@?T=^RcD~2rA&IZXv&1jK z!;%brIsKnofS4(^sUL*1U#OuWWjW>vYfHzA1X}n3|H}pR+ zle&JjNc@&~tHkeuw~H6!9g^p_c&Eglg?CH*rFf6Tx8Qx^f5H33AH@g7pT~#ANAXed z&+#$wwU2Ujn-Jd=pAyf-XT*PvrxnefM@Qfp;-}!5;&pho_|ztya*o^KN24kKNX)4|2;k>-h|JH{|Qgq z+l_x)KOe-?#h=78aJ!Ek#xun~!n4J{!*j$pDtGnF!>v7GJYV8>!wV(;AiPN8kHd?_ zYw%L>Wq7&xa=cRf9=uAt7q1b25w8<}8*dQ*0&f&gJJ!{$S$qNBid#Lm$J-=+Z#*tu zhIfjehIfl!fcJ`DgD1q>@d4c0`8Rw};-A8YCH_D7h{TWMNs0d+ACvgGzj5`P5dS4U zC3y<)Y4L;c8Od`zp0>~IdG|X!9k=-v!$aaX;aQUBUOZdk|BmN~58`>^@8AXEU*bjL z>;Bf&tynw@FBRVbFBjhjuM|HDuM)4qYs4?a>%_0c8^rIx8^ycvX7Q)-R`J*HcJYt# z4)GbhOMIR`JW}_EJ>sd4$EUncJoRVjl=q9L9>1r2Q2YdZSo|D(6u0?!Ii8gGR(xE1 z1wJWxR^d|;{}MhUp2XAk_5X+evU%|po`G9C=lFjXPn~Z_;uqps;(2&jd|y0Q{AfHM zx8tTho|HOnfyCG2MdH`t#o~A3rQ#3a<>LK#rTA*RO8gVNM*Ii7PCVp)oGEo)4dRRO zM)5uHX7NMtR`E)_U3@9tA$|qkC4MvBBi@Pki9e3_eyj`*Z_1fLc^2KOSf=fxR#y7)zSNW2-(62A)%i$9F#ia&$ri;v)i;-BIX z@gMOL@r^58JKrc(wS6c&&IXUN3$n9uvO>ZxX)`ZxQdq+r(eNh@#BYt~i5KDp;)mfy;wRz7 z;^*R};*EGYZtc7kuMoclM;_=xytcv9Spy1I>vZ-P(a)}C$f zDe=AVX~|QHd;8CxM7^R)_!L#S*{4 zDXyNS;=jPl#q;q>$$tPI75@!hC3()oYs4GyI`JFv2Jt`RjpC2s&Ef-itN5FEJ8pIR zFCLfpwN7<)>lDw#yCu)Ic#p*Y3hxs?9Pby8;)CMnAz(>Vz!^gzC@CosM;#1=P z#%IJoz|#(xJ&&gG4Dk(5bM?#=-xALj-v!SRFUIr4e~TB0pM@8RUy2vw>v-9~I9y-PLnUd@FoHd{=x*{6Kt0yaG?-bENAs zuFl3Y#4p1$#s7$Bi+A8T;*a5Z;xFI@;&0(a;*)r>_&R5}x|ND=hL?+PhgXUh;ca+2 z^*MSymp?9EMtrCEKZzd_uOfa#ydF=AH{;{taePv|2cH%nz&$=MYUeeIr;AVe{&U}7 z^Om?eWF6+#)imle7Y`lk-16sGy!d}3E>Bq8KIfb~#N`Q*zmPoHa@>RPT*))CHu)v~ zLOg=+L;mB*qh5^<5WfTQzh8sf=ayr{FDCv9;ydsh+&+h_@%z$Fjc*C^EbyXy-nExJ zE6G2^=YH44pTS4OSK~?XkMVKw@9|0TjjCOpr^UCzJ^InwvkRUsegGa4KNimtUxJ6l zFT!)hufq#voP58YYkx$1Ub^!V@w>=VCcYA{5PuGjioc0hi+_&ii`(~HrWil@^soJ{ zy82H+o`qiQ{a6rLLj1flQ|GnNON!^Psn@%K`}=G&PSPEFpW}tNt#3cz zMdBNt#rP3lh!^8F{`2rs@qO_o>GyKHMf^;Bk~~(o%kVadzX^|vcjEQpj|INa^S+G* zm9aX!M0`x*NAXVaFYp}3t>u|>wi}0Ol0O^omVVq3Pl)dm_*~E9xK@W_@NQ{OHQpHzn<89T*pnMt8njQH-2p0xDAiuwm;#6rghiv9f%i96Z!Nd5k@EE=kZokjifp3K$LVPj) zOWeMf+JbL_R|N6?dOi^g#D4$oyucTDv4h*PkfieUxoMJbI89O&)C$pb5q>z z+jH>s@VkO||NC)VkIL|e@Hp<>;{K05^BXS@AVK^~c(ld2#gCY8b43uDOZ-^i{(h>= z-x&S_9zEF2>r6bO){Td_^w*Ynr?}nccZ=J7ey_OQ=O@JNK7T;`|K4|q|KIx#aeH5o z#BH40`+_lvxAz4T5^wJdCMDk97fg%W`vOnKkNsUN9k=_FeCnAY@%!VM;^la@_!)SP zcs-scel1>rTYcK`LWzG6kBBGm67hfg{&VyC%{BOkL7s))z@cv3T79PQLGiWEO^wfm z-jH|*@5SvpyCt3w|G{4nQh%?Ur2Q7(;{T77PmAY~Cw{PNXBLGk41BJaeWY6lto;Y! zS>lh9-^1;=&*SOhqj*TXocsx?|LORE_yzco_|^D`cpIJ+zYiZ5x93-3Ip0-5yuWW4 z>r#Xplox&fxp89auznBvKS3Vkvg=MR$F+6dt~>eSU#{V}XZQJI{^hq>RtZz~_2dN4s%l=e14X{(4RwifE73ElRxI z@9j^Xu;eMnbH(j?*C=_;AU>+wQyyFPZ}*|hUo;v*8@j+cnr^|T+KPoDpqr$@Q^ z*gX0R^Ti|H{0INSo$B9oaqIVx_=B`3MnA?l?u)orHoITm!qde+$3x;b`Zp@6_UP}b z+w+zY+Os~#eQpi$|G~$k{XeZCexrHrxD&*0M1I@9Lfd2eSIkJf?MI>UwjV{tF|IzA zf35R>{(R@**~D)^d#+jQ=i_h1b0z=Q{>1#bdfGk}g~V@2{*`OUZ~IpiOa4#S5Pu5w zEGOPCd+_>p4e`I=e5;7hAl~-7(0bZ_7ip;vcKR=?&kp3tl5w>+9u_|m&lNuf&lf)* zFBHEDkBHxjmx$komx(`)SBSraN5$X4tHr;-YsJ^8bK{|2d{aCoo{Kk${~B)*KLT$P zKLwAA*WsPwSL5B{x8uFyf5j8x34B2OReVVNLwrR1TRbVA@q1U#aq+G2N%39rY4HPb zk9FIwYZZ99_}O?!{4zXC{Ev88yaUe_e+s;XKRwlj~ULn36 z9u+UbtHqDRYsF8+>&1VM$HbfPChmTl_n`SA3%jT|E=x zVSGS*H+)F^AbdppI6Ns{gO7_Z!zaa;`~GwHw`+R-a9faPq1SMjdw=0yK7!X`{{|p+ zU907KVei*g5bqIRM*KelU*KhzxcBv0_*;R`_3FuE^X_vzCcaj^J8qNsd|aQOgah}V zAJU#&j%)h}Y5cClmr48qc)irYo(m<|=W1>8+jF5h+G$=!J6mwOZl2=%&-F_U@yYUv zAhX>!#_)0R*1-MW!J(b@zTnUJka#zq6#pkaDgH9tOR*>u@`H64L%Id_epOd`Nr<9}#~KPl`|B zQf%X`%g!X zclFtVJeT@~O08G%<7U?_#Phm*-0puq@h?EB_=vbY&o2{y#cy>gK3k4!>t~_(V%E=c zd~@1qpWmy+x5VxFWDEWa{8Z`_ras<)`#&x*ADLaZ<#II8YO-)-X!t6<1OL` z<89){;c@Y^@lNqe@owDu>qfjs{BFEg@~p%Y68{X|FY&AKLGh3AVeuJ!RPxWe+|@HF z@r&?r@!j!B$y0()N&E@;jQBZt+Hc)@W%KTGJVU${&lF#QXN#}Gb8zdIm+)MPPvZIF zU*Uz~bNsiH!TKq_5HG>)xOsS~#P5rjiyw_wN*>$iyqk5>{w{Dj@d+o<4m0PZo~?C&^7;}IE$_WL-!G7jzg9SQMMf;|4;!RZ$pKNp(s`q8X$ zcoQDo&3XPUuAL8B{PVNpU$poaX2*Yow?xN-{8opb@NV%1SGjRGgxmPp8TU?cdCW@! z_xH_CUFiMSjGG-^P2h9APU)9rc(?d+yjT1lJR#nT4~V~r4~f5xkBEPPC&knL;Ktjy z_yT+qU&nQew>>^3@q6Pl5?_XU6|=7+r{U@17vLfBYw#@G+S86_OZ?yP9EpDl&z1Q9 z;Q10iju%M$_jr-S&%N5!Ga~W7#7iW;056sJgYj~SKOV1Uo-U$a>~~BCWIo#Om<);A z?-0dsd*5N-!>Sdx_kAOh=ggoz{=7Kc&5J1Qxt?}b$a%%_D4s_AgLsw1KZ)0f594*> zAK?w+-{Fno8#TH9Y8DUUt>U}k?c!Vb3r=d?4$&{0a9#%ypM$T9AG-#(@4Jmlo$dW~ zQoM>h&E(G`e+li$llIv6<66jL{chi}ne z_>B18cpBrx#&a2-A$}U3DSiQ-ExylsuASYu_3t&rSHI@2BYs%$YQyuy&tUxb{AYIj z3P1kmp4-^-nIYzj9oL@EBygKAkF6ok%RzhmahU)4tox8Lyjy&G&Uc{3wbPDkpT|px ze;4HO_hq6z9{<7S*SWeSPjh*!Uv|RB#rMZ2#eaiOi=TyiRkQP7hNp`!$3x=x;#uOW z@UZyHc&_++c)s{Ic%k?P{ucy-_gUgw;w9p{;$`9o;T7V?<5BT*@M`fZ@LKU(@Ott4 z@tF7%c$4_Q@fPt9@iy`A@VNNg>s{SC#TVh-;(Oq|;)mi1@sscY@$>K@@ju`r;?mD%*`Gd3lSn{+` zXS=?fh3CroE+bDoGxbSL&z66$1y6|IjVHw)#-sCR=Xn~Bi4Ws(@elBX_&0b`e7%-b z-Tdc2o6J6L79JMg4v&cc3Xh5(ipRu{$K&E>;R*4J@uc{*xF_|z9S@7&k4MB;;ZgAy z@R;};f%~7^uXXciV>hh4&v5TN=XPK8171bE-4BHRn5w`3J?Wc=1mT2qKdq_aAiNvG1Kn<~zR+Pi#~iKzyF_2RUwqS5i z;-BNS;%PU#{;C&Wh{wct!mGs(z?;M?@HX+^;Vt4<;BoO=1NWaJNqstq@0Iw!)CE9#f!y{!M$@_e!HKq#?!?w#Y5uDeNU~=lMlFc zCYI?M8YezW;vWgzzi;2!J-4yr_Tw?}SMj*`dw2r3`Thm&NxawQ#$i}|9v%_j()ZxH zllnnw|J73J&TCtIXdCCY4)2V&uOH_AM^7jG6{g|~{o zjJJ!whv!Rw{SR-FewlYWb>sbSly+{3N94SA#-rj9JSKh=9v43qPl%t7C&mAOd)v*f z|7~~}w{`okc)r9xiI<802d@?X7;h2(0q+){|0lQJcF-@@?>pn8(q9MQW8%NXC&bUj zr^J`xh0^b>cuf30JR<%CULyV~-op5?zsr1zx8XLg*J*ckD3d%{c!l_mc)N_xgYc-t zpMY13*ZQ7XZ}Yj1BpA;Z5}(ieg>CT`yaLa~@5AdQ{}Xtv_^W|0^t|oe_$(pMhj>i< zTZ`Xec6`PiZa&7ux5AU+yW#nHE>9N6JqRxoufS`?Yw#BF7~YMC$$t|*B=Ps+lj465 z+`s8xQW0e6B+qI*fm_`^#nY=@d^+*p;UV1aXXf7N##@&7B0Ma<2c9c_D4s8V5?&~N z9v%_@170G2J6`#6n_)%7XKXY6<_CWH+~Z0 z3-AH)9q=LXeen_TWAJgQ^O^Xh_%eK2{AS!+I(t2NAaMWtq0%o;;&Jge@PznRcv5`r zKfC&*%W<>tkoZn`hg@e54&1-K>@<6w{|)g;>Q?Bv*SUDsneKdToxBPUi{FOlivJbQ z7k|?7OZ!*jaryhmXMr#D!nEHXPQmLZJR!dEU)+3*?(EJtM*OyTQhaZV&v)_p#FybM z;-}#W@eA-t@oNH~>s844-i3$7AHj3QU&Qmp-^B~X|A$A!H|lWXs!V(_ULw9%;C}sg zarH@G+ZE_QJb$;@VFJgD}E;4#e83vaeD<`E%9xE`}<(?IjC;p zR}x<+^Yl49BK{^`BK|oZljE*?uN!Z@;#=TN;=AB2;s@iM;wR$W;^*US;@9AD@wXs*-gBOSw;zi=6c(HiY_tZS9 z{mP9`Z+$o3mg12y#w~vryA1EX+wVKztx@|Gs?} z_q;dk(s=I?KP2(f#Cybth~MP?)N%di#*$|ze3Ivr7GHwrOZ+K#ndHAPaR0d<^-SZq zSL0>kx8mjEEAR^O$M6w3-{CUuO5+BFcCI1`vr1)3lACh*?>vH2H zDd)8V?(OgDVCQu(9>T3Yr{MYG4S1R4xi#>)UM2l*?SBBT68|S&BmOF0C;k!MApSkx zi04wbt^Vr9Lzl$whKHoT4#Bg;PY&FlcT$Io@tF9{iH%L@!#Y9;#c7V;;neT)c=0GP&|Q8%l+8vc#`~$%$FIwiub=Ze?#4F9@U8F z;C14Kc!PK;-i?Pi?x}c0+Fy^Ch}%9eL-P0K8;GA2{|jC(*M-0P{&Sztx6i>2@m$^3 zdHcNUh`4=zHI9ckuV;hf`k(h;{jc8p%AlmL;gO~1t(OX&B>p4wTIc`wJrwgf=LhlT z=?}SniE&(;zrXY6N9z8hQQY>;ZW7-t$m2ivYj^n*v}Y&WyJNQ7{#}#gvHI9PSJUFQ zFIG1mB7cPZ3G!Qg%B($aev^?3wfdZ5KI+`+aIX1z{|n;HFAsdK*DU9IBi*R;D$kgB6dxBq51$Zk z#3#jX!KcJK@oDi@_>A}KiFe@H;*a8C z+{VcOo+JJSo-27K@I3J!@qF=6kL%w8@kMwcZtW?+i^T1BOd^uUe#fL(+Idn8Xk-YahVfb~fp+XpNmZu@}s zi`zb61LC$1*dT7_W&3~)iQ7J4!{W9N*oe6812!sd`+z0IZ6B~PaoY!MT-^2nn-I5s zz$S50_;veG$;xl-m_`J(qJ&VK_;St>GZ2N!} zi`zb6C6dSX0V@?hhx}!d$Myj$mw4L;tU}!O0jm_ZeZZoU-}V8k61RQ8swI!@16Ct$ z`+(Jo+dg1*;CfW^dLV;yT0{}^w=t-ogQW{IEoi0k(j@kMy6`0jX{ zcnRJvegYmBKL_u?t(}+So#L%{m-q_2Tk@~Md&FPDdnHd2?-TzDPl(TX)YYwDd?7x7 z+d7bk4~p-L4@sV*@nMNS9Ul>|$4AAl!;|87;$z|u;p4dVOFup#z8aqt{{)|s{6FB+ z5+8cZ)pJICG49pRzW?6?PZK``PsgqPm3W5uQamJiuD~-T{$@N&yc5qBe;f~szl`UI zzl-OJPvLpu>-D<2<%@5Q7l`kO7vk2x5xhv^kHI72XW+%+7vUx1&3LK!U3i)J!+5#) zGkAsg2wsWXd3}mU#ec-B#5exCt7o<3&%tZN_rz<(55?=mPsHoRYw-s0EAg24EqJ5& zeRz|2AKonf3f?0A9^NYcHQpwkzRK0DU3?2XF1{1qA-*5piCaIG<6RQJ1n(BV81E6k z9`6;8<9)atcO{+>e-`f-e;pqXpTGxkTbF*qhs5VU?&>)#zBN7~UWkw4*8aorr1(kr znB+MZAD8$>d_v-H#V5t@$EU>qflrGM;WN0k|9#xMsKQIVtZv`nY2xemxw@r`XX6>T z<=;8*gr_zcez_x|DPnJ>NxULd{=UMRj7UL;%wjkk!uhPR4;jJJu;;O*k`{^{x&7hi;Ti0_Vf zikIMB;wRwU;^*K!;+NyS;;nd}_zFBBzAAA4^JA<(w$8kSXUV$sI(d@hv2|twk6z-| z6I*9~!Uu3$SLY{OJqN|N#)rfU@nLb>XEG#zCps*MU+85^`+tjv#ZSX?#Fqx{?+eO$ zo439fyspIuE0zWSp5@qU$H&AU4BX%Ej`mx9p2VXKu5MP(VZ7o}=eB-+gjb4xXL-ao zdeYUoN<55Li|>Zlh#!R4iXVs9iPzxu;>+*`@#T0-{2shfycci6ZCt&GH;ccGw}^j% zw~D7d-M|4MI=58FA?7XFB9Jf zuaNvl;gu3!g;z=Zg?P2ZUyIk`X|(?iyiW3Te(#52yek{JnW9QiI?DU-1_STyhGy8!MnsS$9u$E@jl7F0#8W%DtrL9@&6J&B%Z`a zB+plPQsU=4>*_Wxz7U_3JbCyuZuQw0pON^Z@w8=b{#yL$csjl=3j;c3L* ziDygvLwJsOKc0tMdsgH568{NaDERBTB7vrT8zXx6}@rU3Q5?_f&C4MPh zCGl6_HR3npb-2~D6R(&2kK+yEFXJ)sckxE?DZEL1y#eO0_~v+v_>Oq1cm!_~KL&3X zKLd}8UxasvH{+e+ci~;Q)$?JzTl^Wk2e_1bJ}v$VJ|q4f?!{)WKVRc%xb;{1 z3$AYI;#=Sul4mD8B)%V>DPE3ei7&yk#V^LgxQ&zR@mz_I<9QOl5--5*e4oV&#b3vZ zB>w~+5&sD<7N7qj>!JA8cqwk}Da6af55vpFPr@t2&&4Zon=g%c6t{ZbidReg{dkSU z{{ycRAHo~N-^UxpzrmZu*B^9sYsIZT*?61y&Um}z*&pwa_+#--@oKzF@?3)VNc;_W zulU_~A8z&U!4s1IIeb9k-@pgOKf{M4kN1+R+la((f{%)CgC`}=Uii4gm*NxRC*zZn z=e)oddb#raVHxq>bU5_u%8W)w36$5PuP$6n`6^68{397EgQG)pJIC z0q$KfdtKcgPZQr8PZux4GsI8BL*f_Unc~;rS>o+@w)o%hu=rDWj`)89U+CpYKfXtN zgm~-6uki$K{h0oWt7q<&v+K46o+rK&o-e*1ULanM7m6>zi^MO+BjVTN#o}?iM0_P) zD*h~9CjL5JEJ`(r>&pXaNpDf|RFoZ`_ALR32p|#w9@HQShX|_+`@snr! zcX)Tyxt;HZ|4P+)ftL{90v{63!$-u6@TB+=_&9FYyOZz8V`6weaB0uSR>=bQ0d$=`|R zN&Mq@f%waKk>q(7k4XF!ULx^p|Hsv>R6K;2iEoLQi|64L_#D=UeetOH(Rej(^*kM~ z6|cwZaT^cU;SJ(<;xXLD!$WwZct74Gz8Y^9{{(Ll{{e3m554B<+=knDSd7Odeh<7u z{1CiT@>Js8;!E*f@hk9zsUG7BEAG~6~7p76TcpB z$E{!Dcn5CnUx{~$KZ|!sp4agniJ!oG#ec&4B+vXeTs`~6x5fu?t4|?5Bz_n^EO}1C zM{zsfbMd5jBR(d6D?ToMKR$t5JO6=CiVxva;_u_rlK&fg2Dg4(|4mo7w5uzEE3A1o zo`Ku>?u>`T_s26O&#`#6#8=~CiN6HTmG~R*Jc+k`62f=7_J_DnxI2hn=vB!3$_L34 zAx{+Iv0qC@j~3{yc=F5eh?m!Jjdb1;x%}Q z_%ggyd^ui*TYK)o%f)*GU+7gzou45-O1#y11W)2t=TGsHYi8H^N4!*g z;t$|S@qgmu;@|rh*3|c))203M{1ZueNc=9&H%t6g@=r?skML>n>&W9tp6`SBglu5NkaTj2TPJL3i72jGR`zr~Bh&&DI-%kX0HoA46x6?iFb z^WsswOyXa_%f;WuE5yIVE5+x$$2=9^9Iq1JDe#3}waoV-;$y^DdG1w)d(Ccq+B#5$ zSL1enQjgb&H{IrH8kK1@S5pR(AbMct?Re>+`8svO$!eioh!}Dc&Uh9o{THcg)qZMSKz7D!vEaCVnX1E`Aao7e5d05dQ<- zDSkWNg|EYSdl2sye+usre+};y{{-*D)5!lLo)Dk^fva1;_%`@}_^- zCYdis;LYNdc#HU1c&m7Q;B!6i#@XvnGoHk&7!PrLsKv$Gy4Q`5;8y>Bd{lhI@{5n- zW8yRTxOnIzSLX@w9DGu|5T6n+#izxi_>6cR?)}l#!Oph{PZMv))5W{-4Do(EBtC*? zicjEK;@-HcPqug_9v08VbHt1AT=6nIPrM4x7q7<)#GCO#@i<;2-h)TP2k>I?QM^Qa z5-$}```Fd3OgsxO7tg~h#3Oj6csU*wug0rzTPGXvYVj7lM!W;B74OCCa2vOSc)i3Y z@doiJJSLw0iK|;^dByN{iEqW@65ok;i1*>0;zM|s z_!!xMd`P?v9~SSzM{uiu0w0z5 zVLT~5j*p4Y;N#+<30JoX@f>_oybzxfFU6%Y~%2~QJm$J52T@eJ{P zJS0AXXNphYS>oPju0Gk~nRpnt{>sI3#EbA;$y0{siC5wIlBXUo5O2l{#p8I9cn=;C zAHa*nNAVKzNxT%deoXtXt6Q0P7G5rS^6(1r2wo|9%JHc9nRu1txeTwC_?z$=@lL!} z{2zFo_`mUb@sIEZ@fkcOzVYX-ZjIvG;7#Jc#+${<@D|+0=jnK>_{Dge<4qYyTtoi1-WmsN{JEPfGk0J|@2Y7p^|z;#=Yq;=AFK;wAW$_=)&5ZsYAd zd`9B0#=VkmJ;=|%!<0Il5eBuA@O`X zQ~W?Y3%BueJf1DS6c39x;yL2C;kn`u;(6jv*`i3o{QIs z?~T`sAB{KQHm;W7G4TexQT&g1llZ-OME>sfc;E}YHhEtCJl-z88jp*AK>j$#UBYwh z@9^-=6@gUY^S*O+YsBq*bMPkdz3^u7Bk&g7#`$S@E507bt;gHNug5zi&!6#5@yGBk z@j<*>{9U|9{2RPie8cZuefq@1ctU)4ykGoKd_eqUJR*Mwsl!Xeuffa2%g=J{jEUb# ze1*9E?o64C!{%gEYybLcAKOK*VUyK)v--wrpci^Ssf5*$j zU&hPD-^VM&r}0Yhjec@Fd`rAtd^bEUUV?XspNMygpNDseUyXN*x8psy_2WZ$ zpZK$QzvOun9}u6!2gTQ2%hhK{d~E3{Ml^0Z$i?;2Gk_;vwAn{VY67d>I~=Jgs<+ z_Iqqz0g7+x*@EnX{m=BBy&)QK;~>%|N42Jyr3nE0u9qxgk*lXx@UEPglM zBK|1eD*htgCZ5FG#lObm;v1~%>eC^<72YXcfOm->f_ICbg!hP_kN1i<;eFzF;0f`E z@qY2=@B#5rd{F!gd`NuG99N%V@h$KXd=B^R`FK+NKzv;C9FI?kFU2Qu8~=^?wD@hf zciZgs^FcgK{AoO0d<4%B{|pa_uf3kDPp0?+JWD(e&lcYg4~zc>&k;Wx&lQj1dEz(Y z`QrEE1>*n23&n@=BJq#$i1?3qvG^wGu5Kmbxp=Ag-gue#(RjJ|61+mZ0k0JQBOVpM z7q1e39IqB1!fV7oz-w`P-|;nGC-EDs@9I-8z7^geUVz8M55XJ7Pr{qT&&Qj^oA4I# zJMdQVhw(P?=kRv%Q9LgG1>PY(X9HKCPVp`9F8u%2CA>%cK)g@#9FHf&m*V~6jrf50 zZTO)0gZPm6)A+FX2tFeI89pk$_J*!LN$~~v7;fuo9zG$yU*HS9K6&19B=O$uZoReV zEme37U&3|aB77XT=MOjF6XJitC&hd5De;%^Y4P{)8S($&-k)Y)FETP*-O|K=iKmP2 zfoF&xhKIzXc&7O8@htIc@oe$C@UVCfo+JJ|-Xzc8-o{(Rzrx$Z_c@#A0P>tLeWO&} z{NHDnak5$9bG-=dv2n5kp1^IKMDX19+5K`Xo+o}5o-e)(FA#6V3&roli^QM6BjT^( z#p2_5iTDqAsrdZ4ZoHL=Z;O|U7vUA+N8y#?XW&usOYkc37Q9;g9=t|;6<#a;3SK8Z zhS!UKi#LeRo#*Nk6JLxsiWlNd;)mnS;-}&*;uqqr;>~!Q_}zHB_@j7S{6)M&Jc)OT ze~ovEZ{TlemU?c`Exr}rBVK^_iXVdaiJycg#Lvh3#hdT}@jLKA@rUst@#pYi@zKB+ zdi}D_d_sJZcw1+F!lQS%^~Bbh%#B^$25`F`ZHEtv?}HDCAA=8zSK}k%m*S)1%kiZ6 z3Vckw4<8r*7d|2WAwDVoJw7GgzQ0@Ne(;8i1#52gBMtn8C5&rdN?!4;p_3^!FPb0no zUW&I!{twC1F1{M?#I0@vcsHI!{u9VQfZKiAS@;k>kNAu5B%X)=o%|VhyLxVeHxXZe z?}#s@ZdLducoeV4e}Ql34~*csH@+=?EBO=nR`^lmN#cv}dx=l`vuo!L`2Dm$AKw*! zg!n3n@5fv4Er@>=@4{{T>_mHdaI5os#P^9`N_+Zodw=l-@q-fYZQ|y|u*A>9NAb03 z=Q-q0;x=x#qMZ}CwLgSU;nx1O@EP2HvK+krWaHs4uFlr}W;_$OJX_MvY>D3y&%v$z zCzB@^xAvFe1(LsrJVlcKFuYjezueD_w^E6JA1}wPJ;QhfZtZynufnZ9$8+2|$-f`% zZ@{fSyW))!zZh@EtvzRwzXjire#s=h9k=yiUA#m5n@wFEy2R_r-y?oC-Y5Pjb?6uW zi2Q@%BlxiR^Z2OvDtt`*7TPl*zJm5liQhx~3?8CxJ$Ock8_!nHr|~R&KJov?^Ke_w z-^B|heiAR0{6FCpxINFAo9X6zC2sTU7kCwJ$IZiQaT_PU#_MpujKS+rycxIk|2VuI zx8qjh9k^Y8FU0%sO!EH$AHwatZpMdkyFPZ{N!;eqN_P?@qFB_Ydhd&xSiLp@F;HWKLoGFtzRnedfeKx z1dri%|9Ju4h+Cbn!dq~=Z)wHjcrN|;7kmJ>c0P;`i9d;th!5dO@ptfX@&DqJ;y>Wi zxQ+9S&0N3Ch;M;=_quUn@!R8R;(OugxSeka9uog8o+W+;9v1&So-5vn=ZoKj7mEKG zkBC2nmxw3uGVxdN3h}q`sQ71iwfGEPE56|Z*Dv+ro8vL@?eHe?LcB%%V7yKIH+Wq9 zbi7l%4(}Gf67LmXjwi(L#s|c^@gebl;v?cO<4N(i@Nw}8d{X>-d|G^iEY~mIirMSu zLOfkO7Y~W=iD!u)gonkC#dF20@O<&}@j~${@QC;y@e=VkUMBuGyh8j5JSzSYUM)U~ z*NT6N*NcCL$HdoP==!BeJPU6T-xhBZ-vf_}ABcB~m*d^yr{TTgg}h%&h@VINfcWM3 zka!C|B7PU16n_vO7yk!7DL#l#i@%9`owLXPCwRK}w|GcAeRJ1eS>g-uu=qBC+q+3F zvi?8z@IB4@!v9p*?APApiIB(cXIlIlnAH2_7ToS<{(`rOf8t-rQt>hIAMhseBZK2E z@WSlpZ}-Wk;`!pg$0OoRzW>~Lt#!U@&*K6q_}#d7pBq0`pOtvJc#>@zr>>_{Vsy_zYezKJRi@&zSfkyh(g_ zyhXeOZxcTOkBgs!cZx51#I>hee0RK8yaZ2(pMVdDpMwvHUyhH6x8h0h75KRLDtuD> zC45>uiF^0Y9{*qA>Ed%9W&DdT#IwZn@UZy4c&_;6^h>^YD_$tR0*{EV!b`+o!pp>y zc!l^^cvO7O6|N4|;tTOw@jSd+k{bJMkg$hwu^cemp6@8Xp(`1fLZD0iPBRJ?84^JurLxFUHfw_rOEq zhu~SbJx8jV5xjpi00315}zqg#iKtC@@HYpj8Ga z5Vha{1)~%gwL-uO16B-DV8EhLDvnwtYSmFH=19dssz$6ZXq6}xNA1xFRY$EDpx~et zqEx(l_IkbhW!`3v-|OSvKW_dwc%FRt?6ucgd#(L*4W2Q72=6ifEuJ;M1@AQ<#&hPI z@jmnIM?$^j&G*Fn%@4y1=2?8e{8X-wW^(?sZ4~~_!K1tS5;z=R$N63cKNx@0`$IX? zWP+d?~JS&cc_OpOAQb-EmPop~rvgw_yX{4*ONKdfpu$G(Qk8nIDG_ zna{(^<`>|@=2zeq^BeII^C7%y{!M(;d>vjh{|!E7{%5>y{-S$By^Wi9;tgEq|A*oe z7XNBIS{3@O&NI)zJIoj2Df4&Zo#r3J)8?PXyUitodVjulW`{XTHmQ zp+5V}_rvq%N8|nGy?6mv{hx~uSp21U(foRR(0n;wGT-_h0>||}9A0`KV z3fFV7Y&;+|uOmjx?>I5|TJwAGn)wg#4d&-3k`REwD*WzD;=gb%2eU|4ke1Z85c;52dg7=#b;|0s}Eqt-XKZGwa|1G}M zd^5hx@^8O)sJD{E?}-nYABLALPZnQkeilA#dG4%+@u6bz>+#j*f5b;D&)@N?#qV@K zd|MKQzopdY zCi3WbxfPG@Y(0Lzgm;*K8&8?n@J{pJ<7x9}@NQhk*ADxHel^SDXX3NXkHF_xo)ht` z#h;D$n)l;5^Q-Yb%YQSzz~VoLFEn3`FEW1sUu^jw#s@6^NxW$BQ99JyQuE#MLCbR> zUb6V(@Z}ai53e2*`iZVb&P{wqROURq^L3%WePqwc`{!lk*=+OmwdAS3Ib0WX(r<50 ze0o%*KhNfd@*U(^K{?f*@5L)t{-59@<{R-UuKU%0#@AZ>i}nrmyw1E6UvGXWzQO#} z_(tR1NaJyzX@My{uz9g<+%&5 zSo~Ui#QYa{)%Nv8why@%?~&^ zcnMejqwwYCKZ$R!G|MxD>$uZ%y)v%jTF>=XTAt(Mfx4MzjrnPK)%;w1t+}4}4V&w^ z-YWAqT@*B|bf> zUK-BpYLx$O>N(GSKh^X1@P0h*-pSuS{h@ve=11ZK<|pAr^Rw|m^9u_he+gH42Jj*C z58`E9^>Y#VS6cq7@Kxp)#O>B>_tm(LuMGJ|aFypoylOrlAH`Lk75F-fzY||?UQOC- z=V$}2@^su4%2_vm2j$;rUM2sy-9n zi~lO#Y4L0Ew8cL}{Y+UM%F{#t{Aql{x!I2WcnAI~;tRO$BRzpHG5;GrXkI7(a`UI~ zviTN#mHCeGi@42lj+kGM&%ssx594z!{?mBQ{0@A9x!%vo*|_%)#>qbO-;-x8dGzMc zh4FyUEPvkO^nEADdPmjvY z7YyRRA@YAN@tvbC>u;;^S>_Mmv&|pI=a@f<&oz$@4Ch_*%y-A~a`Wfj8~Vu#^PQIlUunKCzRLXQ_yR2%pUr#mHRgxJ z9i|z-7T5TBFXbGy_@Cf4^NskJ`3Kmqy7>xx-25we!~8q=g!zBt5yz3n+i|?Zd_z1? zH21e)$K4O`e)DIO{ff_LI9@tAE>Z`D<21Uc^}OX^JZ*kr;?tv^LO8x=k>{<6$M5-A zIj_V!%|C#r%~#;v=3l`x=C8Op)WZO-@pCrwW1VpDZ&<7qVc`vliD>+tyh zP5!oLzc!fPMEoYpa}B-)*ZIK9c>lBG>!ID1|8Ml4PCUhS^?qhIu5#*q&K_L(-^_OB zTKp5_pKtLs+C7h}JUfx6VE#|$>!SJ7c**>~@iMOd`2aqQYu^7RzSiR3iLb-ef8K_# z$5jt+!0YC(!8e(|5}&|zyc~u{-w5rc?f#m2>oDJhr*M_$@AypfwVc0on|E}Fd3?6{ zbUbT*AU@ChYvk`UKbrW3xb}B0z6jU;-cFvy=4TPV1n;5WzJ6{PH&$DG7GHy_Ki^9J zb-4QBk;HGX`2Fxrxa#>WlxM>743fWNB($TBudDD*T;;h0@5Yt?Tzt00&%@_h{NbYd`pCP`CtNdTaS6QAl_!?aGvzhX&v;2R+H(36Y zD9^aXKS=%wi+>oO^3BjLs{aSbKMhy;A0s|(@e_CkSNXR;I2=b=T+i7@$Uo2W>_Pkj zT-&{?Gt_gxxxRP!#LMXT{NsQ)&t%^PXkMt@6ZTi{9cdmJ$2EUx-f7?(KQ&Lyn$=ow zn%5?X*Z%G{5XwL0TOnTG`D#9`;Ce6X>+yilJm0I3NA;=s+T(S-HcGt46J7U}EsxFz zI@#_X)SK=HW^mPm?&I~~+ArP5n~TS%>&c(aTl(<$bSwGOdCo#y@0;noXaMgdUguF> z9^J<)5&u%+b-p)@s~&WI=-YjH(%)u8SE{~%bzg4KT=(Tl=DII8WUl*iWpmw68#dSd zw2JxG`)1}_@0*!#y>Di&`++rc-47fy*Zsh{x$eJ>o9q5t!(8{xCd_r;EaG@k{p-G2 zhq>;XrOb8TtkYcg&C=$&Z`N(D`(_z)-8bto|IxK!+{l{$2Jbb08qb+;7hmo*$DclP zeJ_(Y*Y`60=K5ZyV6N|F2F&&SNYPy1j|`gY`;n5lz8@Jf*Zr|7uJPeJ@$op>e382_ z)I)wv7`HVJzdD`>n)Or0mFHC_1|Kp15b?w2bI4yYKa=Ji@g)0L>p1fZyllP^ zj~;K0e;Uu>8keF&!u^4Q`DgpXe$}j;yTm)*tcRkN^N+s{UNFCjJXOo{9Q!+JuIuhP zehA~FuDjiLq5Ngr-fNCpW>bER zC)*4JpJRSGdB&_BZp2Ht`okw;pRC{NdNX}*=(p;hx<2j3<7!X-bUmA~cwHCw;3~h) zi&o$VkVoe;S$rQ{=M{Ne=RbPhw-)arUeEi+@%?f2hsAh&TOj$f51x)h?Q6JIyuErp-0acAIOS&6sPR?J?Ipn>E)w+iR|QHfOGRw$EJiY~Eb+ zX}`JV(}KC?(*bkMr$uwkr-SC2PfO;SPlwDkpO(!vpAMUAKCPH*J{>XFd|EZvd^&2b z`Lt%P`E<-&^J(2&-(!xO>wC^<1Z(tJLR-mxXfXZM$dT{pRuGHR~-U}NTbPC9O``J!&VuuVDNp6qY@KJ%&jB||`l`$AXa^9nCvO1?UDLhnf=vxtLM?{$uo=k(Q#M6b^W4# zUL%i=7v29HBwqbQ_di$S+V0w<{PFj&t3$i!x>fJXH8?J&P#(=wn{l;^=BX{_ny02% z`)Zz=YOeE#Y355gub656ah;!-59|EIT<=j-aMihN$~nPyzlc+!OzaE(7biO1KKw7ZVS za}wV%b#|`vD-6tvjAjH1{*ZJzm z1Hm;8Xx^-tUzOya5v5;pVA8MB*ia>Nvj8)57fJIr-m8JX*RyVG3vlT+s3ReSxWD`_vC zKaaA%>Am`1 zi`RVJ!+ssae(AYh&f@i4ug_f1_44L=uGep_=XwQmJ=YsBfA&{lzcSX}evfyXAI1Ir zUh{MDKJ#1gg1Md}44dCae9`<4e861K7fR+oBYx0a&mGDf*P8c#o*ZBC`6ulaziyQL zy^VEL2JzkT#rO(*>vb-9(!UM;S^Y%ot1Km6_p3J(@A<2pp@%&SG-^2539T?BA_eO@PH@!cg=R`x+zIqN-HrM+t ztH~3e7AAjsPi76S=Mj3Z#>=nwaJ>9_Z^z58_l`XO>22!&9c}98yf*c}2(MB8z1Xj- z@l955A8g~FXp?_2_X8)ae)OJ|_ba`Z<@KibxV+x<-j~;#-dpqhde6=C>%BP7ukS}Z zzux!r{CYpo^Xq*=&#(6nJ-@yO@%;K8#PjR@M$fPJAw9p|!yLBu)%PG%9}MHqO!|Yq z@94%gUg^D4_mkrnmcrvL@y8j;@k4u!+jO4^Z8ReeRpu3FO4T2U+-}LVLm4=53dQ^jn;;G z)A`Jkc!zn!I!GyVtrOL0u63f)=2|DJ+g$4}Wz4nyQjfXTU&@+m{iR-Wt-q8r*ZNC+ z=30L#Z?5&M`pvbTRl!{ASq+$LJ*%R**0UNk*LqeZbFF7JWUlp>%H~>sY1mxrFICL7 z{?drK)?cccYyG8BbFIHrGuL`nW9C}Vs&20Jtj5i?o>jwK>sd{hYdx#zd#(Ln>sfV} zYn`Z+xz>s5G}k&&Y4b1M7mmAb^Nu6Kc}vE8FTBV6a6D^%0^VyrAJ3Uzg!h@h2hW>- z2=6y9;|24(@d5Mi<3;md;)CX!@RIpI@geiwULNYRY<>VfY~F)c%wLC(n4gbV%`eAC z&6nae^IP#T^Dp6b^Kawh<~6)w{(F4F{24s@erx~V;iyn=9p*Ffl=%^Or}>F^+Wc(1 z+q@som|uY?=DXtq<_F?M^W*SA z^Lcp5`~rN){0h8mej`3?K7?1y@4!dQ@5QU;Kfy=MH{vz(XYn!f9ghz6SvP+fK5m}D z8|EkB6XxgO5!b~!|G60NFkgbF%s-5GntvWon|}@OHXp?^=8xb#=1<{S^KFg^_10@X z4bPb$g!h@h0?(VDhWDH2@q+m~@d5Mq;YIUL;DhF?@RIq~_gZn?pVa&Rqvm=JHD<2o zBje^;|D<9567IM3*md_lc-H(>JZF9xo;QCl-fw;+G`~1p(EO`cPe|6or z<*y;X?o+*%{QZ_+*Mk$dt_K$oU$A&xC;EGvS5wdFrQtcLwyWz$|J{x6#|_u4pJB_t zlI>Q^^*bP*U%vz5`7b5UpyeszCG&^z5%W!W)%*mmbG;p(Cw|o8Q|xce{0Y_Dk3xIB zlK!(F@naU>ToRt%4{w<3{oxY*D&C#sPu~G8v;2Bav7C6_ zH_&^$t8m@d(m2+`b~V1~`A*hc<6Eyg^*m(dAH~b&AH@gES5pr~^MjM)E?$S{Tj6-` zr5^O0tImGuJ1ITq+Kg-b(Q~dX=6cRG#kQ;GK~v53+-I7(p8L!+*Ylk&b3NagW&XN& ze+o%A0H6uUkL4yFawcpv6Ccm&_l*81{Ul{x90>Wl&G#lg zYp(OLK65>f>o?c)mjQD48Ene$rEhc_%>gVUgXDwdOQ|1vL zKMqU&#;J#Lf2jXF{yd&F-{TdG+m>JJa`cg3=L6lu=Pdpec%S(^Ja4Y&K8wh&=Pc(F z-*52+ykM^P*0$g}UTz?Mz~Z&8h;Mf}@kNWj9nV;Q_!Z~3%dPxczh#BF)|pv}tN-6a z{w&8=mg}!aRGwPv@%|(}i0eG|pZGG1-}RNDeV1GO{`io^AB~sIPsN8V&l~Yo7XNm9 zwZ&h9k68SN@v8af@KMWiH@?o|zlX24c)d3`X7PG&ux_sR2FJ~RLH>%31Do)&`8IPx z{b#NJ?12wk{C7SY#-*IaA4>d)#b@!V`PulW`6c+6`E_{B{6^lBubY1b&*K{ZKZ%c9 z{55Y6`!!*{ob47YPk}rQi`R4QRUAipUi@E4p7?&nMPb}4G49<%{)V;VL->UG@9>E2 z>iBvFpMq$`|mLRsQh|wGjFcGXK1hJx1qrX*jxB+%8hiSJF>(f3jfZU!UaJIU2xqex>!q)>s~`Z#-eH_0VSj zJk+PQ`#Z`ReJAW!^Ojii?|Jzntv>VB&Hfe#ZEp4BWw_-$PdK1ZoN{z2B)5v z%RfD;CO>bKSpPVXpgoE6sI(Zi_HU|7iA;s>SPmd$*LsqLu~ygmlU25>`x1KZcNABB>b+loZhJfB^yjvE@3%%C z)w9;s9K+Q=wGL<9Tv@Ay%+6y^j@^*(Rv>Dr9ty}AP^nSJH(YpOT;XY}5Jk4rnm6IpZITTHyhAH6@|@p^w?iN)(Z2ams+>*A$W9=+$_@wXDc z%;NR_yvOUiV~^K+^~$24KH8u8NcVw#9?|bHZLoO#?ozZN%u~vv^$@zuweCT$xqe@1k-6SSDw*rOqt)hG zzkL<^+sS_Ey`wRU*Sf4*%=O+y`d6*{rFB;4nh#tS`hP#(rSpO0{A5N{eQP)`(s}j! z6Q3Thn-rce$Dd0if3v!cCVbT8pP}#s32DGJhQJ!8LCD1J9bLz7guD*YfO-FR=Jy@P+2D!53Mc1$fc? zGJLuD4fwG6E%+MqVZ3JkEqtT-L->UGZ}F)Qhx%7NZ^qN++mD2Ln~kfz_QdB}{9$;X z#b@z;^Rw^)%X1;V3|D`?3NPVm_htBy#eWuGVewzZSDJqhUu8aquQq=IUxTZh&*N2# z-}RfJK1a>F@S6Ft_?Y=?@w)kW__%oiZHt)D6)LX`UFTBV6a6D^%0^VyrAJ3Uzg!h@h2hW>-2=6y9;|24(@d5Mi<3(Kk z>h^g2pX`2x&L0;1x-XdzrZX?-JYg}u7q0VxzTbrS{cyeCxCB20-};^*dGuYjo;wT^ ze*p1%-)SZBdXBI4OKTRd^NI@bx(?Fwh!I@ZWxEZ8{xCrvt=psZm%8d%FgKySiS}`!MV9J;|frXB@|O!}WY*3$FJiw64fB%CB)%>$-R08lUxk z&KfJH){AJEYn_KKzKd5q=>42NbFH7S%v|qd_fQ_azoB)=mvP>r@j>gOE;rZus4L91 zKI%$yt&h6OTRNNHkGjrW>!YqW*ZQa%%(Xu1Msux`xyfAXrfxRZ zx~W^twQlMZ`j6^a>!waM*Se|G%(ZUnOmnT9+GVbFQ)iiL-PGCUS~qo$xz2J^r-^L!Nc`pYZF~1>YyWzH0tGhwuAP@NeK#P7L=yvy@ZcJM4rv zIRDr9^HRKSzBfLOYkv<-JbwTDcVRq?e||CfJDT{&>h0C|gylIc_Q~d*H?;BZC3)gm z=>Bk>qVnked2VAUkNii(PqFx)CO#wTr+#!D`fI$;T=&DXL)wl({SDA+!G%mzdRHB zWc9E8%Kou+yV*AJXD0b)M8ylkcoJ7`^7kgToBnIaqw)D-eDf2*RX+oGH}N`8cz@#4 zqwZgY<3;sw3*OieT>bg8a_j+C!VJ~s)wCV4ejXf55Fw&8PO2=)xHN1pM9paU5>%$u-zH# z@5y){-igo03+8Xdm!A8kBvRYGBJml~3ftdXi6117?q}YPSC0wh)bp3GB_5A^v|~5r zSxbEFw6I;p{|ql#Ie&)_tE! z@L}ZHL>{&K9DFmbc(ZuHZyUPe7={28?4 zSL4_au6g_e;m*N{Net&$6#UF{M9t-=W>&N5qZe0E9G<*)Ou2%BmjA`C4eeFJ)lXiES8%ms7rxf~D0~c8{hWw5aLprU;1TnY^5^ksxZ*Fv zyKuGRwRjJ%dFMlTFRt^475DTP{%7zF zxXS;c*M)Z3gzG-TG<*VAKi?luZ3_KI_49JP3)gXa0zMble&z7I`33kAT>E<|K7^|u zUW2d3HGVF`tGL?bQ}}vZ{pU;gCS2!l-^8ap8TNM%+G`Y_iR-@2FYqp0J09U>J9AAd3Jb%E4aUDmS@ljmk z;dZBmb{V($-SCb-h5c3e_r<&LPWn#>?y z%Mx7M-4!q4YTqx$4^P9l;M(p2JoR*_2kr0M@h)8P@4%z)vuylXqR=k>VFq}Bd+V0z46Vs z%6S+*ZFAUPZFden8(00j4xf*!e%^#H!d0G&@ue0&fUm$ce!d@HjqCXOI6jJNUj72U z0arO!<6Cg`2i>2ka=msEvO&zZ`DpG*EXE6;PS z@v6nY_{`8Q>3@biihn6y#ItO-3$Nm;pQDr~dSeoK2Jt5<4}JoE242T|@jRa0CgeFA zzYH(q+TUyOXxk94@_z`=<7$@`c-8z4JiT4WqxQN7FXCCs^8@9v_%Y>~67sx;_&+KS zuJSyC*YUZ;zv!&cF4-4_JgT>8co|nc?2kt;4)Lnbm*aW!6YwgoJUKkQeaNHw)cx3n z_`Z}=_mciI?ULw{I^ zEC23z30MAo@hYAs{}Ff{@4{b&PkBjeJ-iN|jjP_?h%dqqBhN+n3j6^4UHDpD+kG!S zj%&X@f=`vmH!9$I$Ztm7xyxl3#Pdwz@yz->){>v99;eXYP=uUIQ#*8rRBL5ujA^sU%+SX9=5A`xEs&nivJG21b+ql z^%Hyqe>q;q8@T%6pYTn%_Um~(JuQ?+?Yq+(LVL}{)z4?*1Gw@ZikETK&nxf^xW?7j z;8R~3wyQjS_-tI`-bMHVT*t+g_#mF99&W^kEq*z^9@p`58{V-;D38Xgui~?D)!Y4e z9#=VQ_@KrA249WqczFsR!!F$Jd2;4p%$A3m?EWo-f5$;>!Oqd=yu^d>-F|tDoP6XJ&-*Xn(7C zAFg`)F+PYNK|lF5K7y+qpTz69=Cv(&=bmA^s{fa~F|^AZT;<;rFW}nWgYo6K^7r8D zaMjzX_ym3+u{BS6TaE}Ieg~JLU|Ow zKRg*bL9gsr!ZU$REMy;F`xD$Md-A=WqBji|<$v+NFZ49jD_P@M9Q%4#cPJAIhVCdogEw1ukjyG_%ZxQe63gyvuKa9`EHGh2uUyiFCzl@Jqo;CP5 zu6BG7PaP1ptNnTy&*GYAAH$d6s?Q00HLiJK`}0D(Y{GROxCh>SVA!t4nFH_~uK8#- zz7$t^PQt7Bt7zXd@#vtCU-QD7@!7bJqXNDF*ZKK%_zGP8^H_5Um$9UQhRfARUDU3$!4iWhLza~Hk}SHC?9 zuj4BJiTJcb!ge)2oPqb?s-HZ*0M~qa8NLixf4CN};;M%a;SF5J%L+VmXef{3@4)l8 zj^BImWw_?4AKu}ZoGJNXcVY|AX{S-bISG#`+ zUySQ``6gb*wcSy?hO7R6fj4lq@1yw4BUuXqkuf1Z*L?XnaU4oC}YWJJ)nMa25Xx#fGz5rJ}{1;xr z)vreIQHy^7--4?he~x#*ytN+wfX~A`puG-zHx7 zw=2YV60hfLqxeg3-IrdEzZlp3@a1QP{nfmw`{5gi--~$N53kP-@z>(IA3j0+OkD3z z=8q2XNA4Z|h2GEf_kQ($rvI*i-p`zNRLG;}Q>Bx%_RRoG-$)Uv=W;s^V4Y9 zmPgMo1}t9BI~HBkTK{_gwrKHs-Z6;lc+vBY<+%1s&pTF_>pk9;xY}3GJ67S^FFhYw zZFzJY&BRq6JqKB1`Ssjnt+}3eti#p5dOk9SYrph7rH-rJ^;}~Uz8meU=OEG9p`KMv zJvW((s~+^6Wg4!2uJ@cfarIk0H%a5FH$6A$HrMl!46c5q=P7e=l}FD_=31VotN5rrH^di+zX31egWH6E@i9Do zUWk`#ysDlbT=n^dHrrMFTH-Zs+#Sa!@AGdA``cWHuKB0^T~ECF$$d$AW<*hc_`YLo z+Yn#F>zB0p@A2w8TK%thxzOq_eoGk7i|=gp8F>E6R-c7uuWEITw;L$`E}@u_#^+7= zuDHhY=z>t6svnL2DO`E7@pdQsKIrtMJn{Nt3qzh>@}Ju#UhNVwU+hHuh3wa+2f}`7 z{J#pXn=i#TnlH!4%~cO6wyXTAw`sWAUG*^2<~7w@7p~(%^V%$n*St2{;&0P_eK+iH zJgFyt75Um$|2E#R{ZhZ3Lw=n{{e<`li~lvg*}Q>oG1q*WBfpM2job6hHDCAP8h}fhHUBMm-TV$bdsT=pk>_5;<0?;0@$YFZ z=c9NTS2>@>qpMrvcU;)4&-nL|&G*Kuc!TmBC1287&Qq1=n&4I9FTm5+wr=+-yl8$C zUdNTEjF+!#&GU6UDhAj7{s7P8s!yFq&A%|j>wG}Zh4Xj^<=1<9{pNZfZz-;MT+f9| zxca}I3lCWyJr^!pyx!{@w)}dpZ#Ay*U+?v;#dTh!_xfs5@1lll+|zp(W9E9lViT_MQ14eX%=MnexVgS-t6P43hc%o1)ja+y`g8GJq2I2i zf9g6SXZ5D*nz^|8r>;j9;Ho!Wzw}$Y-d`DaW`gNtgXY}h+ zeP8Lbpz7F4p&?TZq^3sP9Q5#u>TZ@0xGx0W^6_qKkYME4W?I$hV5e!oTU<8_c< z^`q-qzwXv`>pJ2!U+6m5uitgOKaKiS`|7%Trn#=uyKvQyuE*yyUa9_dy+6z1b$?(s zuIm?Fzt6=rU+6xDUq^4f|G@RN%HQt(hVIX-rhZg@-Je;7tNeO@zKi`*Iit5tejTUl zvh;hyyr6k=$2ReM#ooM*t`<&DW{?!~$iaBc`~v;4IyLiu%G_b{G+XX}3b884Ve7yi%n z>DLRoUaPWSYL}hN%y0uQc>Ot4V6S(@-%>S@F_4kGCdU+bS z?n@sU=Wo`3c2lV5ECZ{4S8?zoA&>gQal{uJv}!;C@DVvXn>ppN-=uyWh3#MPa<^vGHe*#N*$=VY^wzpF{BI zH(^|oYrJhYKIAEn`p=v=&t&B}net>QkIMf>e6IQBc(3{OZSw!SazUx7@rll6ougAmpiR zIDX5O)_Oa(&2~>|<7c;V)z1X^H9x*3j&I&yYT#SXbK2y&miYQzp`6p`Z^|EiHTcf> z%}M->D93T3_ib)zlV86Zk|U3fJ3SwnZ?4|~=`+`QYYWV`{tk$_ewSpSxqkPg-~2=I z0oAOxMdrUfDR>IkJbMT6o#yx9Y4e2_hCJQo%kYeO8SgP)jc3hA@m}-Kw`rHJ#@_6= zrB8%@Tjzm?#+l9E4()yj?XLUw3+@fBc|_w?k?o#Fyq*gzCSK!}?(_HKI)B!E?5X#K z{5sFqeeWsex=+4^Jc`$S?9Jx7@4d-f_sKV!>pu1dbKU1zZ?5}7>&$ikXRW#J|Ew|B z{nFLudXCUZJ?J>n_2w#z*LBcJb3J#c(_RJ6ueRQ2uy|cJEjQQmj%ijNU0*LFUdM~> z|17mUx{h07@w#qZZ0)Y=)(&Lh`k}5{bGWXfb=^7-=X5O6b!!$^|JQZ; zY|EqT^o-@vb$XZO(fxs$xSl`i`gbX=ey;1~{OWML)aVeppR>f`b)O;o%@BVV@w%^) zrvCLkla}ggtA}E5UjO#~AYA{d|389P znUA{JuKM{#wyXK^PsEpqSO3)bwwZXHS40i>g@$Ir#?5B1qc`EQFoIlsC;%u^W}UgP01%A@|NamlY2G{5*dQ5CjZ zCXcrJARbwH9*upn>kIWCe=eYQ_vZp?UwiiE@lE}6 z)Li|iiock8-dbGuX?WI8n4tp{dvdM`JMZL+OFo`j_-v2pgfv? zeH~Qw&lK^xPEr3)<2sMkyuXEV>N-g075+PD+g~zyyYJD)yJK&jPv(9Q%CG%82JbUJ zxlNuk+W2{G{NgsgxQ#Du;~#6|UufgsXyfX){+v$z)}QO?c=?y-bSH=U^yhl&=l(oV z$D=<_+}i)&7TQJqLH*O8Z|bWBVZL;Y$o_n$RhssHj{7A>0 z->1@X>i4O1{y9MTH9qKk)t_7G`10pmIv)MGn2sZVj;3|Fvusz#|R=yOrn<3Au@S^!Ccl+kH~u^-`BVOAYH+nwZYZe2+He>5jcw&(W@-K6M_h{58w-D&niS`m^e-Zt=Q*(lCER zoAO-J#+S75amrJi-dcX$cgo`G54um4G1vX50SqZ5clC1w^_e2S^1K%BwC(2cndVopD8cIF_~e z$Kw;==5d$7)j$7FZ#QT8A84~*f2aKZTxo|(L;qZ8`_+m2{;D4Qxs~cIZ}o5xdAvSV zZ-xKI%U`te9~1BIWc{k0|DWm)|F5-s>HlZF^|z_dZ?^HNmrY*(`8NKwHhzEX&EvOt zLKug2{I0{RxW=FL_=x$#c=ouEC*nW&o%|4-6W=HB5_!^`r#+>3E6+1{-uxeUM1Gy` zZ4=)>Zthpb%DE$6uspltIrBYYpRAwnuRQ$Dh3f4Few>(-`zi#nb|Ek>AS{|*JHH51?T3@SV@mjAnW82mGuHATi z+LQcgJ=nCxYyH?xbFDXpwoY92pmp0) z7O&r@=&*SGj>CYJQ|r?fY`?VLSHHz;y|0qFe)nO}^5}OWiWaZmo5)+d*3s?5RXwWd&Dvy3=A#3saeTu5>uYS*B z#LBPVzo=NeexG6t*Kw-fv#43Te*a?B;`RF!os?7KjDF8z8m{M$S~oal@mg##gpmw1ZBYn`{qeCxV!lwal1y2lf^%D;7eHp`>m#pto}=yx<`TfEkP%b07uxLKA* zzthoe@%mklE_3}3NZRsfow}J8uit0sWq;KVwJu*4S3lJ6y!2SS*8PjjwVw6_x~;A$`Z&QUk6`q#RkX^YqIC`FcEzq_=BcF}(6cbYa^ zJL>*wmGdz@U)FQC^|+p2>p9D~#p}7u7K_(&($wi;9?|m`JtykM^*mb7gXUPgo(Ijh zcs-x$$5l=}pITz^dLC3V*Ylc{mPgNbMl4>>gVverIZoa3=(*2ki`Vm)j@P%=kDk}0 zan+BW@65J%JrA0P>-Qt{{AeMr^;Pu#(h`f;`%B9$|JL`EaFs{zDOD|A?<0*_e!Y*> zz*Qc-chr#!^`P?Wy`wa)?drXw*|^$W@745LyxzlEfNQ&Y-)1qc{;BtumRY>sUs{Rp z$$78dU#j9WaJ|2@!Q%D4&;+ji)q6gvGeSM6oO;iv8&|yE^T}Gg-t+0hwO{&9s$lW@ zPHNCx-$|7%kG>-tv3Pw)R>QTvkiH|^gsa~49a+bjVSg2`@5s7v)q}ocor7z?^d0Mb zi`Vz6i_G=C>Qc+2?@U)%yuM3ajjR6ked{`l*Y~X(EneT%ZZX&QhSSb!ZFhZdI1ATy z^}XR-T=k&u1Q*~sUi3X+!Q%Bj<{++m*7ulYi`RFHBNngk7Hbx-?>EPBl}F!iZn1cM zzd3Dws0V$&qwhCo;i?CHzd6_9^?mCCT;qejZ!MVXJJzM<`i^zTT;JiYGS_#wRdaoZ zyB^m(tM7lu&Gr577IS@HoH{$ykMijI;x2Q2r{80)@AT*4svmuCo;TNb<%`Yr9r~cT zzV}~YuJ8RT=K9`$Ew1*}_x>Aj^-q28zuDsTz5mp6Lj5R@zW49Kl}F$E&#`!Y?>`?` zKh*dBi!5H>`!BV4eeb^l*Sw(b{YNZb-!s-MUf;QI!uMo;(K_}WoYyJ8*0Jxx6|dhX z&EncG{XS_Qu6on&lNKyq>){XLs!y$lU&b{q>Gw=WEMD*NF5!A@U-nDy@s{y4uJ?GW z7O(esH{yp9ulIOU+^;(X*L%EkaK+Dx?-NdTe|2th|1f@Terf1ex{o~%Z@i<`=i_zr zKD=hW0I!y5neXG950z)sr=?wUBPo7^BwSE z^WE^W`CfRD_Ki1|{2hR2@hr#Pk&3^9{>%-jIr7U|eJ(y^+ntA(%+JIJ&CgMO^Yde$ ztlcjqzVn7q9v$!R!mHP})@LcM*k(P~&Gr3ML>|@ub;?6|;#bm=KfQN1W_k2p(1f|( zE2x?4{i24sp0kgd>%FXT^BXB=htMz5 z2e0R%+z`LVuE;`&*;3XVSX$5$IW#d)y-GL@&8l3r74fsTeZ^K zUaGeu@m_Bgi&wps%~fwDbJbhXT=mw#RX?h?adXvM-CXrHX0CdxncvZ--qy772Wgke zeXZ^Lb9~tRH+b3nF?`7U8N6h^-QqAF7R{&PgXYs?pR7L|MEr=wAB9)VU)?6pImB1) zZ>@(s-oVowr*Bt&T=S03lgqe{-z$l)Q=SsnNAJN47JnmN#MOUp#!D9eiP$HrpF4=p ze5ZB4?!nXM-}^Uta>Q%DlqYLG)+UeUsl3H+o!4+ZuiW%+@)X*nwMH+fPUTg#(7k@+oc@@%b7i&y(*aJBF7zsZwrlP8C7-QPBOMq_WDe`cni zoAey@hxK^cT>U)%*H906`kUIZil@@yUpzvd#vZNtAI0;OQ}-=5;Z@?*zIxv{XXVlH zm^I%_o|O3(JTiaDm6IQ*d&ZvB&l#=d*L&S*^Zke~9z;A1c`%;e2d80<#D~eF_Bsx) z&uh(-#jA5$^Yr2s%QFuz&uNW6OMWO$!=H=i$U`$mI{zHB{k?$rJoTyTseZhX3;Db0 zCwfmlYk71%Shn)(zTANMBJvNJ>wKwTemU_)^DASYYSF4R{nB-A#a#EP z%I3FFp3J*K9<{sf8^{@M8HQO)st6t0Vjkr9MwY%CkzijgM z&6%rxv*v2w8m@X!`(`X&?VC1N`;J;3wQrvN^7c(x9<^`P@~C|)=4#)vx!Sj6uJ$dO zt9|R{YTq$)wQv5W$=kO;yyhvj@3`gpew+6FIsLHnLm|J~<+pgs{7-m?`QPx!{2#GT z)~|H_pC(@A*K>r7`Ofd2JkLID{Q5Tj`!?P?5azYgt*!n147_IEhgZ$d$1CO+;$`zi zc+q?@UNFBJ&zl$Voca6ktobrLWBw65ZT@jQW&SBVGB4wekGIz67x23Im++eTSMaL& z>e!p#xfHi~Lo(v!crJDy@x`Im?fwuin6Jn4=D)>r=8xf7^G$fhd^4Ul--4&ir(6~K zVPrlPZ&*D~!|Ueh*pu}lriA*bbKW(Z_$xIh<&o-^mgLYx3$*im+_SO*YU{w ze!TJd);yzl-TcRR&HR^m)%>@3#e5tun?Hq@%s1mj^S|Q-^XNUHz4GQS#&hOV@vQl7 zc*eXl_M{zm4DG0OfYqPtR?q4W8FL*MHFNdkQ8L$YQ8d?aQ83qW zkvG?Iku%qEku^Vpdduz{>P_p#ssEQbzDo4}lj8Wv`oHFd+?}ocR`Wv1T=PQFT=PP~ zT=PQST=PQKe6hwEbIl8BbIl7WbIl8px#oq&ms|H&^FrNR^FqyB^Fq~J^Fqa3^FrBN z^Fqm7^Fq;lbzDEq<0U(7@_8ZqmDc^zag;IFagjFHagj1t|BuYo{~K1%>i>0f_5Yf= z`hV42{l8+aaiDCjd7(6Y@_8Xz8R|##!f>18Li0lXo2~V!d7);md7)~qd7)yid7*5s zd7)&kd7)^od7)sgc_DAEc_C-6c_C}Ac_Cx2c_D4Cc_C%4c_A{_ywDhFt!K>(rI$@U zFO=_TjaPqYSboh5C5u=8FPf|W7tA#;gGBwYUb+yRdeUk9y0m7P~|w% zyl_RE<3jU7xz<{rniopuniq=ZnimS@niulsniq2BnisO>nin$WnitaMnio>$ninE- z%?phmx7LH^g}S-sg_^nMg{rycg^Ic6g=}W>c_IIk*7B%7RIL1(7jhP_{+~5h|Ie6f zUPznkxJa4nxQNVkTr{klIxgzwIxcGFIxec_nincZO+GKA86Pw+{Gv_&*Y!g6k=AY5iKbIl74%cFUrZmxNuX1sp=7Rkp=hpopxyFICx#oq&oXO{fBFCxbg*i(mKVQZacc{DH7&2?PV%ynE;&2?N<%ynFp&2?Oq z%ynE8%{4FNPnmpPsB^q%Uie&_{{N-eo6pU&)Q_IC+?9A|RO~7y_p#;Qzz5CmB~P#A zS&Qe)AB=sn`&vIu;-^Q$tox+xhVo30D(1f=e#AVKGyZ)m^E&ZmbJatimGh5De7qjM zx%Ri;{3+rK=BkGQ^S{RNlhwoXZG8J{CXat(Xewh;dx)sP!W1xYqH|x)Ag5ms3uy3$Y5H zg=<|1|J@3$3sIz=ZzF!cHua|ZnfiFBAFqcg=BkG+R{pK~`=`ESi~MX?r26sSJ9s(m zRl6XxSDJFFU8b>J)$_@5eDgT%#=SnfaJ`SDdYftGS3Uc>{clS0&xrD@tG1BxETo*3 zOF}v0LL`6J#6DTSy{V0Vyp7-1#w%@nP3+Bnp1vZKr$IT#+Qe(zsN3Ou8CYOeaPnX8^VDW~d3?UFTD{r8&h(Wd_Qk3EW>{-?$x zx@|NI=WrHBv+=FK|CodSlRy3YQ}K#`fBv7^?m2C?`?lC8>uf{UNj#q z#kFp~=8+*>zu%#GXBgMI1Y758TLnQJ|zyt&pdT8vK*%@}Q6U&!LMzEBz0?+9ysp*6UUcdak97FRoJU4?b# zT32Dcxz<(i-(C9F{7^rg&xG?6t&ghppz7qwv0qyEDf?uo=QDAw%T&fsz_kuT^i+ty z3D^2aW&8?U>ma576yo2HYrUf?p2c-u8Z|=v;kefI%HRj#TGy)&-xt@qUQ2MT7ol~% zhVj|NYyGYb_|dr5*P8ZpD9;hN*3p`ar*W;9wGclH*LqpY@ndnVi?t5d`LNc%ivAq7 zE7$s0v+;w;qjfX#xPB*8>r<`3k0D;`P_4&%aIH5r^)F$&svoU4mBn94{7{?mc~vrw z#p`bquisz07cZGVl=$>0&p14r1Ll#$_lTXA3^-`U0;(#PG#UeCiY#U8BzMjVceb{ z{&jRxGk)9ncXR%EP7<%{RX@d5|ZXPO?Dv)B{1nZpZ!T5*OTvC>9$ylBvwh2_5AW3sRh}D&FTUfXBtts+zfp8k;`~1^ z_{V3Je{tAuhJW`BymWbRF8!igtiOz3dbVKm`USYqMk!L5oME_L$wAh>DTj7IY{LwgY5T5&BD388#%;2eG zLwlu3bei&fxOE&mGxq&n(BAr=SH#{df3~*I^rqD*=QT+lMg4#O{74&LnRq-deUjtx zCE>qWg-3^no|)e#_&s=fo6vtMY7R!BQGec}86US-Jr(+AJ*0{D z!^=}bJ*Yn)F8^3)$0GZ6a^n2QFZjo~#HU6>{iHd*2JpsrLi?tuxA(^0+^=EQ-BLL} zPJHEKVcbr$jW6KY>7o3k?Zdyg6VH4pv{z-{;8pSu9vtfJ81k$qJ~z~w{}H@;D90)F zJdPLM+d8g3kEfpr@g?$4TN>)Qc4Fw~8ut#vs~-v5tr35${Nmt6>Sr#VK0VZbmHIpl zFCQGXo2Hy^#2c^3k~#b%k7r&N%3mh`MR?(w;407c%KvG`87@3-Rs8!ye37l(g{PSB zGWY|D^Z&fyAHQ#UJkO@1kSE2zYmlch7Wz+)<6`QK&HDV;zXRHMPvUVu?+X37*d6}c zQ}E2$)C2W)8Xmna%(Geg`FVI@=TOgC#)n0CdfU*x8qaTxz1g4ZU7^2ed{|C=^(6AJ zD=YE*b=gGH_}zHzeWCtqdxU?n7Oz}*LIP^92NUN%e!)L}tvojG{{=5yAL^mbf3ws3 zLch(tGVE8L@%fOZ$L&@68|{VX@bW)`YdpUy$@7AO@IP;9<6mmy_a+{nKR*@9Q(?Ya z-zNSs#a|W5qkg!}`zP-|dn6t|?|5rC?y{7#3okDT-r%@zwiH|3PmxXzD(X4PlUPXK%AGWLG^!@lfm-i-_Podv_7|%Qr z#)k?A$tUG^hV!lxun?Bv+k=LpZJU@!|zQK9?d6D;nHxNmiZUw5ntu}q&PKf{B246 z3kt&jyqY|XYg_xtNASu&!hD^heOKVc6GQnclnM97|aW?m?No#SX$?7PO- zq1j)B>rhTtqZ5fwFAeomBu^h+dOpnK%Adz`)o@(o$$u&S_4`A8cCmr06aUxp-%Nb< zbD_Uw=(o4W-mJGG>ksI=<28xL*Adi@%Krnrj7ODFb3Y@`gq?3Z5qtBzD7`F&gfnq;&(`VdXzpm)aNYPakto$^WP?ZbA8RGYB`V017|MR%mlX3NvjH|`)Z%!mn<*%WgG=H6!#P1v}r+;Qh z^wuPQeBQ`?zchYv5c^M zy_opaMd3WZdPpejwRnm9t7-P(<4KsJbHIF z$($qq19(U z*d?jASv!UeKbm+vIC8x(NO_(ozRdBWc7IWPpf%@o`*|5E(n zAG|h+93g%m{FILH;3dmAe-NI!DC}2?_*W&)|MP->oZZGRAy1X#TI0Z_cxA`XzE#HI z58$O|!g*8{|0JIJGUMb z|Fup04j&2qt@`zu)0-*s)bp;fH`^te2+tR)Z1>Q_|8=`35MRGE%b)8pf=Ff(|XatdV6U zRoF@t9T0o7U9!)F^6NbFP`vzL$Y0(uBsvzaZfrfiPQZ(H-{~B@_P=<0_xQ?^s$Tqv zm-j0$$RH{N2r@qCK6~%;$N|x0x--+6=||}4ndu(9oTQVJ?l5_Aa?;a-h!GG`klV^j zKro1K@c}e10c9Xu1px*6it_M>5W$P$1q}}c<|o{{UOU;}wW|I7^ZU@B&rHt#?p3u{ zty)!URn@Ahhp8MkjGr09hi_4R9(tg@SYn*yfAO9u|DQAZ`gz4KT0So}e8}o|+wfNy zzWPk1-!S~`g4;vo&qpqU{{!LNe{Pli%tOW{)mJV5;qzLL+m_coU#N0^h~3v<>QEaN zobtKX(;mU3WqQof0>{Zo~5VW{Drs`W>@&`dz~}ZQj15 zf6$9q&eR{Z#w-W@^Am#8_`>{wQvZM2@ZU8%@(@dP*zn;y)!wbz`1-WrJO8Bezhv!n zQ09My(wFmBzs5M}`K5cReZOS=`R@@f^1njya-8)}%V)^!#gOsyKEsFaulbkr(tm4s z?U%Lvju}5+u>8lY9;YmyuN(b^r>i_meYod~RX?YHOWSWbPyR!O*B+_;MoB+x_=VT2 zK3o)QUaMV2IJcwW&+GcsK`U=&^q=~e_9uU7{lF6p-?sL?VSL_f`D}duUyJ^f^E@*~ zzwsYh-qNluF#TPwti9;VIzLm&^Ouc&)8@NMIsCTa7vHY!dfNEBX!!7LW{-@Yk8=Jz zUUu#M?}groDu2Eq^fZ6@8&~noNn~bGWy#4 zmCp@w+4$cJ4PX6=es@UW+RF{!vHrP~{~Hb8c&*Zx{mHusXZ`t2*MGiW=q=OApHEso z7hbP+zqF@cFnsI1%IB8V@4py+>HSK-B~cl*+P@h-^>u64=2zeArCKjzHqW+c-ySx6 z`%%h&Ie(Z5USZIFP7u!Jntr;Q}FxMKIqm3uJ}#Wx6c?}+Rcn`@mn6Oe3o)PVEE9F zsE2dmr?ddaB_HaHKK{$1nf0I40^efvtKT#~$I3fnc>Sqkf|TnZ3xXR_<ee_4{Q$*Qy;HGW~qN(SOkC|KDFG|9`i9YJXo(!A4xQcM*bK^0OxRSFd`K%lL@Jm&HHXo@UxW@3IwF3f_ zcI~*~8~?2QY+Jb&%6x2ouhh4n7hLnK{{Ll0|D5}&KYTySXOnQ|bKCZze9#iT!RUv+ zrS&^)_*)F0dbsM(s^NDSzPV5NoU-=yH->M2NbTLQ^#h+VeDzkpTV`iB6s}$Ia^-)_^ucB!Y7ZscOrlZhpGc@Rp8Gud;7^)9~$6 zI&ZUM?e{yDPu`&ZTfRg?b%4Zrkd?FTlE{s#@Oe_i!t%J^v-zGLwf z+I!b(lVv`?d$r^+X8BAJ&h@oA!;G*`K3KM|rx4C^8-CwC z)N_7~3g|YY-@HZjVOrU&{i5N|`H#?8J~J6EdSUNrd^hOhptez)|ae%kP%m0&xZynNdZ5jTLg4;vo&z+M0{c0EQt^R+x zzILBiX}w%@`u`xprN8>nzl%qG_6g6Dp6^jcKlE9Z^YDH3BZc9c4^V%y^aq|O`CM6B zb@Tr-Wj=SRJ`WkM=M1lZLhHTkpPy&=^w(AXQ+Lq>FEM=VPt^aJwtRkza2fABOv_vL z&u=MllY_Be`%}TG{XSj$li|l`Mt@c2Z|n6XpPw>(>#h3T(k^`7@ZmFKlEJoSQ~OuR zpW=?3KYZWcX8Ff|j~1Nf8NRJ{cXe24a>MJ#R1Vvgv_rVm*L@x!{m&uO^Kql!{FKUb z%j9-*NpF73Uw)q^m^1qAC#&Atu%@{AKbvX7oF&D$gzB=ak_WEUtCK$~JBI))gjy>xgd? zydnVmdBNy+EKXzE@Yfr@dNcNiZ@WxBe?d66m*M+pdnxA+|JL#uvvsaA|8E$+d8g|C z%V+h&|L90!D+%ZRbIbbA(tm!K(Qo{?%DXkWa|vsrO# z2aLYHAMN{*GM^`_JuTx>P8q)Xugd?hwTl_Ur{Aghl=F&DC7jz=?S9(6Hpq45f9H*U z(d_s`6|OzQ@U4GR|Dhb0y~OZ`{iE9baz5wPjMI4hf{PD-qtIKXl|Szz{E?OC^5Z3HlD-8cxC+F7@E`HkF zy``TtZ>{!5qrdn+)y{6)ci&<7_IFhuw#@(kGs9PJR{J|_^q(&2|IX}}`7Qr!cx_JG z^(CXflW>vqo3-7Qabgd6jn>OW=O;gcaBeS$EDq4JuQiPR(wkMz8=;%X#wODD#ha27-pQ>Y2D&vhAo?{%*W@QpRI zQ&;GVClb!`9Q%3I!-uGP)t+SZ(<@3pX7*y$@Xb-hFPeOwVffS|RQ^MzpDz{Mn5g`D zqtS2tgtqrm58rNh{Rvj@_tr%3HGId`B}@8G8$SFhrQb3>w+-KVzqX@kt*xv=Jy&u_ceUy!77K+dHONJS)S82KX7(cGs=yA><_Fxn*5(& z^xLLCV;|8#frElm9N<-|pPRhZt4PUSqj|JU!wq|Y|`dlN3>>)X^XD(9205Zs6=e;#A>+i$V{ z*7AR>;nOa@y&##ikIyoE>uri}K2#ID!0?UhteqPDYcG@kyNtf}9L=X}*Y7j@!a(g>=_miy zW%Bt)qu;z!>BsJ_{CtyemfM$|-0u3{wZ2~Uc%6@Z_L|b)M{p~A<_36`?<^HbHV7hUZM4P^h%|F*=6Y8Ksd`` z>#Z*my%(rT$3gKapiN%wzVHJeC(9wbHN5qnc=l>9h6+QboRB@GJNXe z+P*H>H;x#7!Tk0i!*4NsYf|-a)#CY=OZqoxz0^#ezhd~spFbculy?8+hEM+w<$uTG z4_{s8@8P65n_77Cf8*ZKPM+j$rF1Y#LeMUe31ud7UTdiaGB^SRvCiyTwueyQ-)R-1A z)ebW~`OjnS9E_7jzx^Sl|1Bl2%~<~Rdzc?}7k#mA^cx>m`r3UI{{^F;{)p0-e$I;- zr|({Rw6$w%r>`;kOW)LbA2$3Qmj9NW<7CxR`-stRTAY75e*c8w+ka;MjPbuM`Ox~f zi|72B(NCY%_EpYf-&yAKBIW0T`Mvk~L#?liPR>^tUK`Q!PTP0)8NS(5y&W>SJ)UrG zzu$2F!1Y3Ji7S7)me2H&DM82dGqt|qs|R&FwP|UdYxtP$lP%lV%MBm;w%Y4$lk=;~ z{9QcK>kQxdlJ#T8{~HXi|Ec1ehQEz)F4x9G)qYJ`#oSTiw%^dyr1k;Hr&3V+`L~w; z=JM5oT(t4j|CW4c-pQ>ieb?wOoz?!czt*=$ntGgLK zcJqzG$%gUs!-TUuhb?a5D#LT5um5kA|EAT;;|<^WE7g;79_ePmEpg?~twvvazS5WT zb-zS7_s{RP{@l!I?UjO4{kn3!$?_S#UHh4f)}OrB@a>mq{-vIO%J7%F`uzvP$F8*g z%{s&{30`5m{P`x+H|Ib9FyTB-oU%9;?Nw^Ey3pURHf(Xu&$WE67Wy*Ny}u_*dRyN) zZ?B%h^wf^F?yBohL&oO|B_En!y=d*o+V$%V-~MgYlM9y5TO@z-C*Pp!UuFOQ$3|cK zoXV}V`yV%a>hWrq>vz|TJ}>wl-{r4w6aL8Zq4M{>7gcU!nZ`40nqoc3@M+`cTQ(v4 z!-TUux4%z2=5pP0ztInWN!!=?TtCt@`b|6Eb;!Pb$nd)BhYw3W6gTMnfhQaN_8+T0 zlyt&Qw ztG(Fpy7?icoPV2e?%y_lNcZkS|Kq}s2Ii@J0A?Uv8$iraxaZeA|sHzis&Hrus`m_tK2+@fPc6oF8(3 z!!LeI>#NNFVTNCLnfBY$#?PY--}sjDQ})B-f*Vog&y7a^F4uo{%lvJ>?X=mKdBV9r zKk596XBd6$&y~*$X0V@U`1TFjUya$fUs~q>9Q7Zz4S%!YCw@}>gzIcv`A)+(tsfpX z`VW@*I6w1ahS%Sta@eu<@)g2U{n%aJnr=r|63*p4x^dHF zN&nR;;k@hzP8q)W7;P8Z)<4V{UbFKL)}Q=`;oCOPQ@8Iv=xx^j z+c>x6=P`m;3S>Wj%IJqaspIHv^9Qag@grkGsa(}+Pc(elf^pD&+BrmVBcKTw&cig_1O~Z%YrTt!cKHJ+3uiaPM#kTc(ca-_uto>@O?0*b@ z&oS-yt~0y*3BtL5+xq3dkP&p*{r{cOUpkC__&*rFJz;ufMZDASF}EM^yN1`_VsbNn z9{hH#uM0N6O=d{`J&bVX=O3IO`&gqNvwbmTy&o|8ZR7v>Ki`p@rUWNH%GNK&jL!we z=^TLn;?4nhf#pAC=hqDx{Ywq6?Pz~EWqkgQ;X^Oedf71gHyJ+s!|D%|{p8yXzhLM5 zTr&EP8D4k$Vm?{o?!2$h3T}xjf4*V#+uzZCW5eu4?T=K>tG|x@_74)yaz1p`hU9V& z%j>a5zqNtw`dY&;*m)6}s#cpZeDg(UmlqA+zN^Zu9M}Jx;Walu@SHOLSLrzVqD=$6 z(D0paX+EW%yw31p>wm_K|MwU^WpN1Bozy-|IG1Z7`oO`i%rAL*>soqkpc=YmHj| z&oF$atNKvJE55++OE1^{WXJM)iQ$9CDE`mZufEdo_qzF_*9%@@#D3mk^gH*}df&16 zy+0?M?a^=9xbI;`|2d-{9#eaS5VmJr47~EB~cE?Uwm}SnKP8@zW=q z^|SVHonbD|z1%SREvw)1yn^7yr(dZ#*B_-cFS`u=n~i?wXH`G9Y+QVY;oEl3^M-xulO_FQReyF&4qqto=WD;Q zZF==3!!I3CyzI}vX86_b&~Z(^svr2a;P$b~pZolY^=}rZI%e-4M7ZeB4`_dK!t`y} z=r8W%+EHJsq=t{-og--(%xh z|dxyBw-1`o#mti|sXUN_?%J6Sl{mvTx6NYbIq3!plAE+;K!|NYWzrCC%KW6yW+m-)e z^H*;&eC);pl0i8yH%~b0!|;mAxptN2zh?9s57vCP6t4Y>;dR?5zGL{S4BxW(|5d}^ zX86!owI3U^`u#ZJ9H04YTQ@2F*e{g%yLpu_8NTi0_8r4(w~fmehb{kmyvNG*QY}}* z_<11VY+XQ->(bpbrdf3wh&llVhSN^=p=(is~Cg_yCdky2n z&!+Xm!-l`j=r?VB|Dwt5eS+Vs_Q7JzDD}^u8~xP1)L+^({r|M#mljoSr9S*K;nFYt zl8!r8uhS3QY4kOBzR-i;tMr>+y0^6VZ@g0n1`i{g>+9mbtNu)zeYwi;;c{P$q^i{( zSLX9OS1Mxt;keQNoa;ZYH@tpQ`+-YO*7BY-eACVkDWx$}=6~l8O8!Id)^g1o{kHQ% ze$nVR%-#(f|G!q||IDl9gJpfa*zlcP`@>;t7;iOv?0x3apm;PVs~z^(qHS& zte>yVt}LxC_6EJVeXXJxt?`TQ!rXa!YSe4<{h6g+cW!-Y>GtwF6GsoqXRbLueq^Fs zKJjPD-+Nz~-Tlh`-ucy){$T(5TCcyhfBE#Fw>IeY_xI)(^2LSa_4D23g)?Udi?{DT z-Je;WJ^R@8(`%2-vTn9Nuh%>Ec0KFX<=_6rh0}ArbNlD}gT?)8{aJpVU+M3s|GG;v z3(F*r_2qtVZQnJu8%`WQJb7Yj{J{0ydX3+WED+V;DYrKookn+L;^@TjYbVF|)%Rt! zT{?KmyMyJ+0-<$3Bdgn-)SoRCc3rpRRs}Em4LPB1e8FW`?W^W|{=DWge!BYWG zxja=w-B#)<(hfAEkVg}q8iBgwk;B{1at)>e6bOJw} zz)vUe(+T`^0zVySC} zz`m-x*`{rxid||OZzqm3p;0Dwi zkU9pah)_lrqCZ&eFDwrzlilB`775)!#)3kG<%9@}2@#eOAUO*O5tb1mEFwf$LWr<{ zs4!=#zdpUbusBB@c_@FYwzx7oGw5~K7Up_gq3w>GJV_}VkvPi|STli*Myws7EKwiS zH_omspX>Em_JP|x!Bn1LfcpNBmipO%wG$k)i`u!Fes@6jy|=Q|B?CCr zgN2#Jg{Sqpt0c|JW3s!hbP|Q?bl{*KnM#x~QJjQ`69vrJTo0Dk7g?P4t2;72smjvt z&CK-&%g(}sy@ei}`W4afHn)noE>#q%PO@D%itERZpAh$;UE^<-Cho}O(a9qR#*ZIl zMFriZFZpL_OJGMkqhR8xvy@^rcFm*+9W(BXR$dD^e5W%CrSFVF`8%UPOdphH=#qd} z&}oBP&}oBT&}q8@NoSCOkfI;pN<<1nI}1ukKhYj**1%<_73PqW3g?m2`FF|3Zh;QB%bHlyCM${uy7@CX&2 z`LwPeAo$Grzs>=69x%GVS%zHs>Vrl?o=lbJ4pd_YGU@=s9pIOaW3QBaG|`p<Y{K`NP-7W> zkXo^|N*agHkt@OOLaJ8@%?!Rs*@%nmz&ePJItMeYWRwT}mBsGJfn!Hc96xs0%xkVT zW%q5gDxTut0dN_urI`3=>B0zZu6a z%{X>xM(&%D`)1_66}fLk?pu-7RxD5}vf7HQwj!&o$Z9LH+77HX$q}rywq_lgce4&X zNRtc=5Mz75{K#3b2XugdOaMi%RohF{DOg1{uEi^j7RRb+S z15H5#Z9#*KL8bT&?D!kl^Ea^TZ(!fwKzFi%y?+C{{|5H|4Kx4^G>Q$Z&<0jnqYlC; zQ0xVYy+BD8D4YU&yaKztf<{#pSrs@vS2UnP3!I=UaE7kHDY^n}N6`SiD%_l%GZ@}t zfNm5y@|;6;7idEYbeIZsn2H>8Mn9&=uokeD71(eJtlt9bH^;V+ql)IJbvbHXj#`(a zUghXnayVDjn^BleToaS|^1tiY|9nkL)T{ z9@(RV?zCAXAoiRb6?;w&V$aDz>^V7zJtu@@9PJ=>@*Kn-orAP66-940BKM8ReIs%& z4z|laaxV@xQi+4@pvb*A*p4c4-;CUggY6PV?#01&RFQk}fRRc(V1&dCM@Zao2gNdq z&+VvU8C$W8;&Z!%3GSojh|i4)+mWw!)SPzYs~!1jN6nFSJ@>_^_wC4iJ901UcbL~` z-9986A*7_V(D|kbO&*^c+=?eM^?~kV=;H9Hg=@ zg9Bt4VXy1Yfq7`n*%$LhP7A9KZRdi>+_nK&#k#bkoo!2Cjmth%M_Zy@9985#3(C}v2D%;XY&+W7 zcC@qYXlL8e&bFhSZAUxXj&`;k?QA>R*><$Ew8{!|9`p>89`p=TBw3D=%2|#Rr}XU7 z<6J4dclqIT6)hn-esKDf-ZyHibEg-USJ%@kR8Y~xE}LDP`y`MJZvfc@1d!D!fUG?M zWc>*sH)s!P#Gm6+gZ@02VqQc4JY|Y`4FL3%+?E4NsS;@}*{fTA6+44Y*VL=q@dC6WQRr<5Ug08=HB zVRxWRl}Lu(fihJhne`5osXCH5ZBHqK@BpUjNCx78GF3-1yB#P~btEHjq6{mg9a>XL zfLL*Lu`IagN8yxGap;!T#kzP(u`j7u@DBPdqvU%?vmKn}Kc{Ebl3oII2ct67cMuE9 zL0tI`VnI2GE8an@$PVI4cM!`0AsOmBh^yN{tiBG4+{Ev946g?!=!%$jACv+P7jKxJSejApa{!@V%|KMDv=rkN2$iZgQ*g!G4PbB5~(rpl&KP_ zG4PbB63LXTcLXII(StHA8^BbFq`wZ7sS?TbY@ke)NTz55WvWCnO&cgvS||OtrLl1i4tWPnnSKWjw&(?zoGZo z0c03rlVuoVL(jCOXl3S4AgibYX}7n6!GWV8Damh3fT=tw-YG$u*pyk&lvbb|rJ-*EOvM3`aZgaD;sD7> zNKhvHU>d?FD9NzJE&*=FFEG-xz?i;*V){a6XqXFZ zrUizh6&Q|IU^rTV?m4SM#jRm=fk#mO0!Q@)&W9K1Kk-=#)vVB$C{XVUY^4PbfC}vO z3XFpM-SY1fcG|4Gdm$3X(jg);^|ZY@kA>?VkB01d+g}M;fWI`a<99dkxJzPRFV6*8@3S-O=#%U zF=ijRmt|y>S3EeOA+!IEO6#=Bs?7d7s>r>}{yVBDdzt-rRFQj`$v`TZ{dZ85y>4j- z;YhfaOBlIVzYY^d?scjesUr6hu7zLJNoGhW;aZL=%3i{?9986A!nGV#BUR*HXAFQ!=L-;u-0NHcQpHxQ^8`p0 z`y8DkK&sg1===au#Xd*p27pRJwouSIFMw2$dz}+Ns>r?02Ow4CUgrXkDsr#$06?X) z00>3B*BJn$ikhRNf24|~dlSKz*>>mgO{X}@hq7;SA+S3)3R zw6)E6?nF~UwvhX1Yn##5HlwX=%1XP-D{>!gZ8O^1ri5%^UeVSzqhW1I6qidFWgl&A zQ$n^}!pMEJwM_|)#)Q$V)(qNN>GfY{2xS@7C5*}>%czbj zDpNGSO`ULuFGlm*lxRiFKAPXA9=wEk#p=*=mXIn|haRg`rP6&%z(gyWU){Ils6ut< zz9mN$szdiJIjSIg-M8eZg6wtQ5>iF;+luD570quenqS>u7xx~*t_TXCq{isn~$CZQyv`E5n>+mfA0E@9+8nqS?9me4ky~-}+lm%h_b*}g(IU5^MQ+8>Z!214-LT}ckKD)6 zZ!22lRw=#990;B>4qgo6}gY2 z-&QogtvLE^Mf2N=qu*9Ezq*GB`HJSZ70s{iVR8v$Lyoq#6^FX5Xlr$M5@fHtlMsro zbvw50c5K`2=vud@Wt4W+tIbwO-5L?(PYwwiI9CXneAvY+tFmU2-4N%fLcgjT;v7|M3ej%shB!8`G)^;4~ zw&PH@9jBGsaj4slhP53HYdad&b~LQ*Xjt3Pu(sp4RyS~=prc`J$8l{tj%(X-TDcu< zZ9Cf9cC@v+feZK=jpN$UsQ06B30F68xx9kr=msuF6}np8z~!hyXEhr2el(72N2A`4 z#&PXv?D%v87cvp2m30G`qYABdG%n$e#*S|^j%!DwG1To;_{BICJQ~Nfqj9F0qRM>R z9<`Bl2%MmBn2069!cvDmrvl9=ydvb63NuSZnWcivDC*)&Z@J3{(DGiWc?usZ1O2!@ zS~YspU0z>0-J>(^ui?vY1YMy6_!lYKeB}7V!E44j=3?)tY4$o2K{hTz1>$5Nd<)`2 zNiM)&YI_0HYBcrH(nr@KdKS^Kh<-(M7^1%r-G%6cM<3i=@KDth*AuZsI3vX@MY=>V zBSn~%)vb<4CgPU69!B^;{$}-gsylh~CI{Z)o^P69ZKx)@;l?93JLqQCkt)r>i4)^f z4m)tf0d&rsL#{q{(jlkZa}2-PJ0qPvn!P9Pb@!gw9oc*0_6|Wubo^m}#3U!=o>3bM zm64_5I5P_BN>C>1H>1|HTX7u5J6fArrn`W;ys42|>f{X*CkXBA5wRx!(F7)5s<^gk8v1lnd47= z6r|G;yTfD@ad8m4pp?HyNd`E>k9_1*C!)pW-zbd%%NRFenvsZ!Hyt-!_OoB-et z0l;~H`DHZ&fYq!?e6KIhQ=yKJALUHRdF`#uuFUngxcV!t^K_Bd>`I@g1`Bkz-Wl?N z2eYf|w1sH$$T*h`n6cxDR?hW_I+Y&=IdgFlVb&1g0wcmDMudxu2$#92&CNVz_GvT8 z%V5Ub4l0S)fpz+D3c#AwT005nyZ3P6OrK|o|801>UZio(eJI?^!bh_HAN zVeufsT@NBWhDJmOJ=L!Vp7k0&NJeK)_?+~LJx3Mz)+_cLRp4B&*mG2YcfDfIQAO@$ z{Et*J{&x`Tq=O>&GX8f|k$Z7X9986A#vhI}N!gCh4bW_MIUY3SUoP;6P( zb_pZ*GMC_}BKKnRkxERygCh6hxHziFy-d1jw;GthVHG}(!$y-_0H?Fa-xIm@W^WO3p8bMroXaI2EM#LE(bK)ckryFAt1t~ z0feg%0Gd}R**J9K2oG6lfU#(d8A4Yk?s3|x(d>zg$qwCk9h2ATR=@$@sklf*3a%P$ zY>QY?>q&y{$c>c4=lj3>~YS)P9cZ@A!E%e^sA|+d*Pb zLmN|LDQIJA3QP?7cqkFLa0ma({3O(jOiv=hEd&u(F+^Gc;X)w|w+=*tUP!+LG}0|0 z!tELnZWxFJo~27dn!vM+Ly#u$EMYbY?aJv=h$wB^ag_iQ)taZOm3ME3i?akiG4Dm&<6^D{`Tgt00m*7mF4{_OJkkeFNT&p8jPhWo0QAc|RGvkQ> z1XggQVFgEo6&w*(a74IKBEkxeh}w#ZAQB6m+$bScT;`0coNX-&ZuVWeq1@)kVdwiYgn8qax z8lqzwM-_BL$25*AXbEkz4ot)~P1>6ssUr7rO_OdPjR}K-(}^XKiu-WnKCWrf!MN5V z^temVtE6*;_NKJl3+^C1bbS0^_lAgD9_r(2mtr5h@%YsEab9h4Io&v!#-;ypv$TFWx#Y3$0(T~p$Xf>mCoGc@3R1~hpMzKr9K?FyAnxWI#CqT$)&qp_ z5R42bO)|PUsUjj83TS;tbg#v~9Y$QMF^Q)?5UUvG zv*_3b`A)?`(3wFI;wI%1vLYZPcEdr+RW*CD9FB?=!9lDD4q`=cP~=|Bhog$zivd9@ zu^=UV?HU9Jm-YbR>nBcyOk-n*d1bnxUmT?3uNGT^lO0v$ z-W{?+(@;G-x>%=@uBq*(Q_uDLBCbfnzruqh*`M zK3a!%Xs&2)x!0G~!Ppil6-@V&S>rT_kqjaxUvzZxJ%<&Ew2cJTOkk}9)=prf2`o_# z8YzWxP^@;u66K(1?MRm>2gQd*xSsWEt2|;V?OVXDt^w?`-q9rcqTj# zo(0c=7ervlF$hXWp^H*475$(!CU9FQmQhHsYQ16ixsYM^5E=FWaqGo_5*hXYaocrN z+;knpE!RQZa2*s2OfeOKDsnGI4f7(y?i13kqX0$jY1dJpirmxTIe{v2PrHr+m2n^Y zAzF2+)U?<)EE25_K;?_MLlWAWmh-x>WEqskuVjH#InbYUG z{D1o2>D6wc522$Xdy7x$*3R{2yByX`bFd4Xgx0%e>Cf71I#puLi4W-OonE0lYCQ_9 zbJ=^Qk+8a6E zWJ<`BTYSo`<6 zrD`<7(U?>hb*EkMl=%pbG+XvmuBxV?%F&?{0B^&s)Ujh)FDM)Q?a@QEkv#L23?9> z>@Uprs1e3=RI4-!>MdF&)A5w>=-w9iwgHcHl590CHLU6*Rl9T}3)H>z2J8Lh!u~dr zY~hz^`$aV`iVBBEN1UolT57E(!TSgr6#ZdUO92Q~aWvtPhK|*@H2DmVSYV2HwsMY) z-+1!K_zBi<3P;eg8^+eK0!~fda&R0WP0RM9LZ={WQU#3aNG~g|*Gjkc0DN~fhoZ*)f{vJ;#cwIY@U1GxtZ4`d>iy(Hrk!Z-jEBBecO zSDJee3C?<=LBHUplE^^iIlhby5q=9a> zRAhFCPO(2j6FGx!mB)gncFUY#Ojz)!*FKT3cO5!2Kj?Oc&WSENt&tm#pAuJs{3v#Z zs2?Nf77yJNscwo?6UR-HDw$0jpS+H%u>$cr0U|sTb#(&sbVqJXWj5tfQvN)~tbQ_~ zrxk{7JZk;e-c+(QLsSzA-;qqKszaOnB9=-^RLl^hmA=PeqVf)7Pv56ySKnjo>pP6X z3nP{&2gL$Mx`aW{wk@Pj2kG_uCb5yYL!n1^|7KDd}5%G0LFsH4U<1wY4>o zG7YxK{%Y4lTj;7N_cc1}oqQqXAfwKsI~tF150u;x$J?1AV{Xq=gQwH1cP0hkfo7Q} z#caxB?3wWD0zQnCSOCk^WQ!Frk*uQ=4N0_2y3sKuK|X$8N3X2|)rAwen>oX)mfP^ZMok0nK)7UK#mhOScN+JbBbe8eK6WxOcCILBl zk`e)O>Xb($RW)NtR0SkW6(EX}r*5etMB)(QcNa-WoW_YM6!v)Wp&Dh=Nmd&Ll*)Wd zV!TQtm`dNtr`|O7d5ks6W8A6;OYoL5Iuxao)SQ$lXvxQs)Ym;Yf}=4>qv82JjU@<)B|ZY zJmK!(gER`(ac#QSYW5!FxK6GS`#?y%o1!GI4%h72G`Sa&fLTi7mrGFS zi`{0+z4qR+4DEsB$IwV=dfhsNrpbcnC~QDPL69;j^6{V&-rDOg)693(Ef^UeFJ}X( zwN(5CdQndQg%|RPK+WH9U^xx!ar$P0nm(xLu3YRx?J)5l942-bF`0>>w2|*dYJ6EVXvmkM zO=wI}PxZ+;t(?-{b=trFw4UrZ*?o{LewCLalQ&U3TNTiw4)zk*_L8znIAo2sTdpt9 z(w)PylW{K~u~2+u51}XoW}`btV(yzFWfkQ6Av~a8=037KEKx(f=pi!Nvn4NgA zT0Ad2o+lYkXp4u1>p3b_Zy=s~8jn4d4b@Ptc<5<7^E4iL8c#e;oDm+R5f7w|=h4RF zXyc_y@i5wW7HvF=mZpPZg>j@U$Rmq~!)Ni}yDT1$r<>?qUaEu@g~(!U)37-*5%n)t zcou7%4&4fQ#X8SorPIk;F=6CB*1K*|!D7cVV|0rOQpMxwvUna{77wJ$;)!%wJpL|= z=ikvylc9|9#U6;kP>nKJh3qAWdr&F(F%1)WUdR zt?tNiRFV6*k5f;_!-TRU$3anZ;$F@yZsyG5Zce%yI}|AH=cEg^BUO}r+|o(6%Z7w; zQzzX}8>yn~&-6?=tkPfqvG~f0rrbwoRZ6~P=r$FN3a$;DlWEzxY8WNmF6IBFb-lf=O8Y& zgSglZ;z~nEjI@Ke(i{}I7bEScBKKmX_YQH4auH9>WfRL1ca_rStiWa5Rhq|LrMZ}F z{Gym_2gS08$#zt+Cd6brs#p`IhZW1K+o~|3m~02dnh=xis3P}bvK>|AUQD*5irkCI zMk+Dc4vKmtCYxH=%3!t6YnM+vLFdU*Jr>d;X>cvSt5Ok*4r6w@B0W-Zc^wrO&OxkL z4$?1jf1p?IQJ5+J7Ma>_VS#o*4miL*Oh?c>Ncrl>v4azbxzLDkjX~^4A-ao@cnAoI zIy*>nuL!7!$FtBRMfjq4pe`>Kqk~vx4q}-(h-Ky=Zj1=UV_Ik?C{jh?nCPoz6c5y8 zAGsF~)KNw5rPp^goUQQ8lRFQjeHyu@MqH>amql!&b+)bcr$hEr;3Qbh6xOY@Ra~g8(uA>UF zZ-~3;sDkVp;%+*sAp3^6n@A<@rh|g)8{%#{sv!G@xSNhD$X>6wcT_?44e=V0D(-jJ zEAAatL|fa4ww5FEUH90C zwzd&%Z6ltG)`+&Y5l=>IL|fYs*AWX8ZEYjk+J?A}E@AA3qOEO+yXX=|{gT-pM-}x; zW_yq-+FCm1EeJZAUyi}|)e+5aLuPy27bEv_{)(fD+{;}ENEOX*Bbwia%=Wm1k$ai# zaa2+7qxt1-vT@krvP7-mPsMR5?fuq-QbighTH)uI417N+y7s813 zGF}I9O*=>>3VCr&J1VYL2eDE*h^y5>tdt0eHFppzrGp}?V$B^@WL2!Wql(;%HFs2z zd$Hz7CDzbJ1BB5*4$A=?!}rrs>r=H z->QDeVA>^&+{<7ZsPtlA2LFumYCBA@zVx38R zg`}7!JsG{s;T<)l%>}6c;sG{s; zT#i&?{v8x$FXM7Y6=g5uaz_eF?Wm&cWyI~M zqU>eF?Wm&cWyFnC;=wy8%3em?jw;GtM%<1n%3fx-9aWUQ%x*iXDEnxm^@46pC?jqM zMeb$9?WiL6GU4s0BKI=k?WiL6(MIcqz?d-FXuS~FQAN#>_zFiAH7D9=y%5+XjNC^X ztrr4gLbK80Bex~$0Jnb0?6-qh>JH-4If#qmAg%}paU*aL7X=`_9oRwK2pq&s*g>pD z4hpP}#$xO3z@s{J(Cf+JXvI;9yl@cn?I124LNW>IATEl7xF`3>14c+mZGsEme|FR zI6HcB5IiqkC2vSH$+2lkX^F8cRCk0E8GlXS0KA&tO%Zt zHG#0wljOGNNmALT=tMue434p)tgcE>RAR zBX)F&a)`s@_|u3|Oe-#rr%RMWgGyc6L^(99)X^o%p@F52E>R8*Ek!!`Kk z?Z&j(_*|~{$L>bH(AGcYOk)xuI%QPDSsf-->@bm;!$dv~lR9vi$Y*aGqdiu|uQ9He zOq{Ti`Ht$M#>-HUq~uXPX&f!gCy#11Af^|FAf`dGd*{E1Iyw?*8V(bAI85Z`Fp-hN zL`Dv?0#$_~(=YDaSn=&VCfyR|r)Je*37$1r*3l(+)^J%zm*80gW|2-jI>)ny%sRS6 zImD0iX%pqpuvwR1q8u{$;`2+CLqlgFzm5bmP}*QZvwFg3pj8ZMcN94*CBCYtRqk*>o;vmGYV zb(pj=#5A_oVTp3-)h&+B%2jDS9gXjGbcu4P6Y1y@<&Y}}oILdg7fh>;rNa{C&^RBMRpaOq<x*|xY z4u-=L<Gqf3-S zJqkyc&@1&QkWM`chb8)0^>`g!qMhqL97mVXE8U6X=n{IRdvP3HtPi?sEgE0~V@U{% zRYG7a3xTmx2#lp6FjfnJu{;FEiXkvnqJ$Zz>*G=-N*HpYOqD2M%84??yzb2b!4qYQ z`Go1Fd%9EAA-iv|!4YMuI&@F0`+CBDQ}R@GBrG^lrm7=h!-+Cg9SJK=_w=Qzqx4cM zcAO|v)uGcHu9yi^PRUbslrZK*nX03NIj0*1Q+1Ru=tP;SBMI&BwVANAj(u7B^&{w zOx2N2Bsi85z5rdsk}8qz#P!KjJ%jGX^^~c0q&spwWvY%OPSfjB!YQB&V^TeXPBgd= zCHw+Po+?qoGa$-Ti4wj6QKm|i@D7MFp>OL_CL@gmj znJAH6&5A;%A|;Zi+=DFT9@rJFF3*&Ekfq!MyQtMCPn9U;Gh`{BfzFvtlqlshWGSD) zPSJ2IrF;fENyAg7>L}$i*m)X0d7@{a@WMoiQa*#7tKsrY`3zagXRy;XeDYL@Qa(eL z@)_)ujnolH)LrnDcivFbY7=o9;7@1C={xPHAu=T-B6#k{&4o-$P;bvaT8BP9>FU#Dk(z9u$@Gpwx;7Q+%r1ZbzZ`-e&4Ut1VDZJn^8qRgO~KDi5aWNZl$=nW`h5it&`GI?|~aPnoJCb*mhux>X)b z)sebYo-$QOIu+w7Q+1?nm8VSAkxs>U%0yq6>r{-R)TtN`rs_ziVmxK4j&v%N-j zr(!&1s*ZFj#!;$YE3`@nEWsQa(klQ!zeyszfOtBG;)HmptV|!oj&v%< zQ>IF!Q!$=0RYxhGAy4@XbeTY+L@A$vt_Mg_rb?t!F^jHgVMDCINI6^sd% zQa(ejQ!(yCDW4(NsTfb0>KRf#L#|UXK6#=bZW+@OqDR@A{VJqQlZDJ`8-o4)TtRynJS@9 z%{a=G4^gCih(echeDXwZUg&a;r%ctEPR)4AL{CxZa*n4=X<^ETpwrJ28k6!N3Z0s9 zA4>TUbb59w&r}`h)Qr#5>PTi)- zl=68{YRrRDJ`bi!q#lK%)WsbSrb?uXJDxICB3<0^lvbiR*FpEiCBW2V8eN{G)u=Pv z5X(LmzSBe~^x+fk?)seaYjMYR2`{%;we*g zr0$8QOx2OPCyr9x6Az~9NZk`pnW`goPdsI+j?_Kzl&Lz>DGj95gSIjcChABJ+agMC z({%STe}Qgos=CMx2`dR8S55%A&;jJ~1(0hjfXT0Ojd@CORszW73n15609gkEm||X> zlRz0uL?e!>&~m&sm;X`~icl9lW?ZYFKs6aoMoG&1z>Hcb3n!bleWRkAXsJv~` zHGS1bx`_`SA#D>c2%!Txkr>L`#4FC5bO{~gjBL<;F?fqy(Jry-TiPH7k06aEZ3~09 z$Q5k{gSW^PZ2^O~$Q4}%TzyM>zTgqLY9m*u?M>A7W*fPp`+p#39l zoF;8|siviSU*QpDMR(G|TjYwa^nN3L++WefLWwXol4 zVZYJBjT$YC0%_qgZ3}yqR)$=mZERr=-@-fVTG%7C@aCUZ4mH@KHOs0ru={G|C@Z{q zvPH{~)wI~xwP-yO-eL{X)uQkgxxy=XTXf|u5F=N3%WR9Tyr~k?WjFAMT;bjAtp;+1 z*HX9WdRE8^xoRL+*qOJmGjGwQPt~ljGjCyM-fE(7urqIAXWpV6z>qU467Bn~zNOB5 z-(2tX`kC&+^88A7t#_u@Uz+0!cNUiB=sI^g_MI*s=0lY-ejy0EUJ_uEBgEre2Z@VP zJJVZPT3H|LyQcbSQp44`(*$=%CJ*ot*V*o~zpahmRhXvJ_N$K>-6vFen^AARw6d5> z&e!nCOLUP_`FzXxp~Hww9pl5BDkR4zZs^jTp9n^dK$&ye>AbK!kWWTZP^nyI3?0Po zor73%4q^}1LG0K$h#gf2v7723c2WV-8A1oKgX$o5PaPDv*O@^_6}T@XK+91n_ow>{ zz4^;X6PiLHdWeurTserdbr1{1K`a!6WWvfpEEET^P#nZUaS&IjgIFjI;wnW*CI=Cc zsX+%tzGPa^QANIFO3+ay_=+Nwl{UV{x6hh?K6Uat^p}OqLO6(Jj*!eiIEc&UAeOm< zxNHt$nLCKf<{*~2gSfa3VvTW7`Bh2SwR;^0LzDmhc0|jvd$A?<$>egPfZ)xA$iTbe;Wy2{s?2wY9;_<$6f4SdDm? zOhcxCkV@pW7w*Hx2MOY7GmUs6Ttn&$6H0wKi0jKiTsaP6)p8J5j)PdW9K@C5AXY7e zq;ed@mE)kus!aGes>r=e_Bg7@y-f5ts>r=e@&J_{=IWrpeN!S<92GZf2Lb8=LH`=+cnA(c$vI4E*2(J789axbe&jw)zQQ#J@Ws-QVdv44&#XiihiMZ4DT z&CHR{MSht5qQ*HcjhCD|eMeW095`_7I7z$$O>h~i&#YqAXO=|edHjSpRTYGsDkQmK z;)w`Ew`uQS4E=nid!g9ws>_FCQXH^SUqzl-cfP-})MZPxSHSSXWD#&>KEPJWfNRkL z91938q+Vs{Ag)P-#36AIOW#3UK?upZp@R}sENVx^ZOuWftq$V0<{;Kq2XR|NNY?Zm z6u&6zd5$W6QP%SE+S+V)ab>p4qYko9-Kpb~M<(dI1->|Sxfi!w?nSIYa9;HWJ_oUg z9mFDb5Es}%EMkOU7>mx(mex@}nc#qyy$a?PQs zt7Yl7LP9qIj~}1Z(du5p>aD%p>Fwol?CRskPEbuEI(7V(-TrWoHmVohRZMp(J(ULI z^0zypeWpD~Ja_r#xO~LkoE*QY`gqD7*A~vr%#lOJ=MPgWv8Nm1X$qcn^juPMBic(B z*-aesL%nZUrlsk|(<{q!-KCXtJu2Gn@9xNnQ2go&}QU;}h37sMP(Eq--J3@x<<4;y;ll zm=_t3B8?hQWhNE^Xhj%^1j8y@a*-w&OxbONG{IcTHe93$rI8J|BBk!~%xrg=E-g>i zgA{IdZDvpUq?asx#rA5T3YSu^Vr9)#u_!aJNfKU(NHAp*Scx=jxe?*gBf_2nB3ze% z$V34mTw{m?11u2=NE3LL*aW0eo_mWuZj7Y&_A6Z{yD!J5rn`vE}DZ> zGM(X|M8e=2=Q3M?31zmzL7|t*Wu6VGBorATX+92$c}WnmqY8bV1|TDq1k*SuN>##R z997I-!fhN?6rlvrII1v$%4HS>sU&p9LELXRDDb5Lv_MtJ1crkW34_XM;H*m+hBz8D z3skuT7CR^kTB6?^RTQBF6g#RQLR~5VDh-NtP~=_$VjWe``$FcskxC{o929i5&}g7) zXQQFRm{4PJfJ(xI9aT^Oy|Khm#d?%UcchZtJPt}E43$>MQie+yDox{W9aXHfICG$p zx0q1kZXFcWS7L4*RpdV5v)Kr}Vl0Y;*Cw&GbP4Xl*&ewuE6Zy(V@1o8vulHXcePJ= z!WrVh+{_$O9iBX@=8P1sShg6UPCxzPz@ud}guNH6j@#O82oF zn@&%(DoGyo5{)y7E+a#ClYk`&tJ~f&o-A^ofCpn9JIQ0122X4-cioX=$0x7t-b`5H z)Mhf$VGM(ITs8%@YrQk4iN9z_!3B`WUPM@>5n-K3Y;>j>I_9_(#~#-Xr?EC=;J}Ha z6UVPTC3q-KMnMz_FmY@&#RvpKTEC}xqnX8WWV-i|k_ImslgRtMH5EH?)Tux`B&Q->xwYyakk$)o8vH%)OBd-|KEST!Rm#oIk= zUX?@Uj*RCseX@Nc^rh|%l%AGod6Eg<3GbJEJAQcT&}Cm9J2G+YWnUhYjLV>z_}zoV z)PM4pKRo`QyytKKC+}nT0G^3-28gii5MhZU!qP^BRS6MRBt(MCEBi~3COEuu!UoVt zXMjlHSvmux2|UZ8V@MNtmOZXW6I{$Vpp4_mIGmJSBam|(Ov-Ew(gZo%n3|XI1}n0N zNAoXdmY4cj`L{cA^Qr4Ouq&gv&;HWN^1|$De}Pti3~toso-#ALa+>J%M|WiWy6c3# ze2!X_)mBz}%j+}?%Km4?Wz7m;n9dg3qaGN~_`F*oB`m->%I_TGCmj^TtvOIU1cpxY9X}y(slEU7vHF9`odkg1!LSVm% zf`}=V{Ghy+R_1zZwT0DvwEW$yonD{kAMM)8;v8qm53SnL%$WsZgi0yUPn_&JGrD z-+#J4vpjqDvFoST9-C#|Y(Je`(W$rVS(gqDrGNXW#B;rK{AXcaa^(NboL*R57~H4+fD5Svu(3y>8}3siHafw8E?;@V(eN1_Hnw;D3Du=gp7l84kFLvg%! zX=zJDg_O22RG&cDa@j^eVbG4PlcEc3&TecT}}~qK#VzKtIlbcRFxQYlFr-0x4<)vGh( zoVGSqKSZ4zJc0t#c~9`RflQL2s-~rlK=25aLMLiv8*&Tat|H~w73Oj;U*#=kg}oYY9ESh*@E?qBXuMG4C|4q@{&Cc*Lerpz`xx z;%Zt{ep(5Gx2XKQ@wl26+d_f8Xdx$t?$&RC3fzzzpmyIj(55u72n}rA4Y^WkFD)|J zKqeb#2pZV)H<0Is>-j;gM)3*i8Y`2cgDYa94Xf2B5HJTvR$g*&Bs_w=$&13b@EJOp z0N!FN=kUjB&e+O18WP@OR&pcfuDr7rWJ%jIBJwW}P{|gZ30x z(_(wdXo&~jLVGIEku9+6Ezq4TuvaUvLXhhM5qO*wx6UXz9*cDKydWBCk ztQ4wVd8eydyV>xb4|od-ME457TeMMhiZ#B)tWfvqRCyql1Gx9tK!vB1;gJ|WgdH76 z!c~iadY++wnxUp=sOlN&dWOoLp|)q(qh_e@87e#n?pK*aMW*Ax;VpWR8Fs%MUSG`$ zeLdc{U44sIJ3}SP(2CO)s+bnIq9cy0Z*%O)>9Asai)pb_<_OCQlQ}99o&5`M(b>se z?~79yx$BKxcZ@ojqfX|ilR0+DIjU%mopO#En`5_}<9Iqp1*UW3p}eRJbS^8r#m*J%1syU;2(+QXO7D`m0Ye9!c0x{|{1*_p( zWD+%&LeGF0HI@!~#JBie)Yt+wmg31UEeZ$w*aG|50z1_LJJkZa%>uj40=vxu9sU9v zPJ#Y^fo?^Ceno+f1sz!k1w?0}z$RH>|6E`TEwF_a*g^{&EYR+K_%4ne3LHBWI73k2 zP@=%evjRPt0?kB$t-HWsNP&&LKv$!x$`@DW-Wot=kop_Mgo-lBo-Rs+Yg4eV7K*sC|`2f78__58`#M-s8``7EL!1MqZrazeK=36+Gl5$=N5as zmd)>Zp__j48kMYhR~BvBdVHgiJ$0QHcZiZtGN56oq+RLq3ZLdQzqm4^F$(7f^1C*B zZa@)&6qnPdh&Ecv65uvk%c8(RLpYbk_0!#@Gr|OI9hsqpu*LP|8l#+;)?75skAa!l z+1@HI!|Xv6>Ofmks>tB>)gA!490icD)dWZ~>b7<Gme*GkP-T%du0AdODejL#*ZAn+S2^jdQY|Nx)QNMgcJ&|8m{t?o@RZX z2zOIdnIODtOswfw^t)WaU21kKeTo2BouO)qoRsHZ^w%m3i)IW~R*9`O`opmV633(q z@wKJ3c@BM`CHj?Bih7VxgDSQx2xoGR15|_!ipUu7vi`Xx>h&lViCHT#?i#2bcX4}O zUSASA5`R_Zx2e3;2HbcJ9Tstr>yH{22e+~8$W|^a*2R8Msc-EK_K8dDG4=vIrd7g1 zTv$8XwNM24l(NF2-m89Q#Uw93XIGY17klT0v{NTrIM+LG0+?T=1~5a-PvtS+scSIH z#8XMx_O&Dykpc`zEF8i`0bNAMCVzIBVm($V`hko*$(y=7AzE7C$PJiv2WLgq)_N4v!SNs^ zFiS95T!5$_=q&=&W6uC+c$fNZGbz;Sn5mhqKIN_--(^f$;+Mo`O|w}!{gm$74DEVv zO9SF2#00ypfTN-rFOEy4s74z92p_u{WVu|SLa!(=kEG6~xC|RAm2+uz(B%-lQiR>x z`ZL@n*e7Apvc738Fhajt4eGPb%lmbFJU4T`%Ps&D@^9`>%HL<~_bdhG+0TX3OWn1z zqzIMg#T7ECnrflf>rsPTr&yjUqMK+4;R28@QWz(3Rr*{Eat+ygj%8te%MEC2<8$twztr^ID~hTJ^B zyD>tE8~?YrGiz?+Sh8^cn0b~gP~P4yNl_B7xQV1}d0sj|EF>&!LIWhFUq9bDS=9>& z&cuzdCc+khMx(ka_dJUANMiRQr8?TYa@x z^+)T~W96PDr=r3Hg>9^Xc)KB_C(s`a+td z81QrNeeppYD%TH-a<9i`g7m659zQmUiE%|gc!cqd-X^G;mt+JLOExgq7=n@zr4@4{QT%`+Gz3AXi2P3Ml0(E z($;!u%A|Y8adY=li-38^_9Y@6YsE>qzL~Pq@D^__kx%Pe+@?WKvJ%ATx}M1Mk*OEu z$yuJaKC*0QHYu8FKeDy17|a(slTTNkeyepw?#okufBfz}nrp>9Ie*tHj|yGV`|_{i znz(pbj0k(Rs!-><<_5k{evZXzz!*P|W;5{0R4Lc53b_eBDtuYnGHuP(Stwqu=XgOo&4)e8ZayY(SwuulVAyd-gTN{;NWeUOc!q0-*Zi>Hc(jE=R&vQ`wrH z4bbW7u{>o{3-p#NBcdYjsNbYzZ`9LdW?ie98(g7fLsoQTVu@8po##(GYH@H zJz{nh{e;(vek zzwxQR42gA5rm-Gh5GRx;geC{a*IIgq9Nh2&CHUKKwS4_JGV38fUQ>Ske^|+ghy3`J z0PXy6(>3BDX(eBKpcP7Vh7mX|6?qg(0*BR%YkD(`H(z^WVLkYu$^09C?l#0{%lH<^ zsV)xV;sdzny&&laV8N+g2xL_4VQPR186SLk=uf|tgByP&=+PKtx;0^YsYd8q>aB8{ z`Iq_Pfhv|aO=**w1~$7kc}Ya!$g#QCd8)1R_I&%yXt5k+tP zjYJnc67+WJxbxH1QF(QB)GPGj^z^g;ULL(85WdF59$z25DL$QDo}Zi?y(v$QPcaj^ zv-Rm@#ZfLG?A2x|?3XpAE0|Dz`NLcNyk{_~i`qS?ox-K2A^He7*O$E7$?!!2pnfg- zvtikOKj?$yMYxTbes^+yuup#V76|=1G6|yBpj2!URza_G0nV@1gr|RspC#P;fqaXvxg=i_<~{ZX=)EV~sc|Skmy25T#fTki7nSz3M(xU~4I8x<=3ig@rZbrpvC0d=lhYBh1<5+*I^m%!xW{vI+cVYD}-YHlp7Sn;62Kd8~*D=bJU9Cf%A24<` z4Z*wH{JoJtn~p7zgGjj&Bv}^qLOHSIT`ctY;IzDYe|~vg2ze;VPhsWWjndUbc;^1I7yG+EDb(`tC|A*+ zq7z;5ktGv&Y#{G+Z0n8JH(LoZ}6?%S#Ft0gz7m8 zmIoM66Gz4=gw*uOb-J4HlFW!r0^PzzhuhUjA^+!Hp!%b9V|EH2f7#a z%)2coqzT_F7V5j8GMP$R<|Fj)etowDUQu#h%>jJ7H%lldGP>9Yad$>nifh&-ZCX%U zJq|j3mM^Ch0TT}ubB0~XjwcLO999OWbi%_%PF{}y!iGbX(2L<>y`;SF5C_*k{RPLs zSxplG7b$+U!$PSz6+C%aaYA7PoegH4%B!_ZCp*)fI}H}2FXiO7Vp8GJzdc>F{UmTH zc8$7|ipG+;CyT31jaC6g>J}JxU2!@@gfIM}#&-o!3S7jd?(;H7>X5dSJ!lSJ5B0I< zoh%ebQ^r)mH(VQR`S*P(52KT14prbK^$&BXSU+=tsCVg+!dy)`8fP=BrZl4Tajl!D za(2I(V-J$@x@evb!HD_r^LO`ktRJ&N);dx60ZL{tioX!DInc55>k@^T(Its2@8RYC zUy2_bPK1|b<@U&cZOKNL+Q^G8eW}1A@LFP7Y;0x=ooA#~#^k6icK) zA}mYJ&+E;H2oQu2-&r?mJ(u|sHcp=eJuTfys>I&C(h9t2+o%IChh3YjR;c8FF1&|gWN&6&wQh`SNf2V^mmT`F_I9X=_-3g z-D8fdAL{tEt2IoSU8=uleN8tbW46r=@nNKywQYFaFO91*fWwP=63<&@OC$F=QE@hL zhV-lna+90`39HQ@+5nh@K(1IBYV{hGQ!Z%IsL5n9B!5}Rl;xzQvfOPc0s;Su!?DI# zCrXtk9M-yo2d)|ny8?tt`**)&DaMOs|10H_u*|b%_s+4vT7|c(l5SH;&erGB*zHTU zQ806qkBwXv|G2(e48^fh zzy*I}xLLVOvOI+vc+MgYIqFV$HeAlApITt!q|xRnoO30YF+mOyY=^#yQ8^bvC8&`4 zyc%4G>wh8BZeGfe#bz$+(S7dLKqOU=cu8C**{@rl^Gdq9Ntg^EBm6>~Nd_TVAOkTP zLpclUclQkebhN5;lSp*Ind;*szB1-53sBkvloGBkVrKq^Hu*GP<>-pr{AN(Stk_ma{QVq#>OTxzrDsuRUy6f zJJXg}fgDR$5q{WJIedepN$JL;;ceT_gxyX=6R;KIMSoLCCDHz^+~MXM`KVV{Dp-aY z4mQH}G{K4dxBMcK#>%C2VIqe&L+VtxsR14xsuQr#jgg|24x=PYBwF-i%y0kRR#Jo$ zZK%4^g~^Kr`J5n^5@F}<`p!p5`6GHtZpi#OUe{A)hd`X6*p`Gn&5T5 z5MPGumN`9%7Y2&r07y<=v^OGQlO;T5ggxyj&-2jA=YXN^bgl18rVh(1iYdU42*TpCWn0VRb9^zoF4V9(#4$xr z%yUWgyZYxxlT2qSHrPV5XhWdFAliq=JH{$TYl1z31%7In9~=%_nO9uTw=1D1NN9@2 zdkRw4_mnH^dsC3My}GpW?nsycc};;_7-GdQ4ATUMVr~E*+~Bh>w}e;%tORk}5{D~- zWBllKWCS%!n#|vBhUcZet9k)jQ^X`m3OI+7_%*4!cSmK^SxfLkQfGPMhrzc8tPBdLTeyTC+gJFaEAcmFt{7K5($mjTj6r z<|@UQmV9(N;ZXnuI0LbYm?Cn%**!lcrwGE0LB)Nw3nLv$JhjA~4&1-U`5n*B_KsMK zM4lPolpRbZc*6Yb8fi02CR!7t8M@0beE~)aT~GLn_7eR>FwW z_s~LLEcfJ1*x-bJbmMPDM#`4`19B3zaKX6#;Stx}9OFUL4Y zWwV7uQ~9Hc|{Yk9$at0#D{@DOEsP8cGwce*g_|2_Dw=)P5)I=+Cm zsV0RV>;ciLup38%w}P2&kb-0Ka>Bmaq$#5f@}*5g+RdXNjS&fBEA4pQ%1m|$5Po#Y z2C}*AGZPg%1hvG#?K*2a2#rtKSav^_uGm?t8`{^gm6R)IkAsRpRXa>~$kO6_D*;Vd z6&B|E2&F^J<`W#6WF3<}Emgz-n9h@rn2r{Tlmr7!01PGKXPhHu=zb}eW+*j{VvBP& zBH2i~CqT7o7Gl4cJXGU@A{nuuvK5h1QOKt+)Em8uF?+*c5)QyWq(gy~f~P~wFwWWO z?eKiEE1MmbMNh~^p9K;+Z@?aWC2X)LG+MRHp(Qv;vq@k*w_sZ|yi8dOn;nCnDc=oi zls<^Vs4=HH(^#1w>1gS#s84z6=|DV$KY69LO*RujTu>V~rgXo*D{IoXx15WU>0(Nr zN*J!8_^&&VDCKNeO*!VI-`xh4lr2<25>lMLPcZ`vI2I0Q^F8)V?%WMfqiQRI$gS9Ig zxwl|jqhXZeS!S|zT9eATZ)!^&9uAO}fCEL*0p3aXa?(VkYF|lgx*ZQl?4Cl2Dxye6 z%JR+i`K5kusMH%Hs;a^(JS%`OCtdQ3a?C)+Gf0%OirF$^%CJya|EQ;l)5%t*z87j4 zf!A0s1I~_(Zq~xQN3}G(U@xI=k-JvWLID?+?d=K)xJW5}IV}MSRtTkBk$$K%478QE zqocLbG+x6#N!4b+@ZmiIVY3`|qtytKlHimMMTIX(n6~cJ{R$Wv$Kf_Z4XPw(V57w!i>qY|0JqREVAQS1PsDc{u{ zv_D8eZ>Wa7mU3LSZ{5jIq{R>m}Z`Vi2w?zQy@S#JYoP3iK`IzOe3x z>JM8k*mg$cDYSqv+CP6tjqTovp}CVFVZ=XKv(v?prqDA?TGSkm5N6=`EGd%aWI)tv zi3cZ=iy*SLn9mB$I*W1ypUQ4ES#LsASfwIwQx#cD#CNdCyCCW5lY zzXam1sM3;vRKa#o6{ay{gru;ND~$Ws2)~qsZ3SM&mN5ZRqHwm-k@{Tl!HAz ztu%KRqM2P|<-A>zfNAif`A78d2E}61gzUr;-YiQf=Do0~g+kwnV%Kfb33^B~k|Qg< zT?y0|n(*JPeeLB$ej%Jyq1t~+jhkv^??U0%%gJVY3N%)LYh&|mB(hF>?RJA=}%e>CJjU0hOcW37uyL&?;Zf$dT}vPAZkE)Poit)Z z>RsfXBq};1YqF=Bj}Llt8yv|8Aw3cH=%<~oAWAm=zJpieW}2VVsO|)ZQymJ>y;co~ z+Zb8ih9`L-n=Tqyqe>qDM2aeGWJ73FEX(2?xSN)4(Z*vzcR401=ozlg zYA2aTLDV6tmvtO%N3^qhfw^}~3v#`i3@0zn3&TXAS8Dv^NX5aL9SPC)70HL68#A(e z<=%S97%0heM85X-qSZhLkZTJ08%h$(u|5wwm z6z+y?hlLQ~>&09CRX^^q?#CrcVx!7#vA7~;AsW(AA*h45fH+otQvK9K(7fp$$#>45 zI9egK9M>Kk8QJm=yPvC#B)dO(hwWErhAEIa1T|(0VqFxgx4_i#hpcmI--{?acV6!v zJ~|IDz-r;4nAiXNRqRBF_SociQqPr|o7L2=cJ@_5CGrfOnLbFdPf}H4reGsU1L{5C zbb{kEAWe#tbOWT1T2&4^3IWwrP}kp>Vpq^HkaEyDJ?Hql2ybq`t%N-Rv9psZ5YX_Z zJe&Ks6%gMUS%r-KmhA|XFsX64l}yDZmJD47%+~KYQYCSnfgh4p1;tp742Q46y%4Rb zaH6OO)zvbp8ChAHCI+|qh-7irJN63iT3D^JU%5FKhG3#SS_NH;t^4k zGIgmZklXcKGepLrss1E{I6$sCdsXVEn^W#Ga(Epc*J!r~LWhl^r?WY`dnXZN8N*_9 zdm~pBb(`+AlFw+Jgp%OS{_yV&2}nBcGFWB1fQ64GG}&-1iVc%4qB7>V5pS||*wuaX zgdhDiC=KsWG%wY?VlJB~6GDt0$XRU_(nN)P2XCE=j9j({wOGn;<43oe7?>IIj3_^8 z_lz~bh8#!hbVVgmQH9H*k%%S;cI^7sRuE)v$(XK`04Z$U>;tyVOOWZ~^oww^N?Cqn2H}rfnB_MC=KNCFBy6SZkOu)*SOv-hwx|RhdS(M`y|bg7DMf z%Iuy87EMC-_E-|HM(YHXOBp(`blL@Eb&5)D@YO2*C~t`=?ZwuDP^C`Nkk5^6`mvbv XGPUj1ENJ?=nxv)~jMN-k`K|aLqLGMI literal 0 HcmV?d00001 diff --git a/bin/wham/wham_ifort_MPICH_GAB-DEBUG.exe b/bin/wham/wham_ifort_MPICH_GAB-DEBUG.exe index c85f077e61551bcac6ce9dce901359343a0ebfaa..2ea5213589d915710f0723493b528fc33e0a2536 100755 GIT binary patch delta 663636 zcma&P3tUvy_BcLgKqUnS<>7!l1{oF66u}gQbkM=h=%8d)_{b>C(5$G`OQsGACgU(l zZR?Ps;UgopE1DLAI*yr%y`-|TH<{%j?Z&n8l4<p8b6N7YsO*Z7l*5oUChtn!`y5B+TUb>#TxVPa5A z`wa4q;YNLPKDlC8r!Pz(Ys2SY+3w>T)jPv{zr(kEHjRsMif5OZz~x2EMwp&%cF9t!Ab0OtrFS z)xssJ-C1QXQGATf)LM8WvIeXChgJUie6lrWqCVtS@?*@<*s_6&)H;>xGUe){tK3S` zV<*PW?yrzzRq|ALq8&V5I#^Vp$!UjpggcVcXNxzc^i920I(npc)j7W7@G zx3rTh@F+|sYvU%S*Ij43VwKsKqSR+=;MdRR-X?v?NC*rvY*MMc_A`;*V(C+f@ENxy_S`np82DgmH+@^->deSSH)lCUJ!q}KDKTF-b@P{Yq;ZQ{__ z?P?b?>&*zlw#4Y_&cFI$;wPbwj(e2h3r?=6KR zW8dG)5$d@D3pjiOhkumAH*)wT9Nx#_mvQ)JKi;8R&JkMt1lE$}WK8@nszUmmGg3hyRAdS9AF9G(7Zw4M+GvBj|K>9R4Q`U(ey&IeeKK z(NWQ99KMpnmvHzx#H0UVf&X%ZX0-yCFm!5CM5!$tUeEEL;qXBmzLmqX@Q-Af!#l8Y z_OG2IpkJ_m9ULB&(*Dg=MhD_xy4C)LaCn#vwSVCpzPo}|#-EWRglg=NadP;c96p7^ zhjaKe4&RHzXL9)79Nse5;cr0%M-aIJQ5-&>!}sCv1svYU;R`uDOFfWW%<$O$zFdJ4 zMgUwKhcD&u@f^O4!zXa~N)B)0@YNi?AK(@H!;cz{kjxdR;!?$vHme3(trg&&Sdhc+qKs#3;gTr@l z_z@glcc0&fZsPDE9DXE+59jcsH2VXCkt2-e3Ya+j7!IGp;j=h=8i&7`!)J2%u_~U{ zZ{Y|Qu7Jqlb2xlHhqrS00uGECGr^{zZc-C?FTRD6^hrf-(H*k1dpRs?99R3bOvi|pR zggY5GJexWEEDqno;qT(`XE^-b9KMyq7jk%q%n{~tgmw;pABXSY@bfslZk~S>+|S`d zID9dO4_ELGI{fBy1S41A0S<5C@C!M73WtA?!>4ihhd6vDhksbJKQLH0!XsP(k;5WgLGchhNFzs{v2T z5o)*sO0O!!Iu2jK@z-{G= zXE?lz!?$wyDvDR_Cv${#T!D5Dzn;T)aQJEtue;wr3ZCNdAsqf`4j=B|2!taTIs66= zZ{qOJa`+SuFLC%Z4*wj7&s6aa?EB|Af`uzk%i%>1zlp==bNJ01zJSBOz~Kuye4Ri3 z!6@JeTet!x9DXZ@FXiytID8q0-_GGHIsA(n9{RtUBka%!kP&hCmpFVKhp*@G^&I|X z4&T7xcXIef#H0UV7wqB)KCVCmhi~TadpUdyhkupBpW*PYarjma?|7Xf$Q+@G!?$zz zgB-qt!yo4Gx?=w*IKtsWIJ{56WBYZwaE@?HA<+LDIsBU(-o)XLbNCbv{}zW&R+If-6wN;lJYWbsYX{4qwmVTRD6KhyT`(cjy{9!dX8- z=i~6_ID9jQ|DMCQaQO2a{tSn2iHzZo%7s51cYHRI;fBn}YEP%AO z77DLISdXxe!b=ejLb!p#k0Kn5a2bg;V^{5Dcmn5n)`@w^dX4 ztjPh0Xhf7z#OKJ+2jLP5e~2(H>e~t_{5HZd2B5?8ErlW|B2qz-kehn?~G{Po?TPeI2;Ut7xD7*^cehB+0ycFSN zgc~UQD8goh>nOYc;r<9$Q`m7YA_gF$j3Qr&pPeOPQ!ub>)k8mo& z77C9+cre0g6i!EY2*M@`ry_hK!r=%z`u9TwF6P^Glpz-3VFri}ABC?Z0-S+x1BEXkJObf53jc^OuGQPB0d{ouKZ}Twh$y2BpCdd9;Svge zh;Sytg%o}p;n4`^Q}_*pac$map>PwzSqP_5cpt*q2%9Lp6XBZ?4!;WZclO_k2war6 z=_tcSgvTM={s;8|ge?fSQg|)GIS98!m$WXMY#P6D%cz0e1uym+#TUt5pJQd9^q*S`zU-R0pQyZ zZlLfbgr_51N8uk4z8zslHAS37#2ttzqwwbl--&Pug+D~N0O3Lkzm4z=g!3u<2EsED zwoteU;aLc$QFtH1vk^8?cqhVl0qkfCr--eHn1c*D3U5UCZiL%^M+-cSa3R926kdz) zJqWi@coo9;BJ88^QiO{TZlLg^2+u{hj=~ENz7KPNwrYyF7ZLN2p^U;a5xyVc5(-a4 z7-yrlLJChpcs|1U6dsT80)#CT9)s`$2&Yjv9pQxtn<$)$@Pn9xw1rbdKSVr)3_1$O zBK$DI?H$wy5H3NumBQT-egxqb3hNPm6k#8QufzlV7{Uz{zJ%~1gzG5$Bf^WJey{*V zoJGVEgv%)WIl})!xP-zVB3z1aA%)*Y_;G~uDf|Y)OA)qExC!AW5Kg1;K7^McY@+Z^ zgdNKf5l#_X5wQYc9fdbi287%HM|}X{GK5@HB)g5iX?gB!ry^=Tmq*!fO$>Pui|~4c+b>feK)4#=Rtk4V_$h>2D6B{L zX@q?gz7hv8LAZg!mk{27a2~Q}_*pHzI7Ia1+AMBb-LzeF)bgY@+Z^2O>5hBAg<&BD@)49fda{`~t%5zfm7R zxDMe~3a>?Y3&JfFUWM>hgnblVitsjs8z}rJ!rKw9qp)KEB3?v9HAUQu@D7B_C_EG4 zmk=(Y@HB+$5iSIH_)j+H2XjQ{S+V?Fd;WCW31409ES-4bXajwmXvnkZY6LjKg-y(fy3*@~-$cuGUZiLxr@#KR>xE2H)s{j;NUI<6%=pR91`2|57 zedaOqJVPSPVq&>Z5S?A_0;bN$*g6y0!D75_rrE*(S*;4Y& z6r=voCi44~RV1Ox} zIq`p)BJ26ySnk)eU33{!e6o8^R|J>5+5;coJl0iL-XRz&>SLj1u)5NzcK3o{yYpvY zSP>nLe0N^#qVSagpF)`%9Zr%KMD?_JbX&MyOSm;je{K`Wxivodp#h+3W7#?7x|7w_ zczTsVms8#e)jix5ssj>Pd25s&I=|-Dl;lj+GFImgXqPfUr^5si?NSN9MUQXuvwsFh zOTL)UF8cTTKRqojta-EMtiR=wLDS+2Tl|=Jb5%=$rJ)H2j{jeln)O_7olSGnT-Qk3 zA!d+V*IaWjRrtke$@<7G>e#=ZkZi@?R^;iFsl?=aaWIXfvTxZWb z=l^nCw}d)_&Clih?{{01X$z^iEhAI*m+5Yf)VV^<(Bcy6e}~Lz*m7^X#)7_uGx$Xull|q|wMxf3yeLJ1wfGcrcqel?Ot>l-ozlkMq|&uAkDgv<;wIC90}LB&#bY zRP>rPcB`|3a>xba6*Ghbd-nh{h?*IOfEgN|zrmOpgUrlMP)GH3bqJz&L_ihDAb*zw zogUra=9#WabbgJ#Yyw(O)t6JKplqn;Xk2LQ(Cj1{Pig>*zN}U0wQAC_&yg+{<`C~4 z#?ZN%w)Ph!(~NF0cO>NmDqBbEU_Ao{i4(okHF;0|%PakcpLXma0eCJ6Eeto&wbBG! zGFDe%@P%G9__yDgjA0BmtaYHJ45Ba`crI$eRi2T^q z1bxjG(ohhm2fum0z^MOq6Ojv&^+yv(*o?RbuKESZV-=%ed1y||Yu%I(*RQuCO9@+- z%g(Je=pbYrhbml3Z4fBcpq91jB2W#hZD_$G!P+pDpErkKbWE{%cB}2dIZcVKIj%>8 z(cE=Vs4pt+3E50On2|j3Ogs$#D%v0j0fnkv+o?UnHQDG6NRxlRIa1fQ1qFch)mHqL zOzVZH%-2iqnrYM zo5`VB$+n;RGFgv-EV0q_r&4)&X|Qg^5a_YpPj$zRTix{re7yfsccuUCG|>KBv5?Mi zC$@Hnj_5O>;~y(V=TRDwxtZKIJ2}FtRs42$+KBHikmqM74Gi6?&U1gULmB8DO?9_G z6mA*Yz2BG;j{U!6q8xi567P+m06J133E3VRp%8TX8i)Y%TRppxf)(3Eom(oz)L#IU)pX-2EF1Ln6Y z7?5ABn=>aUf)Pdc5wi&!34~tv76=@nj1rDO2v}AGzWoIGy67u>9G*K?Us^}5&5hA7 zdjV$uNQc#Ik!yP^BbTY#fK9wE{#R&O`9L}pJ7G2FL-OHoswagR%v+_F5uJ8( zf#{xY&IiA8Kq;$pzZtV@(M@*U7d~x+CR@G(Wx5WTO<)ILUL!zXyZ4*JsWCU0Bk_5p z*3Un0PY>N+(h6v3*gSK54W9s6&1q(+(e9jW&J;a$wDIA9w1RFWR_AncsXV(Ed30VH znrZvI{;6rK8J>F94D>lV9c~>zAA$#{1*K8vLqVlS$6~#(~*P zj<=D?fnan-^@MuP=b}vZkSMv|Dg3Z0CsN&7!=LK=SkA?;)IR2`E#6K{sfAjADD_4G~ ztT%?$WS{D@f3V5{>_(ZhwepdL7U7vgwcW-i1*T_EyNEn_e!22qXtcVR>n zi&U;95XI!@{s*Ff67%4PQFZ=!9MT9*0i(KM^S*~3(rZC1&#eS8jBYDS{u%T_I(<8#)TLDFk~djj(xGkfTfdhZiO2>s}!17e$4n1VJrmqTP#9HJjSqTXABr z9ZuUy+7|T1r#{ikt*+sn?GRq~Z@F&z27B z$*sp5{)`}rkM|kc?w9jL0*a~V{o^eL$430#H`bAUV4C6E=58%3Re?s`HkS`9^& zWjGcMSb_ruS`1xZgHLD&@`8aa3FPa?jgD}?u)Zh^GL1~kFn}=|o^)}B@Ils0(LIY> zl#9;KKvtJc%EB8vOLTq{uqfYK%h9xqN_0OG3Y-}x*xEyefS$?bd*+#?BktiA>K#|n zvC(5TC@GO9^6wVum^8^zum(c=HbBFbMLHTOaDk3Pb>io+)L^n{uFA>&%i5i*(Qu#!JJ{z;EXelvY=rZXBP#LPnd`?-9L-p6K#gQftr@|`CEdhG+OLGE4+i@Az z5==`>CISNZUsmPFAs>SxxGJYTtE#K6$dx4onx_@2#YIc5a|^COonPCUO4N?9J2#kR zAYru*ZkyD@ui>#g_(I4ZICQovyFqG4rJC&t}Y*r%kyC?21mE6i3<8|G1Lr7-Tiw6DOnLc zxQZgjCWdkZuvXf~wDm!nC_N>Q&{LLOZ?O7A;QcCE}nw`g72 zM_;&&{Jt_S_tT!ebgO-a)vv-@L9?H?n92GOl&`o^VW|cdTVv}lH6x&Fb-AvxsuU=L z2r_fkxIPQjGPoMVK$C%Gd)`PIR#`%P>Kjeuk@83qS`K}>ghZE*3M*mt_~f}m$jtKL zNN|_;)0b=`d&^_=mj;t}%ljLcXe!b>`00uyN7;Bq4ZAzXDtb#bId-TWXLWh$U`6XE zX-}xV5la$?>UV%j!Hj zYX7@BADcYk$(uu&jYQl+sCsgo<&eKbbS0d&Xg&QVBVZ#2ZwHcx^@>>Jq`lIFz0zZi zWsD|OE8WbO-nR|X1m{yaN+p-a?_f%zeSSw@o$yRvXzA`CH z_G6;Ak`Sjgs$IjN%Y!9#^5HGy9%o!(M*yV&DOFpbI@tUx!KOg)DaNN}72S3g#ZVN| z5jNz-6}ZJ1v4!rU#>NcW2-UOVmR&G?r}xkRH~K{y_xJ6?tEZsS`59jMqRj` zfx+RmfC#_^EhoENX;Bu9zrw7;k?xa+hLUzyEXJ15s=j)0ySkH9D!VQyD+3gh1X47M zduo{!Z$A44z0wMN*aOH9a%*96iJc}>G#B0hwz`Ik-U793h7Y%pFz(33v`np;9IDC; zE7a)ndp{DvZ4C9X+PuZvrD3bO#^}H9PAu-Yuo6G(f$n6!dsXiZoEJ?ui}KMVa?PF8 zyEXuAk=G@Wv~@{0ZVy0b%CnM`2y{Q3WMj}UDNcmh7C6v~bpy?ouqVMJI$d6o&knW^ zu{*71qv+kO36g&^k(28ZdhgdT*gYxoaTED{-JQeB!jrZeJB0MJ`;Lr(P8F-DABEiokF zX`>!OSLV|<`$Ly^`-^1d(<%B1F=W@%18^Gn=;_?}-D*iYnD7kP480q3T9D&0lAB0w z*mfq{C*N-*>z=)l>?Z@!IA4(g(L-2qFixgooG1V2MPfE2M~%?Rp-deR8=~|OIs`eWQNf1*Cuwz*V zLwiF2wz^U@Reu3f_x9Ws|FJVW0jX&WQMf*FfX4ts*!x-kh|YSG34(XtxoaJ*G3MSlLZ z7irj-9M!JHKspU#)4TQ}@w2s?Zn7*UzWue=v}cTIvyLwKv5_s>1BBwz_W4 zSZE-tYIDOvS#>@+)<90z7NA*&ZZh7&!kxY7k~Qm>97EpM;D#)cdRQd2HL^%LzBBms zN$%{|ZG1${X(jGWeK2?1v1x+7Fr8f3WJde;+T2H9H=m?#PQ5uqwZA;IL751~V*u59 zKAd$AnxpEJ!jcFqwj-FlJ+;|ph~!!NpCWCWEm+my7y4nvQ(wpoGcpdJy!3st;e~W0 zGy~nZgIs(eM&Ipy(ydMm6x8q@nNyc-FtMUo|9$U~m+J=8l=RcO5utF@MeiQL6^dS@ z&z3AS-SjOt;ls5BR+i_8cgp~L{IDe*AF*3u@GT{lccVv|Rk2Dca^wVTI=R%j1Dd`Y z{N}H7$nSOJ#jQh7^^dkvV;Z+nV~*X{M?Yi-xpUhf^TG!pC(>*;g4ccJ8pvU(&9-lw zg!XCQ7M+sGbKC$NdCp(8@-W!(s0OwQmXM*_2Zv=dW}n>nB$>DU_UrOmt47CwfH{?H zd6L)1&KS^^{gH%$t#f-)1Y0BIxnDaI5f4?utdQ~I@UVPV!Y9A7nmqENDXhScDO*i8 zznI}D)G#<0>#^F*)gT*&O9KG-PivC5IDi@Hau1cc@h{9J0nA93zphXuH^L~yLUPBFiz>*$9f?t8njo}{4+RaYAV2Sj3#-&9a@PuCd?_)k z+K>6XoLFD#AGKc_qS(M{s8ucrCM#Z=9LMUzvT>#^@IhB&|n1rP`$8+c8TTSJK_s>yw`%U>VZM^6@+8kUMv# zL^Z3GiP!}o27GYsPh`VR8t`A=dDHL~zcwGgt5lZ;U6mA2oj)Hxvx8oGN&VqsgbvQN zF{#$Hf_|c~x79Dxdyy3GGV0|WWa+Nd0V!$?`OwznfExUncl!=0{?4v3qem+>m_Ql6 z1`2yS{5A9fK5l{=e~`rOwnrCpJ^<@hs7rpNvlm&hdr~~_KNitjqH)UIf#dt#i=*Q- z6#8B(7Ir|=S@KGLK;aU&=%jJVy@BJaR}Kz0%~F$WZ`n-sSCeIi`pcs$P<}x_96X^V z-q8c};*q+oD(SN9$iv**r%KAWtmNMF^GKIH17j+$%m$6%qEMp+|L=h=z;3-~56Rs# zB$H+4qI)sT%rQj~n|#X@*(@{fvH@;}95SB+qRtT^;u7-ZJ!$&Po#cx>368X#TB;rm z2?lKcVJ95Xsc1ur!7!+b#yVT0RYk&N8Ls;U^Lk7+{U?$(_xxbUveYe;Jok7j&i$BQ z!TF(@``evg=Q!WBxevBh;K3FtZAjDq@hsWd5I^b5X8}VmL%Lo=+99)y4T8OQI$c2b zU>l(MuOcHyZlG)$dBd}$*WUP$JCF<0h>?5wGy($ezxIw!JpBwWLn)gV^qbvz87g@F z8FFfGuD;|M61^{dKn)88+SV+)SkwZxQm&)4zC<3{mpnM@xVp_tHGUwzbAj}i!*olIj@{kMD7O>7lLw~7ZnpF?01c-_*Gb9og>lvGxQO!lF9pr7@4jDv9zdkITSAS7Z>qOwvSG#X7iIKu0e*-q;_Fe6cYN z9|@ikd{lb|>ce*uk7pi2NllS@(@rv~DSv>rCehB-b6k&UH@%Wks3*9dywa4e|LG9< zwkdI7XuUE{t$64FJq$i{!&eb73d11_0EG=Rn?-MAJsEf~GvV-;N^q|OKD_a4YxFNn z;_AurgGu@oUy{0mBlYHba^~Q$_~Qqm01PPVuHGSPRdk#6$`{0ZXbh@fc*qu=rjqDV zq#f)CYTW$=IdW)7OeSB-`~?W4LwV*-5`5T+<<}q1h+*co2Ys4zxvUj0{4MH_y+FP= zOfyJ>SIZ!?Re|c{y$d`XGDsTZKvl(`u8(!WtI0<11oV<`y#4jy3SE!T406a3qdxLw zGV#bQ`n#u+^#B3O%SRG}FvWi7$c#wcay4oPwb1b+dgERunZ7|Y4=zv=JT{U=XtYh+ z7S45vu-|EZSzUy|=2Mj!Iphkyn0E+cz`Srik}xh+oxG7--auTkA0I$sk4A*0F?OGP zZax`vG$}08k9loA@g2`hu&5a5i7L9~iPKlU(j$fQiT7w?nCLGwVm|rtXyVX(KPF^8 z*Dh}XBcOsm!CSGQAoSQ<1Ie5>x~8((>X!+IaBKZl>T0uD2_My<8XH|*OxC?IBxeOO#>W#Rlg#nZjER#_D^sz+aB8?zly1%!s?G&V*)GbCG8;V_Z|1ntVEGMpG z2{CNa%W=VW6l|xZ(GyJtynXP{MmvaN9 zHG`TkzHpkxpD;!Fc^i0MZ#yHP_Rk+=_RUVNYkyC3n9wfP|j7A?m6| z#sSlUw)(Y*q@IY23f3F}edi2PjunwvKnV??v?Ar{B2smNu7Mj)(9!93(5Mo z6T?dVg^CKv!M78KmiaNG3b}T9D;WV5j6)I|?Ze*r`_W#-N+|tViH$yTH}vN)#|Djr zeVK-oC+_YIJ7~%}kh&>Vm`yLWS^;cCCKi|k1+@7GkQPAZy1HRm{3&|3Yh~mF;AQEo zVf}Iv_AWi*hCPRr4XTSMGsc-se1^B5C6A}74P^MfC|Cs24Z-K$HJNJFayE}?K{-SP z<$^~(1PHLtYxhI|e{@)re?@3oK(4-fQ_t<1N6Pt>DT+*gFC7!4%J)qD{h}sW_$A?P zf2pH|v(2RPR9x7X{>DDoO!l5K>d(DQ z-Z?dR;3qFB0&1X8G74bXhsVDdA6lOf9NF=_d#47YX?i(b>LAkSfyv#%Vhco$-{5o5rJ<5)Bqmr=tGBNm3S*O z;b>c=$xGfM^&iCbsnRHN-dil&DSw81@j<$y67Pb6Q87N_KL{b}rC8+<<&fEek-N#K zE(6(8?aoKK(sJQY9{-^p_&Yb_T*gnh#aRi(a+RNr@Dnd%l~GCBJ9fLp$1CV2ppqvuPBwwL;C0O}4C`Vt@Z5B}*#}%U=NYSWkvSZd7g4fymrit-rIo=Q zo89L8U^3++Q`(nEcD^IRc~~YKzNdk6o>cNQd(iKAc{~L-hD^$N8QgLsn?LFkQHI7Z z`cOQ2Ru6k5aJsc4g*1O;b11c2U0AhCsTv;nPzAUa76QxA3jLS5iY7$j#Vz;UCRcX3 z4X%(X+o5^N{rVhN=o+!;e_5xN_k}j79W1)@gGI>EjUcyeq0!%L2l!SYAo2JZy&fI~ zw0UsA3!v!yMQp0suk^tst0BA}{IAz`a~<}PW+@ZLU_^#m`r@R`t5XTK#uW8et|2A* zQm!F91lg+04d@hB!ytIS41U-=X-pEZ2*{$mabs)BWCU2`kAe9Hh8?JBJ80qX8Gwh& z0EqXB9DXR^chjrzw#Ix8Zvs5nznRutz!74AP)o=QOcU})gz$j$g7clEhkgZ(=; z;B1F=+Y}$qD>{ckqy+dtOK_as^mQbg^RpZnWZ*JDECnJc1jPL`)3(9Us^X+Mu75#( z3@1Clfp_H{qNhX~u*hBp1R7KD3DE#mk`H;p>8a-esF7&|o4u?cCJgh>C@i<2=?<}l zdai4`S-S{Cufy1j>MK*g=d$YXF@?PRX_NzvB6^qc;<~HiWT+me5xjjvBLJRQkj%x1u8D$AfL1Cv4@NL5_KUS96{_ZYZ7`uzHE>>A?Ll&@d8a&ZDaBrAxReUaQ^-qR%?t^JDn?cHbE4#aK*~KJfqE%H^E#%PU1;I&onhVCRKzha5{s0rHsD@|-=VO(gDtl5ju1oDc5o7_^MvzC`PpuR zuZTsR87FZsO>sWhZBvIxj$vl76aHr>6TTRD%c*7W*|Iv85At(@!>XLHZ4~T*bv%U4 z&?_Q1dRE7>3v`c9xye?fY`f8w@!l_Dx@23#qvy$~FQWYWY9NcWe=*F_O$H&eyS2mr z7k29q%TE~XS#K=!!9H3U7yvt@4xU!`qXOQ{!QWu4k0*Dh8~K$0+77W@Asg&qrqS!^ zWv|vMF~nV``|lXR<_1Ir_vaEtl~j~F`|V3PhF;d} z5S!Bn%l|LwtMPb`KNaFvPWd&xVf9EHOHj9xIe-J8q@%4N{+!2l*wP(#0O228X@R3Z z41J9hcIev2!3E$Jz*mj#SP={iGhns_hD(MyNAsMyDWJG=P$z$|!w6V_>Y>7UhM#?x z46{|zYm^jTMM;+o1W?w;xcQ)o zr=$WV9!X4m#@~uZeh{qIgW6IIRv03OvnuLY6%K3yt>d>Bv31x4u8x*of7gWnM;+nv zD^w2Di`$oh&3Kwsfh46Fj-&E}e_IDN6ShOCOzi_|IHNp?)q$IRS{+zK9>(fG5;Ilz zzf^(tFtzF}eLCV}u{yZS=oKMfFI*-i65t0AQY608|5>bQbo1+@J%r-L8LhVP|VNQ~iPFV+UX zs%T<|ziQ1ovZr;T5JE1unsCF=_-))^2wFj%VtFS7(50~8bN=pZE^qBNxLGVZnem}N z>_Uax$lc#&IZi>W^atZ6K31+(V`a10c~s0g1+nr-yWhaWFLuQxG%g;XcXge|{b5Lb za|m3-ur3uWj0sl>@Zoz01^9%HD8-I&);jpq2NrD2>W(yYElP%-+t>)Fi1;E2vpwJj zz(=J1&Y#4?bJevUMN6NH)qV;t+MwwAO!LMkm z=u?xx7~mG*kMPbH&$NiG%Dtf~J7yL{JZErN76vZ8eb@k352Vwd-bjB1k;n@h7ibb> zXhvS9i8oGT#B>E|@^=p?8l?fyH9(^(Rij`y%y@;K`a8A*GYCiL1lcVCvWEo7Mw+}B z*sU&Q(zioYp=(;E4CYHHKznn@USx~BB`C5IPqQe+J3Tmc{XU4 z%U1cZ;Udb0I*=cR#DxV=zNm^$=iqtDbgdZ%_*#K4uc*^@^n3`cS9=MFuU z;qYC8(~hbTZA!1F9ysSHoo`VBobiB#DyXY<`XH@EpDXW}yJ9vRQ&BhJ!aTf>$yE2) znd(3nAzjSMu&UJM9IU673vKZaC{VpBM7RE0r|5jk?%qFEH!WJQ2f-WnAYhU!vhQT) z^n{K{E_>gO$xeqop?#8TQQ!8-&P56GB-gUO@?__-gw`o8Yv0zXPHV!MDZqGUs?(m( zGQ~B$Z_89D+-xhqkh%Ck=W&>paCGNnLD(ArUuC>5AI3MK3V?2hC|H8pgBdI7a|2Zd zyxO5OtK63+u6%mJE9vvK* zam2`PfkXt>ViEVK zXu197N_aANmiG@(w$7E6bqFhk>$;QUac7?`&|!6f>vJ0(rPJIxujmLa%qO`cuYo{V zB#&rx7}IRW}cHgsGX zy>xlX1;G-?0CHUR7p}r+$KMcbnF>DnOADtPG^rF_5V$uZcPZr_;^*Fj+;EXC>#G%; zuNz$$`_AB4n12)lg67toBABO4b;mWs5r{h3PIc{%?O1oM6Ej1k!dI94E+~)3+F*Fd zXb?~*1UZ;IZ?>iuMq#AMz0?BjYJu>80@i>6NRwN~K@BkQd{7_+3%L(DXp-z-gu$_n z)#X%HbsNlez?8fCB#g7>oF+0I>>FmS+jUmwvX<7{?XRxn9XLc=e z(bFMrqGRTOZA`XXUi4rn#=T4$D*e$DzXg%>XQX59E3h4%y-Nvqa1?=C`WDf%NHdQ- zf3338N#pGYogNQ zD9M_D&RmbMx5{5d3RdA?OwD*47E|us=GmYcOch#_XNFF4FWUrB?{uDfkvR@WRRgf( z+@5oX=&fNYG;L6SnMG%t&Djp$!vvokIS^j`E`KxJm8&}u1cl(@Q8!2io*?&jj!m*U ztp|g1owJVw=eQ>boo@gbGQn+)6*`-WI=lxrIZm z9=pv`_iub#m;5}VOUTVA2HszO#wEl#8o?+ZO-EPw6;zAS)*e=Sld?#H(Nk{3&{Uez8kcu{t0G`YZR@3zeOQT@(QN zAwOu9bzN0BaG~o%Ux&Krxc0XA>oTZynSd!U`R6{9!PS+b)`k2~SIeBhW)%W@yvu$7 z;~M@Jy{)t`9CHBoHsH?bJPtdn_AKyx=widVez1+rjhwp0c%iG7o`m8Qg~P+{uB9W% zUKC+FdbX$C3D>2exs6cXc0^0mwa;0I9yWe*U9Zy*bR7Lb>_VLhO4!{8(sW($KwCXC zob28ot@A-pb9UMqWxr+iB*S=9H4%&Y!ucx3U}(?L_!2q1#Hl}YD=ge;+llpHKBq3s1v@?kK+h`%LB@xqp_S04tzV|ldvC(xYh9> zc(Zu)yPgK7G^xjkS%9P2W1{PHT<^e%q(GNn zHQ0E#H7NDzDkMAJ26qPCa85==usi71g1Y4#0(cBVWmJ#-^t)@2BG45Yu=)UPcR!Cs z?Vw#LXlZv>mtiA;s|1yXJs&7v3_tUnANw5<@;vNW2e*dQHU{7$MLMVuPdoBy{fEqj z@Pse3VP1gQJg#6abYndV%Q(~%x*g4h;{oZoJT8H!==oLchrj7k2MjoWmtoIf5ji~* z1}wgg)D5e0F9sT5!!gu{z2oamSk47fGwgyHKtUyCx8nz-l*=UWVzE}rfp5*^%aLlCOW!JGl&sy3pQ>VI_G@LZh$w&ino`XX zT7+>OVqCbQ@~SMg$N~wV1xKepQrU~@(j-H7A?9v$b!14v#2-!_)g=i`m8!Tr_bYe| zq-g^hq)c%K55k*^a067%QEN(sn(T1FBNOvglnOB>KUiAR9pWdZ2H>Hirns$xI%qL$ zNQ%D_(D<7J*r-JZA?oHs>9zyV7wT^`WdR+!aN$H##M{go>_cfLc@vdjSp5X{A{4=( zgcnteU}A>OOoPbX^h zI!w0xJA0!0@i?&Ls92m+wK6FS9R^+7X7y3s?;A^x%BLA}S0-It!@N%w6i& zt`%!Q1qWHGsaaXG8lBxhl-=zr!7lg2`Nwi~dVn!*eE{0E^E>H}P$AKQ^`VcqJq(cm zEYD!S&#SZZ3G2qIz^7~b3cm>erocGL98K#ooctmWD4`I8T05we45ira89#yJXT+o6 zLuQyuz*}X^*F3XdfvmgFU_i?kmnxx72X>4DF@C0ZS^sc za8S^lCqMwgU!r%R-#tD=R!(VF%;veM%0-Qk0V>81&tfz+!f#mPp?1*@B*>|%dRhmm zwc8G{gYLYd?dI!u;9$`T7Ki3h6-wbZ$bybj@VOZu!tENgmkG579m;?MqfD+L@3ifp zBKbj73Hks?$WjAOBe3KZeW(l&OhwQSpc(kH5`JQ8P>uYaWvU@y6{VgDfcGb+{ewwh zeM9Ol$2cm~Qp&RG%VEH-eY?f#+DkX;ir)8c-oZEBaj(u+^eLP*Ps`2vpP}j!wi8;0 zqXaKU;;6AXPfd0Q&xNz=Ak2a>d9gW9i|$}Jm-mHW#)V_f=5)Np5-}Pep&hCzVB%A@ z^jOz>D_KWlL_e30mr8$QJ&dEj7zVA~WsPsenGXS2EwduTF=LQJqOB{ck-lL|cwh&ohBYw#%M^;ypF5 z2(zTUNujZhRsRYUlIy-(uoZoj^S>`pbSrc+^_QTp!I^S8kL4P~&uP9Z;!Ll21^#S- zpLsq2&fKE#ZhPZ4GI^p*oG-ES*mfB== zWvnL8ZA%e7b*%Y5*>$6G;KDqcjhoz{E4e|Rpza|sEKqkQ3XVK-2Y-qJWe}JPuNuO` z0qe9k@WLYEaG=7^4*2O;cZxgin$i^J;b4PL>~34O=&4urfw5u_s)gpDPu7~-K>*Ip zuu{dy^X-s86ElS%fGxPa95lq{P0IIIiF$Y%RN2ZH*$gsrywG=Wd+KV?vT3#>nqir9 zfH%rG%sq8$NaB?~4hnep`%Tu77onq8Ug-`_>3j-!Pcxl-^22r% zcfHbIG6q!I4lMsCu{xopfjcIF#c)E)q+z9<$x&BiQqMnwLmbE_dmjaIY{tW-S z+~9wxaJE{Zf2wD<*exIv|YFt1sN&jY4(UZ53r_foek5eq$K3(7%}6^+I(6e#)b{JfxQI*5jrVp2?7rXG!W;lQJSfDI$Zp~J1;x}|hVW7m66rp=) zsoGrZ4yhzkFzScwk=8~E$@+&&q&)yTMlj_dC}CNgBHNY9*H%NbwLKTR8~0q!Lsk3k zhJ0GP7qsnD4}=1N~R`%^8+pe2b<}} zpC@~d)Z?LUaosMX_z`tpaURL_DHk zeKq<)wDm@$*2}fKQ#1#AsnqXa^II8#l|Ng}x|$W3(_d zGT$$L{w*NBsqD`Z>4PCcpP(B$yGoa%g>m}oUDBvNLQ1q>NDXV`VPAJ?aUWqo&!ZP3 zbZz(tz&HWfe|D9Q^${ix-kU$8aMQ!lxBo>*Y1)g8HG6g_FYmj zJa2B$+#GIP!<0(5z+j%MhTm{CaO=u6C=c1$;pvvPAnKPuptgo{66vT>=s&SQZ6i*< z1we(`wnbn6*A@)xcHr>EKTZI%2izuTtOFCmUB#GTswIcSTyM#HViZfRhyhD3@>_DX zmA9n6|5fR;7@=R-ukGrB!h=Q*i4_Lw-}^%v9xJ4xjqi$uR(GUHPs9o%^^LovS7U{= zFdu7uBNl0o70f-wHZXNEO%qsO>5pBJvikm|h6R10h6k=lq%YI}5|8wS8hpE?uizPk zWv})XEP9hHjg3Py=iRKKoXj zaI@ajL+TPQq`)OGX;{3Fk;q0n1WtM%-UOo(jEu*Fuz$QqS{W})u$Go7OYjM3el~Qy zwal70EA)RsVZmHdJjf2wUC+}?@0h$xAqm1zLmgk{Fi!M{7o=;{dl(~6|;K$n6G@#CPi61 zmh!h}KV!u9j8$1iBMY3IOJ64n!@2j78}~?kO@cZ4y;?<7GuX`T8e)bWUO4QQZZ`?( z@jg``Ztu2$Q`7AL#km*MO506B?#M<~r%yI2lIRU-WgTGintCXMF*lGkMrFWU ziAh2h+GchVcn3T;CkY7-T?5+}l<)IEeD<_%eV*plCsw{`1@+*cc+kZDddU;;*GrPI z@#rI>mlYP9g8gE=F*kr1R>Ufal1~+>Ic3H%FO5|;P+Fd zb^X9)LmH&|e!}FNY`-gi#EnLMZ#b(1O+6eON0sGUBcV#oky98QwHb*d z?C`N>!Ki(hNOV8XK1?LdHw#0#}DYf1dUC)&u!fV&+L&ruYdDnF% zD+5Zpo+APfxEraNzYnlyTVkT^h_H?>)s1r1Eh zmAP|vgS29RkQ{U&-yr3r2z{pc=C)j)iN`qdL?`0D|<4L9~G+>OW3_|QP1&p_tqR#)+e zobvC5#b$rimo1QEC^NXUd!W#N4p%#OC@gwP18VPoR|LKP{Fk}^&A*?>rs1n2m=X+2 z5e5zN&*sdJa$OtoGus&Rao-OEwe2wE2`f{C;gQR6;W`Y-lq} z3Oq204H_hjk8Wg`gM0=ctsDdi!-3CX7Hh!X@=Hp)D7`-jBx&V5HM=BZDo$G6rID$^ z0KM*WX-=v#SCs;O)^;{Mg3dTy<2;2+UwUeJkWU?Ody=IN&F~v8WvHoxShR+v4n81I zSHm3h=TxD8bd}m{OdYC$3jMk`IGlQ4=mu_qAmt4fj6wAcv!r{Z?)`c_jb}E_pS@~s zQ}mm=;95jP6svo!O2TU44Cje>T?4#RxE zh040VnpZxN_TDH&CNsR)bmkZK4JEXM_6?<;V((8DcnIm3+{9Z;c}>DOr7 z#=m^n$oAG@MJQFL3w`vzHb^h03(39mr{T~!&pJ5iJL#)*m=dr0EqKSHk}*Tb!EAF@ zhG504U`vK@6Zf+DzeEgTHQ=>SG0X?Sd!~^xO>J<7Zmv{V z_5usNuL)m)Oq3Rl5K;}{Jl{Q)(kml`DOmZn5yGgjNJfO$|JO)4HwpL|NNMp+!iZjs zB+p!fvKP6f;p2rE>7$#3gg}`+*E~B?7_EIC7v67${31>hj9Uza&?b4`lZuYd2V|IL z#)!>W|D@y?DVX564L=qxXFB*-^qx@P%Ay)F@$Ce41Jsx3%f! z9i#@WO1HUIOXZ`4+^7^*flnU2nrZ;)q%>^2&?l8oCeL9q*%1a7_OeOu^28B1!67Uq zWD5P!G`X2jJ9PfCOz^*gz0$Lp!esNT@AyQnkX48+D(M807xT6*qlGCcb9q`Q(Cp4X zv@QkRt0D8Ssh?0!?ODvYee$6{dr7a37DOw{;*{?Qm1rDz!vilG$@zcs%9Jt&ROTim zdBM2j#=z1FZ1}(!Av#U{m|SevV`dvz~Zxo1T^=}*}{OZ z-C7Cx-=b8KEf@!0WHYIEze>aa+JO6cpk+Ngq1i`@r9Ig~-{FV+<)vbXtuWXcfdht& ze^_~f)pJwP`{rKhk8B|(wE%cADa34vjXn@gVeh+snQ6t+*qa68$Pi7!X-=mWRi|b} zr~lp`5HB7*BURlj4DI=)U))RgN8p4VHBdarvP_83YJ%rlOk;%%!syKJIk#5|9t*ol z_l=eYjTKDs%!ggYnXujBnlz%vd${4EWRB9ju>$?XBYg3)PrF*Bl6AIbs#&LWV64!u zH=CeXW^Jv8_Rhp%?p-eBj}y#MEdeAWXezH>E;)fT>P!Hs z0LH6qU# z{~bOp<>UxSqgdL3HwE(X0~Dh2hoqaXH{u6xz!aEx5#(w~30@Y2cSFO`mXLrNVFD`e=o z`^Q7iWon*=J$D8z^6*mWh4El4O8_YiNh6m^XOJWYkm}J`*B+O8O%Tk3^8-jGB)$1K z*s zMJ_nump!E`xkCT`+Ws~-R%vcn2>qhuh8=q)>qH?r_5fTaRW@|9hT;pB7up_zQ!|>F zt(^FOt#ul}z!E+V6(U85I8Nt8$z1X>LcElF5Ds{jrNyVKIlZbLK0nHV;1Qhnj&q+%~B>|O0XwoumY|0C9N5o%s=zG#7Q5c!angoX$Y`Y(# zMm^XXw1=|=*eQVDwnl9e6Q+l1z+g&QhZMT0`JfF_xzcx~CvC!5ZSztgeP9!Y^t`(X zlpgb8K;u7uM>0?P%jV^6lVJ05)jQI%Nq>=aViN3d%=bvYO;R?h(kBZ82Qas`JO3kh z^TvRqu}z;`*K2g65MRfU9Fv7nI20NugN(|<(hrjba~dlPu6ryV{TeSPX>YW^Uj~D} zKl2R`w}t=_#)mY0h#q|r4*`Wc?l-*p3KxHlD|)Jp4V=q3%w6EcEu0-v2HnD5f<({|ssxVtKeDcya` zbsf>#MiKDhrgB^1KX$k?lAHCXVcjSk)rafUS1$1;XCAz9G~_+#R%nfBk@Qs_%y;$s zCH)j(_5hDsinFtyKP0>3iug7--kQ-qnfZzv{`V z@T6(kff?k`zijx~?b0(-g~YHSnjHC++ohvZ1yd-y4+DRTZlCniRB(ch5z_GKLR7Hr zFvQVu`NE7r?6M2oELR1Hc&lJ8^bH)XrR5yLkpRoW2c@I=LPSu=h2GMCZxy2TfcQCI zNC>y8rIZBV=#NtTtpWu7CDPPe1*1V^N?`(N43(DLDwxCU4C#~4_mEz?RT$HAIzu7f zW+`pDUbw+S&li0trbVK!6|t0tDFtK}aBhGcbXu0VWtA66As) zA|jxo6Egww1?&|7lShJ>Hs1;_cBDQ>%zsS4>Xh@i%Bwc#G*vzJUo(2%CT~#%<0aXev z{U75)Go_ZT^KQXv(vQFjk_Nlve^}-@1?##qrB(M8uRB zS<0UsEp=+U(GWJcgeH(jvQpx3ViXQkkYev>X-Y`7p+kelmG^f_JsM8iWQw{saXj?m4@ph=`G=)u)awRhK?wp@dOS;t zZ@9>xN3U;r72vw!%#hx1(mgL`Qrnq(_wH}h;$?aYtxH@z!!KoFGZguD86!2f78v;v zU3^ET0UF-QT2I)i2dDkn^>Nqz(<&8>Qjew`!{8_+A_JAQ%q) z2D>2nlv-wFg83p{y*+~ruk%o_!{eEUOnuLsZp_b&)%xM{*;4bKMMfStWPru*X+t_} zxszNtrd44HKa?%CNZ8`X=oMV%s7nCN1c*ppzQX_@0zDZd2$W-B2#nVKrD68mg3a^a zG!9DHVv2qT5BIAl&x$=bh;AvjISuwAL|U z*`M$wg`j7J;qewKdF3Aj&$$6Rc0#RKT^S0|H~BNm@!3&OXY(fmRdzt`_tbX)FfzOzgWq- zd{ez35+RrqzbFC$b<;}^lv;oSL)a>$e@0uZ{Tm-kt_9V5o`o~mYP40 zF8|hMUr2QISDj0P-{;<3R3k)_lek1)?%4Wo1PaL>U7!?)ZQ|Jzp(S*FMU}XZZ=Wc& zjx?Aq9acRj0Swvm2Mn|~@tcU1D~@Vq$^hg2m(*+4FILA-tM4;Mi4VHAy2Tkatn_{H%bj>K!2lpq{r49QgFx|N`AhHUaSK*kzWjd>AI6kN z>%iI+C(*#5(r3LRipd55p?L9z-Z0>aEt4QVQg6ZLmoNTSe28g|5FAb+l~g0!O5czh zcOp%O0YK%(>g5Is4qPp8+mM%e3o>1S%$)>>9}{iD<1`9_AHVB&!X(E4AWX(z*C_zO zVV?}voTv?UuE~duIcKsjJ*HWE)?%%8CLw+JN%x=3KmB%2g;?tC!82E=rtMDtoB zN?2BuR=)I_SX|L6@J2}tblbk^g;1`D73JtDQp{bHshIah^7&JwCakcCzcNMYkz%Yt zaSSC9_YYMKK}n`t2#05BK^y||agJt$$Fg=8j8*?Zwsl#5~>OP9BItDyo<8X;UTPh?_5; zDs>6&L4+WKj~!E`8RU(h_ns#8Vbj|2nbV|aSWPUyJWWanC2WY20$j}bx;`d#YVp97 zdUS+svp8C0jXR{~YKW8bsvdmKV^Zf30|m9b+RHaSMmuRQ@#@E02JXhQn;v6=egKks7vKnXGl#O8VR(_!=8{{yDg~n3F$s@B*J8!`lG1!^XNd( zEL?+xReg-=A?LR^s*~V*_hG~a7$n8z;oCe-knfJgH++(4Ue4eKjVwgF*U{04?t7Dt zTbD(sfG;u7%3nqGaQPV|KW`E7N14IZOWd&6E-XvEX?F@nfqnwGm4jG5u^SUdn1s+@u#D$&!!uEI~S+Ua=Rc4+L^Aadci zlHK$;3NDM3c*v7d!h{bn^&$>d?VjkZ#7>%LM3kKw1^0x`F!-6x`W&B*DEKLKI--K{ zy&vDHQCKNDQ=@G7#-&gOBjY%3&#D}dX>a$+G;+u2;BPz$>rPD{AZkwY7QASKg>YGb z-trQy(J__Hj7?0%*=4&Y{v1uHD*;P)dwSwm!8eUUO5eHUTm}6;Xo#mrG@aF0511jI zdC}4k&&23DIc^}=Rer{4Ny9ub4y;cl_M71WMTDGQMA(}P; z(XwIN&$FJw?qhs3fA=YAS|{QKkntk^cfbQzB+8@KUndw2RNjgIYLE;8JbAVhi9VGz z8~yQ-0o*-X>LAtfO|zxWqGx?KTPl;P`CD_O6r3uk?c3 zD=oRLOU67cCAv(k5Xz@KF ze1OOzuyMN)a%qw^>%t`KIJ9Y~YnqHQBBseThz(7ZainN_q?&Yn-hBE-9Z_$T4g!Dy zG1C zA3Rqz&5W<1uW9jU$$sapao?aVTqo?M1`DTqHdTktC1k&&%unHG9Kn2#_WBm>^&NS% zn%JN6ikR5H2FQeF_pd$I0riE;9P9EWScz#)b6|Iw=023N^>V8;`D)6MKjCa|PE)(7 zYfJaMPnAD6Si(Ue)s2lTNM!6m;xI4WhK`LfN30W$geH>U%R${vQA4MDGI!EehCa2% z>0LoV)F?H))?VVL+yl7kI1~E|W{{dcEp{@d5kx|YnxW?7E@~0)60;w~Rnf>?QnQhNgR_TZN4* z>CzKvKuT8gL8T#{Ozd@{CQdb9kSTgAHE(u{+BDCjb!kZlXHT=nZ6`9~92Fd2$N}8t z{sG}%1KgFJ8ttQG%UV;<3ywz}EW@V~pS}!TZ^H^Qdso5>` zjIz(y-XW*7r2WrxiFHJmVywWL-d0hEh~L$h|WaeYn$&6!&#% ztyKB4-c;l5x!>rgX!Nln)ibX%?a{*bp&&wRYII7;LV?V+xHqX_$L1a0#C&xr*U(!a?JDi&OfTK@sv$yWwG|?CR$C!LC$@zM?Q!02&TrE_ z?~&-V#-Yb&0g-Y0k<(|8n@1rxtAJ%_W=|nEGa((QSM|g|w>4N@=-L)3{H;=SfXn~dD7i`HS(351CwU*<^%t;=T6a8$N5U{ai>%^;H1 zP6vh05eK;lG_0mv4$pdFq3zzLdR`;Xazj0qx6%5T2869o$xjWxnLn$^P5u_H~c{e}Bfw}x1 za1Nv;gtEr;2|vGJLK9JpBN5ZoEcg;b8j^{S@S5Qv&A$`Df|{=rY9(YD<)ths0`ny; zDN>As(>BCk$W=XE{!;5Xqs8iTt*~Y^tB=VQ)tc{rR_fZDGN;9;pcg!|5xpF6=0>D< z?$zlHG5H|Uu1`>wiIiJ1RV9YV_tVQ zkhwq337st*$6+_oWVr{%nvWDLPgl*og8heF*cJXF) zuh~H^F^Bow2l3@RDW(v3w`Tu|Z`-u(luam-5m4$;niD#A5fHtnS+g$^lw(&d9-#yb zs9B#ARQ6s9q8J2a9<8N>M<}8GeuLf#Gz|iO-gc3MiVUT9R3PY!h)FKL7u@*?G-%8#^BtnWn}%@5IgyaAF`wlG=ng5`rYzFF$B*bcB`_ znMY`zH1S9+I$|uYdtl-1+Fp*CWZ6w<7jtD6GB!N~s_aocwEE4-WYp^P%F+ zHt|{wGUrt;DM_py8|6teTss^|6Jv9%%MOs7Hu^pAFxDjyRigQzR7v!G)CBjN;w{VJ?8IaZOMO zF*;~Q2-XfX_co179G-I9aQMMht<#Sqm+V;n`h2OqsRU4S*D5<8%;6eR*+b8g%EA1b z`LN85nf1y7sg-n$$1IRqG^s+Nv^r>ow#1asx@>bi&sZQWV+6iHdenOLt4QeE~pS<4^2^ca_yV0Recot8*mp!wYrsYiQC1wGm+jLemTn+jzBm@U+&=uTf2ySo8iXqzIn5OnRw#%;rczg>=vB zK`~$@tez|3Xve+<$(cuTMHC&346=5>NlfrJ-Ea_tr*HcqzQ!ZPM9kMC6ZA+MMJ_wU z4|^o}7jz>WRLlFc)P=Ht30bfH9@NFwTVfn^4tde?A zf#0r@Rt(y+J2GezhR`kIY9Fd%9x@ix_yAF&&Il0AgQu@(j~19?K)tiayZI%l5%>F%xRo_B*P z%{tmWYl4!IrC!y)sVDV$N=}}iD)9tGL3$s(VXZ4DidFl)6uh~6@KOH3%dh|qlKJtM zrLMWP0?fJ9X!1gQ`=WP(GX$9^8Um{l>>O5y%g=BoA0dKZzwGeyg-BIzm(EYY5Pw8M z0`=AG*JcF|G#J+K8{N*?iRVB6fh%y zflJGToC#ke&Ag}75GnZ&MXSZ;hdmNoqN1PECO{{?VT}~yI&K%Plz~dnB)fI`Hqy+i zB>}=`0#+o3@=7f;M{RQ`$}bQensUp&$1VaGBM&)Yc%rpLT7GYJ$}f?m&CDe=7&J(5 z@hCWbgK&+U>UPbFb&_ZFxsKd#Q^~?{>7E`h&?OXkcpo|@ zcUI4z?YXly-yE8o-Lux7J8vz#=w|o)*)ip-WSl?3(ru6@UZVRA;soNeVkeWoxw)uL z1-YGfK&!7(3{aWj@Pyp3Z#`qd=1ccFe&1_SZ1#yT46VP1TNizX7u{|Wp{(ZkFXY%|+=#l`UX%RVyBV4Kb?3V2k^Q;xG%2((Rl)%8Co2f6Qe!jXB(c|xEIm{Ccs+{n*r+tf{PcYRTZVu1zw*Qn8 zt!K-Gcsd4IwZr#oIe+w@(gPZbaCawAyp2x(8+HDUDAaqbabI8xoppp5PIO4LMFz-# zYHuIznRf{us?g?zu{sB0?d4qEKV~AMWp=3c23@>OCH*uX_lDGR$o2$W1O~5PJIGnn zb8X0_kaf{T8@k)#@2!gpu#Gv8(76NnBnPzW*t)anm46;LIV=yKu?3{!vJ%A zV-?H-fn0@3Qq8xMzepSU68Si#yj1hU5e#AVc zF=Czj31nz6R!ujN!85KHGw?bPbPat?$1!vPu^|Fh71C3b2c;zn{}Tx`(jK9tnZO8- z#t!#0!C=NqNb(*LLv;_0RNZ6ZRQIC^s(WT4PyUzGvi^F+_|^{N)Bhzka~Z>?X)|yd zV~c+S(~-N8wl=ZY>|U8pmmjqYCYg<`aQhaMBcb@93etj-x@@|ebkDY2C;dK|gYX_u zlVT#8C?db5;01Y9Bkbfs?1|7b;1ipa?yjb%ioKX0iC=91St}$e4$>gt6{;1&KtqT7 z2h`a%GSY$K@bXZy!+i!IcB0@iA&qgs7Xbr_uGrXAO0j2*fnhGd(mk9uW@((5EK>cJ?wL=zWfs$1{8|m{G4ZjEr1K@QIb$P6^b24H#`+NqiDnT!|G@8K&m{--jkp6 z7JcFbj4186>iIb5?m0nr&qvh>8r)I=_kQ&*O8sii%TMF+V$Kh)SpeeIKM)FVQve|P zEO{C=sK|M3mMEKsUW%;|PaH-SWk6zzZ%l*5_hx;X0R0-ish$bq39YGk;wT#6X&lZV zcnDV&PyWo?Qmm_za>76(AR&H5N)`PT1U?Og zl58sADgTke>c_{@MKpyx2Os^k_6M&xFNzHlAa@3wK|2Va15@Yuf&wZxEQT`5j3Mlu z*gl8AT`%zu{v+Kht>M-Gk-A3Ms7PzpK?1-Yd)Qt8VQ+zVct`3kIr-RkU?GvnXTKwL zk%IW!??`ctHc|?dca<=%;wRpbI@YTu;s$f;dRV6rtq~u(AvEu6EFn^@5gS66;tjn! z>vtmQv;-TypQDDLnp0SB%8{gW)~q-TA{#`DQVmi8Y|YwDBO);Y5~3d1-kF$4QWT>X z4y4?mag$huVqKRhdRC-}zD|uW17!$mOtVp57b)9`!Swna`3%oNG&Lo49x`Vj0wA?D z>l+l{tS-Q}h6J`M2wTFSK%fvO>hUdw{3%ibA(zWW%|z@hf(VzmV1Q)(L~syoz@HGn zjo29SA-0?sP}buZ7;NJ)c4d8y|7_$8n9LwT;|RTm*a&(rVe|uiPm4r?tSd;3ec!Ah zF?cyf;g+~?0;J7;EcXx)Es8nDE8dlwJ@74|9WRC@B(;sEJdOe?D=YyS9M^azB!+U> zhaXaBA(XOlfOG-@y`0owk#8mM{GJrsp4N^wS1^9D;v9@oH~~33cTmEKs0tE-(($o; z{(Dkb(`w3j7yazUPw1iuVvYj-!Fy8Q_<{mQ(zICBx@_fdWMyM4@wDaK!fk)hB}jQR zF``*i*!$8j)9?*ft=l!JtuFixO2Y>&{OR|lb`y+24wKrP?AcJO8Ue6L%Bg-D+F~QX zKMuf7FsE)VIuKCmm51DteBFu^gj&*kQue{Bn)2%NBuN;pw%fQcwZEJTH#P`vrEgD<6=*XQz2 zK9CxVW%#}iq`ktNG~iH6_Y>#dD<$Q0Xol_Frb-Y!ckjY^FJe->xaR?UVP{KI$s2nZ z+Q9+eOqjZXgP`f6Zb$2VQe>mi_h|nZhAH6O`Xi#_lX6|?&Ru8Zb8QgT{j+n*mw4Pb zclxV3SOE%!_PIY!i}!O!f2gYqScRTTx&qJ>=ek}3Mwr>or8(~8{OnG(3x9_}O$)?| z)od8O%)>n(*2TdW#H%yhy7-Ut@Nxg^WqfamT?#+9K}d1|pLfXV3mpnTSPSo>FRYRm zZ=oED@YTKY+z5EgHzxho7s6_gk7?wn=DKe^fe0HSI)RAVVI_J3-6K?5%U}OQYG(2a zHaKaV1wUiWviB1yJ>1v<&Hpqe55~Q_#Dt7@`jpOUPURCnmB!Gs@>A)Bkh!`hSS^}S z#2@fVeLMEWK7hJ#2gyEtT>$}i46+E~xtfF*#KJMcV=e#GD;>@>=W$kBax%u*lYqfc zPASfv#bI*e{cF6V0bVReb&CQFEYadnRFmjE=PE-lhGpnIeEbJWv}vY`ZN~Bgr0pl` z+7%xtJ;lkW-5)5gu%aS9{zK(CR=t9M^P!R!5>%ku(vVlMt{*9*SWE$5@{#f}TVKSJ zHYy&rv54>6s0@ip)*(rJfv;e_c19N6%iHn4$~H-G`<0KChk0cYtaw4L-;1O<&GH%= zD)!LD34K5eh@b;@>T7&iF`TGW7V*QyIQ|LvpNpk9%EjBNM0#JQkOO7XQF^W`m* zWd(W7o9a!kkeW)34XbFwB>L$T{#2#ZMySJItCSvNwqo93tJK=H$57s5s#~8L!Z16l zG9s+DL@`UH(av6R%m~KxwKu|{-9y`eCya2bEe^r(IWNF{MlitJBYd4^wpmo8hhsC> zhCv1s>-7S@HUJ)T7ub;&A{>IZSlj#oI3zhb^BMsjYy>0w`Uuxn$o?e**<_=w?S30Y z%*ALXg()4GcRPZiRdd=alH2lxZN$*Cy;HVH^`t0+>B+7NM%}{ZO60La{R~ZJ|OBJGUOff%mNQ$^O&WHxnY0OxFczba|KzJW8BcwY9 zC3{I?G4FU-YNaLz#CJmc25~W~TBI7`R$B~$u{MQew5^ywhGfx0qi&EJd`LZx>OqCQyFW%ZJsf8qhB0rO`buimo@|pAr zV;i0Pi7)jHzBONh%vDZ)Ll5w_I4X6OC}j9oQVSvArhg@^kU};(mk?Wn3^h*P;5f{o zZCSj}acL{T-aIZ1Wywvv>etdoBJr;$q-n%-|2T=wTRbaHO5yyClTy7v-I%I^!Gx{C z>=uH-03}%Ui^FAdVCX=ER5u!%pEy4C+4h6i&Goj^Zm$NwM_&(K24^! zEG-=6y?9328BCdOIxme1OFmYnb$8E-pnHiMsH?WPAURm_F+TNz)JcelS1(8(5}oW9 zrME=DrHfMYmQ^}QG3&Rrrk|`}wbM4W4n|JM#e2^s91`IPI5!&-PTMqC`EJTAutV|NR;{ z#rFshEh_0THPZJX(rd?yApBJlBY|zQ?kA~xKwgjw z-~A-v@+~8G&*GrC*Cc!KMkjyzXGx`sZU31ZlTGzDxGwdTNRp)8kZ!Q*>HNN5a3Vja zfPef8_^o=B_uF5jsS+BJkN6e70+L7b`M*j})AQ!9(z=l3(He_lSQy1O{3b1Hp11I2 zA$eiz9E9y0(p89MS6Sg`{^0N62|Q2!j--WwNtfM}*0CTbzxNL*kDi5XMC zN9LJ-N-whmrjXuh>Rn}&LOy9TqfjCdsCZU#@RQ@u%dvsRK| zv9TfSBkJmn8nT~z)#$jT=YCJtyY>oOmPV^|_f?esE2)&~(%pYR$mtPk$&4(X*odv6 z_V}z3>q`Awwz8!bbK*8UcTs^D%!{#=|7H^cd6ic+VW(I@7MzT;-fUwQ_tCQ|i?MG5WvH+u>XbRWPN*4O&0$$igl-)?`p>8vqFG3V=*k~A^uS_7EdLgYR2wI zK|G;3dpgP(TW>7TPD3r8-xj^M2&Hao&Q?-3Bcs^_mN$kMN3)}XpsQN2A*^x?Khc6s zWYuH5DJ_{3Z9K;NdMkzryRi~Y$zMe~i*@^wuFY$z4c(8nW+{Zh2d&wYl$Ez_8aEhIki{uaj^O&DsS_G4A?HocPeQnR|4iT;2(=a7x-c~m;p3Bj7tkWs6BfzNE4~6n;o$5iBN~|ERJD=$T|kNP!*k zU%tcNwX;qw451701Jy02_$U>cgwT+yKF>S+DnKafmP1)9px_-al#K*kj`3H9vDSoB z@i2BI!l()^IwBq(n%nPVmH7BA2kR`x%p(rggltsKI@oMr>>ZcRQj|!4vZY$GdH=Pj zWdQR&z}^l`ezjDL2GGLBH$!$#{@8=4cTBeT{DW*iW62M3?IBIr4i$wq*^7*y#pnl)_^lWh)PLE~T!R%!&OMJVv$(X7ABG9Kb@jbUr4 z)&m}5IaH6g9%5T$I$Jn?yk654<5{L_z0r`Ey@Z0%G>s^DARzoMglo!kd+El2a9F$P zs}w(rKyx8vgL#6)Zl3&u=?+cxG3+LsLFLPmo47|r(8!~jm;0pWPIKS1<3c6=bPl^u z&bblAi*wi`;-&S&tfgq7fe*8hBILD)Su2-uev(G>5R&!!E@+Vvfu)By+gWA?VCfMl zp;)v6#%}u-BO=|iE;g?};bVkAQBpYo0cLG3*wk`hB}Nzb3m2jJOq{^RMHoT=4Twrn zouD(i5#{}00$ZrW8wo(Jx5PMPS|g<9e@JJ+Qr%Z598N1+^X$nix}ljLbv?d#G8-i- z{LN&R#?Hm?c2iiq|46)f!ie;BixQoYK)9GlH**Th3JrZkAvMe*O(~Cx@RL(m8<8ER z7vpKIG~rR!F3hISH&d*cjbT^3&yEAV{Pjm!tPm;N9%acbj82e}|6jrydvIj&p0yP- z2h_FIRQ4W5@!5R;GziSR0v`4l1}p3xFL(@c9p9fm#>NOS)MPrln~7{&&(NFl=^3n# z!0D42?7k3#h{PED&l#+Ph#J3uwdTW~U`gVud;x35|NR7mL7Cv`c6Zy|O;mSNp>*Nk z?WR0Q{Nz0z5#G<0%&GZ5sC5J#OOW zTRMO^uk7Bhy8p13H8<))T&z`!z zi;$a7vgVlvKhjAhFgYe8=E3Dp|AvPH_<#K!d6-X~#d7X3kP6`3TrUk|4*AgV{M5s> zg5UYoS-^{0`L3s!O?e=IqyIykYd3i?CBKMxLu2Rt)(GOgWnx#w8g~xwYsJP0&ZPMJ zl(z7j_6$=+g}u+P`Jw9`6kNMn+m|7(wLiU1ROmeW1c26yid$y{}Rj@{yfHHFr)K%hRXzWZIQhKAum7A)-^OLX0M>+gIz3M zaO_hqc0m$Z=gwyik@d&(b&kC}pCy_cD`eNb3s^@11CGU!Y-9vx?WOZ++i<%(Np<&E z%@Yk8$97rBhPZrw?$d6 zVOe{*$*d~eeQC@(GL>D9 zTIiO6I+Hq4JC)R!-InQ0nz4-i!_TB82}OMSGA54p|Fn!v6r421!#cM#L@G3n9p`I8 z)%gWxHrp_RL~ncB!yXoF8@z%&PHg-13O0yjYWWJ5)iBRsUt!k**Xwq|%{v1xnt0u^ ziX<-hFX|=MTf98@66qrMuuyW~eCFq>dsvQI24g?o z|1I_~c_(}8Ef$$=uwDKO)I=DlRqPem{rfDr?`zxdmjvIKC-TyBKVH-dO;J6w8 z#weEaE?@C)mM>VN#X8mzvtO@$9a=KVu;9j&mH3|a&Qkl<`koxk-~SI=O|#^bcUTzP zJ&KQhhh3nIQrENolz!2Aa0^Sjz+1o1qWHJ(vHRGi^YrmL%Q(-=-)Ff|Cq|Np#x!mz zs3hhI1)zp+$4GDA4XlWou1DomR@)k6B;VOaFMhjMeA0AG1Vu@>_oICd@=19nM>pvu14gaPP)V?3{%?d5XVY zgh~JXr+8TrR=~SXa**E-+3vtaA*`$M$Rj z{7^XDR&mmT+qScpo6iUUZbLkzZT~~1SHdf|vwK)x3HNPh?NXN-fZ(O>AoHx)9D7Mo zKww7%;%XZ78hdF$K)A+u3HFjTCH(#!tjWN_fJhv+fU!%my<~krAPor)Sm2ay3<#td zI@|->h4A{%4$v(IJ-vDdYdHaK=tTd_-|*6`HV|Lsh|whI^jLU`xMDXi1%zy}S-|cl zO>k^0#b(E$HEhxjjP$L$F9MV3f`{}4Is$R7gq+vq?1ace&o0=>;#@{FmhC`l1lI7Q zZ4dz2S}L{FX1ux2;~c)d$f0Ysd{bk9oo91wArZ+$B0WD;t*Fs6b+|_dt0fsm4#gYw zaC?zz8+NfHp$0NIw)JqZy(lA_FW=2v;DtteSe&3ypFOPaV1p!%+zB!E z(t!Hg9)zjUX-EF}U^Vx1dx?R^Fi$_+ZTh1EIiyn;i@^e3yoa^Dd%3oSg|V){`{N$g zQDW5vJmf5k=3Vz;!HSPF$5~hI+K0s|eH>=t+`Eru2yE`!4>gRDC#-fd?k0w*Ue3qv zXK5{~jZH5cKMSFwgfNFeU%`Qqt-j&=c;$ZRhO&9}e%4Z2&g=HGSE7uHp~DZPU7tl_ z*V$LOhktm0^=Q_tC-uE-7!iwXY_RCW3(=e3JivM*vmOW8vo<3ad+xI_YB^|Iagar{ z)oBaDz=aF;oOMcw`2l2%S_F#xdXPo8Fk%OAi!npj{m+TLdQz2x=1>3jSviaGgtPOB!@Dn!TC&vQelmN`AaUnLB19xB`~JdB4$nIpHyt8A(gc>> zGb_59hGNTQ*flKDuEeb{xa-g-Jh_@Z-^|ZlRMo=Gx3X3fexaH*V8N~VjcPUrHJ^Tl z4S$j= zc{ZT?SdF|xJ^d~db)zv@z&IH@+1cBD^WJZY1K+VK%~RldeyArbNiE9D=I@+mGer*3 z7f?brS1+(-CIbOBInwvyyZrkL&<9@(_cp%Bf+bU#4MPmF%+N;2E|*wqbH9>|Z^!^c zOs;b;u_V&B7hYmv-ONd*)s~ls&J64SuG_3Hyo{a=p{#H3LYo?cNNsWZ!zE0;pe>BO z3`IT^>t&bO_$Ef1rD-m$)C$bTyIx@rMjLx3Fd0fd+lJ9yLWL*>EDdGQ8&_D<&W47T zR9Mi^BI(rk#NbWVmj!?&vo(6EWl*}FxdLTu&`Lg}2CGnfyJ}dBHD;yO^Q|4asO8XD zeprK9X~IgrtA-`hGx#d&)G|W{!g<)HAmnN*U3-etrQP?c70FNY`>(R~RGr_ivVqw9 z(NQQyjQtvG-7-f{jp?)gMi#3}39X8tmENV-u(&ak*(+wOkyw@S#L6YR^-{EpgMdokd$erGQGd_x~?R~FUgt1ul>%% zWj_ONf-eeI@{2cVkigDp*FV@jp&NB3plM?_jMa0zlm1{C7KVgHk_?3A@L^1zM~LlZ z^5gXEE6aW8`IIborTG8I@|PhNV+XW2sEjYM$h|^B0z>v9gds+P7te-4dJLw(mNNcl zJ-JVG^92$$kG46A_V+`ClyQ$DPty}O=S}O$oo+2Hy&g&nHcBf(f)xm1!J@S0(IprD zE^DoD2h|1U6k$B4K9Dmq0&>WS*GJKMPCO=9h6)LkOAnS|Y%!bX1HcpfFq~XdDqUwt#^7jN) z5+;wMYBvj)pQUde4&rFfA>7eao=+c#n*uXbG$cYkF41n%kCE~W$~!Ac#))btpC2VR zCBRps{Hddib;zr{vJ=QR&KnvpH^#1fL*A~lJS@_vC|O`8;z&SV zH&nkEXe{lFh8OAI>?+4n`kh^oG1A?gAph9XNaygZ5ER4yk3p((q_%aF=jo)nmsi{? zyLHTB`Sk8`TgvUF?mBv*JxuhX_%A)=-l#ipOyy7Zl&dINS}$2OwkSO;Ia}0M?JIhS_ocqFjkPq!y%C-*&8jgx+Di&2 zXl!jS&6~it_LFKU>A`ANE` zlybz_KbRj$m7!dL8`U(qT`ME8BX^0|{jIAce>4f|*0YKo`;&Y`n%siuK0QswWmygR z@-#Vqs9r%j8;hl2kX}8UYOxn(>Pv8YakjA-UtLE7Mq!TL`->_KIY84>%V4?R!)AU* z(mU@ZYAPH!y5G_kXvy`ZhbrI0ZifBd=mwjZBEA! zRenO18xu2KQRO;m0>5I{x?Ja>axdy~-baSX=dA>A|3h-Gbe(p%YRmzri{K8mqFN6N zzw582FYODS)}X$eLA8aq@unMvk;K1l5cAd3&}~#>umZerLwX4>rVb@9i~B9v2dAu3#`F z9Y&U1bX0Z!oK9$#34G&Rc^095&(rcC8u_L^jnN6C=7Fc>J}J@!Z@p4E?XCdH^tvXQ zQXzvNOicbI$>hkL_rgtKex*q!Q*zmJ7iyBJQiYPg2(hZUDemyRA##Km;~RHslIi?T z8C!gVdFU>=d8A)guW+rNhxtKvhTr`Gq;f-&R#2f%Ize$~|(Y z|0~S$G|ZUuQ{HW_9MQ+9uRvt%oe;?*k#q|s1tNU@UTsdv_sT6I4WdAvIPJO51(70_ z1SZLpIR5iq`6vX-{(W*X^@oQ0WvIIFl5#-)P6(EJPRdE?`naWb+J_EEsdXlDxIc5q zd+mle2^iB1VlC(|s+jZD47h}^ZS#i4M8c~|_Dc_rE89|e*W+N>yK6LWjk(QxU&_^RJ^Q2sF{3uI3Ny_Ej zM_Z;dTPgqdXiFP0#oja8@;t$*PRqaj%M{FFy}vjuS*?)d{<)Twczie4vJV-3__QU4 zHkiFt&sdf!2*}E_JT4}=`{r9Zch-rB<#Hdjw9@#3E?OSuaXf}Dc19)-yBT(}fA+>M zu=J2Z4JfQIMh9aqn#-pwv{azT4vQ=^P{M1AEU&T%1IikjjIAIXRSdmLCEdc%C6@7~ zwVmDb9*qRUb2I;W6GPKrzIBNuj3%mIEwQww;o!F=77S5?`GBRCc&heeOD)MnwvU!t za#&<34|Q8+wu$j;c$%S{>{vB5>FiSza7!RAfg~$FC$ImaC6@1XTlx{L@$p1R!YWNY zrji0}(TkSVA;zeqmc^Cw*nG=+LGZ)*go%@1%eVBVk~=L!CSa#2%PiVja*@Z$XIkmRT3mM>V$Dn6pX(t@8|VflytF@dn%RA8~QyjA?q0?YfNIvZA662!~tm6mZt z(E+P0{}BPcRhDNcVB$-b%OYUpY8`axYD<5L*|XZxj;elTwWU6cljrc-S1di~*@au6 zrkdlOz(EQM@VsgnK+i+3TKZDNU9SOv0{XAjB3x^=h|;xs#FaO+h=ez_h-q)?5ruDQ z5og}gB3k@QkGOxG7SZycC6dorXNkJ|0@|Gx(xiDhv`z&!3GeAZ6E~PZ(>L4(bY=s9LPRl`>R>Tf!>@j23Fp&4vOvZ25$J`= zU;YZ1BBV_t1CTU^E|ve+YYFpy{E?-xpwX`Xn&nje*U}{-pjx`c1*OW+QssWEr<$|b zOf`S=-==bEslqP0wMIH(QTN&nTBt(^b|mgcbGsccH9cY!7P#9W;-n{1Z1Dx z8UoMk76@=~Or-bFZawzWUM;rEJ}nk(6UmYGZm(ybUgx(Cm_@yR;MStNU?vTpk%zT> zz#`%N{lj2*)I3NF+7W=|ydTiMjS}d3%oFD(ff*s&5Ee$AxzF+Df zPkd$K@a$K&;Q%(#aA^0n3Dos#OP59ggd=tabA)?KzP5x4vK&0A724>OrLWHK;Dd0j z?vI_a)Duuooi?*{oxZKyYp3B-MwC^EhGFI^~ zzqhn!Gay~Qw~QqQANzxr@QoiJF!24&50)tuZ$E2^A^53hEzO(7=z1= zzMuDD?19{iI%j#3C6w~z=g>Bg-k+SabYqF7+;`40UI@3L=PenOVC{L!uqb0n3@6S( z&}z|AJ~O`B)YD(YDxoEW}u{_K$vi^S6(oUMlTVJyzwDAwD z>2#bwbR-@54IN8I@IxP=S+sy_&tJO+Qh^jZuc05JrdO|7MzQ2lZvV-$fW8m@WSNUR z2mfq&h`|2+v*iP(mhuO#TlxqJEx&FNYT^CYEzz-l)tOtIJ~vkp4%jSVm0&ML7I)tO zk@4(*Lo4yo8Q76X#&M4?L{8u<53Vu>PVc2~ zOP!@Nn^(rW*P)SSmGN>1;jOJgC+>mlR zre7LI+A+<*(tdbu>WZ5edilfCbMth4p?@i_XQ0wj-f2<#v@tTlwyUxJr#aZxYKtBJ zn5Q@7n=HTtee$M7S8`oF5 z06L|<5{<{W`pOf;%tz`g9+TBXf=7du4=5(8fl@}I_gDj^6A{o7qIAaN-VjAQRXIIG z87%nS8=?$sYVf(k^8&f2xp1#t9M88lRGt$+D;g?Y%_AnbQaL7%JcjKO1mRycM4mL& z3vHwfXE~+(;YLc+ev^$VVbsJ^A?dxd((@CJ=ZM^3#RN94if3C;J8SoSxduUGd15s7MrQ)VepVB6oRx{{Ys?r zAnaAW7b2C0IPg@!e~VJqk;!6VGo@Q3zC}kSCkU086_NKnmAvnrYlhZXI^5f|Ia-6j z)1s9QO$=}{8zx9{wm3gp>1X|DXgE0}B)`AgeA|Zc1JTN1D(s&vlo+D8x3YzzN-|aI z?p8XZq_k4PX)5h(rKD>X_pOvs;zf#4aHwD~kBI>iC_6ny=}9h57sV*=(KDg7f+J>| zxudnRI$~K`xbSOAO+rpNEAjO4!TgujN=(E^J+exVB#Gh68SL%SM%jXDZRQu-DooNy>J*x`BWwA2;&3v+U=`I_8xAp92WQ#b^vE0o0<;F`|Lw$SrspPyLB|LO z5!3>gFMjDJZ=q76!?;B!ri{OK73MFrH&&1k)UK--|ZzL zHCEZun}3#|%(Z=XAPg20I3k1gAsr?k(@l94NxZwdDLo`gd-Gn99?x&OD-oiCwcV9$ za*jNzhtj5fUO-1B%E11y!m!$Pc$R3^>HvPThtjWE;Xcjq66P)0spo3}4!s-1euH8B zdJiR*GHu>d(N3edb(u+;6#|smc?qPfX1OJMYZw;tMmkQvH1O8(o7zkPS?xXZ-YCg&8vej0kgg6L$7lr9kVV!E?CXDX$bS&dhm9e?mq75v`3|@qqd%vRnv$IWQEyBPh}Eo7G6$VaZm8r9%^R-@YCp0J&i3fFCIBde-; zq7Ur0*Hr?k|Hu2bT;_#a)0(1?h-po0Xlj|-6xWdKicC-1Ixi0uR7*A*{D*2Y@^ZBY zU|D-pN(d3C;Z*k#>$0kPs0!v&agI*MRH2wAw_WDp6E*h(aTE!=95z+NC|- zN;hu7q3%037yf?hgw?UM14-5{C+hYE5;g*Yh+-f&&-SNUL^5>jIUc<-X z!kGW*!mUhPxYZcdrQ3uSK!8_(HR02-4qj;Kx~)|Cr#oJ^<)W&e8%BH#)hawliu<;v z(S2JmKNlAn(NS}9)Z!fOiT{$C+fF`O?It_ZDK?@X8Y=ZZoWC)8f$DKV7YH{^{vg^N z%z-rl4Pg{DS#`n9(_}vY;xyCNLjC#?)x2{GlTRuf5cDvv+X};VTc0^RVFEEY3a6{9 zvK=F2=8hW!_kF8!;Vt3RUz;@Tx1bSS4mMk!m35sK&vw|!iS{J zi4g)GjT<`gh;ilCVyZ=g3SX+YbBibi_p9n4&pf(w3-=_D<5eeam^u#>Y?&=;Q$3H@ zrQqr%+_|-lNU7%5LFsMWxdr}%L&zV7d!kPh%Ky`yThPGbVh}=e577MEJGTg|A2ow< zfs57Fb>Z*qx%!JwoO>CiY1d4_wR9@(o=SDOPj9`_$}_K3-I2P*b+=x&6(38tMd2c) zX_v)iThlJ%vaKDdNk7tMThnlVmFKy-)TGmMEVwah06+uE9B9pozk*=8YU{jz^rYrk z-74^^E!3YFCq7oRs&>y7L@{oby8=eu0Y0(D9lnh#VTNOH2VJx!coTwI4}-T(%oM;M zcF!=WZa1h;o~xGy)cmugQ`UhO+?S7BUVOtf`+oQp)R}6CC%&S>9Tp(R;IXX>-M~oPCsW^TzA36 z7T2Ye13AoiNBolFnJxjj1#9tLMjg02F4_7zt<%vo_m_0lR+@ZXziR6Nd+z0ef>cjG zTn(n(uC*&A={ntkL`a6w?OL~&D6ki|YXxBMqKfRC>7_fufHo?jU$1q^Az!Bync{k_ zzvKn3u$;VRb)L1maj~iMSkmv-1&hV)TDNoye~-W+Wca1b`X0}dBozT*Nc2EylebTZMLA43Q2v9u+rhp?vtqw~qUoyjsF9<^kNS zwFhjAyR^j3S`O`IEjU$5Y*F(uWO2^|78wJ~Laplj z9eJSrSaPlyYQ0cH{vCA=;njnbW@7I8#~@{xco~+ev^R~7^4(-VCk(7rT2#4HmBh}K z0Yh1Rd&QoBPt8!2EK3)j!4i?ySeH{3Ou%RJJ(L3LbD_9PpXnFH8(T^e&Q@Lg~YL4B$gYz?0o4 z{rTPz$^b#~yEBw{7QT@8$xu}DjHp_XQN%qNiZ~-$mZ3}#Q_?o~D>KmuFW;}=95FNp zKi{wH7BA%wC{^NR<%3Gt9a<%Us3T5&RX!NlDl`e_e>|wHzqM7oA7_#>03L(QMmTxqHDZ`vGz8CZyXHbrlwH%8~Aj)yFZ$dzh zGyFMX3Y=m?kJrw^&A|H3Oy}z=^SV(=xVpy-qO9ing3YW}Yxe2^^_{p&qrq|4b?a^mh$&e{+|$(V8+!N zq?x}XKLxgJ31$+iKjr0H5X3iRDUq&3Gs-tMAQvH?%v=b|WWo}R2rM<(mF!R17AeUa zIB9weJj8ps>IeF>&gg-wHUA}){kR@L2J3KJN(SXm`d;0;NqL4p=?)~Nt3P4_@)z&r zPCw9_0r|%fAo7PB8Krq@jvwd|k<(^zn%Tfq2%GZBexT%loD%h%UU^7q?wa9;sYftq z7?tAD{>cVv<#SCSY99*s9sko`b&=o}%Fgh&zlbc)Gjs60NhwiG4XC8@*B(+DwqI(- zQvMl~|IC2=1teAd5Mf+k0{as6toBe=z*wC67aY6B1fm=%+;?|Cjv_%I#`E(;n`I4( z5zQsy%L~m+e24y^=Gp=W)O>QbVjHsFAN4Mx47yJyk_G0Qk^@SRoYQ=3LXP?q&iJDd zugF#++83E&gzOALc2Gd3gqlizR{zLWS|nDQz`ptf1flMvy3=78fkycr6N0j%aNnt$ zjrap&l>~OIlrI_!U5;%F|Ib*ZLz`;)cC(}csXmoT3lPouZ~s1diczkY+AWs zHRWtd)6Ocaleg%{b+BqdXCjq(*5~%3;4Qq*IHfQ7FYwMDr(hQe6M`+{l|l5Ba)3i# z0dJe5j3KqKD@R#LgQvI2!%D3rhS5g3N~TEsNUjnIRp=J4Ya%uhSmYM(M~^5kz&^gf z+xs8NCnDd=lNCFQLy1$Ac7A7!r3qX3qf?+dhMzk36l}3P;@vbwDa5F{&^vOf@_Y!r zd^txM4dbE$-esZEMtX$bzfkFk$C8E0hY=Z@)*798U@%S6>keacYoXV%2>MH^NBR<_ zUl+r-9rTLAhg3!Kq$OxF(P+BcJJs{wAn4-N;^ZORyF_{F|255+rAj+0Z~jsxCdMdF zElQ5lO=8?%VRD<-4zsC}tKa?)Yi|P z_>S-I%IKhHYEUdoOezX1>)O3^%QCZ|lwumksI+ZeyU{zA6_o~qFrb#8g5pbQuTol# zsYy38DvJMS?Q`}yXVC8b{+{R0Q_jrUYp=c5+H0@9_F8-IL#sI4Byo3Q@;o1__E$sjiwVK--uXyi?n(K)>ak=O26Ywf>e5}u7)+N+I`HxvghbOVJ#}MDWmYt=K zwXB~P57)A*z1B3~ddX|O)pY#_IZoZyB2J3KwKuG_PMNI;mc?}}Su`g6DaK>EjfEEe z`fcTiL2))PhZG>f(b9sv6#&y>Ch!6kl!d9+>x+)cH(>m+TpyT)^Yd6;Y zaqAyMcS51{OB~|xoy_?-dUT~q2cm9Y>(j6U1k&_=Zp5?ro0N)?|o}tf&hW7 zSQ{*hqAY()k8?R}&;@+#PCrPjqgO~qNTDw!t`O{m7_ z2f-57yA19+2)UxnIxyY@n85+*^+&S_raLPtvv%oa0>C7(L-EYV{pQQCRnCulIS!Or zvBT^h7VSrN@+CIJZ*|ho9KUr$=gVftVH!v307ea0HUv9j^RwUjX`Fr%m)~)lS?em> zSi$~XZdEJ|6)ae8O>YwSq%v4cLBJ4&+`M} zIGnB76I?V;%r^H+0WJ17pU&W9oCV?J;(g0vAQh#bO*IU zHf5(((euh_z5*?;%D^XGbhWmT#zikBU~_j{pR^P`$-dr=U_tehY-|nEC-}Xr#+pLE->R|t zI6c#At@rTBv9#9uDsStCy_oI*`ubjLe*!(Q7lw*(2KQR4x)h21Iuu64De($Gl<%`n zpc+5z!#-q!e7_EhV)`<;-iliZ?`1Xh)?M?&(M!dHHi%M#q4Q?4>`yfb*BD}VjK z8twwRaM)6v`RO3M=Lg!oiEBb|1XO(*+=jhW`>nluiU*1ZSvV8oU|L_+>43Gne%&QZ z7;SF2Jlpw13$#o>xbP%=al8TW?uT0_D$g+@WCX@^k-pj!@GXA;V$!`8-LqEQN!t{vTknH%HA zjU7tc;lrLeV#RHy(JcKVtsaxn2eW@-#g%~3tngE77TfcQ z^%h<<`cvdk^P*qFQ`HN5>NBm<-p`;wfRFf`*DG~@Ze0u?>|VC{3(Vj6jQJ9o%B*`! zhkR*$jTbbuSmW4t$D!OPoz#d#B1#uFT3N%^HzIN7Jde^zO)RYNof(A7w&YA1H{iBL8{68wjKL0V7p8iQKopxR= zU3}hLI_QF0dfx@LlwB~FW;a_Gk<$w6)DlqMOt7m zvEUD6{0aLVt=1&!Q`TyY#Js2ga4{ngO2g{?>2QNiw z5B%-b2j8{V9{gcb9}JDw9ynsu2mgrC9^`jYAH3H|d$6aA`rwx?+JoqL^}&>Q?ZNy6 z^+9fer1G+{heXHOOZW89AddG^A)w$ic=c4=JC9ASrPM?Lo;C>DSqASy1lOFbu>Hdfw9080|>02r(UVvU-& z@NI$;JXX!Dsz=jH?9u?sy-V2Ceo~)YqwpdV>uvnA_0%d-9&B3|5 z{-n3dXy!d24#T@~4-Vdg>G@ir$v_x=+*cj!%`33weWj#MRr|Hdw0tLu*js(2fn8T* z|Jlmlazc3d`kZ3wr}|1mEjVEx-w*QZoK0*bTau5nzxR`pxFLF`pEQCNJbU^{e2;r- zf639ubhsXGZmViC&f3p#Rl=^n(qB@yoPE|`x{P}B_x{r8Na~M~Ns^a7ao(Pzn>bi9 zHj%n;*a4~F$H=h4L}O0eG+3I{8((;m!iD#s(QONqhWxC_>21g7lK%{rmd6Xbtl)6B zy3?CB1e887MEXZ08Ot9=NTd1`3Nn$2!0jj{;%7{!1Xo#e1)DxnnnpaAJ~&c38JR4C z;EHdfdeS2DJq6bxi@m3tX)eSJnct#hcSKj-!=4-~^`q(V{jt*U9_Fbhvr984x=Ryw zrai&_7%PqLB<>i&^&x3EjfBZAWt=pqYqCZZ9uL9)D{;0R*LkcOC-tN~Y|o5?R^tkh z`f<{8ym#&xFHKGqr>t=+OPGBwlJ@#lAUFe^vI*OOvz}o4#$yVoOZqbZJqS*S|8DFnoq z^>KI`0CzZfWC7Pc@nnd8p*MV>^eNCo9Sg*}U;(gDLI+j);H$4{{j~t0%V#(eWbb)G ziDy0bPnSlG7bU6Q7ibSms?VL+s!%b9cY6OtuR3yiKEuB0WLNfS7e+X}xnkT5X)^7z zxpRipm-YaypCNrh36j#B6zP?4o+?S0DIMY4_Rh_emJ-aOS<-M?ffvk@R#3Xa;*_2u z$Avjz5`oj2DlN_xdnpiINPe19qU9812!6C1^75QAi31x*eR=#sUC%+>*uXc_>Q|3K z6nx`Wl}P_U68s`*{kBrtV1P@NXssACIC3xk$F{P;k?eS?^bjXMd$u%+E?9ngwls!5 z_o<&x&ymJN8(0g&95{_GB@x8!D54u2pPwU*>>`%5=(Zx#iZT>@HAk9700R}6EPP(0 zs1>~0XEi>fjf#cUQ5-j4u?%%dPP@3H3BsX`6C@lN!r8r(l!=x-kGrG<+bi_b6NIV3 zllNTGVEy(v#j}|c1CJTUw527cMB|fWLbyh~}-tV?ewNneE^hFu|5OgX>O0&Mrky*1~nm1|}wftJlb9!k3@ z1$T?4%q-7@KtSQanwhcZX$tU!D+o(@?!|3>WK;XQrT!NCOs2S{@%lwePEQdfTfvlr zJ>iyc!_2+Rk|vFGkNHfg3VTXj9V&r@fV!k8PXS_NzTPYa{z`FVTJde5=jIB@yVME@ zU{)?>MQhu|-Rj*65X3|2?ol)7L>)9tYsFDU=p24@0yTCeRWvuoBibhFQgjSa0kyFa zv2E+{NzqhC(d)#bPT%|6#pUjQ&)g0SQa&~0@_Y}kKl5Qi;PTMha67H0Y1E}(UhM)? zv?t5V)B+uSuQp6Ys!Sau0(E)Fv>JX5RYZx1N!egpS=Ug?OpV1J;L+5gNLj;Bxv zyP}5#y{}Wtv^itQ)UdFJ{wM@xG3zP-#$s1Vak+Tsj)u~Om!qf%QoXn1rRQsToZIR7 z0mxd{thS?y;cD|y9f&F>08wx#fKPYCoUW1Q^e&C$#1C}&*J#8OnLuaM=x8C+`A@l(yH5##(xJ=^j3raT8NN6P!a9)mx`BLud9dSq0?xHqf(IM zg}39+(2$^8Tz&x;xUPZjr9al=bZMbg56WkW?5+ zEW29Kw&J-W>XksIP8?+sq5u)BWJBjmy>8{T0!u{sAvES2hQ>nMQfJ9>YTD^7CUwAT z=5xC!`UK|o6_FJ>y<7o3+K4t6vMuwa?x_eX2@Ug;Y|vOeu37TpJ$IbwLk^E~eM{?3 z$CQtdU>ILiB|m&vOA#_qpT>Yhuo0iUU!bEz@z->7miP&)!7hE(4T;ZE#sAR;L& zvXz(7C#?yQMgXf?|M7SB;-TAj`BZ}z#h+tPaP#SC)H%xq>?icD*7}&)>*Ar?hKw<3 z#?D3it5>aW!P^kej3@SntzZ2IejLY-)qljrtl5JvHO|5oLejcJY2E2!8COa6=t?mw zxP56UPleBm&#i?Zi~;NLj)qLCRf~##@n2!%I!tLfu*g-ngtl$!h@9Tk6dGU+C>^sW zHNV;!K5ZA<~RFzS3*D)_GWS7`MNkx~y49LVoE215|$gOf}|@+v|GPVapwN+3^f z*omYcK?KKMMI)E}v`Fe~BEpc8Fl!kAZe!L8bZkU!IsiDiAc?*Q9~|8gH7XL1;fI+p z{yR{pR}Q{%rD{C-WC4;4kq+%I(uAS}HdZfY&;1)aL~hZb6VTtho1ph(A*e(6%R+?! znt{m?lXk#SMw%hg>GToS%ELG9C@vVH7_V!AG_W>c<_dRbCZ*`jNt z{<+7$i#E6nYY8tgdo)9Js5LpgBq4sq$7}w36QnjV_IubtIO(+DP>MwuE2II2ZJq2flV%9x_ zm#5NOqp3H|y@hPyOtI{k@1$XzTTCEAHhL4T?ZWzU^9UG+cZ}i>8uULq8-_&G3p9xW znrIH(grYLhl9HX`RQJ3p^mfL*)EAIl7W5FtIXAHhn&hg|Jy)#NR38=xn8=59``%r3 zrZ4Qv2gFDrfX!y>a^J8wX=A#}XB$a!+eoGiaw9k;a9&VV9h^!u0YOn41R1V~AK(k? zqTiBOtf3%CFcXQ2uGSCJrksgccRv{|ymXq=?tPh)Zpa{Vhh}9-2KDTRMN&5yn_@Aa zUF1VGK>}@zO_V@UO|%99K+IAX(D&e+;i39pLVc%qsXO~VXDaTn3B+nxoSxjRi39_B zu1izRPc!gfs2g49FVU?}f>w_N8OGHD+jjtu>X%VGMSN~cBRk>;ZYLcu zaCzz#Pp;n2s;uEj7gBXS@C@6@*L4yqMn2&Kga9b(&B_oqaR8jQ8Zs2 zeAI2C3(y+@Fx%^VlS6Nma|wKy0lo;}yfe=|xXI}yBlnlRIabsn9{ZvmXOHWbZYE(1 z>BK~mNuO0dg*xX#7Ns9NHr@Frn}oYru-O_UmebFyh@6P^{W=jO4e^*rqp9N6g16u#YDsS zkTMogH~1$C2~`K@eH9H(x3bvlq;6>`#`BLYs@9dD!Vr_zxytf%BdloPG-s6&Vss5 zV3m$$g|G@eGqcJ*yFu!a%h~eMDS=SV@;GOC2Eh}*R5>eX!x{e_C@=u4TH$@rlmQGq zLUhmIvQTiz{+R{WFb`EO_YC{p7+_a;hBf2Fv8%PlJp}_jW^Li`#?0y@XUOd(1E84^ zV^QN;-P*~mO%dKaT3N-cjUS9@)OSzJ>ThG5Loe_Yrx?hJXD`BEH%i^Zvs_a?j#=A+ zt^8?IetBT}MKK-4u%W&uN#YpG@67a0eK(?Mrf2Ls5zR9_Q{ROrfZD508Y}+`De3Uw zra%^aeVpa;i-VJCz9*u((_9Rn9{L$7etKf~0^A2!VJvSRpGp(GK(xAy@F0Y6a)8!~ zE2I^%Exh)kR_IBaR`QHidZHB(kvK2Lh(4P-y#I_;YVZO>u!b>Epx2{?ejYv3M=;$8 zup;zZXj}WX<+{_(WwLqO^DWTpr9qv$?nX7&nA2$hj)~LUGZXbIiZ6POb9?6N%0OSxQqJ3JWazCh203j@F1C%Qu9^e*3x6e-@R7afZ3pKzu6uwYn zBztIy)U`WB1;F<)?tpI6SqT2d-d-a08Jrfeo6KyGdzVHKrjdicY=FPZepw>*O{yW_ z<~G1h9C(WXeET&leV{FG0=0-2O@FU_LhdR6kGbH-oD8SnLd?2ReX%w-1y(fw03%g9n@o3HU_GTe zLyG5TM4)-+>O)8Lzv>65adHu6z%jmbm#2m@5eazHlf#o+cUlJJ6rpfq18IWO8}|ko zIubVhL2gbA<^-hzY7*}NN9l8D?#O&+B_u0)rGo$leNq7GTe{wDiex!GC6rA$ z9p`A>Z&cEra`Q64g#Bf#HcQy7-O-PypAE#9vJX!FGMrrGF!p2xW&s z;lBe-2It8$ROQM8Ah%Ki*gs5Hu4X9L6U`kGa(|poShpqy+hFCt17TI#8lyT`3qZrZ zuU?S}%R34oSL4}*l&J8#$3wfXdp$C2b#wUgAtq~8wMFy50?=NHEAVCH80AS@*r`8z z15H)qvm%7QQJW^qDK`LobN@vgcj3C}IJzod1H3CmI)iz1j8)P1uv6bq-aJmuAkk>va}k|K@-VRS1?SSrQl zimo=t(EiC&19=d+6Gu9AlY_}s_sVEgtpEUto=i7=53cNqIBj+XXOC=hA@2eNg#d+< zPDW*@9F+y1nUbrHr~*`nAIOIvhxV_~SU~(pt4H%;A>c@12_A}Y?G%hLxNIZ|C(QE3 zkOs>_8lWs zZ$y2E*L}7bB-3|H2|B;Q*CvGm7iqvPBqR={Ng5Zh-ilKhQg{@9t z$SK6E>u(Y{e_K{)2!x^=m169U zundnO@GnT<^j!%=X(#|3izJ-6cd9jD5;%QF?8pE3u$cU#&^pAuW7Zub^}|4bDzffN zr5Ia-Hi?lLVh=otokRAW?71hUZV@lXt7FI#yPGvUDRs9b?WB9hQk;n?!K>rJnPHzU z5l)@;m<)4kQ}=$vc_5eN^w<9bM5B zmM8XPdMrB8pQF`R0ux0C<0NJh9hbC27@r|?_1_7+&trpILV`ON*W}jUhUv)&w`&?;42c1X`m6uCt{uFo3XtEhr~zj zh7Kab_9eB<;rqso( zJK7ai6j>%r|Cp~Mp@+~%1;Y*-hqLHX69h-Z3N6=rWh8(yXi$ov9Ae4MitkY#b%dju zg0eJUF)gJjrauusU7laDDyDE8t%}p}1Rl5AqIau`OjSbkDG+Zj5OaV(Mf4`hCxSqB z?Ojr5_VX=L=LPgLxTyI zXM2{s*W$vpJgJ^m*vUD*nKeEv#Ua{PsA(*Pc-WSE5J1V&l+xu7o1G8-XviaG zh$gY}p}|Vq?9AEy3={=_+)XRUio^o^Fb^L7JFwJH^c6t@#H-ud0P^2~oSnJ4moN{I z@Q*gO0pz~}D-4W-0B3Hph$`sYtuFUwlFH`k@Z@t5Nbnq`K(*0e)X!a?Sd3DwT0uxP zDhT>ft@XnxTU4`lE@s^%gdI;k9Lt9kB6~6G`ut|ZAupOk5!kZ1Y>)uL*@K#)YF+p&pz`+LhVHkD{!$;P`Sh=#7 z9cfO>b{=VQO$k2OPVt;}Q(EVk!<%`kIn}%1RBnrN%Hf!`w}T(L^?{EI*zJs2-c>uA zXL%RwXqj>}W^ELT(c5HsKqj~Is{L`|sNzz7lYyd-BHZak2Hi9OaE~9^bR6K(lPsrk zeFa(dTqPj%4tI-8rBjbNJf=czIdO9xHAYcvPMKyYF_bU~YPei}A(eUF%!AEN&$}es z*&gS%mNC_{JP1C_^<-jV)U0iAXf|FI!N&|u98S%XHU@60H^4gwrAt8rZFNb@{)H}4 zasJG)kn?Q}2^v!@dSyr`@~%k5U#P26uxytaCocgyL-UYYq2Gax2H=cuLRGsA07xQj z<0&-yfjp7{-6<40H?bNJ$j@!%;PeA4HUs>`$x!6A0GQ_mPZT1eA6Tau(A(NTHvnKR zc?bkeKak=#pdSTvE<8r+wAPv_NW;T$#H<^L(D|vCAVF-BQ4mXCH_wy|cf<8hZ#!NP zT6KDw-P!5_P2EXhbhY9uw|_Vgiuzkx{+^h1U(n)B8#apP#szdgCyekVhYuuYB;dHe zp_>ehEQE7OLGfBj(n*(RFRo5e-f0I@xPY$u_z6kCG|vZQM7!o*9)C2B~Yt@yR$u9BjKFbCFD_Yx7Xuj zq?1q=PQzQ%RaD9NN#PZN3U`!!(@bkE10^sJ)Lfr!FcCcw-?2Hupeh@v+!4#bGuTVf z@R2K~5F~e!D31#?RES8VQFX9JMLG&dC}h7fI8dVoBlOqN$`@L~JP(tQ z9~?H*yWpjkIo<`YwO~rr_Qg3Op5Qz3@R5r^=u8iRcmQG`fdhc)R&8j+j+Ii(n)V$a zh1DNMA}i^hvLtb7%(@bj`YT@2*Gr872T$Rv+q@LgbL{^%^gI_Tp2bxh7hzpAN$A@z zQ@tsXitc7(gE#5C6Z?oVOd~-T7X`I_JTJ`+u-jKc;{e+}Xb`xz3k#j*TfEFgmxM3H zGDGqFp601T-VI#P%{63Ag)!YO{0w@UgAO7qN*5Qcz<0&p#JiN8y9HL0N}&m>0;->o z!PCxKLG7O;xj9>e_Jc&+Vu$?y6{Q#YYP+Q^v;z{8IESL{4u`N-Fs*Ss1TcDzLIh zc$NBMkNTbFfX=+%RezfjN}-04AY9u;b_JUoawyvGk1`_b*H)-gXA}(qbV?)5JdhUu z9f&1B7$hR|t1r-PXq8reB6cHwZNA(w}I@Y)uc(ptDGw2j} zu#Wx@Wi8XS0F&?faB8kG68lBzPwZ3$2b4}moFPY@8&+YKs}wxaavG!eZp>0XUm>a~ ze!Ic#-?v9|nRd;sJfe$KRHfF)AIFlL8`ms+r^bAby-ZI+eRN{ZpJZUR0yFAUq6$Sg zu_SiDq-DFDYN&!=vd8DucO%HiYZEIu@5#_GnlJ49Q`>B%U+k&wBQ(s4)B7kHOBfV& zUB5L^K|LB@>7=Q;*;Rax(WI+*8eIBDUTy15t^(+Z1dUcx@R{a&whVNW2}#0FTEY=h zEQ$#y1+xf>{KO{M6TWhr<6W|~1%RYHUcIJyMSNIkz{zEAf`y-Wz2J)MW36tIhh&dUe_xV-D zYyb$!UMQ5}Kcg$=M3Z73100{^r~)+e&rem$*i5EVC^Zz9{|=-W4SfVa&JF6}KLgm` zq&K9P8VUl4MnI$5&eNz)LMg*f#EL2sYk9db=BPmNWrBNscc>Eai4sWHNg$o622}70 zjg%(*_$!_>Or$h()aX2Xf@NDS=NY$uqf^a1^WT9iy}{s#PgI`6Ae_*KnsuI+ni`7Y zLd5NM_(Vf5Q+1O}qo_UD`doD*4OWFe!r15N?Gb|n6I|A&Vl1yH29@INjyETS)FOc3 zc&Y_el~Sm>m1iRZrEj@q9i%+WkIGQ zQq1$~o$vr&mUe9*d>$pqwffJfn6>9=_;~(Y{jL1VmyU>Elx%vFIXqOaM=1IU?5-S|Dam{qiNIq6??rHrcLI zC-4jtlIfhusRlfPCz9pHKRJpXGv%R5hv$svd*ry+$=}nC2!u2F6o?jRwF0Tq3R8`^ z(o_<5YV^6*R+t}pd}<)RJG~2FuD!Ue59Wpg>uc`TU5+WA#;p4cP!vr{A?c7uB#^7{ zv@*Zc+Wl1Pk7!ASIrS61=PKC;aYyzB+D3~zsK&S=eu0ucauo#y#qve>Yz;$7LF2v6 zr?#EHNG{{xaN?!*r|idCA=E-jsDi&e>JQHB0Qw;&hK0m29_T|tw`j)Fh88l$bmmKo zQ~ZjSUsts&>PQFkRHKM{3j;F7!dTR0Qy|XWC zi4NNiY+9*Q;;(G{K3itfD92 zAGueBq+?xXj29>1(=^EHHjo!5;Nvt%ELa}f%^{|?`S409Hm$$geE=uG-$nmUUKl`LEsiLcmL6VA{a1@Kkpd_L` zh%mG0IaG2G(=pp2^^PyW8-HbK>!e<}p&52G5*Ey(G%lcN&C3S~B_VJ&N9eODyH3k6 zA0ie||I1N}W&~o8T)1L$q>^3|a*Q853cq>w~A_a}iFr}c?P3K16Ybwf` zd{TlX>jU;lA(~gS%q;c^$!cL2a4VTK(Gs?kNa}Byc!AyXgk+x_Wh_n?Q#KQJjCT=? zLM-eG7IojyqK>|YBy~r`vxX<6zE>s~^}|pfWb%E?->767V^T4W;rZ^1R4~Uhs6uJ~ zO*`J#d`M9Ch|PLZ8u4Eevd!o5RxET)n}lo?IPiY$+S`l$88`PQ2dM zl~6*n^u(*G!T%%iIx-Hj{I7)6--?hAqK=vvrk{9vz0`krMrb+}+uum=l_D)N%bR(= z8R4DtEf|hNSo(Tta`?NYbZoNTrx3nqE#UYEzZ}Hm8Mh0cgfuOcl&wn@J&QAqv|NNN^6- zgHO)+RB2zEP8u;luuS?bPA|Ni$O8wy zH+gsfB4it$ldhplG0r|G^&Vx4qTh6970QV_{a_JpUQFJ!t-_n4zWxZ9{6b#KM z)9A!i`e!c=lM~cjU*st2dxa)kbC|quZ}#TDrDVr3gRhglRP0%RB}!-ky_DB8hrg+V z$XO&P%w})1%bu6I8{-$TZZ_+ADKYA1!UmV0{o{Gur-aX<=OueT5si*mNAZ=20R215 zCvfc#g%qAoa908?yM0jekKxe#3}J`q>gZNsTg| zcoFY44_DgTXHYWF>uzcjWyPK~fCR4!uzfE;rA(pJ0Ri?a9>p2)QYXxJF+rbWBVGh0 zrr78XmieO8H@u2H_@Xq((zuDe_M$YNyp7{8;@TYi?(~v0A#{K*cu5-G^Rr18FT&i} zO-UGdapS= z3+*iGrH*Y^18uNbJHJKM@W*=Bw{O?cAOZo!m2Ai>(%9$%gVkAIv%kFpr?${|bi0Oz zLZ9RWk_vE2LQh^(6JP~>&SBK6=0W^WUfs#J;$Vm^OH9IEG@Q9UPLjgi@Zx;6l8sAr zm(eAUX?+Ra) z`iJ}3)>ozED@5Gy66d0ipBF?*xL@ z97r-0Wzp2_@zJ4&qMv$Anh;%UNS8g$j=m-hi#8p1?pVfRi>02UOvjzSEd_}%n#pLx z&gComz@VkEnq?MC-4kCU*#GK;4Y-OuQ;f^0pujc7(q$nBa>TmBd|3J33($Yj>!F90 zw{#|}CsdIRE2rT?B|4Zx3kn4GV`l*7PsW)Ut>z5-yhhnq9F)8bY7((yf*@DGo-2VA1Md90BrkP#76)2MWT6LI+1xjAtH8E*#rO$n+V>{L zPr8Bfxk7gEO$?R{Qv)bpAG>a=Srm6;U<;kw`WnTlPT{?Zw<*4Ct>{SwU{>PKqC;RlZ`-l zDTwbbf3H+#e-9Vq&3}VUdrz8b*;K{ue@~hbeu!;=G$tF2f&pyb!B7#%HDY``{YWcP`;bqIse%TJ-^U=R|x*&+mY zY{Rl%3_T?fSAlw z&M22A^z0?3Tb)LJC>3bWuqVpZ3T5Te465*JxzxXNqAo|;0+A6+KgmXH$Gw|CykNUD zZ=krG-sO9PpRe`Z5k*c&vf>}6*9>lDd$y~%o3=}H2=}B4sej^F1ETAR4%k}T8nfoe zh1OQ+!Q*xKgr42Q@+xSh`6%01fgxkRyL4xTgcp7S#qmlhFFMXZF>^Uv7LbOf#J6ZL^!)p4I@lwV?lL{v@bWm8mr>-ELsrs-;N-&Nr!hNYnDqrp3%X#YLf3(N^CYn&Hj;VMf_GN~%lzmdyBvE8w1)d@ekK5xb##g?r z_l2E^?5(O{A1usR%%Ed&7k3;-Vi6)}WMxB&RW>T8)nEkm+8X6U$ z5y3`%fML|6iZ{H0UH5_1&1UW>mWL;nEj8?!52VTVMR&BN9qSg*9>!^JR?$owcr7QF zKm4EM4g5=VH#8A6uJ=OZ`C5+Du?)=txiR& zDSE4QW+A2HF>Cn{Lb7T^u#vl^_(?X=s98e}(Kz(_a3L~o4f_jSXAka%2tTi3Y&S%> z_-}1FL)saf6%mT6xEelyrX{I(`NO}$tBya6p|NN>PiN*#4Rh2;lgGVtJ8#Hjk6?k& zP&Fm?s(J}-XyGYD_~1fTQX|C=Fe`xGN;+C8(pzb%VW-hbuB^6VG6rNM(F$iLQM6)~ z*%4eF4ViI>|H<1#v|6pVYO57i2#*`6qh7AXrO(&h#tzm(kB8N;Q?*D`^r~g;_eeda z6(FwSO>6d~;Z=>5VNibD(PLonUELIXAG^a8-vbD*WNlW@otA4Bb_=_454z1+!&U+n z-NE|w9%*QTuXF)~!aam;6vOIux z>wt|}N|g|znEgItWpz^GW#X|CSfaI|czi2>10+X&#*WuZ@k2!mPVfDu-F4WCfvF{g z$GggTg}zxDaRh=vBq;JcS3vsf %ec|CYR&|VJeyp=^L5u}}EMfK9qxD`4<`GqP$ zeLm&*ZEH--v+(^;sJvR%W53k5>zx!E@mA6(5ZI<)&eHcwL%I|g=t@*{Iqb`N80KO# zwi3ctSj+Yhww+}hTcZiv<1W?;Y;hY6Yz->59miDS>dfeFH~@4-wQR)!L=U^D=*WT# z;u_hT2f%8vfvri!)>*^WWX9I90oY1v*`Njxw}j#*-b%X(+bD%y*8t+m40N$5MptGZ zRf&t+Yp4yYBy`oa>|;W=jdtvKD^pD99-hN&2Z65EK$ond+xjs_H(;U(U5FME8dVD$ zYFYk4FfXb2vrPC8v+{#r{+NM2T}5xz&}aS?dcvZjZ>(iw4@rGHFWg4tKaeK`J7_k$ z^^i0uE@&XnQIThU#A)xB_ZP@h^Hj`Dwd~VFQqP3at-xF*TqA-1p;Q)qSnAzFJXZqE zdP`{=A#p!@;gHm=-^+j1N|D-1*dF%4VX0@QIBLcFKv3X6$u=LB`o%_R-H)YT36S>V zNau?I>0N9Zk1n$wn-87SWJ6bSi2F-R8T-+Y^<5lhAEmytA79WuoUY6Ii zj$1=OXFz7OT6KFWN#?ZufHfS!ZI8hNLXm=VblbVcLxHzSJIrxFt@WGY`4Mz~HVdA& zZyOcB-7cpxJpFL>ub4CQv?(HB#~h)QZwgZ{JLEgmRm#ue4j)I%`*Y^4ZXOtue~OIT zfHKjn+qcx_3J4@)5S0CeV*>sQjD3XFh|B)2mO-PNl7uBRT@kO}5=>YrvBk8iM z?<(M=XVFj(A${*mPC6BsNZUr8^oR7%3kI^PRh8;tjzyd3NMW>Dj4QNos8y4}Q(wI4U`a!XJ)G z-G_>LX};}LQ7LcMdYN8bT1loPc++gi2@SB($FKwr`$*FQ(u+He!JO3YV~-reqQHs( zjOV!$+Arp-Bzudizxl5~!#=eRB$RvrTZZ$?0L1Cm00YN#2vnldpbS7q1(p?Ir`{V# zjI0n+Bwv;GF`j@RgE=#}6yXlAfuA5@B%rte(>{R!ucJE^g#f4ji8~Y^KsWAcR|VJ| zL4%W7!+|rbChy3@G2}jnonrXsq9%iQKM*jI?2%2RIxcuq|aUqUCU6Xh6v6wLQLhI?cwoge8AaZ>JYxq=(Ygast zOCt79cIO$XTPKo#`FgDlE{(3AK^hx|nxx?zkL@`j>eNTwwQX)H2m-iFUelV>l$n;{ z=ulYn&s2T-<1^@!ARPKc72C*!9MIrZGfAKFPBO>m(jZ%rMk3Gk-2J&U%GRLSPawx` zh|i@SU5*(~2JVANqsm`?jtl*d9bp~6K=!a?AGsGSl z>GFsNp>)+cgiyL$0jMcm7Z_^<9fZ=ULswI}23GlnlxP!$T)Jn!fOIR9OwyfkJ?*>s zOIzY8O8Hr%{Waj%)6>P(oQujHAU@NI+8^LB^EfUO|VJO?yOA>zlB$j+! z>TeUJobnrvgYqi|nJ5oG!*(2p!wNAs9+%=e3vfYO!9*rCN{*$%1gAydRb;*_(BSqw zx0J#pl_qkNkduR-tP#ZRN)C}LPdCV|5aeQYY7FjgCUBJwV@2Oc-8wg+l2R@pIqAn5 zLHeNqCeo+gg#-8@LdR&bYiqZf6gSX^ox-g<=v#p)ptc$8K7lR&N^<0eaKO$q+e%6aN^_}wUUHx^$(7m`>ddwk=G;KP#CFUQLDEVD=`4rzHMPTC=Hspj9 z7lEhDaYE|d^N8q0TBY)dF4%J%??OB8LRNS}l57Hs_uz{s(1THQW1le5LpH~T_F&AK zPk~OU67>Qq_TY_U+3=Inm87K)okV0BW_i;|DUp-2>!j4bLzG5N@VQCs$CJpfeXc#Y zXa!XOp^!PnAu?T7is!jPlBd4HbNliMF1YALvL>`>PNFKpnlu|VeH*AWn70$1Qx(*O zLK~U%iR(Oj<{NaaDCNS~^9_XYmE9zaZVz`16~=PRS6trT!egEe`{!i_2>E`VKg8K8sYGGMX)y)<*SFp%o(u@P;dJBsk(suHMNzDEg{ zp}2}CzZeievTO_#mmGnl)6%E`YlSYfjg62h_9ZI(dHdLdrx9m~-o#!w4ezZ$mql;_ z0y3&o%9CPZL>KB1LMuB0P}9nCOx-TOm=GK_4yp=f1y`EckJNbQSJbIy|Gp?P``sSw zWS*EJ$;DEtVh^(PGg3lSA{}+B$&Y0}p2f@;hMiuqodBMs$(M8Q0$GU6U8q z?Mx5S7>xsgP)yfw!hn^me)u`5`}{nu9#n=ZhNz69Qaf*?L2aVYNeUurYqD4DKVw7; z9?V6bu8KZ|i(WwUA+Y`&n2E>MZ=IR&Qg-27sOX~%(TmCfwW;8|BsQbzBGIR-qR(h6 zdO{Q;`Ye^1{lg7rh8<*eP0*U+L7em)mGmr5`Y>}pbo~*eZ=f5i#BAGRe1zzSEO2k? zhm)-EN30`6eKE%%hzKMk_Ld)|?pFwHgV>uw{C$kn6)L3*h8dKO6=GLc4}2;7N)d^D z#6XtyAGoFhf{Xg`|A5|}v}Ie+n^+Mp=%s2Jy>^~4M^E0Cf^7Lo7W)&tR)Hy?tyC5a zVB>$195;ume4Z-zg0^zEx0QRL%FW>+1~(~!8?zEM5;t$M#-A_<1OR6y_B@z*mUeRs zW?WNn(>ATYFbFQeOw$ZvMqQg?G$$A;CGZNB!XgaFsZ;(uPh&x=gifJKA?j1CvT@~L zgAL_UY}k<>4i4+j6{>+N6wCb?-kSjCEIsivSnA%%#FDN2Pnbx~lKlb;Z<6B81}WBH zLEsTAT-lFJy`cIoxfeotpjsh3RI5B39Axm29?FB_d8G&pP=w%(zMO|9&coBcfCT}| zS?K%=SlAe2VxiY0Y<>z^LYP*??D1bPCmXh{CQ{}QqYuKYY?`r?b9W1v2G z^+0XsW)RsHYlYD*(U>%rH%|?jOAStmzz4~Y{+9&y%_WQi!q=4m19mQZ;N;$N8E*$WQGDvt$ z=kcr6W#r}K7WjR+w2`1KBb!8Xw9-)-xkqg-M60IqmO`A9sh1?bSl}b;r{Wu^;mb8s znQ<|~j7uUcxftQcxKkRE4k3^trLCt!2ux{%FINpLmtBnTkxL+SUX0M$2BC_tTHx!1 zVwL0Zz{fiaJ)U3fh?)D50;h0YY7Twbz;}ra?C$~(ozp4>C8h+)X z0=G?Hx4<^l(mRpFj4|uBUxaxj6k@u@XE^w{|H0tbOdNKM}c@=rP-#0zUo?l#X;Iuv8zS82khfT(FrNo{2!FZf8};wEw); zEDqIcb+A540Tldp0*0sB9aUip3WX`i<%RrbV1?dL@TX$5(01TN9#YpYe!~LX|MSIq z8FjH5(MY=(pAGmXQ;7-T&`Th+U5t>}X0}#o`0#5_w6;2a`H+?kFC8~ib;c^i@`#xMgWC?3v0gL=B{k}FrK4@t^ zz=lW4StCVa$lRmIrL0M;M#E}8#he#n6_N5J%cd~)OQbx}5}d*@lj7^DE?q)&e zWsg<-2WaT7pi97EsGgq5nRqU-?s zQkLWUSBp|y!X%?OKZ6##x~GJN%SzTQN*-o2)6V8a$?>)jQtynC$7Bi^HEH#F3`V4H zTNXKERia4bu6*+-cU82-1J1+3=&hXaN-mMR+I9{)REFA5x08GJ6iF+^mtn(Ou(aAp zUp1{`%cFY= ztcqttEw>8!4dna~4eWvT@(|0W2DZ7q?67STD>zCoU1%>W7NAJ!AWtTURUPE{^!q>u z*-ricV+VQYfH+O}FAAA0g)JaoLh(25V-6co1oyEAY;uyVmsUjyH0@*W*yQn+=6&px zO&--r(5cDJeuxc?mIw3~?rIz1&hl(}U3H(54zatU<$*RcAbTYmWR5+=YNF)@qeaOa zPsQt>KN5rce^LY$`kfBm9GsqhE*5kv{;)ch)=}==Mbv}G!2=C}s5Gze7eq( z^oHwr%=_u{R{w?sYzNZy(EMvfkxkQOWjpEFQ=Kl-Wip7mF55fH>0QsH{@NPz{w!6M zd!U%>aDL}d9j?Y0!1A#cAF2)?>MW;NmK|boUF2!DWkQyu!`F3Db@;U|P(VPO=ptW9 zIy@mx)!{{P@(U#6mN@w`OU@zItE-%1%Mlm?z_7Hdd^6YjuJRm$NQjsJ9wQXh6|qY} z*n@3|mk(Q39AdY3lPB9&i0VW@NjLc_s%}kyiU49lg1nr5zm*`*u*5d7a|!bGM(3I~ zY>vTTPtXMvVwmplE{};InZDCqP8cLQLztn}(=XYTtf{-)ZL|Q^d+ui%qNbk9vEhuK zFhUj7$fO?fjcpR;LA}@B=^>|eyM7wCK+Z(9-)KV!@3*c!t5rq7RiqaHr(m&rXWd5754 z%YZr0jQPRKbbHv19luOYAh2IA3q`-8kBa`aKJsysJp$qGY=4#Wtp1S5`a|sg{_+6ZdL37B9oy0$oR-wF3;ogl zMo|(dt7H8WAywd5K%dohEI$ztn{BJ*^w&X{ zOQ>Mf5cxTLmNpEL9}Bl6eZ(?{QKznCD~8F5mh?LI?_u&#OGX{rHw)0d1Q8idsT0C6Fc6f|*xK2NjVwI1S7ZMR&lI11z zd0(s>V_B5L?QN4<(~ryd5*_ z1r|SA9zUQ+6ZJ*<69ylLq5;TI)v-HA!`e01u{TF!J}S|BC#;@*H5$DWRnNMNK}oe< z5?jyajKNHmP|sG5kw^ZeK}tp|mzZsij?oNK^jH}$$I?c&Fi3+E$sjepsrf~D(?R8> z{GxduTyk!?l!X$=pekBpsbjD{ITrwO_VQTyN?pL}&K|>HMUR8Qvh=@%!D@Vy-8oJk z(^KGv!J@SR3>K{vxWQso^7&I?WE%<1x^HZ`3ro8|ynk9z@05*B@C2+hw8FZXE#Ewq} zjx%N)NmEQXJX2s*0k(C@C2$O$D&J#iKEz&_3SOGcIKG>z*t02@z!Bq+N866QsM1BlmZdphIg;wxKOC^f z$@T1Q2gc$_4Fd0jsAne}7{4j?tj|msyoAHdH4}zTsporGR?UUslOcB6EOi@VrYLiOCHooC?gFoK6Y6j zr`(OZAd{S?HH^CPPC%=EU*9X_F6?2ad>z@}ubgrhTIF4EV)X~TPfiUTa82sSX~u^- z;2uncVHRf4?b{j~_TDe8X`Y|e>o94=bDCjJ*hi_Pwr{fV*(&aJE{v%wXUqHll4Y86 zFTwKMIr5;Pf(f1Fi?$$keMd7_tZ0rLr?2DOK60T%b#9>8fjM#xvF}h+_ODT(Fd)^d zeO4=SJeTGt3XCwO0h>$iORMCOE|sUnE<8sC@O08xw$g3-4_@AO*;;hDJm^}{{$G>7 zi=vtrd-7kj%fYniqO8L%oVI6rKi-s z9plD22h85;#smpw*SS?@%iX*KOV7LIqu~S6HBG)~#v?0B768`{)U&3!>RM^qJlN3W z!|axMFfGYq5Rj?eGS4((qtnqOz{aLyNefyZO;?u#o73f?wuz<|Sz|il5=-mZujzmkH^5h5{XJc_!Lkf2vX5+7h>$~X< z&1CsseuJ&JTJANiM0-Mw7lHu_>(bHJjhq=VNYk=kN>4czK|n<)prEGWcQ>$uSIaj< zP@7|}`R@cxBND2wk<${*BxrV>PO7H>CEdKR)Ky;c1{<|djwda0EtGp&k{Z}83+4Om z;?9y(?`D1}EQqngyQcV@s~j&A#GBXUK_kSmS%>#}=Z+H*4xhVsSgLPT?_o-r;Ku1G zTR_~9`*}?Urt!SPtRMq@CZaN_-kTzwo^K(}dbTG6lW##i`!PfAZz~WY4;0oj`yzRQ zWn(>CvAX+pd|2mWe0o9F~dYPjx-JH4`{$>)GZ^Fjk~9)=*0U8GlSk^yJf}Bb4Y@Sevs2f}lcS5yYC@?@ z`N6}Iua^h5d6a#-G@`XpS@IzMWpNhNj7CJ3+-r_`M5JI$ld{ug!5Or$snT&~mu6@qA{_-> z3H6{8?w#JxKFg97-uVM>l*h&wX}jlLzWE6X25bf-j&YN7quh78Fe`j`QFsl1^g1EB zVHR&y7Q92>>2h0IP|_Vt)FwAk2X2)6B?|m7H_3k@Ly9{_GtBs0?>9&FR?(RMs}{w8^Ptia{=&BR$JX9GKXlRVRsbC`|FmapeV<;iS$WG_*X zgi}PhC!Ewyr_XYX^)IsJ9uf9)9`;+d+|PzDoGaIMoa{E(8Z5JllT znHFIhU=s0!8yxVQK&3DcMyVZ7NcePfauP3vY8NfR5W+mVV~KnX(cS%Kc~SJ;no_#9 zL!vDAW;vPD_wLQ|AjM3dfty~9mZC|!fqK8(O!YB5A!|ugH&Uq|hN|x^m@Z(zX3!@n zx$YL7l6bcM7CAOaDEt+^xb_HG{-6rRjg#ncP-VxJSNLollt9)QR(FehS^qF?c(@}D zTthE+DxyxWg7Tta9#wg00E@m=?qv!n{}Y>3#rZVFpSYjRx>X*YAPyxOV?o(Yy37vT zAt&_0+^wf>?o`b6hwWz-x5~E>FN2oKlVXMbyF4GmA;KWaSt`G4*>adA-i8StqwD(H zz&T{U>NXgYvHRK6x5=pkON8v(q=;~X82XG7CW_y=pM~F!xQlx~yZLs^+-4)T_ieE6I6Krr~a04bjpp?Vv5A6JfU4FEq3VQz?a?gIpgdoiwhFn`@ zBEP8KziL10@OOEhWzBw;`FBdPtb8h^F22(;%{Hp(%Ru{f?4iHQ-3HbPY!`J)6Le9X zM5C~L1OExj_ws(G{8PS#EJDdY z%@)CrIxPM!%_6w&g1dC>O1Hr?l? z_STe`X!ut82vK}1BO&g`TB5=#F-XEr4~Ju^?ZxmGQuFzT9_+4UA5wOFZGpdlVKZ%| zJh#8D-EGzmxUv_M2fe#u4tr~*JRt6fHdfKd+;5O`=YGO>E9LPfhu^I^{K%BRi5Ppo ze0eu9z43d>6ug}!N!bqNVjJ#9=Rlix-!Bhnqs^c1XTRSs+iiw6pWM#|t&#`F7{GAq75xRzkl)5uea~YHm{N=O>5EURp@pea00q-9TFXO>T6mW8ZMD9Bl6VF zCM*+a)&Ll!Oh&oS1M*`Cw3fc{fLv^$FIVTuT`e@+M8|VivT=`^XO7 zBM19+=p43a7d&YLEb@KDWa$;Ow-qSp?r1+l6n~#*4zY!yT z_-eVZ%f%%(vWC_2hrLCC+xG&mvc2)p<0{?$l*8=ZHF7=0(;mu4l(ezwJ64(xhZ_&R z&X;regR38r@23Zc9+CgSA2=VC)9ArdkIE_h!N-rvH`0Si1@f(<(zUIu^p>GPNO0rT z7$l?Zc7wy7c$}H2xU+wAl_!174i?Bm;suqiO0hgbeDbS$v=L_);=v?7LkrG zZ$2##>?Zn(`0WVB+_2$Q_K(^3fSM+_!rOzwz5+d-9eAx)UJkwm#9QOtzJE8&A@3_Q zF~L=y)l@K#cu}fwhfOMz`$vE!_BRHTjw1GNhF}@4x%re~p-&>ueiqCTQ7=8qXG!+e zvvQw-DVnVD`k1j~kg|@dTBuH&Z@oy%cxcqXf60q_3Odq!&!}{$w~=EezlS{wfXl@7 zelFZu4kB@r;t~y=%b)Tw>$C|LZrM>bY7_M4?xQS|K35%OkJ9IwqwL-PkFsxpi?aCo zUv|x%!Btj8!TYKxc*kp)H&nD|6%36G!waRQr4{8RD@!a*5HU*aSZQKED=RHZ3l??3 zveeRkSXOvn1rtRL%Zj}IzvsE_qV>M--_Hl1XV08DbLPyMGiNT(nboj_OMgbxQ~2sD zex|x>0AKwx#jLTXaG;)*YwT^T>FN2NHTI4{VMe1SWcEnNd{W@gsd#{O`7Ipph;2x( zt%a9{ct0a@v1d~JGd+}RpV27&qSpS9{!>o;7p4?~`O~>rKEd;jb=dj)<*V|_>?VaoG*-eayMZXORan7g;$BmIQaP=2@Wd0-X*hP>HWzp<+ z?U~5<+I#j7IRD1?>~C@b?hP1|LHLsm_D_Ranl(Xm(P(aaNL;(3*3A`K@PYk(F0}K9 zIAT)rGky4>y=lXM!pF_HT&;Le)6x&^i1Imyp4@2f#HHuwZnV!+LmajI2;&L*$l#A~ zDg_v>kL{l@A3uC-U%{jw&a-DV^66~_0~CeIbdKPY__Me+|F&be-$*y80!aTr8xO2MHf%U^3>jsaM@^CWs%z1 zfMu_0LrG8GLR_DTRHG-84~313t&h#iG!}&#_$XETs&F?+kd2yA}Z28RU)(mcEn6P9o9rH02GmCw$S-hRq|7|NG}ME| zlf+Vb!ehU^S+bw2J@25;>Vm+ms+7L;a5d-9Sq~h-DJZoNTe~w#sb8Tz-qHWCRvq_N zkX~L0l4+&1qtM=3W%N`bjuFh&X``Pj)O{-!JJU<)!L679WR%h?TkZW-)RL`OuFnlb zy|&ffCVqpTu`&N~Ae64LSd}n&N<`dX)t^XMR35Ud5G~~PLON=bxdTH#tKlj++THYR z*uTgNETdo>gi%mR72E719XWw1gSJC_t4isS?e@+#-(RQc_3gY9X;c@^4gGh!J+iUS zttm-DcGgZ5t*(I;Lr7jYbgms`T2U z9dTw!$QxM%3?JII2%3Q3&lRC5DoSZ>k-dkiH3y39?bVlSMfP?9JZ1CuGAd71r4&i(x@62kFgXSW0n_ii*)n&Vy8043|7v=Lkya zrZzk5-9o|-`gM7p!$PloWe-Kb&eTA}7Z}myM|1`trUfFN+=1C})In? z1vYw(F+<7D;W0y9vp|*H+iO*8Ev;+?H{LHWYH^;6Utn1(`XJT&(mu*sJeVeaX-}{@ zknqu$yfFR@UHKBt8gr1^@3c=fhX6jl0#~d;6+`SnTE7!sxpI*9?L<={x2jUuR64l4 z==mnp=qsETNIpo>U)j5=GQa;T^m9biTl^I~!*rwFn6J%Wp-!m>>HJr`(E+J_jbk^{ z4$_>jA=k8n^!C@_W-bc)nn$LC2*qR{-OkV`zWFg1MPo`g3sqLk7~QaKCu!;~%nvdS z(%M~EXv#TAWxLS5R~;nhZjj17NWFI32RU*A1w4N@nAvcUJiG0E+CW%pt>Uxq4^j&J zQr@6Sc`Kw#_4nADsY30B7i*p>)aEp84~!fno4E%ITP)eLd+gm*F*N_i-oB0Brp^rI zrPfmB+*6>pzuN;LO!=mURErPN@^4_zb|0j@-+=d$gH-j6J>I(EFb(_`l18JA`_|sY zQEJl29Z9c#3mUr(Q5OQT<6GDs-$DBITQow6QEzX>L29-a9ruU{sX9ob8B$?Fst?j) zhFmfsmP53iAr`%x;={*7^gAGt4in-$q$$6Gn?}qFIbvVc9iF&-_Ip*SytU8XxI^wp z%}6NO^P4MPW0=Eofa;(j3axfX5+mx-eb{Hg^Ur+{GoE4J;Rp=Go%|j2H~bL2^Bs(_ z>k#ez4$U2Pi2nZ0-fF(-7=}0pN4pCQ`nWZBTwFyp#>a(fIAshEI?ot#`gpGaV7S&*2elqLiVQ?&W9b5WPai6{ZcU+#nX=^ z_D)@r{l)E=5m;Ojg7}&%LRk+B40VU7%YJ)gxY5E`A<_uOM}*@z(jl6$-#(Q4`6v7B z(UFFtbJ)tLVOdjnJ;f4lj0GbU)#&a#MB;li*xp0b?R%K)1BYnz_h{fFhv<>-?XAo} ztLWlJOl4aAJ@0WIqW^x67Opr%SAl4)Iz%mguy?LeYV^OAI`0sr{eV&z9wPd|?uuLL zmqB4xfDF7Vj0BEQxfV$U5Fn4D=4AkWwyyjDCuY?lYIgvXa}QDc0n}&1A$knIZK(M2 zb$>Rx4f{VE4^iF$=xp90x_AIJC^$qde`J$!hz9)#5|;q~$=;};AuE(TbQ+lAS;8wn zLXV*IAN`1hQ236!f5d=TeTYso0n1?$KY;*3Z!rNYTG;)QhX2q{NC*DnpMWn8)4TlJ zd6;%FeyAT`{EP_%=tupm;k$nZe)wUU#=l*MDf4IGNBHr-`B|fXTE`cqz>hjik)^g%R@vK|_^-x?$ zx2AKNDg~>K(1(ZZFLNdOAAwH|VSInY{#=_p9np>0*V=mC;H=r|;$>@YsjbEF^u$qn zt2m#I2WfUk2y4Z>9#X#za&mD`EF&0(0ap#M;c;iq`^u6Xio3qPcYEPsA2@37-9GxL zX4XQYTp=CSN2H?|zBbe8E{N4rFc2Q4ZpZA+!jg3eJpKgDRS$Q2QjgO8$Lx(;`U`s@ zy&Xoe%pcs@n>jSA=G3k{W?#-?>Ui89qRuh;`EU#b^?ufe)o3)*4j+aq{J!F|zr@cM zPhgqUbc_otj?jq{_QjoyVqmQ5*V=M%V@Sv61a8x8qqA~_6w}V)ZauD-n}<=F zcgnsnU?TekuPedQoHH8IgC=YF3-svH5gPD|z3u&1{Y_W@Y3`l#cp{R7F=cyzDIIpR zUH7q3j02Xpmfi|z`;xgPffZ`gF%81H`B55wnm6nh z(=+@#;wZg-+CGt2fd4*ik95R7RDuOM7GT;@>RbU#R|1Wwuy=1_Ea91-Qys?VJ_>(o zzM=w~WN~^%Y?PGKo(k?s3G_<^_UGsN8Ls=3v0S$!NQBX#GqA9`Kct0c;0P3aNE^=B zqk9`Wk?NPVymiFex0vqf9*idu+@bCX&9Q=(r*g_Gd@8+&v-W!(1;$Qh;i?a5@mYHd z8?w@SXHhwWh8jNc&{R{neH5-(!!{zDj#ov*Ke~5Bn`H#Mv7EVcm*@OyjPUV|0TNN&*nV z7yQ77yp>hz|`8dA#RCA@jFHToE%K`O*)RsDci zF3D5n2TOpLs#iK-2H*#`2Y9`;>C{@5vk0U$9bG?oU=D> z<}%WUg*baM-&-Q)a&d*x$0_=}J*H>4fdB#;JA6y8yC}+lBjfE_#&k6!MEB--C@r4f zoQIEyr|kmF0-ggd*dMndvdTvn>;(|)zLt8dYD4hHGTD5W5E9liCdeJ7($slo~h z#%||DxXbCADe0o!WlOI5TcA&VZo4ct$D4lToL){QSQXTg+siO)v_(+ijE&vN$9|G+TFfG&U8=ct=P z@BW4DE+%~PFMF2F#hcpId~y_!cdPBk9NP>_TUcLrS?~Z*O*7_4*?aQA3#C z+HuzhqvP875>IvAUhTO1uGnvHYIJ_&%TB+9u!}@W_N`f zXz|&O|K63gLR2ri#?hXe(|6Y}SOF{aI%W%gDYA*Yjt{&lj?$v*0Y=nah?IisSRJf7 zN|&x3|ml-prM-2$klh)vhH;$X>8im4f9MUAqBS zOnGQ!glID~5HA|F2VSmFq0N1|cwakOEj zSFq7ud02E0b?T-8hPDxtQJcyYB<|zZo);v-)iX^mTSfDFuh&9*{;n3T8{!UX;`tyE zrM@6r71Ws6nZ8)usF0&_@$*{wo3tekvZ z&2Bv1o@AXSUwu#t2y2fzvoC^7@3M&jp^9EdPGR4`T{!+Y<=RAyf1hoplTU*1~d@P#0dYr^UAkx3$+X`u;Yd`azD`qK`G^7{%2Vcd|9f zt}WVkjWviBR}3rwDa@vveBQyU7ztcTTTbGb|0ik-#9Tc|murgw*7#%8*ACiA$7rTq zM1~l3!x3_|7Vq0dd+YdPv>%DqDaYutU39a~I7Xd>=+yJ`&i0IgGqrakmkycT9G($Pzap4A0b$&WzN&gP98Fwf}ApLhZ zgcduMMl}&-4GpZgC7~VTGU~ev`%le}Ybr1u1D%9spcA7rSCdZ6RC>P|=s2g+^=8oV z)nKQ&XlvbaJU^+qm=k0zIZk_9h_lx8Q&iAWM5yaJ$6LZUCT^yy0JKTh>Edc3pXMVK zx?q*i_1c`e&R>cTp&_lru$D#?QDyQg97R-RL&^LSpQXFEr#?mRv=W2vDW0tf(Cbu< z*GX+B?bA{;NKuV6P(OkV4;l5XQsn2uz>LqtR*3I7^>v|QDB=;9Xx-jC z!Wi(K9nNa77i;HW7TnuHee{V-46`=(QMF67byS_wDu#&WK8kED0uLyDqMpU|cJ|T3 ztp$!UmDA$Z=<#sBK5s2PbojaI<)cU2h_JE77}W0t&N(a0KPvsH2J*zH)LB-C<_gilbF6+KT4Q{i;6)w?ANnLDQ&Xh9|~H z_q2s6gpFR&R=C>aPS=VHlw_%XK>}8bzyj^)C>qk4wxVT61KEv&blHITT&9e1gPopq zyt}<1-aSHuTNNLTjL-^O5+PiXxij>-75eM8$gyPh{ab1y-s;CEJL~+KHA9GY8YI+rFm6B3NR4tWT5JG#?FXua&m2 zJ(u>_Ka~dDz|wHtLs3W3h793f#v?wl(U0JQPxjq^asg<@Ml1qDBFU`EF z1Cw#>DignwRpUmUNYTe(BC7>OiRS*{Jq_0}U`-Tyq?ME5qrp*HIq6YcPTD`!3LPV` zR=9mat<{kz(Q>Gn1BLmOf#-FGGPv*#J=e`}RvPZ1!0osu3#)uIpo7N3ybjF56aU15 zdX~{&RmU#Yjz(14f%VbIQGmks|%$gPWsCOr=oLQZ?oSFYrj;L8qgkH0fPOLIU4le9+ry43V z8y7a<6pdNhZXfmNtd;geXA$kN{Gv4n-1TQ@Q)i4Wr9LWQ44;oex`?)IoH~XYjz>E@ z8_FgSlPdM_tfzubJdN)Irgsr_tO)Nsw~H8Tt@6>yc`icKnskV_Ay@)%9(!BlkfqIi=bmmZ)JqX!(V=>xHJeI}Ju$fbuE zZ=H66#`HuUGraySCG#SW)*@-3-;+yzgud&^CF^6A;+=bf8uS7cGmic(R9q&NbjZCI zQ<+Z7dNCDa2=Zo}pzoQA87Kc1DzPS&9LT*lQ<*`ddNUP$xKO;SPSC5pMJGq@0&Skc zQlLG(MN4b$2|CkTM0Pb&l>a6;=c9D{XZL=_6Ds9qQhZ^rGdI7I{dz%R{R zK;!y|*6K;2=lY2D0b}fw>KKbfX!W1s$vZ(seMEw_-~`pXU35^h+;O`|3`>1~wYt{? z6Yhc;cE9+w&s~)IKCQc5H00|~KEGW|=4Z1Qb+SMMW59Ir37Qxq9=7g2L3?80qm`VX z3o+u}!19}O(<-nhZ21&V=?S{OuNcSU<+i>UFMTKIr@rF8fWbh`;FQME<%$zD@(wZF zT6Kb!-+?Y&eS-Gifr%~%bm<2cEGH?UpI-MT`iZbG<0MrAPMgn#ZXnLS;)P*Y+fQI2 z=o#AIPq=D}lPpyFZx<0xA^lM!=Sk|(U-Vby@kD=t4UAdzYJc&j3Wy#c`k7}@ag~cp z@r0`cRHriee%w}tsU8a%C7q-d14LKOzjFXY7IBg~48#Pq^GUjApqLRLnkQT=nkvNk zgLEL8=#zAGpm>6LOdKR!)|iv(>CucqXn@$0v}TYP$W)IGf;8ezQoC58SmSXma;zBB z(5N)dza?UE)LoD}EB`>ONDFFW3~`EiUM6cc_)k1Z6NZTPW-)VFYEop5Eka#lN1&wdN^vAjDq0>|z|h7BjFwj$!J zc_%4e!Q{Q*B+XW^dQg0lHYs8S6B_CkFLW_lDr+{*YWY8a*sTjyn|Zw2ji6_3V6F2{Byf&OSmdfC3}-47RN>l{D5=|U*&*hnHe@orM~LY5CHjPiM`&Y@Yo_W5@~S&8!6=@Rlaw@4409aNaTRarNqT3b=sCaCg!pu} zc_}CgIeB5ig(v1ocXdaHDKH8O`P|u0+a8%cLdiWCypixuGs60^&Yidv#ob^Ohpz|_DC@K``eqDtI<1VXcZ*flxn;Ea zZVU`iRC^Na6rQ7#M3f^phg5x3{l7&?n3m2fqb*6WB}h4#1OY%0e%HPLlXt~~9C^tYP4GOK zj9MdS({aGuQbt3@A?LO-${L5Bz7usDhxEN=`RB%oT0xxQxBJ8})9U&~oi)3^;yF-8 zi7B8{oJ@05M2FV?R+-sZ@f;~L9D#~9L%9b5?TZu8wnU9rk7X&~#qccQf6%@mMQOjizVEi|4b5$8BlhE8qd~}ky-Be#B#$!(e zd-h-p%g_AuRF~10lTZYZgHvxs&ef6gRAfszrKW1g?*W1*T|mbrW(a=5+Gx-E;x>8-gK zFWp6ktxw2)c{~8>$(ERMdU>)K5EA>;i)dH)JhmN*H@2J(Plm4xd~>4Ncao4x4=AavjUy8~Xmq*lDe#Nq>CxQ5QrEqy`ou35q+;O17GryKFAip!XlQ~5WgUB1 zO#L?&i_2-^BN~f~9|4Oy%jwi3uxiNGY&s;gx18dq!@A-3bpCw+yVKMEy~an%>F9Jg zr$E0xU8Grk<&-u93)J}i!3@->0)c#Hh_(Ud{K*;(R#i?BkBVarjf!Pua>W$&s6?a3 z!~!O?>M?PUpEDoFu;^QyzxHwAv@zh5C&hMtrp*+?yBLZJhm+4^@F?$6y&s=`W)1dP zJ%&*POe&ix@F~e+N}VO1XlG#XxpGI8lC?$8ndTQNvivzqyvoHdnJpd-Qai}yIbv)} zf4Lc4Zlya8)9gg_t$g9goXwiyk>>lBqg4IkWbiXxs79qOhU{IdA+?|VjwAl}oS z@~n8(k#6)koD?GKJkg`EzuPWJG`ejR`r$k{8|w{hZ*)10-%z_rgR>`jSI=|;i#G12 z_4C9cJ{QvIIkDO5`-ObZVZj5>(C5Vn>x|Qs`n-6?ns%CwJP-KX)8w2l+S%rvPNC5k zWkVV{Up#3|KTSpR(f^U_ulX>M8K)^aUF?YNR4c=}>$VQ~A3@S??7FQj-q?&(#wl6D zs&?@!P8SZVRIxeTTp%Kw=AKUB)Cwj0o~ugccS`0iKEZ6MIKDrB z{sOTvh!0G5c@dTw&si@De1q`}bzCS~)nAW{{Ezp465Sr(y>#C~^o5P5>FI?qj;QmR zg<@D^;|x(&zIucxd-!!Xe@IciPz+IA4K7pq0F> z<;8RuM_)3;<5u5k3eQB!TqBRC;xrA(6pvW(W!6WWVg&2KsCb%6Gckp?R8Zs+(JCNF zkEl?!ho&z9JI)GPv_!Ob6hx?jbupZVS5W>EF~{nvpl(?px%z7@d<;JPuAp&QNQthX z{hSi6e^(24vx2Ts@S__i^+~$#}n#jv5E&H;#=9Gl$SE*E)zqVn#&-a&MG@j-R90-l85CX zxOWaZuuP1*$4F1eP7!WIb=2r2;F}u@s_WkFPv2Ap7I2EvMETqjkE^;pevHf#UhpUc z=@(uW>l*nJl0`z+l!mwk97!=b;*G9;BAJ%XQ9*888*8kZyp{`1hHI!7BR`p04V%`@EFj0h(_Pqi{OnpE9;wz$EP{7PSk5@Ce z1nRIHYKG?%%SA(VfnxD;(J9<00dAT|pVl?VZM)_!;E%_?SuQ%(Ol{I5NU>efQXMM< z&NL6Fgcaxqcs{>EJZVm96SCh-N4-=D*j9?-LpcNdaHJmEw*t zKjv~?tGp|#c^fo~gSx*ah>^c}O{6CKk={&)5x=P=lkN?2Z}+2Yvak_1Thfhbu;%zC zWT&TN1CK3vXmSvm7A;BQiA?_U>d8~UfxnU-Q6K!COI4Uyt76QHg6 zm2Ze(oT%JFYdxX~IaiBo0b2CAWyLsrplW771!6%7Vlw)LYfFB=HR7Hivox+G&*UKn zx?Uh$^yOP(u9=D&sMC5D^r*Ek`8-EhEBcu|&5cO_$y_KVp0DSM?hXC!|C{NmGk~l| zb74S$@K>%VZ)%L9AyF^#X=t%$;*C?2Fs)BTRPx3@uzv$jKmKP!K#rTS-F z>seQVIBR=7>yPhd!ltKJoV*~RXmwI(*?tx^6(ex zC!2*xOnOhOa2ZIfy@r|DWa-txnvnU6YLLVRu`<%cGW9bd^H**xM$9f^l4>v7xj}rz z`jqv)Sj^AR4=`NA`Y9iXjiE*Xu(Cf?-wj^8u!rJ9v2K)+f)1$~xNUwb#fRN%u*T*M z88z8}1=RrhEmI+A{`H~Qz@@L-$VX5XP~k=_MB#VhCOAGYS<^OQ=@P&9ZBlI!UEIXW z%novVgeTG?Kf-DUY}A;KM5~~>26Kv+&CT;4i}=R=1<8U$w!gNks^#*1EV=}ysg{?! zBWSnH6P*Locp(#3N=1 z9>>SkF3$$x@@JTUYewprDFJi~%L)&^D?&ngKJ1f86^nlPr}MEeVG`q>6kuJ}f>=Hb zS7=Z`Ep(%0Qh|=IcsfX_xz@;{LEef&jA3j^LbmaQ%t7(npg8#b)Hbmo(rBpJ+#yDoHB`3xZPA;mwSt6I8)X#D65R=- z#Z!hgJH*&N{sdsc5N$Qeg*;WGW%fSK8hTax$cs9BAzm;C=6Kvu4)pSOszp>4g1D^Q_@%B*M?R40xf*9 z=RdS#&~gr;v0sZWJpvL2>IsFa+#Pb?4t(FsK?^ef9IF&n&8E-37JaSZ zXQ=9HETp>5P}f~p6N@@Ssk_7@E&a_I0RrpY%<;b4JJ;hzoyW$ z--sSPjl(hc%zxqwd>qG(BP7Pc_{3T`eV)9CX~FxrE1W+?R?d*`8|dq_{S@*o)}Ip3 zU>hft27fD>no-1XwVifNP4XFf>|3mhrv0kV2)wCh=M+=Yb!zv-3jlL82 z+AM#S(Bt1hBX9usgYQJYfyxCf3pQ=|c=@8Li)akhBNej32L5W z);#YF9WB8$x8Mvl-Y;4^R+uTpXK3ht^s3!w=qaRFqu-`C_Cu~EnvD1D7fqeUp>4#T z3B?A-8TyNJ_|8zL?=c2qaytBbF)-ZVet1^WlB-#ZDlj#2Z)Z`g{+`!H%c%@l!&mDi z<8o^4Ry<>7j~gGWIn0Q;*%FuaY%O=8U#FI2Kpt_K*RkGuhNk`?I;nDA`2*J6p{lR+ zluha252CL1+!?C)0j&XGs{`;{FP)+14q&0)L^=SZt3Wy+Ix$;~e#8tC&nZ8O2!BLy z571Wt(#c=|t2k9)08xjde*>H79-6XavrX`@z7-kDeiXfJu8S$u>?hI0R_iR{3H<<9 zH}NM3)^V0*{v;x8p&GO?O)M3)$@3GR#4V?)pEPD#{0v!zpQR~3W6QvGmj3%QmYyQc zQj0_xNo`6+TU+OV{1c;$rb*xrI5V4LyDa9cuAZJ=`kT8T`Ye@~iY`$`T6|_GOw0Bt zwh7svso{`4u_ku^v()#X=+@N0R?IXmL8bP>|CEmW-~LbO;3o8t7^TYm5Oy6<{R4-@ zC;bZyrQEehRBJbAB3QF}i-4&V2#J78AXYk&jtX#0T{bjvXKBY_2r&LET{sNIFE%K7 zlg?6`BT&}yXKCyar0h0RrktfEoHFAqc{!!TOqq3-e&dvRXQ};Bq?DQ|3(wMhN0GAh zEG;{VE?91+tT;=%j*9LrR_jv4!g=;e4wG9kW=|blHB=5;2~|6W6-zw(9D~)s^Z8>~ zu)_13VAB-#=>3U?Wx+Q) z4fzX|mDQ}B-sAvyJ%(pjF}x@>0B&daqJrPq*iSRy_yQ&dCTe>ggFR^%sI^ZtYBDz< zxg(M{<#6)W^b2$slH)Rrx@ps6auiCK(q!^%Y= zP|GRk7m;MmZ9w<_B6@}yA53tJ4xW<0?y4qs-7liDg^|dU6izTN!G=*P&wu~4&;sUP zuMl^bHc^?JtX}L+${CC~c^7Ez8PRc6fxnV4X8c*v$oySo>z5FOA0@_w7GWa?CocM#`1I}YP1kdNsi%f>g z3!=Xxx4}p(5usRon2|sFf@pv_kIt%EK}!2oM28t>DSmRY1C>jL?qQfJr~F^B1Bz!* z74I1~q@GnM0?%1hVje$#s}fz!BHRUFw(~{oA|hq>MbWX5QFwywTYN+AtkoTDx0$wF z6hGX=#ERcUKUZ!;U6@`|tfnPXt=O->VW0yy^?nzf8v0pIbhsz)P;j*Y#s99c``Yi~ zONUuav=TjdNz8E=`U3B=0iC`il566O{6l24Fjz+a*P}wQ+NH<9??tAnKd|YN+lU(d zDTda}JmpW8NFzG=rxe|}eX^E;qcC29cwsogkDx;TrPB0)9g7Bq$p8(UD{bpp8JyQ%gyE=Z1H^i@I9ZH09$3z7uekw4EW?+t>ZoByLtwz#?L z->4;FU~s+1ZBo^#du~H!G-2*-vbi<-0(ozfna-Pai|TiljcOH!BffQ7ZHX^SD%H_% zZEe}gDk`bCwv2K_7>eR`R??-~vWGRilA`QV{owKeyByumGDmZr-QL`=398|Y&qM?x zqs83?{qRrIWoo!iA4?zYE2 z>3IU*CM=o@UqrhaFQ$_A3K{3Jtkqb6`&%|yWyZbD68VU3*)7v4T1tF6Y1|A8!H_sX87dJY*K?$n`**QLKTYhg{@HGGyzCt)z^)a+Fo6q|&;O`gkM7n^;MXdXRc@CB@d01OC-Bgy%=~ zzbulK8>Y&CBojSUBQY&e6h#X*@R!KP_HJC}Oq@5vPW^N@_bIKHx z&Gbs@>_kdNC8auLggFW+laR=7%UnmZ#`Vr|i(m^3-Wn!j%tUbk|KYulcZP zW~4HwoWMdFQeVb*wXD*Rl>n#Ou)CT@Eo`W1lxk_W)t4O{X#omD7wb!xHK&pqHjsVT z{*7!Phgert(#s8GSEoUJcvh`-iZ{2C_AwST^R|XEqPa0J;R3o^XBKs}Sf+oLj`8$C zrEvEJ8rD#@pI>4$Kb%0RI(r-Vn#8TK4t=c79x0T`#R%@7r`|4?U9H|R<_pNyjk9g4 z;)_%YDhxQjdUi4Vooe-hm8t-E6Nay`pcr@I{OW*&2Ar@`aKcv0uexyqp*jrDb~1ds z2!Ic>aKfT+PVhR71em>+Z1r3KD@EZ3SPQ54P81^-BpRhg0vmzd>Til>xW_cq0QUuW zJKvn)E_D4$Iia#qlT;%ck~?uOzGcF_eHzSDsBAnT$|%cVAsNX^QJRq}#Ho6%shp`G z(5Ul==PRc%*b^NPGfK(yyfnVz(JsKw;_2^IwxMfUWoGGV~Y}_=~ppNutPX8=dEfxAw+(@=*W$Mf1`$84my*e%~YZFh#`SVGy#X~`j zB|f5ip+Yl91(plQ8-fD@w7)#Ey zl-~zA46CVlaq@7NOCq#fB%O1~N3F1!DXryz-bOcddkjMv616uZs`R0d(8KZB>Fl%P zm1Aw_))S-<+n}{v5@}@B(U72^ zlWQsFouPlmCI`(LkJ;xm?zDv#k7l_>W^cQrfUj-YYUvfDF8Phj-qMdDiaAQgXRkR2 zML|UF0>ikuGY|GGzVVr;kENN4WUFE0_@mNwQL;@lONvt`wykH(j_WtZ0GC&B3M?lV{s1>GqB?g01_6j&OL2E9u#e66aaVsh}fTbY~@9?I^og_f}G;PO^Pa2|ZDY zuXi5qB*PsGjj@7Pk+M6<-UAQl^?|c;qdq470qxr#H$?B{O#(Amu^H)PCblElr+uxn zjEp*hPlyPPg&RMI!7rzWiK5V zX^lEZg*|1LE=INfjE#k=G{5a1Ri#%OO;sqg*Z-m`Q4p%BE0cRcSEA2p8Uf|Z=@g(V zVYIE6Oyod0^?J*;Ee&Jr{6A{*kE&L@$C@y_;a-Vc6lc!)Q&-SpK*>l$Hzo#T>sSm1ySe(0`hC&acLp-hyDuEW^mBA?UyQh*v9YgS*zUe4EaMzq@2eGd z=N%|)71ZSpt+3bcKw&xO=!-jKCu^=w|F(Y870~<&ntw9-!#`Mx)-C?8&Cj1%d)R25;w2UD#r1drwf0Vl{QmZuPOrhlhLH z5z~;oQ_29oN`T(!Z>S1ekYD=Co)Lz=@LDlcWAHYWdVsEh5Dge0+tvXAwYw)|zeCY| zWGKxaAe;2j!(2hh(os_j%sI1**BY}Ewk|oQE^RqS-wlxc`TU+^pq$SQmpu^efM?-A zsovswc_195-RG$5AgL}gB@9ACmz<-=2k|KX0)0LRQ!R`QwPWR=CWZ#aXD^!vS!aD# zp;{{6Ihq)YxirYW5R2Jv={edK3o}%4jzR~^f{v*+efLfq?Ukb3RmS8O5$|dYy?+jt zIL}#5Er-bV5r(T3m$_*bFAHa{R39~SOdA3h%*>%rWRYXFmP38i%<*%L9QuS8IW|CU zC_{bJ%rSguU>VcXRG$<%HflN4N6j3c4b|2b4i1&Q%{lQ+b&ZJAaFjU4G2FYFbe8Kl z`M~L9Ile>lhHK!MHtxhJ#3z1nr&FEVg$xKp-oX}AHbz;MmDD=V36R^ipnn_SE8gdGv* zDgRE{x^A)56w2+XLZ>-(ryOA`$4d_dI4Ceh!J>@wJk3+I`RNwG=l{!}ASZ2i2Wk_g`lyD>o%OmF(;>z{r~BMk zObKLyvfNllLe<`NqszI@({8tH(=^J+z&kSvx>rSdqdKrc5L2xYSZKg==m_~oz(PnUfG;_jg<{p9LiW3=`i$| z-C25etZd3HLSw;LjK7PGmo*rx8jE&7AgIpwN?b-}jJql$lkSxr{J!Yh_ks}v0ey^@ zTTMn1tX2B>Ix1PSSN}H=Ix%edMVJguH~Km4Zm+>hYS*ZazV_ui4b2CTLCl$OQBe%XxZZf*fBL<_UHTc4I5s zC)-5XzOBJdTvj@-;GdaN0fE6x>O-U|y7-CmFKaQD%_hmd^<#Da14}Rs@I?^p=F5|0 z+Xlv(#c#tx>f>;9BH%j#x8?@ZnMrbr!^noflfg7DRsO&!UGJAqG!9JBOXYQs&+eBa zgZ?eC@nktMs3y(yDG&wnt)C(zuqJ##uPHV;4WcOc*n?P}M8N|d!c-H_l!wqVcrJQK zB8Fx#ZGK30Xr~y%)Xi(w71+nY`B0eM4V4r$RSvc0RnqXOay_@nxv4UZg*0&*j7@AX zy*rIv(_p$XO}@g;| zN-CQ!5duT68pfeuYBd9XGM@csXk*K)8FE~Y&PJELxdMDyX+yOFF4HPuR7?GfUin5e zZpq0Nzcqn>IxviSlveGFy-WsiLf11X;Ln0$<%_Q&Ou{CxIt zxs;zWO|J6`_2$KEquz>V3l46iVd}r_EIFQ#(NRW4L!#_hv(TGyNJxiTyWB4pTXETX z?RghDfu=km=g;?^jWe_4B8%&38QS$jge9y|PVr5763skDF&$vEg46`i~Um z>il_lKBBeDnfYqFG!D!^>mR8yRHZukX2#MDvb^V{kzKBmon523qi?Nfv`X-1dMi*- z&n(%du2Cg~rUa;BmW-cT{Hgw7M)nxQvNNQscvqXE&(k$@Z~-ghV2?lcHfj=Z^WRRi;oG+ z9%>d}g4&|{g?9QP^Co_i12eeH4Ar`LI{kig39*40I-41c5*~@WxdbIJLyVcB;-(tm zYZ(^kZ8v8hADCS+vzrX|xtYP#zzj(>GMtzzn+EDqS|DnwiCX--Y7}dr&I&}GaWiUY zr>Ae`dv0KcxivDp^>j@(<^`f=)IjA%>v|=lW}_`nhpYngtT6K!V(IF+InTnI^5mL% zxO?CK4D6`C7c9@X343E8Hq!hZL2tL-H7x9-N2ikIyLDd*pY%D5N3o z+mnr*26ooN$%?le$itq)ROg(Dd=8+w&&jAjvayQy62PB4CnM`#H8HOObPAXOB*!C& z`=u0W@jOThqe@-}K#9-GsD@_Q@25lTiZ>JqS&SQM;x-4!TN78+6>kI*{(c^Fk_Z#G zGeF(u%dUZ}DvGxkz>m$B9qaZoG5Z7b4ln~)O@-qDXxV&_j587A0s3TFa5!~OuOX`` ziZ=;K_of3o(Zn7PP)SIgaC)aE_B6#i1xepB_IML}20%dzYA`WF@y-Ht&;m>?(c@|Q z0(iaiE}?B3Q|i&8lZw7MRg(mVw&YuP;1ie@TdmipQMN;I8vO^nVT!nrjN{bVNc`&yr$C_g= z)3Z&hMaGM=SqG!tahx3=yX2Zzvy2-Sjky%Aw&on(oglsUMK~5KOh)zsbmc|awO0Uz z!F<{5EhH>hhllcC(z+2$cTihOTp+`37?f%5LWuQ<#?YpPvRTJKa{R^2E#!nst{lbx zwh$cUnjD=2sP&=%okN`lqsO8{r!JC>ZI_V#^dfXs4A%74B9wboEBA**vYDx6u^>_H7*(Gi)BaKRT#b#i)C9|t>03}o*{<}Gic-cvU#A*Hz6>Ofe!zhtM24A zQ9tIZ8Bi|{MXE*B_PtHZGGtgoKSDb0Ug2TrZ7Rr+tvVP92<#Gtcs(4&B@GJ+=FBB? z<3K=Bz-yVZNw^=Lexx5`b093H)jd;&w(Y?O`0`vf zw>ePdeVMXjn306o?1q3;!LcKo{0+ADMr0?otqv6M&Oki0o_~zf2dNdv<<3rOel;*x zNnkE|W{HdpDEf$*Sb#QBedxVQWWxZAaub6+QWYaOs|JRlT8alwAoa?sQ9!ksogJ_z zvt-)<+7{D$WsOm37iYpfjU*33!S=L6GNCZc;t00tx7;#fuiFvDvb1U6)DSWdDt9S-)=7t?<3s za=0Ty?;z@GM$2WeM4?cIzRP5+tvO!iErVH!Hu8ES08+=DFPF)dw$Auf&ImCkLN9>S zp{CQzvYxF!e)WGD3uxf^(U)ZpTPy%?zl^gt3SJJrEMw}%npqP8!nhMoeR3p%B`&6; zIWkP9t2Q?oX>)R5dE!m<)ZdoZo!5l6`;lANrUC6*4%YjV4Kz<0K%HKZ$+o$8dFd4l zx9Pu`#&=yK+VQFktD9<~X8`NOD{`DI2QLF&1^P52&ASR9b+}pjDi#=X@$2JPF&?EE z2%Zf9oqAP{wdLVu;BsW1YovJ#0GhpA#@LGSl7}?MLNjgmZ(2}?8_Pkr1nF&7An#H$ zy%ZoA^Kg1%1rU7rwPFQUVIb7~E99uUrXr|X(0Qe75umI!4Pfd@+10T^Usz%Pjow%Z z+gAaSyH8MW z`M25;I#04q07eZ9LhV=8FheyB#Z)8)^pjkJ$;`9v0#^4ASK)M|UmP|2`7f)mTjEc& z=$X|{X7-gnuVZj9`vg|!X#DF?SAn`Oe_ck{oOmgG9j)W<6X5_|d|mdlx$x5M4cVja z27@ne6hOr`T)qC%8*+#(8o$1MLw2>r;N>b~`t>6gpnh+Hih`G?->lL8i2&tsS~6ZP zAkDGGEFtyxolf?YtZ%?`1Rfz+1ZwZm!HCf=@$n>sx_3 zVOX9svlbm*E4v3Yrr&Iujdgpj>>Yp+ZV1J&nW03vvOxgGEtVTME<5OIu8avaLeRu# ze-J&AD~IoUNm(Z&qg@Vt?=WyrG@QN3V%Vlc=H27`TeB(H!^Z2uPhq%D5iVi}#8y>`j0|3NqqDFYgbu%r zJ&@FT^yk}hdUGQ^9?{?u`O0ZU$Gi&6=l&x{w5xSRAGiGTw%OR*MR-WmLpxjm?$7-P z^Svp5t9sLFy=-rr@i+SYdO6dY?x5}KZ3=JJ_|yE==&dSpLSd+q+m$ z#&i3-5CNVS-<3lf<#yCAN6yqFo4H@XMd0LqPd@1|k`yl>yWYdGNpR3$1FX#~lp41| zj2LbFS!t@r#4_;0r}8+v=Q4=^Q-4-gcM`argJl=|CuB0c+oyxqJD z6Gn6*c|Sn6@qx_w4`4eTMs{xnKutcx7!hzACO~&FDBwCwfEF<*+{|dXtleH;@}X>6 z*MCi>KvR}{iV081M!@}-WjX;LxEb(K4399$gady3Qk`(|>!%O}Ulp=)qwEyDL9Y$m zdx43~(ugV^WDE2BVC}Lfj!x{xC3aM`5odECo#vaM4=xZLwh2}y3NKG?l4G4_-{F{a z(SVk2f_D&um%lghQPDXR@evG6e*o_MNKUZDT~5h=?<1)oXv!?Q_%TL%pw!QkxMOe* zMde}37th&w@-bThMDt4?_UM4<_(XOKPoHRXme^Rna3%*@;AVBY`xBfa!87|4xy0NK)ggB9RY?Q{jmL#0sX*L6abs=w(-=)Xm_Y+y#y z$QpkTS0iNrK4S~qg&e%R2L#T!YYU9_DgaLF0Q+YE4MFs%3R;e)zysn{i_%rPMfkfWt0VFu40b(oQWT8$IUk$7A)=WSG z0PWBgD1_?dW4j5~AwFNa9nrcry0<}r*5$)up~Gy;hdkrK_^Es}MiO4?7RYe^A_JVY_yx9(X8tj6WM0;ckEYo}09vNhd0wAYQ zK5m^-kAk+!=sS$O3E6i>!PeYZ_@YbAy;NHTD2(=7jU0sE&03*e?1tr$dNh5joKSa) zZmsbdGD!cpRW4*6Q?|)=O$^d}Ls1Ec#%B-duN3wN+UwhR>-QPjzD*(uv%x)rx8|Z> zC1j6C#0S1WySfd1DIPB!w!@V+OY$ZG^xYp=wMpA9N7w9Q|$CjO#dcQzalK@u;rRsbc^L$j|zDfS%a_FUoIS z3jk8*C;NB65c*AQG2rU7#QBA+%~A{h0@Gd|;&1-~npg}0q<$e=b=&PXf&6tEmVD5n zg=jAA5=}i6(I)lIW)3m;(HEH9pox!v0X24^5c`*qCmY6|U&?!GHX0koRbT3c(E~i@ zqx?(RS~ZMfryQ>u#;H4{TQ!WIekHp%F-)s6IfpITi_cja%^s{;@~dCrC=DnmU(2Uj zm)y*E0eCKeg|R3%zESwlzVB<9#OQr?$!AUbI4mo}Zy&$fB?q@N;^-x0XK;td*QoX5 z_E)>NntW)cbhl<^Qg_Rgo&gqA3ACJSPAxow6!?v{606zM3%lV3vpsFKN4KYw_sFhY zOnZutRAQrHOJ=>Uid?-|`GjUrV?YvN!;#m!2X^z;EOcRyY-MA^>ij0auqI^1m+FQ! z_8Z->KFbLIK;gr0K!FYIzJEcu#t3Y9TYW1>H#69b&)lPAf5LXrqdIBa!Lq)^5qX3~ z{O`B2dwt{3_Ta2E3@p2mzy4kbq69BP_CgS)czFqs{|`a8>=&-g_?bj6Q90%`P09b4T5^6o2D{Wr-AVN6@Nn@a5s_= zsJL*NP5{3VU}r8U;5jalf*)%1NUS%LSbGz+rhWKf4^!e&-$GxqS$o zKZ1tA(|%Nbz|YM`;alT5_?VohGEsaCr#k?+dJN}Ws+{?QkIQfzxpC^JX*@`J&{_2aTOn#)kJW?(>xEQ>9n5q^l8ioIqkjE@)s>F=L{ss`93+r6HKJ}&d4ol zT0T{xzE)>_I&fa*tCW^qkT0t*u3u%MR_?DdOMMBdlFj%fzg3kC3u>0D%a^B-u^m`9 z;2bFK!rUurP}H`zOL%$eqKvLw2kj?_P!XhF?+Mc`Dm>_5UE{^?b9G=LAP&2l(0KSxJJFgtZ335KG1!lR|e zIceg3}1Y)n^&(n^n?$Gn#^_+VmO}EfY}UY_k&qP^ zQh})TfcLp9T{YlU2&x45w433ueBpq#&#N@epQ7-bJNLt6<-8!#zR;6d$2il?J7Dk14dp$BlF9{*KnphwG-uCligYv zhh4JVQ8)Iy_&0)BV?eXO)=q8Zt-0;+8Zyul={GU^647G|U8O>BTC^)QUt)hd1@4PRzzGe{M&%}L+G1M6s5uG{X z>uQ`%drwP=!%r;Fqx!0n$7e0hV+GzCJKzqjxibG&vNBnz6Efp=R3qN}m(@u9f%XRl zx9T4Ia6c7`=_7G#><#U=lC@O5&{IRl4rc~Y-wx`)M(HFpGC;wSgq3R;i>D@m*^xW5^PJt6xIwL7wcy=)6^ zWkoN_vjyL6n+5^Zt`(f*G~5+6fO;CBkJJiA3@*H^tQFk1W^y{9$7%(4wPoNX&BVh>LT>nawYe!5JK_f$pml{CuB0qgZo+ZdlfIL{oyZ!P?{RSe`0fkK7*L zB*YR3zNeW%UCAy~^#&IdRIp|-!t;*cL|Zi~x8D(bm#G+T+dj-7A;Rdo&>Ml5l)Axv z%w)y(Ele<=>*_+n;duG3Zt(oB#RiWz9j?T%)mJTV9>@H|Kes3MMhZP!FBmbro}mr( zg4NjjCG~=%=KIX}sc+^289ljKNYuA51UodVs(BaeVbq70l^CQE1R6^?DFPpIk2?fk z?!4m3HFJ!w1oRxzJ19lPI#kKL9RkvSBxK@SD+RqRi=GOB`DE^vg#;^Y+jn*&--psYA z!8IT2+wodMo$1aE1H?S3p~m#n4QnzD0$}T4$)$u zv7zGZP>0Q{R&3t>m{wjv^}MCL8!Fx=v>K>en3(UQ^_6&5!D6!;s3J`4CA1mnXqY%i zPn0cQJDNy1ST?xPpl~swbBXHd-D=JM0sW+>8_f#`b0s}$YQx3R9R!mb=PsPObrXZ6 zVx2`lH@dZ(nCHY|t!9=BcvZ+O+PaAorBGGOm~~60#WdyLB?$t=u=7UC*73hvUUh1-o0#obKWb zL1=cP(>=tV;jLPo`%HS9`^T30Mm5G#*WyNjiDG)Fjt4WBm?6k1_bbaj`nl0!1KOHJ ziK5+&FON;>DK_%Z+)J$B;cLCb`SN$N_*+n$hV-OIjC@5J#ulDfa(a;Z^cK4b1p-a# zE#|~Ic8R>k_pMltNP^N8;JCH+tG@jNo$ifJrcj{YdW#uCkw9sEMBFyuvehA6tSnCXmS4#ySfBQ>IW@5 zEYReBVv2vewt2zk%nt49Cyp0R2xNK>{Zp6zVz3Y@P|yBikkBM3oz~R;Vj3^nw*D}& zfdbX{7l%rzs&M4VQ;z{+q|hu-+yHT~&?3;x0T5QJK#va);{-c$3=rj&a3K{!xhl}W zRB?cCO`wITV$TGf8@TdKkcg2tFK!394%cOBm0-Ir&|~MsAo?Oz3>Q4y$$g;ML(((b zBzGD#5aj&aX~sZ>+@67AiV)~dpA7^#vpWS3f;dosTL+1uk|qv3F6vGVyMWj_2!+oP zXxAXP!pZJ5?k7m(;vg|vNCmaQ;(hwYhbmF;YB7NNritl#^~iN+ai`U3Xi~ZE^m>|@q9@9Am*-Bu@WcXliW?#(bEYQ^ z5!diqxHv@gpI3SCl>Al&Zv4b^51NhQmmte7sq=lyvfIgby=Zx`hM!aRS$0_q1_+I3 zaVXbT$ob?_2UxuW>^HcW$7sq>NF}|A9vmw6iZyjmAbC4dPE+88GhOlK_Fh+_GLGXG@c0UpQ5VP@{lkl<<%&k5^@E+vHCBhoc>Ab*C#x8L6SdA_wN@wB;5~ai@bK=xq4i3s-;U#1vY} zH^rQj&%x*wXRC6j$+v(UO0oPFF-A&pswjH-7Wfc*-Ra~lC@-p1$P@>}YIg1)suI(W zp0d>UyVKN6Fn6fja8v=pp==wg@gor9S7RrKW)#@;F>4c1t7 zun1J)oar&;DKFqwsGFnRfBqMiYi_I<_f)1?LYdY0~;&Y(l=^ivS2WAY~S&<{oi{13ESX*_h77sFy2G(2; znl@VO(P^uWHP0jei6Mo7^y+9aR4DMEv!j6;n?1*fUAZs<#)y-6xNr=*D^RQ+BaV)> zYZU)7l*I04P{e;IN1+Erj}wI9JV5Er2sIk*}O1_cCOcn=(%`_^F9v#c+Z0vW@E6c(k zSKBdJ>=%3PUrAS?F!ITOy7@->b28f7A|nl+0vph(6Iy1Zp+7KqRa4+ft~Jt&Q$)O< zht7D4SU*v#1z7*pMZjcf(7Up-8(^tz2jZaR%9&I^JzNZ^zQ6G9T#2)8DZbTUSo4LO z&pJ;uKe?a(Y^u0auba{3w)N>Sr#6E(EhrEN=rzwD!j@AGBc--LyoGc1X93)Jd`C|c zYxwttX^Knm=QLOVe2<#0aR0z`v4DSnI9-HpJw)y^#FPP=%aCPVl8K%`bq#XLZ!K_$ zHIv^&y51r5X&-sfxQSv{56t9VqDN+kS&r-J_<5nx?tkW#E#oVIPWXx~b(@Uz(+m+; zm8!Q@=9I6JZ>zLzg$mA88b{$wG0{)UHoAPhI;F7FNL4dMJj1Dq5U(z9sbWUMNFU7< zkX1<(#T(hC7UO{&4sc5ZnW6_&TiVDcf)d5!;zVf;c~49Et?Oq zHG0tA`C@|8V+~CnCm{0d zJz`XZ#^;a2;dZswqTyt$`O@w3wXal9*>tZsr1vE!rd*x;Hq>Z>T&ovUp8;3gm+hs; zAH{aMC+~%qb;*NT?giUdJ;<}jh3#t|)Y}TS?*F9)q(O=lE0o#}4asB><7}J9F z&ljRgYu!=fcfS~g8SGA!x=6fgGIdfE25ZD<9f6%FWwCg>(AJUGFBWISn$=W3F4dUl zx5}=KNkzw|I4;*ZQr9IgTGu<$_$6r99-g#v35-{~n%82JJn7&P4Cwtl=^LJsqNjv< zQse_jiSeX~4uve3n66n?Plm3rAZ$Y2h+p-|0zvmbq}W z*ONY426658B=6-e;yUb!Q;NuQ!n0<@a5*K-Sc+onZB6*RmM4ZCq z6~0QG_8%M>bdLPI=!I3_DAbF-SOpg~#*6$`yT~iiixwP1-#BwM+CqvKtweGsM>VE- zUuBAd)S4aO+J0GqL&|mQtbtuN6_5&qfDh@U#Dt2>_z5vUO}{^6wdGoFWOWJ89Ib?+KWz= zf}L|-|BQ-++Eyl7sT_>1Ih7-&8WmT=XJ9)1)Ox#mT0KMILl z_o6=@b&-gN$ZZz1K%{wA7h(8`^usX7>NP856)MtuJlVlQ46eRi4~Zm-l)7F#7wt^k z*(zb$U#XoGk$RP5@+?iH`Q_jxQ>1O>E;^GV($T@7_-#1~H9;h{!9^VTA`RaFiZex8 z%2OP?%onMerz{e8eZ4{aFJ<8i*$O+$MCw$5@xxk?CRU(!){C^J!iAkp5RD1!yk7x! zwn7?6c2eP;V*V4}%K|CmamZ({NYfs7k8w`CWW$=T< zcnaBKt6~(mT{KG*G)%T?Mw#2i-gi2{v8mj0MDuU3X^5XK#d2rnCwwO)j(%EfwJMYF zGpqT%TYL&$79=K{xr1n_E70*bGCI2*eoPaio7>^_p)66A+}+(lqbtRYd|>@qr5LJj zrLdOpiC4@EVh-5!oY*X_RZ($2gswgZa}y|0haK>oaoASk2-67uJt;AcI`;>2*K0m^m^HV$bYdGutAK&|tF44N@F^aU{S>Wfz zS)AR$JJA)Dc+=9I;$TUu3V9u*aVI8DawYoTPI2Hr%RNt`xEEaHULeu<7sTFo{U354 z4#EGa3%*d&$C{OHs^GoAF`wmE1n)ShSR~P>FF^3h-v3~sSfU;;!o;8?*)J*;G55})9*?YtZHS*YQtYi4BGjb+sqMlbBfxU!YesogL< zi!=zE9cr~3RN5FNzXX@6ol*Wvz_Cn+Fi3RvHMq-rUxH3}N_6TatZkSiy7rPdlnWze zkJwwPQo-fwyl)Q{T^c2Nb`QkVB+=15sJT?_n3t^?TEtUYBr@$)aOCcVXLbRv^zMZr zxP${s{QIgzKkUVH6qaEFUlxZZYSW9Bx;C{ME#(y!-_CCQ_&6MJZIUOuu4Ou{ei=L< ze1(VY5?y#1LI$@1`}lBq8)fVh@8OtV-6z&0XcoolY)~@!t7LVJYQbcCVwgc2U%~Vf zc0C?Ms1M{VGqyfj%-8H}I6iTeLjbd>S{x*mbXF8jZhX~miGIR~PBgz7hVXPJdcIoh zCaqN=aJ@h$I#-P@?m{OLUPaGh=uD}viecfNDg*|@Zm=NA8I&9xIXCl(3A+DP@l^DB zjm}?|Lo#EE;SIu|TH2=`B6FN>S4N9x6L9{L}`Ivc{lhs3WqVDw=bkVYLAkMMZ$h?vifbm0*()?}EW zEY`>?EOj~F^z0EdCVocf2pZP}Z~E#86d&KgHqk8PdsCWCj1P90IHf0As~qIy$6Qv} z#FE$(nymgd(rkgO_z^*@g?Up<1BS(mylHj=YU8wC8_T@86$zq!4X7-TKGVSWuXxiH z4i-9BUfqLC*LzcNBUc*wx6&clV3++A=))~+hK3IbQEF)Cg~V7?1VSP9Rq};S3C_aj=?ye^QJY&#QFY# zYF@sKFZZ%V+4YSkG9E|eAWS$8&JoTyF7^p9J7BRdWKs*?jm3fY9!KMAG}U}`TpZ;l z#CNWVdqZ4^H*!t%%$wqUJoG+^S^`AUNwFHQOW*)Wlh}3T1yzG(6OpRcFuL}}MPB^9 zd-WJ)mi6V7QGAx;4R?zkY2BQPIEy~JWv$`|I|hH?lk?4$;s2j`xvg3J7S^}^Zfjb- zxvdGM&)-6?Z%1o+3qo`loHlQYe_KolXm>Qhn-{?I3=f>nf@PWewzz=X%$B#sc|yrf z$~+|w>|C-_>H9Ov@~>RaK4gA@Hk`t&F_JHy5})SbgQv0jgz)HT^y)}&IW6WU8oD?P zp)&5svM$7KznqwOJ{_hTZ%duQhbFuOwea-8u?I0t(g<2=fos~kFyAI0`tu#o4D_MV zXHaKmAA0DFI3~#ag2I|L^VREvJzn)$_agVD>PvRke11ke=OzU@@j%Nrb_f^ZedzOM z&`S29pm+a~Rw}r77qrrS=;L=m%i=>`@A2=>H0eEYiICix-hB_{Ozlke_e67NjfY!j z@|A{5s>`rb`?DM)7QF7;)pZFN|8DZ1~m;i($FNkd%aNsA3eV+CS zW+f3HaS@X$DDKLOu+LiSRqS&WD&(SKpD$j7n5uo~hWZ`%shA|x`q1s4LLXtKNvdxy6SLw!&lq z^Y^XT_0Z}=>@z?(%&{FRaS>hY_|Jf#&4=#i$qt*`?n661gH1M===0CS1OIM|(-qzG zG*R8>7}c6g^vmZiwm1+X`~u#H*+h9?z;#U3RVdy>>v>ADiH?0CJ`txC7LQ*zjms=` zscQAg_OWONExRO!MWs8nMdx`4j~Lk>uS+v2rt5!~P`*qP{d!4^i+0ve=S;YaK=HG4 zOqB5@if!pktGFxuzZ`MsP(a~>_ zHQz*EeGBJ)rirBQFhGIQBz%WL&o@!_cZkRLz5IKTi8g#EcKZi+!oB_uRmcd%Z5i zsxEZ#h8Q1It;WEw7**wE$grWx(6_a9p@^Gs>u_#j+D$Rlq^V1W_5NHORqR5$Z^Ds8 z>ZzNkf#xpsz4E=eGYb`3x@_*nc$#$IF+58B@Smy4{dsu3JSJaIT z=+FM1BBdGN>|<3$Y_JF>Qnq>(BlzfmX)BkOFl{WbPO>)v)0RS zgbVD-W{Z5+_$q;Aa?j?Zz*2+?U;0yEy@V~k)YBc`h@a`sR`8?nm)zM_c?aYg50(_I z9dpQ@A)mj^DaQeW^3ZJT3H2OXF5Q+5C9ZkjgY}V@jyiS#37{U`fyJb3Q8`uJQ=A0? zGkjIGke_@j;@+0Bn2ZDZ%dna(FCxFtflUK5HJ%-ryBp`GlPB9Fb92a($rt>$db0c6 zq$*7eyw{#AvJfHOmmU;ZSaPKc+5BUcRVVBqe7r9<8)#egEHe(u8}n-UgwaWn_25ij z6IlY6hncaIPFm9hQvjL9*mrO_YsN`zjhoEP|2nbxL0SQ_XH@YU-7@$|W)uS)<$JSk z!?om`^6G0S-(@?xolKnCXa^MLs?^etE-#ZykGs({aWwF~u-V)jJ?_oM`Cm{=#P3k^ z2`p z{C2q%KicNYLVffDb#o<)EzOUbd|9&ma=;(HXsi%;gdb?;_-SpUbp}~@j7L{BhTrIL zpC1bnkh0#7#R?PrXulsDCgl6k&weakIQ=F?bcKBY+>ov)^-Mpyqbo~`x~_7`Co`P8 zUk|)(2{w-a-+`_y;_gb7Hf+_mab5=b(AVYHu;^)N&@v(^YU>|2*R;DWo+mG`1A$u5 zL#-O?e}R~@ zk|qziG}ugQHP`>F9zSQ?eA80)O=j6^%1uIAYq##^W3s+C%X5;j?_=FtD~4HSyanT> z9URrWt{nQ=pW${9?cSW#yeEUxQ@#ipZ?5}=XXGOtr;Ic4QYZf!$epDOGVx1smSHRZj zM{U6@MvBoPoBYTe;sPnNY-{$TybwSp>yRyeR2sr?m|+RkhOplHjJTzIw|p9*uJQ%C z9>TKzF=0X|OY&W$;(~VOuD!vZ4O~K-LjMI-ODJ3PkEo`GvCJS%(XES}w$fVaiv8$t z7z^`vRJrymn?LdUJ<@cXSyr38hZ+h-5#cOIHnxMqS-)PIMqqJ)mkg&@G!LX+6MYU2 z?{eA8>j2xsVeNqBa5xKh>p~xgv*f6Fty2Hi%97PqMoAGYLMZj4F%c|I=Sr0(CMRB? z(g>Dd)+oBKlB*C8yOyV{#&@p6M>v$nAFX21j z^z+u%mwB7ZZqRV!6rs{;QHUXC7&B+?X||cg#k4wn<5c;{v=T>UMu%a;G8f~kJa4{M z@})(>llFGVYPan;?!t$}>cn?l>C*vyWPJwO%l=y3h`A zDQ1^lg1)t`RjDe3;ys~d}#4(llvf11$^DY^diR5!RP zC%TfY8#h_xe~-k|(dBCD`16fE11kAE7fT2U7I>oX1P95~2oe&pnWdW^^W3#!3cP)-3 zOWK}O?7a1-kMo$)l>4SKNchmgo8d9ZmdTOaZHMmJZl-V8q zX@x&c?aq31YSELo_~%pZBJ7`dr8}}$`qLStORai(l|QvTg`EZA3230z{xmp&B}kX_ z~$`Jr+WY)!nz)CGZEhG!D50nT^MCu=4Q_>4-FVq zwk*tUfn})~lE~uSd^PQ}-sXWd6BC45K*dQcN$?DyT}f~sDreHEB>2Ln0J@&U;)K8e z>eiF%MF8E#!}tJN*^@h;1+=p#OBIp>=weU6r3O&PUhIKzty1u8>Rxq?5WD_(HNwl= zLtA^X-a=ylo$ZD4<^|BzUaVh0L4e|z^lij;!yxpvc}Vv=cmL96{&RTw4)9 z(~{wr;%3D1WaLkm^9Rx~{$UBAPm@`XfLv!}#r9j4IFO-z2T(?Dw%JKBp1IUjRgBBM z*&3VFVv{aG3h zm-UB}27~fqf7mRfzuBLa^6%UMe5kdAmJHx6Se1WvUBO=3F#tIr_tOJdhxEthazJH@ft4Mwf1}Z3%ud4GQ77 zslGCp2BfiGPS|i!eN`|mP6Iam5eQp#FyH+WL?_ai9|t9F_@22 zoN*RdY)!#5bqH`eE|hN$R`$N^8^ZjZ=;J>5mSB1xuud1mw+1U4VWNh*z~Yj4doYb0 z%5ZV0Dp2L(&{l{RI~Q;kYp;5^zAaceiMF!P%}D!P(G+2n4w674Iqr`)gpk)Tmr~&R zc+U_TISgQq+v80k%7)A*hq-W8jLT0#=n#i>Tpn)@;oF^@1%qqj<3p%dI!kcJt?`tf z4wXp`Q8;}(orT0}wG55?$NS+^VV&@_Pzaq%XVFgA!>5Pj)8r~_KMBQUtIoH~|MMO3 zd?pp|c7@Pg87x}97=Bd-Sjm-H380z`7VLuLA8&@Y$Vl=+=yxEI92dhEgz!CPK{R~$ zUs#bZhgV!FtYxV;-pgD3ZG|DUYB*Tocg61-4pxd(sazP&TyD+JkS~k>E0R2!mEsUG z-vU+~cgL56(AZm8FPGcq!~e zZwaBKOcoHMjXf;o77uJJ$thnWukAPN3{eaU7S}_lFq4_(*)(e=#`Tv}<72@@I~~sC zMk|Cq%47*$3pQVrodfGr6Ff2QoADC{At4l$#Uh1*&6JkKx_Q^Ccr$S(BHc)h3ox!< zlLZUi7(%aQu^FKrfeK-4XW<)k!q67@dX)w9YEak_tXE94iUMx#4+Yw!+`5l_HZ*bA z8DCgxL2T^^)=g*$p*+TRq%7j{r@Zkw zmJk-;Rdu~sTX?blDHo_e_B=9T*r-pcyv*;Y=SOv(p$A5?jr>>}vnX!R{T7A?<)i7K zg{|gp(V%QLC`O}VDKE(b89ArytdA}~$oor=L?Oc`vZ-v{0S*i`Uvf=W?*L$Pb z3?U|v2IQc3va6MkD`}0iFvq!lPlDxX3n9v3y}}$6`3-9&uV~wgPU8IA%k)JKi*;DH zyNa{xP=dhG>_<~cv{FU0eeT6g7!}cY>5@gzz%khN_Sd8uBqd|f_7&=q%brJAQ&qm(l-Jy zEWDjnBCPSdgPoVDU7ds}BA^VolX<(K^vSNTjwah(EROQ;Vz)V?l#^il?(oUroxmUsYBgn`bDUozCu*2bPI5*yDbtP(_|O zxUQ%b+pgiX&7^l{z#+s%SJ>4TEd+*A+)Oxp=1|I=$sE@SDH$~PcOU3?T})W>>FVLty^PS&^>0) z7{IN)1tU*OJ(~1{#mt1;?VPPdt!{@heC~~>$0y=uSX#oS{NGUV55Qm;UVgGzu^&5% zSr>P1Hx53;eBJzXw6J{qb{eecN7E1)|Ej}l;`$Db9t z(uK8r;yjGHu47xJ^_m*jRfN%zb!@D#1&vmhm1vi-1=7c5D6}D*+RNAw56^I| z&|@A&p-tiR$fK+x__S6eh}6X2TjZBz1!nX2V0v3I{}32XIaV;WHjwV--{x>dpj)k& z$BPfABUTvNmCDSQ8>NC!{Tr{`xq zXg5O{_&?CL`TmV|izBrXsWNT3)@0fqI_=hQ`uGVby*->fH^B>T3#T5Nu%`Kc%AsB6 zC0Q^;(94_PVS7fj@lGKKLJ%jphFD3yQmEx_Er^xW9y1Jf^OZ+`Uvq6v|=-= zBsqerHnY`IrdB0<0*WNgEFqLc&=$fvU1AehSr+D6 zKe5!KXL)Q3>m@ZFP#x6z#xwNx7FO&UGv+Du+$h7VPk~p=%e?y(cLJix?P+wN$T9V4 zcqol$Y7RclBsZy2f3YXp5fLDz1;zNW;@0XfV#LH(^&{( zDix$-D|Mv#4t#ZhNPLbh{&#$J&+!S^AoAXUQWngiUOON@gPF$eK-GJiY0(ZS%i17% zb_WXuE_JAq@ZT0=QlbWV51k&1bi2wJ=oe6&W;bAaW1 zoZ1OK>=E?!PVmtdL4GeFer5~}eF3`F9zl~|U{g5FBQJn9%6aJp&@3`j#}~mvv62gpFJcT*YNlsi1W&-<$m1)_I8lK3EoSPl3nB0)>_U8{nR0f49`G;O zrQqMT3;0vb)VK@y)6MkRE(O1L72qvqO0GiwTr*9mQt&V3@p+)f;|t8xfH1}($F=gc zYYs7Nk{9uDcaNEjyWvk(1ks?~poo%9-VOb&GE>EFIEU3{I=UM!UagtF;opsBJllcp zxXDbnyu=>o4d(nyT!YY1_V8i&8A{#*R~X@Ad)Q}OjTi1^%lLQOUY5hdp)W)72;`By)A(v|-eRU@)sShc8C&Fs9f@hZ!bikvYldle9= zk+k2*w92S(D@ue;DON0R@3(20+v zar<59Bu7%oem2RK&X@Z^rz(=V9)LKiBPs2G3!Pd}KEMVEjgj=q0T((=k=SvMVPA73 z1s-&v(-KJ|5B{}0)`Or^6iJ5;f=+QHeSXk|PDvyM)qqZEBu%Vwp;Hmb555J_wi@XC zmPoSkWQXu7Bl#J(AnH)df~5-Q>PoByzSc-8tVM;|BkA#4sAyXx)$y=Bl0M_{hA0y2 zFauq!=0wjhhn}co!v)VM`d=NI_vs)SP|pVX!j#LMH!kKc!5}5OHZY3T)T5P{qNui> z)pEdvhgd(!9Hmw{NA>z4=y`k;^*+q*)Va>)`bdYtUUC$@f0#|uH+yAT(=mQ&ji$6C za3bx|H0cQD?Xlx+#7*nW592wTVXKhws(=k2Ip1E$gO{a^zeXN0F3(6J-D+<+n= zOlo99q!wqfEJ2Kr8bt>hQ4#4;bfJ+Y3zjJIJ_>Q3wAsbvTA`c~uk*J?2t%)lvLNGEOx`(QcmNsI|r@YB>fKaiJ4>9CY%cDCamh zD~O`|kGrTyVHCY|9CV7J==0+)bc&;>^9j%?iK5XbT2-|hvSvPKa@Zb4r{92lTBGR38*CK^T=gatty!yM8&K_f zlNAdsQ51QS-Kv*SuHy$zvO(T$DlEJ{(-NHY-_5#uXV<5zy_pR6IpBnL@Y)3KLxUCl zkyA!DA;l0)WlbzmO3_mIGRe^7}#aDOXMW@hnRU)+RWx$Bs{MU|A!V`vSF?avInS z#wSG6+GY$H@=<_hE*?4aor1>#y7gUr&y1!;@3Py4`O$RxT{M)UXnya8jdvW)?bI!vXLaxK-kxMGnDxOy&YvGPjEcIw%L%L|AcI#8}qxLq>SbDGprC1h2^(`zW z%@nIB1{5iJA6_IodsiFUf-NfUZ9v)Yy}IHRVO8u?)(T_mJaJYVDf&gynZE*iuhYmG7qUL4|N|g zCgA?RH$TEw7H2|gb1Jh#a4F;YW+2Rem_Ei(6Jf%~=&ccs`Ix;GyS|&&^t9!5WqXwJ z42?3H%!{KT7uZPOf;hc4-gz6f!I9Dh)?1p;T}iw4WFWIHpV~M z%BI9<`ig7lkZt@6T-N>-I6&j31g=4MkHo<9|Mr|CKzhJpSV;n8~0y`}( z>1;H`<9MciXiM>Qn1_Dxbonb57wI_6hjZfc3puU)crtDrl_xPna-}yDh z3o-Gu^lP9?jHg{+1AR(7eZs@Ec=EL)%#5dOJA1}SMmh1+W``M?5Kkj6qi1l)C_kR} zctNxQ(ZbAldi^p6y(o|H4U3tgi3pF1$@NlpI7X>*M}ks6`fgoI8RnO{(8|kX*aD6;= zxQgoA6i>HYg^ahx(}PzrWY`%`d#=K~?~SL{tL!A#klo)hPkGtt_3xk;AY}X=84#v@ z&%&h(n%U&-Z1(pUWgz_RdwBWUYpU{Yp{zFUo5xaN8@wD#EbVH8+?v(An74_g^KD=& zFP40MK#GRdVk?N{SBs?yg|W2k2Y4oSJx@_AHU0pt47w$jKK~I)*BnpDKcR=Ll&x8+P!&topFqt| zM^PP1^*^ymf@gQ?dJT}Zs@==Mhh)~l8e{oU-yo{E2Ayk)rB|-GU}=u!=Y9L|mBTJS z^GU?+bobA|)}mtDfe$Bat+D+6mi~f0mW;o+AZm-{SGNSw=wC2b2W*AEK(49X=(%5j ztzE^|f)6KbhB$Kn71%uEXz;Ht*i3OW?^o_N-MDXQA2M(b-};SpcWip&v+6f~gX$nm`yCSo2=ji&pc3IDzw-%& z9rXI|EM1?K$1ZceiLGqw)A=}kW8q7%o&JCdAiV1jcq8j$X!{?iJaa7B{=iBS&bXid zL&0afjuj)VZ?a~4WH+=W(3tBiCQNJFIps&>efDLSv&(nO7P20j*&n-(J@mlea-Ci0 z;rTz=0R3K5OI=BK3c3Nmr?fjga0B9W+`L-RUD;*da09k@OLzM8274hyqlHcWoC2R7 zuHy4l+&XN($pS(&PXP8rb_4)W!WUT%p?iK80D?WH=9`;XG>vt>^4WPKx-#>n98Rk2 zPT_7+x=_`f3fv^D&~>K@Hz``GQtuSQ@?3Ymcdx(D*qwfLlj4M??qn7KVd$ZD#kS_| zG**z(gqH49E=X7b=}un=QeR2ZA?)3i4Sa*$rBI=*J56$zl7#l|RO&9_N@yL-kia+c z1yPH;6fSrsP`f+mnDt~+0>ybq1BAc?D)2yx!;F{{=rIqepAerwZ+b`@q8qh5aB50m z3iy5E{KYoeEYwvbfb%E5&+kg>jZ(0%C4s7p5>_q~=tCpWUeGezsuIYvgA~DAVD}DE zH|d&|QdgZocXp7nq)l3ktu}%7bbtU+O&@oVLU^8bo@b{9QP-G2-8;JEX-c36J4%r} z&o(t|1O(69(NnsY#}|7_QzcWPB5K(Rf8i;07n&2u+e?x!FdyzE4H8-sXoZ)ATPYLh zjF)t$l&4e8O`sGJDR~LBRzxWauoYKCDbqCwTVaB7Z_}Tm!geqN+r?UPT~Pv!V$wLL zq82A$Aw>)_J@bsG;nwodmmyrmMSGV?INtdheZw(03SN>xA(9J*(ggWRyy#O;E6$yE zjHP1lP;6+;3|<6{HNkkSRp-uzU?HL zoyuiNs0s3xW*MbWO?-S*@TjkZ*58YE`%1lqltjAVi#y5Vdnr~+@{{~RTay)OEAKZK zM9R9uuW_zRR>5xLU>?1l!HT-8V8uAese)|*n2?)D`~0BJ>Ae(Vd&v(nO72a6@<@vs zX?nbaky5)#U4)s5l+zU{xk}0t!xkIqk*<=zl&6xzWLGc6Y#ih8MTvBt!xyNSB68h~ zDSzN1MhmXd2TSs@0`$g-*|qXZp4fIR8y7zAfH$p@Yb!KTfxpxxs#ukoW0M;{yuz)K zUCvOX(vvs2y~1-;xa8o&2X0|_4t{zGd-~NJzxhi6QTtu^F`&B5Dlk9%3!|w5TLK`h zmfkci0A(!gMXLf}IHW#Q&A%&DpszU4)73EG1ML|lEXj~`?;+ahMg`&DDlBkk@n^d6l9B5|}ea|BU)yQsPh}@q< zL&FehmLo%GX&8jnm_+3~GG2u|&LNwV=mL*SRwKKFBl1!b^$15~sv0?sM_x~&2YF<= z8d=RFrJnQ_kF=T>9&841o(pg*0JM6w%fLk{@S_~K&;_^+0GjmMW#D2J_(Kj{>;i1S zXi38?U%OjZq5{W9q9CO`DI*dEDNUwnk*KOIJ*k3!SExX5a-h9E=~EuLMUCtlg~-D_ zsb>@-E9J-lniVDa3#WV1avoWwLcY!+TYJ)J9$BqM3ekv6??WNch^$p3$MML*J~WR< zHmZ@8JaTIvs^gJOYUKAkvbhg=#vrm;jT{<-NJB5WgGaU~ku~Kp((^*6{D}h%Wm$)> zcv-u;9ibs{l0EZek83wAWm&ZrEB-IbYPDuHS+-ym`VHu`!MvFsO!5-w7 zfKK~nUCporX`{RO{)4_rK;Ldz(}+!U#`%s<9M&|>I!HexNnKYyI%c3@O}ocpuajBV zIcHa5t;QvCoTnUzF{R;37prl)8rKnU+(=tHxbCIFWj9>MYjTfib_|E7CD5=5zYEN)`ztwm^&hNPx`CsDsd7@K( zlb(O2`4|vzqog3Xk0a=7?X>tGsD6xxEB=vc8ZudpBUGBxteplsXzm8jA)K{s$Za)7 zB87{9?-;R_ynJ!xlm;#;dx@Otfz&eN>hg_K>LL+a{}<*y0Na{;tG0tBNG%g4mUOYp44-dPROZ`uDNB z(Q3TopV1$b69>uYYu9Tm6jJ0cz9UG@utV7z4 z4TNX1E}5U~Dr>Y@%iD1j&X%obs)(Z-eWf+R(r&aSMJg9OWAUJmbdJ92C!G*(-%fk` zOCy|U2fr>x|7ED z5Q!f+N6#BNvsjH6_R`Y>q~s8x0c=_)w%GD?&R&VWcJrih@m~6JfYePGvzMe)X+TJs z?QeknWx(ruXiBOySZLT&^GvE#>(=ooFxBTTpgRX479kCi;`GQj21!Z!$8UqAAsixg zuoJ{=eDKJ}2E*$|cxtc$gj;!f>N$s{Nt^YLkJF@i9h*4S!UdEw1XK~04FOIqvStXV zY9CjI03jd}hB`sy;e$u68Vafij|^3St`7xOEobjx(gOYCg<;Z>u3dKeCRrC>%c#wO zbnDJ?9u_U22hydqE=QmDP0FaXILE({E)5yC2vgOzj)-pHrbyAC+0e$atZS&)3CJZQ zbk4ZWU=RG|=1my#%TrK|+^|~HLs82qR^#C3X?%t>w`;R6x5coy){+w7wUnK-AMvGk zGo&T{2i8C%%T8LXi`(s^j|+w?NmgU;9W;5k^nTa;E^;>X1$wsZE|hhPG*U2hp$)f4 z(>i|4OSN_Z{c;PQSVEYb3At&JQ!=HXPG11Re$Pz7U}xUfXluv|%Ct`qY;qvZQEXRSIQhN$FizAu_w{Xof7FI$)4< zKb3{L1<3h-2k9|F>d{f7HhF|JY>N7^&d|WoDDrOl=H^YS@z%#SPFdIJv+fY$t;SS* zS!Og_%9<^1jTX0N%h6VM2(fb~&Zn_{m|xOKV>ABpc4Y4r#jC-%a~SG7^+sZpuV=01@>B)B@$ztvIAif&- zZ+m2_%-38wIh3>7P{HFHnOuqtCHEj6tZQ71+VKI5UOVF~Qp8FRp4ae-P59$!E0AKd0V>sZDyZP^N+XbF!Dt=o|rsr~$NXo^h zjM<(rRYp2YPA}mTLj0fYkrWzvtF$A(<|*GKoUtBu()gyJ`%A9;xGy@B%S4CGH_|oWBy!04=lTLZv@j z0!*9I;07iB5hXr(tdkBdQj!*^NxBZ^ zBLX_ey(DltM*hN6J@Qw&{H5t&ADponErqP?D#t47W;J${zcg+8?MYPChqvAm5*3_jkK?(We$?kSj0tubQIsl?S0WJQpGrwG}kC#%qoWJ=K`dgC{|K>^K=M_{n9+SI|-b)w8<2uHY3hpc6jI`SPSN5Y06Ql#e z2anN_2~t+{N6!s3*uMnVYqGApS+nc|v#u|DS20l^!>pV%&U&n-+eB%(+wI57p@$&u z1D!m`f1?XOf8!?z%J^w7KRGGrr11iNs!ilA9t)sX0c1ZqURL(5_sR~F{iAC6^Pc(C ze!Dc)y`ON6?z}^q*m?9l7+LVXv7T3it-wZ&cR*t+>oMNq<3W3OB-VLy08Yi$`UVpC z_``0}6L@x8D+YiK9M1kLoWVK{TyK;U4j_Sp*e~(~-Z1Jr$O(sONS+iBVm=H2?m*i2 z>Hr*(t>7r#%K=*J0KjqCALIZsGn_YD<#Bor04oa)0f76Vc8iXIZ;h~39zlXPPw0gN z%Sq!It8WsIRQxc$q21Pc5HKbV!vJ&Ac<+LZ+%v_m$@qmI_%&7irDVfl8H3Ht1;J-) z?B|A~uqTbhaEX+Bv++yGSE&A~+WUJ%2>dkeubdt1CsH~xHCrO!t zxq5XU(cw8k7cOajFwW5q=i#3P&hD?UY#QK>-Nz) z?)5H?Zm8t#$P;+EE{Z3Obx2Uk`T9CyQ>9tbtAJ42@ohiSvZ+$8a3Yt^OqEKK_l06` zyeEY> zN3Ak5*3E&)WjzpzzZqqX4b6H1ioT~Q)1}FM+*Zpze^*S*V5rg1t^>4x2LWSfvc>AL zj#C(%b$#(TxQ(mm+v!r^N`=fZp2yr@lgevq9>pU++Umt!a-#y8)p`ZlOIFF`hAEi+ zWX#slGHHvB=5C<55^6P$SAe@%7hi2C)>B6#)k14$NO5C2D*(Y9V2cjGI2TZj5r3dI zmR~h28gI?Ix~6p)DmmL~{G>$YKt@`t=lS2aKi#BNOQirZ&6N5FE^z{C)q#q>r71I| z?5nDJ2W$rS#oQC>O${Sr~dDoHI-6(?5EpOqCn_wuVYQTj*78 zV>>t}zNshPyh3MZNh4RjxI)e=Hnbqg-Y#e9B4_bg*GF!V27GbdYA{R(#K9jSpt7ts zOdPZs7JFJwX0;g+Z#7O<5GhP_M3kb-w_o9=7JSK;r{&sDH*LUWXz0d~P5Lp4V>1ql z=2HpAzC2jde$!{&4iIeU#X&~?NHw#iDB<~^=;PVagu&&@Wq$oFaQ>G?U*@Rk2t_HWqUx%?Mw7usZOMM~~O$z2E*3B(k$Bwzd@v_MI%bO8lJ#Ybk?cZ^^mSx$kM!#kBQXy7UH&3T`3Z{6+B;AwQPK$9-pF^g4_Nm{e6QN}W&;DQIG z(3SW5Xe`abFaFQ&i5W<%F;*esV>Jfim)x=V;0M}uvthAxGV3&ly}TMG0QLRtqlyzX z7r#H_zqtjl8qf2e)^rnuc}o6?*punJmqwTn`Jz970=a&q*%GGI^nvyjMsGGVtIP(!|6|vz&p?<4e}Y9ttr0nPQN(KV5tC=H*pSu9N~8#Opqh zSAFZlba90=tlxI-0~vSYFIY!MZ?`VK(6Ci!xZ=H^ZmL#e%~j0FEN`(I@zm07E2S8j zi=``Jl4BpHEi0vwk+qzd4$b$piWeJz5H-+^DUj*r2QRp__jO0O7=7C2%FUpKmHGtJaA z^4dpGBdhU)8P1|PiLZ>>CAwVF0dAAs-Lix9R*BS2Zt6djNMY`daZ|n{@dEcm$2R*3yhsQmUNg*;P{XWEqAx`?6fqmGNNj_eBbOc{+Pl zAIj{NO?PJRA$(=juGiUHrL#BW03WRxpIzgdL^oGRfkRG~a3WktR^y|HRhs3*R_^9h z>Qsu(I@Mb`>a7Xvj#@+aul|2@T?t%O#rKCRPf*_-KtWMK0TFS>9TyZ-6c-dT4RhBt zw_L$A1yn$NK1s~>v4io29en#5 z9ZWXPQL;1&Ofk+;`)NEju_z588tqKM4C$%SxfIw@E)(dl6k{{Ds8LpZzXWLps#- z^M6*F-Ip0p+VO^5x8d~|VZ~Fq(NK2ya^qp;r~CA5IXG;p)1`hUV^z2M!xRTS79-QZ{0Mbf7=c=)W`~`IOq6l zC$oS5;TC|oJ@JY(zYU8+V4v4%R+_Pv=cB>aLZT|?s#!!QO{kTJpXIZ%*^YM=+X5LtDZ0zW~ zmt#c>sOkoAf^Y8);KT-u+rZv*3uwm%2nOGW8;tGji48a6Aj688`Nl@0v7FeHO~z=? zwSwP zmUkd3bpYY;EGcCh`RkjFjQ0FZ;ADVK6lD8NOmEfZ^>SMT=)jUe`KE{dRZ%63k zkJ10@wx^#yHnvI}(G$gClN|z+6GmxHKDgD_3+oR;lzb@Znrs3yXmQOP?ZPX;yLOyd z#*qm|PWaQFFdPXC@p{`vt`8tKUjl5_!l*Du6Qvt#8N=;39tY`oy0KZ!k#@+fjNi+0 zViDE+57CQs>|ianr|rt1z^%rhnyc)PSjPU8v5z05x3(JJG3MLT9v{fOz165Xdaej( z5toE#4P+5N1j2ELg;e&5G1%D_X}=9B0pOf%Pzf7w>o#L(-Th(qMu^8;KB*#!KerhN zD`5v|z;@$aWlaYCwH+IAqcSLXhtbcoK{souEL?l36L%PUJO9BfxGki^JFt?(_tzaL z)duw5X&mP4I0+c;lW5^iVBmXnCopWl`#X(M%JBWv=2K%2zq$J=>OWK^eph%FP5`h^ zWu-x%VxBKNNJl?~%gslJ=)tGPPK_EMQOnvR8*^8rd0tp#YFvhP0UL{E!{ZhojFJF9up7f};-L_$W@6LeMb0Fo>00?@hgIYB!KV$UuCk|IK`KLb*&(N_ z_^4E{h8=^l;%G)VY)9B?&2rHWSp|rXuA%cnGz=(`nYTl*RE*Gy;ZWdN^>*g#2aH=Bojai5 zd9j`k2~>2;aO9;tz@2_lgaUr z@t(5#6MAsSIKb~%xD+Gqx10!7?Y17Y zVVq}=By(f}mo{P{RXTyv@C`cwS~lQxL>NdXFiqKj=T4wh09~>xV7AXTHn3xi$wqtF z(D!8P=npHR*RoVV54RZC+0nnZ7!#alFjJEj()b)Og>QNein0MOiX9seb0*?_CRL}|9ju`f{?FiI<81fE6R033K01|z=9&Z0D1jxWxl&25oRdB#=B zuF08SkA{n@~{Z?PFAi3d-mP2U68Wm=}s9piKt zpNL=WxZl614&2*z+|sGE>nGqAPNPnx#xa>D%~;@~v@z50$HqE!YMDbEI-ZIXW`l~5 zDdh!bonoWe^S~2Y_1M_fN4;++yz>bYj>1|;XCi+Z6+H&wjCV4}J~4JS__Y1rj(hPD z;;nGUluTY0Jw)mvZKabKQe+`d?d>lG@QNv1mLMx}68W?)@)X%(MBaf2EZ&g61pjO~y(i{ROg_)aMs~IjnNgM3cy>~P9LVJdoIW(m+*a?cw1Uu$x zeFwg8$JI75Za72yOv2n8Jx4$JP{^iJDNaR5kkm;-5YJbm>fJ@URjJu5%i+=Xu zLTVPN_VxUGqcy2##Uyj2TBqJmNV3`Prx6a%>QfQtbfns((#OW%sU%YE7LZ+4&SKUz z44eCHWEOth^w?uA@p&u_?xV&!yTl>l5l5H$sBQF!ZydSwRYTllN)seoB3RUpSDRI=j zzuH#My(W(0`>S2_$d)+D?XPy!Bm3gkMX4crI43T%Ta@~?qU6WZu7PS7{{A=+nE5F0 zI3j`3>eEW!D8G}>AapbdEsUeCgVgv+Usw7)(=tf)Rw`9@_?>PKE>F+=d$4+;vf}?I zU3gQiYtQxTn`&S{Vd)dLZLRiFvq*Ec3m?d3eZ_;2m40T(aP@&wZN@X8vSBmv83m0} z7b@ESXy+((yYfvu^&hRao0Ls^&Pc?-`*ep1MRyCXqn^QQO7tb=YOCxv(#>W5AXg5esAvbRvb0DifaNf&+QI#6ROQofFmWPD+n&s9%yA?K7z0AGm8P;$|hO-UjEa3s1@(Hc)85 zd+PSgM3Y*hlHZ$oGTV9-vEDAPS#Sr?i*cTt`T0uqa%H9JDN0_W2K$BN{s+D*E;`xq zudY!u4T{4FdjBIe#4qspf8g)0i2u_^YTwFLh93cIGohr^Y=hc7^X+tXaU~z844K(# z&7=M%xG3la)tsAY*sk7n^r^gG;#d_PI6ErfP^Fz}BcD^BNt9gFF;|N5(+Vh=y?3II zc{FAmb9}Dms|O0TTA$IoyHtOl!F&I~#ElBMKRvojt)yJrO<8+YUmuTM64j=B*n_QR z<5x+bKlXx`f=?xib$I}GS5-jC?6^<8<5(@0aroZE)K4=T><4|nv)g1Y>p3g0f-o}G zfyaX`j0SV5cZTZgm!hMUkJ^mpQvoe=d4?LHRLl897Eq!c#iiXt>H)4X z3P)t+bZX-qz(yyt@GG_0Q0-ijWV}GjO`=O*tLMF*E@6Jr-@tEhB^$qil_=vI^+%oE z-~`(ItvXKmC6OGjsD5@}<16Yq<>Debc|{FZE;*3XRm>{;5~%d9>TSI5ZYvwvr(9M0 zEA12L!ULofS4hb$x~dLWl!FT>w9r;7;Eygut)?uHwMs{=()C*9!W0hAYJy84daZ&J zGItiLlPXtBm@8|QuEovGtbIpa=qR4WG9-Hpx3Q@*@JyPsm%KRqh5=^tvmqtbI^=AQqly&RQIBk9RAwPls5BblC|=U3FE zRIT4&->5OoEpKa44mn*Pz{4;a_k#7^mmE&f^4I-cMs9&P2VPvNdR4z!><+W84O=W- zxsrY7(%w>aWUxbA_2#Wwv>kWW@G`b~bBC+~Cr0VvQJ^O0*Wag&$}=1&N*e{fa9Yyp9)0#F#+taf^y8muq_Su(1wU7NDrWp3$#ZpN zpU3yoMwvaoLN3dCF8WR6(u+>srNJ2btKg5u3{B0n&A9xy7HIWLg{yg zoL;Eilwr3ig1=t3Y3U2Ko@Xf&PV4fReI8IxH)MHmi;lfeeT+Z8!PP$u$h6yZ?}ggL zqXbMRQQeJ$V9?m%ZtcBnnUpdP|N2{?x@3-x$n|zFV)~0 zoI$&a=73*OL-UXf%kp<=?MtZ734O@FKXlveZT;oqvS z82n~=!C~{LR+;MG;54{~S7|3OTM%gu&XF973W$^DWsWFQ-5r&d->sb?+AHbv)_yAd z6TdA)ZBy5KK>XTIgCV7}k)|6t1@mmXl&!WoI^?*`w?+LCMTIuYTp64zC&r#=VBF>Q z=>Om*l#_3d{=`w2_iU63IU1d4kDo*Q;VRY|v!PoBrUu)YO0(l`=Dg)hy^knzRryb* zW-)F#Q{y;V&Qz1Z953gpCr6|01+_zzHN+w3{Co7+Q3SPWgF^6XpIuKI=<%e|&GH81 zW;A87AGTMAUx!@H2B$*ms|Y{8yNDv24UI__;~>hjwvKk{I;~VhOXco0%2q@j<;!dI zog%t+NV&#TcrdGuP2e!7wia88UC^^2J(<%|vmzN7fFDUsihtn{>xqQuYc!yeXz!K} z$))7ZceQ}0vYfl`(T9~pqr|&cIa@}I>?WSya?EU42wMCW;rhtQx!kqQ{oR}Zp1|N} zr#_|p}mj~b%tfTW=Y8SyF({+kf z`RJq5$%UA>VE!<+r*^{X}ODt@EYBZ zYqyqt-u2!py`lRIpa(9ZWz!&*N9qwytx$Jm08g8e&4#A`OG;+^EZYe<5;nC)OrguaIY zv~08}66YceAG08K!s8itqKwZA(l+bqL-n|?ma4~l>G*DKaQ5b+#g<4hwbqf`w8=V> zza9_KhRJwnb;Px(z7$?f)KDTX(a>t5t!H(x6=}|j=JD_GZ%ik&>>_uKP{lWaEUKuf zOiG|@stAo(p8$0Tva7>Df(~Mr1UDd}SP&WJ_$!)01`nI#OSR`1G5D5X)Khq8g=cwj zQ3VMUBScU`uf$hK-CM^3kHh-ztQQQQndlkXvA(oJh<1MCBpFHk4H-QA3dNX2`U@15 z^@-HbRrq!2CDkFK5T1(|OCk#3du!-^p4(ctxC&fX@oWR=Ervp06W+EIBvQPqs8x3t z1_@L|oBc#~rjKNlO~Q}Q(*ajer*0DG3DH(|mx>*SSe^rzEwi{RqWi9*XQSA2dYkz} z4KNz<Q@g^uw^cRW4U8J5sD&2PzBbDL# z)WaRqP5XSBj$MR{dyBHdJuougsl>K4myK6OiqwwII#>~jRX^svP^CHkMcnC_= z8i8r}_m~Y!^U_ASW|nG!;S^LIeJn>GQsjv4t}#K72xk&~K>}c>Sadu5%NfJKb^x+? z3cE?8#9d3&b0l)27ZUYq>VLJWV;mh!hLuy%p+5ZpgPO^(9AQ*#*c^N&=Z1A}?dlN8 zZD2CIixjKQC!JXYoQaGIIXBSGjCOQ*;@Tu17qkq83+eCb!bkC&NAzVb}sn@et8NenJJYNZW;aRam5r4S!N*+0U@@)zj=WTq=%!$I&4x{j2Xs z>9@if=WtkC(nVVMb&qq*a&i$h@f1yJ1w}k5I~#Ea&Uv~UeM{D=AG*<0PvM>Di7Xhv zPGO|{WCRE|Tj}WFderd!NvVcdM|-xp3-jcA<{>N}WgPCwdhV&d3f%&z);I}W)?}D{ z23lq|Oyv*cX>HCTZm|=%f2j39Ie8KHxsPZapT7@g*5SQ+K;_=4{LQQU|Q$3T(=%Uk!Jiyt?-t~Q?5aA zEui;tJWYmLqGh8-ud>lXXX71 zy2J_n2~2%0<4;XX0x)UGk*?G-Z!z7iB|L&Ywc;u;WTL^3-gmIG>7aQ-rC`u8G-fpU zc!_#`j?gFAGz`*h8i{xl(k*re1Km&32rm&^=R&TOLLAe^ucMhgzt911our?=gkR0o zdUORoww1FXK9~Gz!v-IjOX0QAVY=qhnA##*`Dig6sx4a9&xB~SuW;y#at`Dmqs=sE z0l=1=b#+ATVNE4n&j6+yam%FSMeyTL4iC>^zA{H$;P)#ES;FP^N1#~}>|2rGKo~e# zk*TPimHt|R=Fj2uESO=~@4R*J{NM)cuLzt~z#*lh_F))C&_@;gG+PBJ8D z35qJ;FzMEr6+bitMQL+7LES%E#42HE#DJacWeZNVP@B5KuU`nVYB4AQb$et%ZHAnH z-)TfvO71)tb9|PjwMVauzoix7i-s$(TcEN%HgHLKDGWu!eOy-rOr9Sq8;(I08g8&; z$!8i$(29yMKlO0ZEI*-Joe$wkpzuLlc(jvc;;VJ(#Qd}Us-@t;2`&B(^77(vb~ZKh z7G5=CvY`OhMPiNZF!A15LT`ABrY&!@V<8x7ARS~8svIAv^2w&mDR3c9B9c$2`P{5RsB{bSc)J|N^5^Ot`r-UmG zt|{}N2f#YY^vy9Y+7QUYR0)}NiJs67w?&OyJMb`J+4E{;O%R4QFJ3lQJQKimgd;$S zgyWzbK3$Wmg1p)Db+jb(Cf#y$349)O12+npQSiDiz-n3$uYObG(|}-0Z?31;16{Kl z(iT-nbJx=p$#j?-r%OAg0?Mx)XiPoPL^-yER@M`J65oYY2>(c*Au!@PLz)f1{D$aeBvCZly+ z_3}>q%Eft(%Azno=x#Te7^u|BqGf(!kn*$*-SESH*vd?*?hgYwK8gDKi*CxvObSjB z9<t;T}fSmLsy6Z1mDV_8TYM2u&s~l{fJ7`rxs9gim zRXLSJaShNXoR1PU01xYr(ow_{i@4_H2Eoe3+)JK1%$F+#mt=IDV{h^lD|b#ulxB9A5ireD0)6d3Hvq4+ zrzru#yG~^}KE&bV>TFD8s$M|qym{KMZ7Ch;ZUxXNr_F9p7Xn0Uw=IXcv7){D znDR}JEg{Jy8VcW*(*d=a=-4{>h6l-Us9lAs`(g;r!I6lAcDEgkYzUjb)?r%KP_*-R zXeoQfBE~Nt!`};jQg)o9mgULxeM3>l<3gs~d#-~)p&%UzX2XWh$rvaCm5gL+8wiSN zt!PvrwoVF@X>p*aUE99}DCcP#Q4?O^80vrm;^wyWd7$Xh@NbrX_8Tx;QwsDY!&GJ^ zq7a^p)p+BgFvmBAJQ`s>8k#~OjYRLdLsP)y82r(D%*uu6d#DrMbV;ENjYN~$g^i_M zhux3;1cOxBdk z$ibujCh&^cNbWt_B#@Dd^Gae^rwU%`Bu21YRpGZeG%#m2BQ`=KRaJ~61mEZh)?=D1vVv}$j% zp<)Poy*k$4ajtnO-oWIReAo&J79*IbpuDXY@Y*IZ8qo|InPI$)q03RI(hi$R+_2t82cPgF%P@@W&E5-E!#M27Ng?i%pE z!(U!TB!*u7r2D)Qyd4_&Q zk%X$=9uqgSCVjjR{x57 zM@ky-w6I19=4rF1cbQ`cnRsp)vaW`bN{La-}+3X3`Z!T(+u>}Swhh-Gp0z=>LducGoFYl#yIUcl( zHnhOvv2q}Hzx4yry`u{8Sb$}~vR4#$E~=p2!YVz|WSFuS%KOkC{UiR2HYb1|LMvk5 z9-g&|a>gK|wr?PhM4n;5G)J6)4AD7yG(Zusm^M zqFvfe1ubDIAKuNHpdY8qz{=e6{xbTjrKr=sxBru}9C$?FofULnliv1lI(L;ZZeeqL zf#xVl7MD6-%Yh!!5A2`j5@c1?0?zCy&I4v;-qrx@~b(JJq+XX0Q1OhHH;fd!#Ex- zg7J9_n6`Wc1k6)YP_a262hL7>3NEd-advbC9H2z&DB)mMn!jPMCb!a){bgOO7IN^b zC?bHuT8lQyrDYV?TD;aX#Se7|W5si`Xs^~`74~SguVZW$@E~k3!v7GiaQP=iBsb@v`rZ+m9=LP(=)H6{IqjWLR zo%UjcI&3KC9*n=1UM7m{fQ~xSL{mG6(dwlkoRp8hmbXoGos$ww^qiAg_F{sK@z;`U zqKP3$T4y3t2$BxJ&bjvEuVssg{@|p&Ci3biMmO<{;9RcwYdK_sBJrLtv;r+&G?hDX ze2JwK$1c{RtsO;J;8#G>YN7!!z`{7h24buLR;l*Hhedk}ui6^SgUPv*2x|FG9R|rg z^!Y~Zay_(~JoLFNjoex}H<*?e)PQX%%|xR*iTW+;zmjS3zcM+kK&JDo5qhS5onSb9 z+(aazlJGtx{5RuE`*;D zIH%tVTHIN*_Ic>VWcAZ4qt?%!;{q1iTdAP42pluC)|0Y{rigo(NQxw#5IGoY0-`F< zS_1y`!mi7WQ|sC61g_Kk?ksQc6=IINpk1q7F&}=AJR?+iD)B4moi3tHyBBq>b;*)- z$+FgEh@CvwMTc@-vM>*?UqM&9pe}2{XgNdAKuBi@b*Yz50iihms#KHug$kd@!?HueTDZ-=DmKnzO8dX>F9$3hTKZOBN7ZH##^OkC5sHtma#68|zp5sNu zp%^1dSCDU4;S-W5Ik0KaNvMX->`kdbu6&^4YX;f=AX^N#lTwUw4wlwtit8%61QvU8 zRUh#?Flgu~d+y6-!zOWFetx%&xDa? z>e@~Cw3&&5<&#!=cX6J`A}}=FfDx82UsnMuh}T8jERAc+hh}=e8-}Z2KcWm!Rx-@= zbvKwenKJH0W!;3cIuz1?$vGO+r}-nQ5hen}H(bNGu1wx@7@q746D{imeFU=BQx6{g2cNoPixAG0MJ_%40r z!LtA(xlJ?~Qjra@qR2dlF-R@0V~sFX3yLetiCIZ)!m;pOzLF+}i_Wfl-OIZ`&ViMb z5iXjvK2?+3KtE}~&tpLO?D~(h2S~a!Cu=3@cc2S~>mj9!L3*ALsJad9P<(>`;kVk)PcwY@{JJh%NHer{G98mZ&PVg4>8?)VkaDE^J`Hf5iqCn8%^f5M*Ovuq*7o{(b;XPD+?f(J!GN0n>}b& zPf=r#*Zbf&s1xrK z!o#wmV+cj`5}kX@16s~_6rxkO2MT6GaR)}doJhTDiG#ouy>^)lmeglra|g_kUP zj%uEC8ibT}z1H={^pN#FHSR6ibsYeSSZf8DrbA!#8eP!qxq<7s!V0YxTT4r@hpTC` z-Dy*A3{CML(AT|%NAtHo;2xNcEa@}~B^AT)P<+!b)>XF!h@Lfe+ zBE`^}=i6Bu;v@ocJN$$tW)u=+VKUV?+@*NRi2qhZq8mI4g`OYOIgC32>)f*4A3t zgtnyh5k3ulL8C(BjvUU7I{;XCB#>$0)uFJq)TA%ktzQos+*fpLmn-|i{iOK&WpUlK z*+Lgewb%yQM0>cF_NF!L6kAOjAfC|4zG7Q7mp0bo%UaWnei(fhuAfrXRdChg{OICL8R_QyzKata7HeI3r*TBhfgbX1|g>AJ3K^I2Y= zgMr9)kmY-%=?P;v!7za7c!u#`wJZN^7tDWO3k}5Q-=s?_lCBR5(zu$7fc1>i6p#DB zaV2OsKM;@x4SXHVo^@N(#{QylB`?<}l-D1^3r?ew{-Rc=s}M^h8*65M83y0RaFH(U zlE9t^jZ@`p726PD9}ZhRIoOR%O{MTCQC}UCW~?|@Ps@E zVcTuqY6=@9+9~O)>D@shASN97VY3zFjA-!R2Z{E>OE{L)OqzvRJTM)XM`zQZVi>BSuvz-a zxb^fo=mVg?4s^LCAX{6Xotw&9@)#k_iu*aEKcM8-M59Vu9iGts*F<=tDoIK$itVGh z0-s0!8L?hkC2QCz)~G!LmYhxFYQk`IN_0z#c;u+NV=b(N32q;ItSw|NX5$^E;?26^ zyO%wN5piGN347~r8PQ(gYnOsAO&=_3`bX-Dwm9mA1C)thUW|WKVd83xF1B+pJR_$p zr^3OaZ`BPBkON%5Ag*Swi&m9fp;=>I7Ymf!e7gO*c%Q%T3_+`%eL?Goh;}_^a4qGw zE&A}OB%7HC8bf4?hE=&aJ0E|wo)S=kAYAtg8P3NCEuaVPLq!iI{R0|0RQQi{a^i7p zREpdk&qTzO@|2McHy2CLb_seUK^Z6zG*(McsibVUzXSt|)#Ef(=PWf#?)n}_Wv&ht zF-rai)bb4x=-UVqjh5q&%iZda=% zyl#JFnYHL&6ulL|hXIaFR2T}{{-fA+z!oa|pLG8>x|0GEYTg{DuT^c%i^ph6Lw^9+4}zUO!Z>jkS1}z{yk5lZ(&*XaGQAsQmp(2VlE^O&COz^s#Xm9|?l+LUyk`!*fe?m;7sur= zoD6}3pKJzN`8kNN#qGma_-XzsKS>SB`H2ChHX8uE#%1Sc5JIZvVrQsDDUBH}I@cp0 zo7Xmb#Ji~3CC_0IegcSI=e5u2*l;w++l%P>aM3zomp$d!ACEDhoq_xu9`3^*+-3;_ z2}|LJ)O-Z?dV<%|*b$NkP~hNPxeL zw&gjMjDkzR#kEvrG>%c5t)mhA4PHkF_}g|JDP!;rT}MOs+hZN=;%}dI^cR1l*U^Bn z_zqh~TlqU`9X%Z@LXG25pgyFIeMX__Mq}Fa^!U)M)5edJgpvb(Q0ltUV(GTNAaEEP3>|-i8 zwv&-ePa;i!+bzR-B|QF|k*#Q&i5`JgEIr8_oOw!p-xA%1-9V(+o57_Vs?MPhLWo~( zEuD!FP5?@6GQWyCTEmtNNYg%i0zi2+&@RWzP>lBC7rOVB7_Dslg?da7!<4XJXv+kM zGK1*Y1Smrh(ai~>Zp*V?u*y;*Q7@BWOC#2swZYtNxG(4);vSDt0?y6=b?HY9CyD^C z-?>nnodG20U>)&d35}g7<~11n3#YD4`_o279_ofd61=+)=$d}?g2{O6WEiBAk%<5M zjY8fQ^=iyTRq^O#Qv8LoxZ1qbc3V%A-xfhFIwJx5cJTDcw*;?;u9Q0{d>M+7JI`RK zrEh)Y87kGHoVP_=|BjE)!wuUSc98Cz;I0IBC@NI4_HX1lNwjQH%3P)%;nWH>`{q|! zqiA~`$>@n+X*Ti%Y}E5`YK3aVA&=&OVlfxae?nhQ68*!!;tI>H5IjrV1Vi(2Fe(jl zIQTUFI?{CBY?u*%Mpz%&@l=8bw(xljg-)=|4rj<(^x9+*t{j^w{Su6riYmp`XOHeN z>*>g3v})cAx;|Mn@!L296AE6t8(+W=H72hP;FDdviM(G9Zk`KgkpC3X*lRgUul(Gg zR%djIR+g;wG=7R`*t7(xT7ZX~3$s8_p9>$oIu|;{Wmp=1M4wL)twWyu#$w8L#QnH@rO~V4+ zZ3BHWO*D?41!AU%bY3M-l)>%hh)rn9VKS0o&NiZ!T0a@vh4#tiA9u*pI!VAjb5xPO zmt*ydj@dwU-@#@?`UZ-52c})=23qls2=ofu_)1+5b&z#kI*sz*5%tP{EF-Tm&f{kdMNjjv5UsgV0C&2tNqfU541m zvr~ga;M6u-Li$_yptmCgrOi{p>9c!sDwR>G^>;irFOt`6fea^<)>uuRf$ zkaXOOHL;51SMClcT6m8*5f1~q*vg)YvXRLUH(Gzzzh5!aNwdt{M1ReIs$^}VhBJlV zo4tO(yc2)8Qmmgj?r=^Cn6lbfZTOgZp_{cTci5jGVm%oB;{g*oVUG9^5lu!g;j?z# zl#yI>L^>ju9#HyBQOC=7^D9cStF2U$g_G%#4MO88Jj6_7M5~Q@T0RthjH4g)Z)M-nzPR&TmL>|)iX!9=w5)A01})1D=}3n zp$Fj7Y(1k*-eS|hvbSmAYz#$VTWHp7QLDj(Ew6BYqc!$Yp-c|iM(CMUoO@@(F@5 zL^?J{_>7+T6O%^=PS%FY;C6HTaxGd0(P0fkCxUtDxzt6%P5{>34hv!!TMsRDkU46( zIWAf5|KysUSW350yI65ViTRiw#fsp%zEZ_-iNQ_tl)-&w{g#9CkJKSfGLh4h93_(tgVs3BIb0t z4Bj%wXK3* zLCJSrH6G(2k5bYL=H)2b`kn}=8-g0>%=c@IP3&%F+dkL+LMnPs`1wvPl&z4I-3-|s z_~LU3Uedt8QBW4=ru}l8{1(6g%`lpa_NwNZatDd1)xA;7Ljzj1K#WlqZKcNx(1&}E zBIku7N{JjvLl=s7Ja?l4R@VwVr;6?IJZ;@~RJc%tB#uCm?5PTtx5fSS#NIYwB?flT zfvs&oiHVCVOvc9sl$g?OBLg+n`a1GRZQDO7WKaiyu&<%T`$GFLx|<_1OnHggEv`4z zBSJGp8_f}iLG)Y&5PL`ibhHAst3lva{42!2?^2uTCNeBy97jQzdhxQ6~{# znfVEgP7w9oE)B1UUUZ$_PY`b_Zw!~W0m&^JkxFtJ<|^J2qLI-DdTlr`JvuO!iM;BKZ`p*j4} zdv3&ImdMi_ud|Rey|X^O#*tDz@{^2|u+?=-2Jh=TdzY`#jAWdbov>&@sG{_c;hL`cB|5`J zXa~-~C9sy_d6D|0h|B)TgRO=Aq!SK!-5S5H$30%BPD|mt@pLerh=#lH^rfJDRYn@n zrloK!e$SesEfwy{w%6#drDEiGj|*IJUK*Cg1#3IMw%SFm$(1q9Y3s`4|HFMYZK*Zx z~e}&UMScQXVLNpbaFm=z{ zPQRMO2Ib5^TCoD-^p1hFdj;089}b|ZW|%bd22h|G{@Eg*#+ZShc99ayVo;S|@=*ue zx7tpHW>K&1fB~}M(a&wao_s$K4T}TAr{um8bWT2{<|{>AukBCW<>7?&M-!89Lj~OY z7)4`Nig533Q6R%hv6Hw*Yr;r(_)C7J0T`wz%3cX=oD@ahuM~}IJ@{Rx!(Cl*P5wfc za3e}wC2Hc8ZQ)FvQz5Pw{b|uEQHS12#ih&hGU5rr-b%ouvWC4fUbA_`Ei+a{6}B&$ zR3Ppc=fsAxmC;H4>HAbMq+!P|xe1G;1D;X)>bz~t$uD=w%iT^f?~7)hlb)1w>c~sS zLQBDR`sjV(>tXIErG{&8i5U;!^6X&wbvs>rA5PMvIK?Ii^M^Jm^zKJxNUqY1lie(T zZzsQ1n8RHAQHNC!&aZvBww_#ncjc^%G*LG6rG2Y}m$FF4J*v7$2b~g&*ABYBN;LOr z)7Qp?>#EjrI>v>O#=<2(blus?e%^iTD=+_aBiCLtbVMI zmDG#GvwT<-vjY!~!?R^cA3BpJIw+5SqnBx-u5#r!si#aV91v*!1qDgN(u7_z~ShNoaH-gwn1ohUWD+Ejo7!HUi?Z=R^x(9?hf+$0Oc0# zpl%+(|!wAiULys6Wrb z*w~wipCnu(8nkF{1<6^mv5d$cihBmRVKTxNfH?{oO4t=@{Z4vojcC~Dhf_Kkndh2} z$UNI^c?vL4TTW5N8g!U~opb>OdANOQ4NWa0^xX5Tk$nUexGAQNQL=@NGS& zi>)sGn+9u#&d`Vtg;(EskF4Fz0V^Ty_c;+fYDdFVxF98mdvDm^4$*S5x$-5LwI1d| z9+J!iZP*z=U65^g1X(~&nI1ufABup8FgsTsyyip0ECNK^@=#{AuNHSP&;%f!M?u{> zon%g*@@1Jkt@%j`UyEBY3-MTwWCA_e(KRI%eA<|^?k2uz!-#a^0j&^3D-69S<)Txs zjWt7K&Va>KcPDG<|E8Xj_+<;eL{|5XJ`(i1m{HVrcc(RpHA9MH`j~d?t8lP z<&OEd++oQ4$-Q~TZtDG!2=6@;;qxGnm$DMOk^JvrN1W+uo48nbcjALut+ewLmfAYy53{ddvgZsl}FDl zdl-$iHE4vC)8JiN(Ab0wHX2?!4Q-R?-1O=X|Dv%48y}$IQclA`&oE%Kh-q1KnC*1I z0p?cRZR|f{S1A`5mMqj)Uo0(#1R*%f7klXHW|#&?W5{KT=wvL%aLA$oTVN~5zo2vl%so z8-cC9Sh#D#sj@Aa1)q)}T%KzLsW0sCawBq-56 zSSN$Ma!<>=Q>A+--4J@hQx*yf-mXHeB`qVt6Gv$$qvc-`uauB&*1 z1M(Q6g|-LPhES8x=Fo=`gV8-;je3ESj#w4c^CE6>C5z)RfAwvRX%8bYg1z;i=9+2f z*_!rj!>;>h`>kZ#>SR@&?2oVMWLwzDewazmw+Y{FlDEpQ@;1Om`7MN6;zgsoezvCya3gxLRAJHRWvZ6N4n+m*lyrh?eXS!`$2% zY%=skCdj8p5f$&isZ~%BRo*GqbxOPA-keV~6y!*@hVe7u5&UX{&m0N3q8nit`x|gg zOg-3Sh`B@8c4BjM?~hcrQ?zJa_C1r2_5uTi_$8-kkb5LS9PRFflU6z1c0krE-_x6) ziV@0_2bA@x2yW}q0`3hF==p|D$dTv3dV<26BLZ8(Uij_3_QI><)w^BT1N!+k_1qEJGy)18{LQDhi?o4(y8#wla=Qit85LCK5%dlbJ4b~96PgH4-B)=R*$#ouwEuE|@O1<$pOxnLNewt~O=kAhF224=&4nK5=6jV?d6g-Ha2Xuo%ZQU(ypSG9AJGjY%M4LzMc3L zlnbfGGx4DPboMjREODrvyptp!SWe!{O1>iXrjoi(IrXY`>d`v&Kd*!Ob~%6=ZX>L> znQMymO~dm5*@f9Cl4kA4Xs`;vl-$J2#X}(OrPtl5z3?EFA?__N z0$IrLl(z|@^?z{M;8T`^r=E$0tRSchITDqC93Ec-r<>4o@**Bd4UTxE_fFfdes=l3 zJ69vDyUGST53rBu-pm4bgYUT(x=~;U&+*ERG5mzQ1e1)6A2H#TrND$N?I9R5VGEtw;t|-;(-9%1Mw0rn ziqt#*oBRMtK1|QWVrp(DKSw9;&E)g8QKKxevw9mJOjx`tV$hv&-n~e7vaoeG>>|C) z5#WSg zGSU##o1n#d*#y@C(3WhXX*nWYS+q7&5~;Om%->PTy@$pLu}ZIwL+%&c08jXT+$Qb6a(AIL^;d=uWaR z88B{7t)*#SiUTzd2LC(l<%iVjENqc^@6*JyVp`4TE&q+v>qFAcinhwkBUCR>Y^mw? zA2=h{&^LMFY0b(l{+<7|59n4teCjTl$?cq2TC;ugf8#7!P5aJ?x$2Zd?b*cu7YaRH z>rl({IKwN-$o%EJn5I;(TZ`-d7seu8HZwD5>O~P+{X$KKJHiIg;aM4U{G!;Zlpf3+ zc}Yxls=m*i5f<7JknzShVp_Kr63&Z9J>#x}Xj%4g)=I{|#Om&;Lu@1bb&T~xA99q) zE9}Sx2Px%S5!*2Lkj?7vvjj>Im2Mug9p-MXr92^c3DvtI1{?G3sP4-s{)%Yp8vS{N zI|Y}PQtlNIY%I_C+G2WfMKm&I0ZktoM=zz8S4ERI9S++djh8+ylWBHai+|Epi^Q98 zX3s?qY(2(nmce%XKU3szR>ZCx@>L7hW&BDdo5lEL-?St2`Bl-;bqw(BE^TX4=&!4y zop-*S$ZH@{j4Pn<(~v6y|3vD4O?3C1UA9Uu?-x62aWZYYCfXaT9Zov(@0lxtzGzMzcK8mlKB}vG{FST6s!yPU*%eu)08ykC1gfI&n%vPQiPb zTvQKxQcq5*#!0m?B^BXPHaG4dKy~$qMiMr@a6eaNi6FI9>yp3aA`z3CS$ zkmFlV0JPs0Q`vXI*XQnEdI{bg9KuZnSQ;1FX+fpC+<@;#?MxbdQ*>?;0-Q*bVf9}3 z<_{N1`DK^FXV%gXVQp_bXv16%F?+WCi!R*|HKQkSajDr3*!r^6&4e_Z=L3M-Lm*I$ z)t#POru;HbrUW7dhE{$4VD5(28a}Tp3pD~nU2k9oYvZQ7p0H-me{pkUp`Dwq&m}jB zv)}s%H|~0w-9g|V+&p_%aub9UaNx%uD>vD7BsT&eyG3RX$g5G#NRol)yDQ+BBiwOq zs>BY$ama3(a!d4Z^@U&}O?kRbe3L*YZi%Q~lK`}L>A6q}`+(sq2Mnw-KnjLmDc*fA zmHoK%STk0=^3L2Xfd<}wr8B>br`5NGm-A^<@7(D$8noD@Mm1AZVNMJ;pzmC|bX$C! z80Hp@-iBp=URYRR!2nx0^7Zm?H1Gj8Z2z=eG9g~Naxe%t(OB6vqu|xo3V`(0SIDzf zn4d=?b9h!hs(9>c{IT4@pYr)RQ5y~R@Sd8Uizn!=2&4a7i^~B(o3fCS?}%n^ zMuR9=@T`UEa*wQ*W$VzVpzg)qX3rKn#_u(;FXLfP-LCh&+kk4NdiXAfA0f;q0nM_g zYLW1c@z*(l$ye|Pv%k8W{pKL{4{2%7;+Xx1J8bN?yN007y*F#IIrK{aw3!Pip-9Y` zurU@(@Kns3xP+kRK|#--azT7&)GDVQ|51>iET~3#K@RqUdO?IJ=(p`CXajl~xfh8V zH2JP@^?n1Cpr+RK$rzS~T%Vi(AG9dY=#dC(82NPl=r0-REE!o2++*vR5exp5>xVW6 zS@H6d& zwGxM6*ycIV<9m3*_{VKD^BxT0{B86;fA?&oef(Xyjn4CT`Zm(=troq_nlH>tW~|lP z4)8`T9FihR_!93E>i7d5d%cuJ>wgdn5>uY68ih@KhvN3yeH>WmTf&ZU#yrDRq_BL7 zy|E>%Fk~1Y&~1m}s(Ev@=*PBd>4y7nTfqm8u?#JMg6CWeEB=9Si4nMhVfb+t zkb_UkKQKPCW4Q1%Yc@pw17W2d!HD|~Kxp(2gsFA}H=RP|e<1YL5juej51qt=l2_}| z*iOQWZ9B6e*N%Yp+cus0JrH#h*V%xTOgQ*&cPAYqZfIi^7JiI{7oK(V%s_`@%!78~ z9uHLf`o#=Z4a4V*i{0@`t{>*Q9?dlw_5c8uXOA7} z!2{SC!|VX2mW&%YoVN$(#o^Dv^)bDNw-GiQ)>sjf&&y~sq7_>ue~YXkt@%mRXtZCCC~zxc$xW9zBL0!X zw1qDIA7kGE7S-{+4J)f?2y;~yK@m`~prTl?qo5$Gq7r)-V~-kpFKZ(PS1gG-#$KZ) zYSctwQ2|B4F1A=>FdDsz1!IaW^1Wwf_wIt^_y2qz*>lg#yyu-W_1-&o=ApY+)zMmH z;pW;Ra?t{j+pgzss=JpdSc~jN$hpV~b_08wpUX$KNXCNY@M4`qIk32WBKemElApCj zG8QbJ{e5jgVN^bo%=-2P(k`<_FcvJb$+nO%Dzc_H?5RM~ZtGS)!rS_0>v-8m?mnKr z*7%uD)IrI@+W&+{KXR{M_4ZmSrHMG3I8x0F8;NjuVZ@XCUie`x-~I@n3-wvc-#l_3 zqH|DoE`u~UJCxxQ<|lmFWB0P9ciKX!X-@datk2HjDUb06w*MIZ z>tif3@?FEjascyowH>Bmj(|bzpd3Cn$KA8^Ia{a=#wCZZ&H>DipAk$IAL}&AtpAK+ zV$i`7!_a<^)n&u^zd7#zxVk-Bf}IJAKH~45U}?qmB<}Upy-xK+bxA*UsykM8(UuU~ zaFwZVg_xys>NmsVWn9BC<#6QVBR>AAdxa(*2&4WdQ~w@e^lr(le+MaE{|0}J30+TG z#$@89)gb&y9f?0`w#v^xb+2FU@n9OMXw}dar&%7jOTfu|SO$6}wULFFf95`+ z%;<;0WChzx4ITDu4t@WS?|9~3xxudp%+#O4UuiiLQoQ~I{=#xvLCd+v$@2FPd6DPt zoolQdBrIb^z;$UkS6IHDI>v$td?=okqWE!Qg#PJDKIb`BzFZ#84?TCU?B@SKF!DBs zCO_a$p1W6UupXLB{g?PFX?y`GUOyjyK_jUkjUUp9#%B+BL@plsv>eEH<+@iiY$U69 zu+jroHyW|dDPBKs1;3Z;zObJkF~w<2%S+0QRA^VgkD$}a_zpsBWqb*;`gEWe15zCH z`$>NldbPU;eG$EMDXm^got??gyl`(6`0qWC#am<-Oy#mbMb6U4562DUUQzwOT+;yG zU``mo{a(6PFa8~(N1F{M7vBF5_i}Ajq|j#Z7;y7)8Y3In*M%0gzQWpk@#AVX^c80G zbz#Q3T-HC?;jZBHFn;_G_qvrzNH)I~0h_jnAlb|w1~#ok|FvQ@_kQUfTs-kN!DCSo z!NZh~M=6Sa3gm6ZCn7TP*p^U-Xs9_u$yc=3j4Q3K@)I)cLmXOxBF@ty9z(>Oa@ZuX zIP}RM0a)G{e}NckB%xr($Y(l)(U330zM`<|ngzT%(JWAdOhfFHHJXJ1HVZol1-t)Q zQ8p0D{UN;VpIC_Ua#`ZMKixx}bw4fT$Ns{q&ZU|B&0p?IbU917`EU1OIuz+&f4lF| zU0lq!{ey5^|MHLfkGlH_{Hs^)X}bNld6(DjEo&=H6{zm!hFV zd~-|F{sR$dFT8R0_VnlnU(xGUhdsM;`y{@9kQpJ(xrXE`;ihSWzKMBpXiDo<`*bXBw(L#rbD1| zmzM{-=aO!BNWNS3EhrUGWB8tkOSOMvy9sD*Mr4?q7lh=`KX^jwM)`u$5=f z%xOZa!%TW=8%n1ica{db8IB?SWk@VSY>PMXLeXG6%hO6QgC1f7A(k^$Kr-wIGvi36 z{tt*$&+&N{(vc)PVLB6|NBqpg@z^EaY)}yk-yKL2#soK7CU7Oc4zCuR=BeKCxM^~q zPP2^(y%6b#4yOb-fBztednf$)O3583{l=5Iz^M$Lw7=UR`iSEmB(%Z_}A8*AF_ zYg|~tx=64&&=dwXDnHnMswC#n&IDEzz-E0e(u>uud6z>4pY?4Y$zS0;?Nxt!^+mRb3tP$AwP=+I8_I0S&bY1#Rl5}QPi=p#%XvYT90h{;yMWQa6L`KhRx941Yc zB$J0lUsXy*gRjz#k*|)}vC|x}J6M-jJ#0z&xiL;F`wWP(?A;{z0Vvd1fZJ|Yk3+pEFYGcIu{|>NZmi92 zOXOF+wF-R*rK%jI1!|$UAX}OsJIVS~;3@bc2eeYMt2jh)hmicK_&N}?eY_KEgaqoA ztAwEc3Nfeyi9lmj&0%h4Lp10CdMX0YiG-7{EQC(OrZ-usqFLE3TS5o2;vB`Fdazc# zTs{CyNfUrMV+sKb&kyJ)0n-pw9S<32_dygx=bvNvLIc~Q>(YWZ@nki1>r?qKPgYeo zF_ka#WDQJnMvLNte`}}0zcB<{z`viiAjB>*BKbEQqAb@1VenDH#Er;!O(@l_DZ;=0 zkS#dJ=>z|Em-WDj;(kwZU!1ODUNHd^aOvJhmxOz-e{SoaBX^k%D^r9g(xHTFSNt#6 z{v!%JbQPki?IQS=GOR^Zr+i?F1Pd(;tbkj`O0ZG{cA^yz^kUsir$*WQHTekqHKq`M zeHTjpS}P-xzv3ZEe_d!U>f!ysFB7virCsM?5Yq_{6h=I^~&9nRnPK8>f!U=0MkEvqFNL*aDw8B(?PMX=J{Q(vWg_Z!+H01+mX?~4 zrH7&)AUpU%vE!W7Snq%H z@O*4gENYJNvSF8gx@%Rz+v7#|QedOPaEo9~)RKp6Jb<#lQ6fJ^bGD13jCv zq(A7{E+YM588PPjJH*(J3sTIOYk!5Mh>lIl&HvFi9Ri3fufdZ7C%y_#YJrlm zETf#PO5@`zv0!#F%^}_KG`_zQi_%R`<8KjYXhVUN&PeGtQMw&zJS>2TH?el*Jn5gkzMn>VWzEyTl4LSUqQhf08xML&rG7YqD^|=|pP~ZMm(n zNUh0w7duXrw$9=ef>43*+b;;!!=_vm#3pGM#cQzv+QryfY@+QV@o6nQ<|x(cNAlU1 zWc&hw{xmDGW*yemS!dqD=hbEVbXl7d8`NW}bE$4WP|UXuF?nzZYgp=Ip{GvNQQ9_OX2XWv)}YRgsUJ6BZA*nA zC_E{c^!EuBhqJbB$U^I7&5CnM!IL+qRC)s=XHUOZr3UZ>P4n_Dt1I&*JlK#`)6MFT znB9=M=t?d9PUHIrA!pCxe>Vnlb|J_im2JqCkV;e)kqcYGAx&6R=gghfpeSN1f}D=x z>67{0JJ34*sI&`p8~SQy%qH+~soYtNy?A*cUt6Hh4*dcE;Yp(YTY(QYWj-#YdTb*- zJ#?{n05I9f#A~%cSAgHoTEMfg2I#VwT>O~moVn*y9|0--;X`%LPv=>qH)^H zdWW;mO1;=jX?s)JT13a+#8-sF?f#|@3v^|9ay!<1h0aGRto|yHP~Vb88LHWWVjVFD zv}B*QY77J6qHf6JrG*VYL`$VbYqHX;@UhvLx5;YbGe@AJtypxxY6WAc_QfTYm4e9O zNjQeZo{dSZSYNhjBS36@>GF;Iw^l5$&TvvIjq&es4segiHv>nwSDnN<^|shA3MQtrF2vw~S=n z4Y$@?Q_=l|r9A8`_fQi_)nz+pdej5yupz z?>a*NDLiQwq4y+o1l5dUt6B{$P#Q5*;sr`$c^N8=pWv6dYppP-jj zf?Za6XsxFUSsP>G8@j+6es6aHmQ7irD==&q z&AY-HV$AI75aau1o6u&M_`~j?hu?r6tZHqm60@MUcc{1B`=~KJw4`@L)Emvr4NnrivMuqn z9$=2d79_SK_5gDzeS5M-^xLB+_}Wq=^aNkqMM_Ulv|T*z$(Cssb9=GMrr|TRS65YP zmJGTsUuaFYYrUX#!r{eu%@tzTmVh=(#vN+czN=9($VJ@RpA6{&qRT_b!DT32Y3HcsZFdb1|Q^2pyy(L?lxzww*X8!odcz5B3Q zq-@iNwWQyKKBykHz@vTG7TvNGKBO;R4=qdCexWaOF10O=T8#@$A6$6TvZ-7=kXVffjCdL zD+UMs5>f=B?S@W-gpQWpckBST?BP$o$i+?!@AwmoyAOXjoOw5_>4Q(qlF z=uYTGXjLEn(Fo>W{ois>O~E(%SfmHhDBpx7IZgCLB-I{xw;b;}g4NRn^x_Lgu<%MH zrGXu|Z{7g|Z7FT0K8g}Z0}6W#TQR`<~d=Tm7w zU4h8qNn!$M19>ruRq`GKJ#w7|2Mi_=cac~kuJ1XC2Yt@WL?iig^!@86T5Sz>u*Gve zXP?#EO5+U85>xT=)mkS?=MsfKVb|G~@N>t6Uyf!WRchbQrBNTI@gFN!h~}0FM01j3 z+j^m~coJ8E0<0v{4%7~nUp2;XoI=b0gnx9;IFerr67&^Z7%w>yzb@%~IQ^DP=SS(+JDqz^!mnRCA4|Vg)A=d-t(DFz zOvZ1MbUu}STc-1i^xHO_2Ts9nOgf)Kzg^P#4f^e!&g)FY@9=aUPrqZ+`Ca;*lg=AY z!|#H0zKnjCr1MAgyDFWBPsi`Nbp8$fZcE4hbNJnz&fCnuZ!$ifr(f(n^O}B-r}Ix{ z;`e+y-#L?Y*4<3!?`N{so)6M(9mUzoSg?T7a!PeQ&U?*b0oBj<##|kxY28)4(Q!=Y zX~qIS|A-)SSg^QhHhkNg@0i7^y8YrR9&>cWf-hHoYZeRjoNy)Ay50C^kTUhN5yRPu z517k5bdRs`2onnoxNrl-nQ(xX0nmdi>oGk$uTdd~cADJ4N$q=^FEcUkj&uZP>Ts}- zJzMEZxq0)B{PZ4s_DwC3I-A&Syd_cBhxH=5Vk0p>^9o9mvg0^^ zZ9-2QSd5pS%_^8&Psqc+j! z=3t0*L#j%UYPM9Jm#Tm8lQRZUGUT=CAt_>^NRf(bbRC21gYr6)uETJ>M_wn=bug~K zmDk(p+TVPDGM9Q?b5c?kJd&x8Ln!PMr&$yqrTUpMVTIUlL%7gY6utWRDvy}Mf`^1+KT|K4cG7KtJ{uRb zM#PnDRz7Z2JY=Y4x$J_#)xC%XSi^kR+P@A0$$X2onBOv#Fd@>EVDnhp=!ABD7X7 zkSIy);3tVhS4o2Ol7vWD+kpfrB#HIdAVy^RKRgjANzBG>EY^;MNZdm+1qsqxNsuT> zxbh+MnO~qNt%L)lmn3Kvn7WJ-T8o1eAi?*{$7-E^mw48ER$CW(g*(SFkKSb{Vx~Sq zXI($+|G!99L@X3n7^;gTNn@jWMO5cF(ppK9IB6Uo6UPEfJIEag2S_FPi8OO5jg_Am zU`2I#fjz|km;FV~!v043CJL72u&g$a_DO5CPoi{EHD3A)=GUQwBtd#fg2r?8KDBQv z2~ximC@_}huk{(^aUt)kTCI48SV5|Qm)Um)8f$*&0qNF^;|+UlgXcCbhaY4HHg z9Qa?^j&%~*rrnTaw(&T~Nquuc*e9(u+a$_ttGwd^=BGPk_i1jNVtN890F{c z5%kjY9r3J&=}YQTZ{UmrcrDFnZj@4+=nXsrEA*^OJQ(sY(x;B|GMrOqWA3kh0b)6H z*dgKvOVxO&uw1gUyl>0Y|L}>FGW5pwkTUcGI*6auG2*8*Ma+{lF1-sK& z4$)sYTPVFqNe9`hZSBfxr1U=nWr$sg1$2$nxU;!=@%ru{VFfjkcC^rj+O<7MYw9V~ z+mTaiQ!;%5{ZNI#0#W0oKB`$>B@gFk?_nE!d$ZE%wJSO%qJ&;5$U1SnCmo;<3GQ9=}z z86_aM{>hBnfsyxp26lAB&BlBqKln8UxMG?7`qylfu2d$ETFy4;%4KqOIU7?f?JO+$ zo#6dffL_&1{`Csv5LIIghwZ;r}N@<*lm(?QTi^HN>S|8H;VJH0XCH=;X8$B>n zKMB&%aib>=$<&V}rBhCE)QxP_7ud;^IHhSOZ_C*t6Sd*gF2Izt_J`PNImvv~H!M=uB$;1Wjp~whn*aO_Ze@;J_?vGSHr=&w@6~wRdjL!v)4QJG zZB`?F4+~$pnl;qt zMLdqlF&i#7<7d{Q0sYvF=d5KxHRd-1B+gi)-C50UaoKpHVH|i2tCU@g&bdZ?3~a?4 zU6HW2NKlUVTgME#9cTE&b9|tEAQNjE1Q@n_B6zL?jC3P+V%8&pb0NY0B6^;lDgC;D>pE&;&>Pn3#;qt z%2zk53HRTCm&{Aga^nUT6r6Sz)uI~8QC6R)dNh6--X`LWzCO7L-H-;`Z?6A6%eQS{ z&2(Pp_`MCRZZYFI)DV7$hp%TIJa{AfNH?IdWYB3NJUHbXpG3bdjbXd&$MSoCiM7Dy zmqz^PM%F=>(1@breByJw?k2oJZ`FwR-UOPJ8u96y*nohvhGGD)(2t-o?1j!LRVA~@ zw`q9RuWxv8Gc!0>+P;rU3FE_@%pQn?um3+fuQh-lag*(NkT=?bm*!vZ<-NDCYJS7_ zQoY0D7z}~&F|OF{4et>Wn#I8vIOKUR-@Jtl@@Ud{Nk6UJ#eHG5#=PuS)=4*L1^;|2 zo8~2M_q{YzS9cK&{rdVVx3L<{%9EZ39u?mJ<>j!{N?OD(C?bwFqI*B{k!sYsEA6MW zp))?gbAbg1`Os~ww=Q`lKfaAk*Eu)j;omZYG*?YS8T!327hI2j{w?M$c6)&c$Y;-s zVrusM$=(6-0Pc%pV9)!2!|iZz{LI_P#DThE*v-XS(FU|Y{x(}e+l{G5cB3!PFk++M z)J7VUqPQ*MUfVH}4m;1AZ-=8-o#z9#v%2L{wK&-O8wRma@Lm_RhSZzq`JU~pjL%mAbUh;n5vtqi3wJ6MkPye2ksNQfVQ8IVE z9~pl)G9LTS+(?~$(MD|w?hKQP3wxQ&A%1cxhXX- z!PjDL(tA7KvWtDC`)3DlxSOqVtA!Bhw)7qR)^66Qa+s$17q=^^tF+5!xRm!s8Q`#o z^$Nd^FtuzJ)vB3U=;vrki<^*K^)AXqcup;bRt}nDBoj%~_GHxQGQYfsh5HJaRTo6% z1bdn-+tAcL*zorUyjiPunUDN|`FRZ3hAh#nd?A`QBBrUS-|-DUugQ@6!p^vrxA!u4-_P3W{;bM-?Ponr-&CcB z9)`I;ZL_Igs9oZe27H=J`?XK9=`zE-08bTcpk3upsz(c@zMV}^dl5E`R3~D`8+`+z zjG@~LI4sPSw(iX7gMji?m+-njvQj$Ns=W1&c%q+mnIHU-VVi?1-18@RsoE7j`X{zt z*W(Ij2UvYw@ya~%06xr`a)mEAz_@PD2JU~5wa~4)!Ur5=RfB%I9zq{s4hOcS68abV zOi`a#k$ReDG^$+;JQ{yeE&_X0ksmn70zyVy#H}o$p}pGAe5{2<1U0&fD(?YvvUcyzq3WWa<4>f-A>g?x-9w;H zO^LnAf3vVEE za`w->`ynh=ZnK)l9byl3b;|Qmhgo2gy+4Dv=P@#m?aJ@qDz&a=BSnRcFc8c3A!4($ zF~}Ijjiuqd56?QxJU!p!PcZ{2QrI>A?l7xTbDBuCRHPD*Rb$XO83qY0J!PFMLtr=H z8t-rf^O#^C{`nD1e#5WvrAJtB$z3ZQhFSlVKG*ogBP>BT=2~KG3j5MUxA7W(e2mp7 zHhC$g?5WqdPdeLLa*?NP8it~|pNhj`E~c~4x=z>g`LD}eS}Quh179X%%+dD|!hN&8 zyAV{fzLN(JJI+kL2?hta+o{z6qu#Ml*}O`Lxz2w%&T6!rP+H!Y)x*tTrv6i0(JUrY z-v<(=4tT85O_O3YsjVhOl;&+uU?o>|4?f`pUU~jin(sV;Hzvb8#)4iBXG(C4$X9p_rMA2w9jMw>xlSEDQWo~Rrd}#swv{*W8DdXW_>V3oIcCU z<*PtayVif7*EoHKVh$;T%T%Wu@K5u^JQEUqWKZOzCHh-Iq6%7~hqz3wMu|!!lA&9; zwi?QUC=F#$ny2+_h7u`FET#Dw$MWJ6c6*wQwlwX^ucSm4Eztr>w2BgK7Kx_Y6OFMY zDnEqM#A#`|Q<{@Ec-Tq2W&4})4yRbTVlniHqh1albBfh)H{kqYI+$rtzZ^|LzapU4g~51 zd|s_LGZdJ(tw4P~p8EPm;-%Bj zZ@S2$oR{;CmsxLqCkxLjJj?JYm(U?!_2iaIh_k>`#L+ePOpLkADi<+b#?ngaq0NRl zd5f{v=ymL6Kzoh0Mf(tYjqbildyQt<_Zp3bB4rBxIIOK-h8upIfhMtN@$?voa-sRb z+2pg({!pkT;vkDH6AW}x(ky1=fBwwo_sGIJO_0Dk@LBH{V;%TF7!OY(%a$avb|t^H zZL`Q4mx;*Qe2CK8X%K<6@ldF~^Lf@a<{eEq$@d8-H$P5Wfz!;66R6>gmN;I7lRhsu z&r;?VKx*FNA=g>3=^j>y(nkbhrvXF4zr=ZnopWQvEy(#NoMVO8h9aTZUi52-lJgDF z0Oz?-s6ntr+l$VT5#f>A0-aV0SUuACR?`pRy9s!sOhqmU(cq^`_yB^xFqiw?U~xUR zVzH`pc&B$nex4n^V(tHd?$v^>-Jqb(0x;T>9Q- zW%gULLhom-nHW}xmF?5X$~Q6*S@{B@v~m^%U}Yi{s{b798pjsJKEtwDDug!Z%FTvV zZ^**;b_=r$v5-2AEbOx;q@BcJWi>9Pm241zm3S!B`m=fRE!L=H6I{sch{g6hHNN9T zZnK`ctew2yZT4xeFR^}BIY!)^qoosI?6yHkBKWP}YVgY-O89G& z1^jF%)EX!)4gTD(Y_u+S8^MQ3T=~lk}F54XIilxO=8*nTqYQz4QR2b_;VUV*dv1Bt2e;k~3V?5bBgGIxX@C_ov z?oYUscHc}8cDF#Gc9_aH{>JK-?1c+!Z7`zeZ?}ct`wcHAa3WlTd#qQlB&-XTwPDB~ zMBu2Mz^XzBB#a{hCYgvbJrbfcz8~C>>E2MNMNz1{X)&b&|L8vR>*1>zIs1Z)loLkM zfNwcRM(*X$<;$^TEFVlJHDSA?R%ddNVtEpoTsg*#dVjLQhB4xSJvtQ&2ddCHIE;bHgQr@Z+i zJn8!uk;Heb>nOJV9#)v6M2DC|5^xRjlQa;4A@=+>Onf4c#U4dzDY0PcPIQi zUFVm=O#GXaz4@)Z7(v$VVbwcm_l31Ah|-!TSxcCh28)NFR7XCg;t2f#ve+9und)Ck z4)A2bJ)jmEnTGG!Cl;sOpw&npHS9Hy z1@=j33d8mRtONFIS%X(2w%T6U(J!`Rb(B~$G%PXM(|88jusozwc1y4h1m--3Kh0q+ zqx5#Pj7NgmOMDR^ndL{TZ9|h_0TS#4Vyja|^GQ!w$Nuwh0S}@H)B#qwg&reYyM_=- zU7%~|F`UY~txQCL-ZMWFwW|uDUlh&3aOn{bcTqg}oi})|)BY(d=Dkr6M#qY0 zUSbyLr($W-y{ZP9BTROVZ>vcj7~iILzFzW zfg)-`2Po9nsDpgn8%(PzJVV8P&$Tj5eJDKl1R8AKhR?3Q$yZ+{X}4TOCWX z_2gMRNQu|`tBbM0S_%B6rwc$64Z0|D}Npiu9ksf%(6^T8BqF+JPru}~$F7k|OZe0={t6)7GmPsSc{{C#;PnD>3b+V$VK+~$rBh!Jkdvb*EgLfkQN z5K$N^6A^_j5G94xUJs+r;WeLnjS-1s;DNHOr>KTySw2pZzqv11kg_CAmK zgZX&e{#vWz*n$p^Wet-A#0OP;-9X~8L`EkbGayPH$3PK0MnIu@f(Q3^Q-XN0m#nL9 zX)N#elC?1Lue7S(<2O;&>V98T_cdfBp=++Sx|hUfCUQr`j8v-bbAIDNf3hmw?k>}4ZN4jLU4W)A zS_SI4lcco?vDGd@#^dbF*&D&EVm9CTCvMb7BTRN>bcoVGacN*b z6lz}>pjpm75s_v&>F_-=f>};i7(ziWL=eq#lx*7ob`mvF&T>v25VM>zcmH#i(^CLb z7hg>9mInk;E3_0g%c&)3`^!Y+myaPz+MVGt(0R86w6}}4VAu%A4`w-wvgIsiY_^=` z3`HbSOZri4{Z^!>Ufvwi0oP~&4K)ylSx$pr1#;oDoWcFcx7{TWk&J*SNiGC`kgP`} z@1UW`S&pAug%Iz>=z)Eaex`A$`WGl!l;Y<0ST#(ZaaaqE0%?kmi9zCf52J4#|t_z&y? z^PO$7F1IG^*Fx4ev17fxEqGSbu%hzuEI8lkDZ$<>0@&_PdH8G0clP1J%50oO+W?Ks zb$+x0^PMbNUB?nwz^DB5YusotT*yx1$SrEb{q2po6Ixfn`Of{`q79oxBC2QU5Up*v zukh)9DAc|K__8-x?}H~Swh51q_Zt|H17^Ot&b?c5uH!919p*Ye-lEk0jS9?lZuTM$ zXBTQ5ED$A!JkUeA?tnt=9Lv|dWg(_PxUjmb@=f9VPSD6n&eVL)FVOSPqY7*e4Ir>< zBs)%Wz5rCFehmIPPI5+)fx;#^T)aN$bdx4IRigICYm~;{5R^7TQy8TJz5YcsH&7af z*lOkeG`p$FbKkMHo}GF)zKcp7hOS;+-;Kxo%PN#@bRFLh&?~OYFxS?Hz;eUgY~8&y6P_%U|;9e_3!G!QQg zOqT{|R2ilj*aN4)TiwZk4hCpc=_Vr5s4@&rl%vX22rjb@xNRT<%60J|PoPL)s5f`sEdJ1lpURP@};B141NR z@xiE4=b9W=7#SM|fs8WPt>)S|dj4Cfh{tUPABS9QSm0MSZ(mJYyfvH2`l@KJ~ z;R{kYiby_!C`s0qB(FoE&O@uqj9f8V@zzbbx?-WyNmqB{iaSc6DO{$+W>e3vEXL+Z z4J!MU=L>T9>uX*x;`(M)z5U zcbACg2lt=9Uy^-tIcdeAPd;!-^vR}bzvUx!`x7E{evU@!5JXAJ8GPZ_@1Rh-ipKiA zjD`tV&x_Pl_Sl%Egsz=ix>#z(~i- z(u$H8?pstb^!p|^#3^Md@MYa>OVMRGmKlUjr_haBXiX7{FA&V30}!G{|NK7Bl7wKC z9sGeo%86E0JE;RUQzf>T__Cr(#UAZ1U<|^IJLs5qZ> zq@49JX=T&~qRiP6WE#dBL80F3!apjebpH7CEHo9`bnGYu{^T8N#J3bvYMCbElHM7} z2b>kolTROjL3k3`w@@ctump`A$Z`{ra02zwRUt~tqd*FlJ)uyKqNY$EZ4eQukFF|< z0W*KgInkh=%>+er`zsEebWNm^Q~#hXqLZEuN*GJ6H=8(Jg!WMt&o__Ev{i)>fD5<0 zu+uKAkN&DX(Vj08QB@cVv9{!$h%AA3EHvszqJ|q>^4k>~3Fn^U?_Cw|W>;bF15Vy_ z*1#Erh#u(}MbW!TYeO+0;2JG-%{kt=xKhznpld!EP27@aXoa~QqNMf|v_Wkp6lyry z2i_LTXlQVeXDQ!*+4Jpx@5AC6DX{z5vD?j18d$-XN$@5F{<~Bf3KxP&sK|IF#O;;3D{QM49EuV2mvLL!q7#b=h$CjP@YH$9pyK(fnxrn&UHwd1vG^2+K4SwU9Z(-e0i$-TrVxi_UIN=7|+n^NnyA=El74gn|N zeGLtWqn8O2bLRgT7LUv7F__T3I`E%A#;{n)4t76NfR+3YumZzkO9^&wGQd{0=RMq& zYNnI8px$Q%*qROFfER9wvDcfzdY^Bi$a!Xwke1JfOo1q!mtqu!Hk2%sg0-A*Y(gj^ zQtvZG`n!^-7RF&_Ly=5O|KN;9h~m%#O-1dKJnNx1D}rz0j@JME~3*QAsLMrAvk^`8!GeJE2g!h&EtopUF2d#h`1D$&;8;KB~-r zaS^>wafFJd>IA{8Z!N8i#>TgxCh|RH7&@U#8Qe!vD)lVT3B8XbYPs0a!8$+u1)^kD z2~LNn&O)J177h2rEb1rz6xJ+|aa0W)AudxU^Hqw{+SX6dgDh)5@mx_F>uw+C)k`Y= zruP$sAvkW=adO;TyW<8I(qCMTAa*BYBJ$gQh?3na5C^+WP^i(OO>dUb2AT~q0(+qy z`%5c!zEh(o3-IAG6~2N9>863NmEe&Cp4*1MFNrq37@+u=34iH!(cyp?h1wH6hY>q?uEqtmXzK>Heawa~ zumT^%9y4zi|AcCV5_`(*cktY+N^us8u)k1$6CQN=URpMaIAUyr|(J*n;!um6Tj zBy@dcDO2EBnd2MjA*d;x*DkG8HaVe^h?&MeaDiF>LO6m>8M`nY%U<#6Z4Na-)f|Jh zL1|DSt~{sbM|pwcvLK+_;^PWt@{?mCld}-;K_>56GikEU(e}$K+Zl1yqR27kN)X{Q z6iEr-gj;sni{wQiMN+3FC8{J7QH4_=$|C88(t@+|#sd30VJ8xY714m_WaJ}#m0EnZ zhvIH33o|&a0DlWqlSP}Uny~o|#gz7Iz(`)}RdYT+^QNcQ+DXhX+ zT2P|zWg;q=RS;#t+zAtYTtL`$g&nFee2A}7hyUfN_z$k9nYx=orY_n|x!wP8PUk92 zz4=`0E*?UZrh=rYpP^9apj$(KKUa7mQsr51SQ);oj8fV=#;PhXg=(D2kCefi%Fz;; zrckPUjZ-|8KP`i&cLk^@v-^`YZE=>BR=$%k8I zD)Qknh|-7GnhCbE3A{!)PcN(V>{U~PznM(%Zs`B44QhWO@XiALSEnzCx_#?6*8{G`>GV4E=iKzDVhA6YSsiDYbTPW1OP-ydxEKkKgPb}^k zAT#x=0Z(jkavUVv=xnmS)OF)DJk$9dWi&!34iF*QxzkFhMtDx7&)FtE&_BWD{7VzOEnfpT`3FKrLw7RC$5I&!sOtGjWHg$yKT+0= zb~=X(q4Tmn+4+5tmi0>zW!AkUoug2wBSrPo?}kkMARg3y4s?)R1C0qiAZ?c$ZlNL% zPa^MFZj!Nk_#?~wEE*@Gk0LOC0?Ulsn2M6czfAoZUqA zA-T1TrXVYc#6a#-QK{0sALRJB!-$I>`}cv8Xe+bOm<=0$6m-u)pPwxrD!$E^2s9?0ugQm`S>e&o^da~w-qSjPgm zYWNZOR&UoO`zfCa(lN0AJw$2$1*pOPYA9^>Bd~WER12W8^gzavsTfm+08*l*$Tl$a zQ#}ZlYQ%3>Qnu($4d8PElrjTHBU;W!D9+j7JP8+AAFz*HG+J|!(VRemDRc6ZoK}ZO zjvSy>y`B&y$qnESMn)*qmks#a0HuF4kxE_zh?Kqgac%??&Y4&ZCkdj&c@Go7*$#!; z3Dwzh4M~%qJmB9}R(eXr*M%U~7l>8th@~~eo)S?HBDhqvu@ryUkcHD!)~5-Gpf0J; z`&U)E$&7U_gvP8|L}N@pjYeOHlExM=1dV7Y)PGP%w2V1dvt?{hA&57Eg!B7qh)EEw z&WAB_J``#vN5pS6#0iBU))$CX?1-f`#GVpSM~J&oMKq4>0+p~z6L$$$H91GF+ER$C zvg`0Yfl81r`yu}&Q1O#)iYtUd!YLyg5|i@08&=Lh%Bz}MAaID9Eg#7CLC(6rJvdk)WPr1Uf{POn0Kt9M4NWwLe36*3;1dhUd8ZS9e+}y@JHlMQk+|z z;3vpL1U~?x%>8y)26_ykzZF&b6A_KgUk*&O;q6YMU)_%11JI^|1}X{z9@0R67;x4M zL`eg=Jz-!C4CI92Lvvinh1SlpL@JsMU&9cHoJ5d0VJmemvtdc{FM@RPL7Mm~Qb!sU! zbWghT@DRmUH-9@H5TbYvsrE>WERFEvfWEmZp)c<)$l^XTWDZ1$o(aR&Aq7T;L$8+I zDMpsQkS+8%U$Q^Bc|0IxQ7x~@-_%md6{|{-FV*BewUsKqMR9@ZI@V}jkwo515W}G{ z!B`W==Y7j#Ybzd+go7pEa<%5{{1gvYA&Pst2^4a`8iN6d`2vD zut=w*S^fga6y0N+lc&qN>O*iBs&l_Oxc@xcMZ-(jD)5q_`47DEfO5c7Wi5(F0=1cF z%3nd09ZVR&!YzI59Y8RpEzrRX4G@*6r%Xj9)Ec7n*CMzQjtU|0>_F~bS7~d??QDZz zzlGq%a`Gg~t)){T@Fyx!X(Y--guWG`M1LG0(7%R4Z7y2(auLnee>E5QW9;+`?Y{;K z1Kp*8{xA@s8CWO{)FT6T&>pD&sw*PWtBeQ~0sMsi>*vkF=^r8JgZ`^E(#ifSYKrW? zR&0^|*Og8-N6ba~aEn+QAstaz|FyFsIbw}WM2`3pqIAT0WC3oT424>e+O6484tX!6 z|8lXbW&ibHv+TcafP(11vLIlND$St(N)vfA>?C!*{!sQ`+cw$sh4)_70e|2K1{$yfbj4(l+*m{W4 z#C=$|x7RPAR~zDWKderJY*|}Kd)-%={}O^uEP^6Gugvp6#8d$n|J8{d*+3rn34I$q z8`ua)dN%OCy0mM4MEF!k%_j#TO2Y4u7qHs~g&ITskO1c2Hc}Bq7p^iD-nA7Mmfv>JT%|&kmTQq^t!vAIUY)a1xav4$%l1NC^_E(W=*}^q zyBQOXi2I+h+mNaU4!0tR#-au3r$M%Mcum)fwlWMFt%KSPaNKT+QQ(6PZ>6l<4GHdX zCF<}D+`Exd-}JD(2D)RN0KE#$e}ER~^@>Q)tw^ADr)=nVLzKN^8;}()B&^A!4_p zwR&!&b}*UIIg zOF>6ik|TRb!K8?tNp3qElLqBQW`Bi3U08t+YNB*9eT577Qhu>9FRiBBMhr`F!sG`V z)z_fO$PYHo!v+6Wdxmxx7?ZrUW)2JW7bFYCsq^Yu|*Oa!l07eW3!B@6W>gi0a`I#1YN?UrRd`e57AlQ9uU%^#iei`gZMk}@!9LXqy zD2;Xn9~gZf38Op9@yIYG*ky3d7$7 zR2+X&s^X8JEn92?h~aIpOhnll2~lQizd>ZHHxz17)FhmtP@L+cShI3CCBTWl4OdD; z)ke7W>0s{HqI)e5jXCl#MmKR!Xd&aYjI$xxkH8;kx`V7P&szb1vp0`!iS;VGabbJZ zD8bf1Qy5tP{9tEg!H*&^e{X)irBb#>EG}eM?GCJP3!N?%o+Ml`hEf-BMM!CKMOB%I zT;Tyxx}q1ngc2@7=zF|)SS!V&=8mtBS-MBlW1}#$f(Y^YKgkzn{Zr|ZiPnRW-4#B& zmEv2fpG<+z(vJS7N3=Z=d~YjEU*<>fo2`_xorX~|=VRDuFTSM#yKE@ zv*>E|S*`fu2*o?_fHg*h+2~Rr%4%C;-00U`#Q`%l{BbIB&E;;y;~~+eK;-fO`M!^St;X^YpBq667KmgxE+*`tFnE^=iq+Eo8B zG;A?Fi;ReWU_AOjr=}w*IKK;`{7BBh4i-#UV=PF|&`exIgG1CU zfAVtu6hGau4ZK-DrMzy-2Hu-~=WpQC`Y9W9;{$oQ{z`jYmzVsr{tAwgeVX`ff90aH zx4tUewh!BAnU@F5M-ibaV!y@EXI1#p0a&_stqR{hK=IcdsFHYUfU?lJSzu+vzxo{U zu{jkS=a$gL)j0**`%zGz{bK+>Gf-(!cLu6u z{IR2t{hW_od;_$AoHE9NN6jvAvuy$Bqc6USi2uzv@RZptVfItrY>?8Rc8LHyHlE_* zG@-~mCu|`Y@}`m!MBb|VjB24i2babKbnOZ$Lv#72LC8nZXFO?;;#WFNHt%Q4Y|VS? z-~90)rG}{(ocZWWylApIx?wJ%g~k$E!6x@TS&G+3h%i}wrvR{ElRN&`bL%6FvPcja zfB%m*tom_ka-Xis-{g8dlTGekMSFv&S_tBJ4e``dqGxS#KOtHECbt=y{7tS0l7jOx z2MZ^b+Bs`YuB2vSC`>q#{(%e94oxluV5*Y@4s-w>m|irTeorTtq(Q82NAx*9}#^ z(N`I@RH-&_p}(vw#~wcyi`bSIp^B>?54FA0oB#(};Wk+Yc0(Y(S3?zd z$_&F2K>W}jC-esTloe>;tRI?wNj${>Qe%JKb(k`!TDl*6Q|?Kg7+++Y+Dj@|p>jbp z!^a1q>-;ztI#kk4@#95@E7(umkM|y~_~`V0eClvzkZyx-;;+M%j?TInPkHbNrLvc3 z8yAm0@=Ne2dGSp|{A+9qPA!Iy8lgn%c0A>WMqr7j7#01W!nhbx@JE0gvz%zO@OW-3t`448oxMF@hY<&N?HTpkjZ(Wkv=O& z;c-WQ*bP5IaBbrM%n58ZV1h@p@Ci!Fr$SGuNMAB#kQOieFr`tKJV34nUN*+NT%}x6 zzPpCAgKhy|E~K&B825By^;GdaS66#Xa)HrYU!;hLe{78R%T%kPV&?b@sG3(Pf(Xbk z#y?lxa`>fD%5zu1dF0MT9 zFdlcA`ziBBQ_sOiwq*J=GddXrxden~MsO@Ktsj2S2dhij z{I6h0m`w#KiTf8Mn%lVrSZ8?sPDUq$R4tG{%oA$_i<-}5g7X0p1kaM zr7m{G#IcCJb;8LJ)?7X+XU}CHG6%rnp6JY;Ad&>E_#2OzsCXz5Q2)V7x=QW)yEtD^ zF|_fM+8EcI+A0-*jhVjILK;&_>famCEhmKhgP%5;twmQ0wn{hGOnpZfr&elA(5nXS zJ3;9X{!dwv`2|7?pF3$Z8bJCW^P$54OPObqRmr=2_XK2qIl)Ne@&M<>_oOne zlklrO_aTU8D`RW!Ll1Z$_l;1~B;x1UBKM}HP}_6g7U4t&B}_jj|3C>N7b9e(FmeV* zCD5EP_e<%Fwulg&5ZVeqZ8D=YXGFmnYrHjQ)E6*)!dx*Kbw%#_us`^{iAr_l0vwD^ z3)K^@>2&Kk@3`1dgBvF)3v_+&@r#p`K&C?-F~*-T#{XI`O^v(5i%-TY&>Hu6=gGvA4t)uq!BFSXYdF zj4fDWjhd(z6#-*GV~r&-Y7837A{tGzM}D6(_bx0Z-}l}h@1OVhgE@1~nKS3i%sEqb z?!6I~9KS+z7M?81#Zt-v(%pZ2ic35tNnX~7s}xW5G3ModR`5g|twwEc341jOmo`qE z!(u}%9U1yEu^$MhiLes{LPP_RM~KxbqIsXf?L2!jU*Ev}L&b!K)<@qnzfbj%j(wjFl*fIFxGr?Q$nwql zEXRc32eM%c^zn{`PY1F$nfluD!v*>%O_jpmak8})`a#^`-S?m zj-WGBraqYqSedCG>KOiPpbP=i%a|B{z&u~?tM%i@hG4IQ(d4P<{U z)_>(=Uu{D@it1yB6{B=DUUS|O{a3v7#HISRSC}*S1e}_(rDG_$hn7RzF9u?w6;m(~s9Uo-P^4 z9d%#n*2mj2nY8>{5BGzu*WV_;PUm-0XxDb|?#v zCPWrPfy|?gu@lS9R@!LD0qk#%KF6m39R9%KMj(NiNB91Qs|D%#xw}pea){PNJv*F& zO06Rfl@fCs5+YIt0;)ATMRE85mEvL)7n%a9P0`iFnQYd6(pu3}m);7Ejdk%OS8kmy zEd==@`e#`@JS80g!1E$VW@-B)=$w8g(HL!6Jemk*X%0}F6~14K1X?nP!q=&XH5lX8 zb6=x693Yyd?!@Z~v5PTZ|FA)C@w)}XtxiK6=uS814pJ@Je_E+Fr7fe40baSG@>w_GAeed}GIVbO6x5{+ z(XzY~nD|1$VUt?_J*j!<5lCHCD)pcu)!inwjVhJ*E8ckOlgtPG@UHP_oEsR{r(pdN z!Xfsa&T|Nd63?xgkMTbLV-{(JRTq?gImxX+`zaubbrvaI!L=;VPE~Q{xGa38XOM$6 z5ZYMcvPjt~_yw%EJNg7!b3yLf&hZY``ujk3ipwg{wz9IfoAiE-c7Y>T(S=-W5IVj{ zLZ2W6o{k&#q@$(j6JLz^Nb?_{mh59)HtRn&J=;rrzo_h3i|2@kx}Z4p_f*#HYpE`K zv01NcR2{fj^Ql<#ch*iL-5lr(uVHQq4i;OR0|(5@2N)@MLiGU)*`klu?`;=P!R|Cf z1AFHI%iN;x)~hiYRrwp>U{PSH9nLT_#(W|!{a&Jk=@0uz6rBg-Fq>FA?k7j3f?Wsk zVT14Pg>31HF60yCfPE}@tG-P#ccA$+@-mN3eD_}tR7CYEM8$zlqXtq$bs#Eb=RmJP zwT-pvd_-$sG_(27YyM#u?f43+|NNZ{MA6^)r+D%^5}kSU8GT6OU!FWfQG|$!BH`r~ zA%dMElkF5)Nt|Zwgi=L*R1|rjaby#})O#fyM1vRM3EvXyK)gN{{c`Odd2mUh-uh-N zzJE}l%?E<~C<;h7{snI9Tol49VbH{1;<^qz(<^TpRF1T4Q)Ltj@5vCk zMPZ%ZlYt>?eE}Uv0$aCDpV7W9FR6s)N`UFl)?qvM@ODaTs&p9MDPEv`qfvOQ4dK#C zVAzJ(JZ`(bLvkTDfY!euKGyQ_vsirVF`F>a=EsAMD;-*o1ZEz^C4v$qE~n?0h317c z%2gr{&EviTqHJVQDZ36`2dYicW5j93mp9VJ|&L-z6xd5)Sf2wIbLyEu)#uGPAoYCctT1uSgu4*eM+cn3bS zqi-QNXxM?B`uTp9OPZ1jNv$9uy~(0@Ysxk%GU!=wK6-%;8hqEX!MpU89B;g9%BJtq zhYqFS5@RliF#ix^J_kR|c-Pb+D)Xyvp>%Zma~{Vh0cGD+T0Shaw;QVskQPYH1=?;Z zpEV7320xDq&W{SE{_@(b@9#NVuSFuI;&z2ZwxoAO!{Z;Nr7cHMKz5Y~}_pfe*wX zzDhU^ifw3qhv_VRGfihD*0?Xx#C$sI(Ur$qn$EU;h$XQ3DcIsSv&P@(KMZ_z-4?cM z4CZ0`9uT}%9;a_~;bp5MPP292=qov2{{rFp%6fL>8~so+yvBb0^5pa%ZH7+;q!^y0 z;9&SD6{i^P1N~@Vkl}YC>JBVECrBtoVTba@@>oHiJI~ zq!>I-!NK4`Do!!j2)%3u|Jc^f;415%;vt^~*O;5EA0xPKVo5T#4+}9N20uR(p2E(^ z`aacm{RwZhWV&E6A8q#BikxMg9vQRG#y?r>Y<=BvW7OdE{57$pb?`PeG_J61$(C?oP%;YZvU5)G9N29>gl z6}>^V1+5vxY1a1eTNGc6pNX{{0nnC6m+nLH(V{&zA>DEoFsb1P?)Vs|7KBNy%W)K!T46_CB>G?QpN2^$mgB zV$JExpOn->*0U$iX0GK#sWZ>5*o<7H#V7iA_QPR)O~1d_kmkqpvM@4en(@R4uwGiF z{KS!oOx|7x*7=COQHB0_*&J#m{I#B~$;I`2%R0p{?fR$6D5CArBEa^es22PJUGk=; z+7oF%(l($#J6`3pZg{4Qq|jB$?r0(X%$Az3k1qK(dhTm_W5BF?=($#Qbp-OVxS?X} z_uRF-5>{Ht8>JFATP1iPx^%d*0Xg`sZ{tPuGgihJOo!7kxmtf;$1-#D{=%-)Y<~`Z zbwT=5j{dG`2^s@)?rH1+_)PzYbu^;3z60$fp7N#_=DoMAd(0?|J5_o$&>vQhwY)bf5|Vc6g!^?3;Z$b}CsnZD zK!xxk#otPI^P8yu6{502nD;plWfL=%vU9fmpxPS!I&qq{`>NY~>>&S;rZ(WQ>SFtn zfhgLCe_Vn!n+l-GY3Tk-k*bO!L{t=cP3>N$2odZQX<(;FS4EL}rHU+76v@&Qvx9m1 z54sIsiDl{q+5_QPm13pSDB?u}w(qoCR3%=N?4wwO=PM9@RUYeL{5EInFxE0(?^E-= zo_V;!)-y`SIIp(rgyAF_?fjp%7bp!wM8)>4=wv(FiC|~@bx>`c@Rm5u+H2Kpols2) zbg_@rPNF=s!(cDd!;*P7O5shAYVlPN<()7NM@vTXD!v#Q%1<><=&Qy|vy(UaJ$Y~W;MHF9w#jR!$cwhgo2kmS-`O^v9AAL&JeNY*H~#)g+_71NSj)iB`6l%wYESD z6dM(+I!oNO)&`PwTcxkd(hBsglljW5IgLUb4*%z5v6@D>LR6f3`eGo;VlTd$CGd31s1>Z7Sg4S;giJ}V7UbA9x8w{=>H6u21OAfDvJCLo9z@K zf}J9@?G)*tDB@eHi1PVoEz{g(d8hR)y7kJ$Sj|)>icMdxj2Zq|_`AC3K=4RA(j9U>|X zux(Mf1|Wi61Ke1YT-Fuuh}Eq9(P-<6ARhR&o$sh!LEi;0qJO3FH5eqbU(-!OkRC z$g&NtAjKl5Qj01pgKKu7YLV$}GE6er2l}C)nWfgp-ZP1KU{a3Zzf2lwR!n+|qruX) zsmulang6dD<1|o3zw%ii?4#uO1@bAw3j%TWg(+h#G0V!7arzfh8#9L5&c)TCQT_J> zM4De)f6Uz?K=1IVua} zRE3OrLrURbFU%W0vpG0uKSa#{7;TQq@dxu%h4}=S6BXujV4kEf;~oDKeqnpg>3b%h zxs5Wow2eL0DS(!^XJ*fngd;^&6}Rv_S8*diRmCp=Dk^>sNKtW_LM9a#bC9c;$w98- z0)V67673V68chpld7THNeJ(|5k;Fz4;1F+RZp0(8Sjymo>SlH?o8adZ*?UIR%%? zh~jCJP~T2MPcGrXQK|`d0*J5ZD4R&)`T^u}R4M zOR;=>o*b9yIiIRn+)+}ja`QlrRCTInD{QaRL|$0ne5y`bu2bds8#$z?s?Hr`P_vj9 zU}umo3S-o72dzN+8UBL?r=Z^tPPXIcF$;jCcx=(`uSS>sb!mShSD@WkjlH~xSNyaN zV_h!kXHa_flD@v-fd^cgk8&D4_`Zh+bNOE1$bAd&v-@MIwA_QGUdCiN=6n6unn^6- zioO-=eOX`2eYiU~27sf7J8|3+!ltt!SM*VaW^Uz0)^TImSMYqelbSwoWiPMj>$)Fx zg@6WFg6(o;fmiiwg$GmF>8tu#-m6>|#p^P?U>k_3$V3mz#|5!ttmYJbT{-ode!3t` z+|S(ZYM_tNG31hz3@d+K@HZ1nK{sW=<6*=X){s&FTTs05Ok-89eayK9+bx?Vt>3Uj9tH}?`3Semzb$zMl|Cc6S$X! z-@=L5-!pL{)_4j&!KvRY^ld9w_*s8U5DMF{f?xDAy_)TU2Ki=fL!@|*%j~A8!fO}l zYa^$?^KjW@_ro_x$U+}BIB za<}S&JT-#G!L0oT;Cu1SqFuk0UB9odCw#J%IX=*LbM^(3^~y(VzyrJ;J{up|dZ3RK zKA6OE9^hB}&0$O&BG#8jJ=FVXx{RKH`#({Oi`rr@L}MwvWKKBVjkF2<@h$txJK3ur!OX^sW$L>Fg3`X|K=AYRgGeIq$tB&sfWdm-xv@{c+&5 zQn24>IjKnBy`rmcIOl%Xk6n79ZyYdux$T6qHz0B@i?%1nB~~IKSkhYYbMA5$@KPU^ z>@6;KrE+=QY*q9^jbko))RIG65B^uyv)*&dztaE=CJPf zSlTOnO^>{#N+T6$_bp}nUcvpk4PrmPf*Uj#Bnz+gnhHYec&2>^XYw5^*LkO3<5;^j zdNexqbxGm}F_zg59WyK3N0kmS^-th2D932a!b|xH@;!~%QA0%=JBmI+egf;_D7Nz+ z*Nf)$Q#1lPM(J|f&`+ch)?U5Yc1N+QFuFTCD+%`*`^hMt zBonesVjU8B+9du!j9HRclbdqfOKc~|%b9Z}v9_>ux!ja-JOy`uGkiuQQ?=%>lDRwyM*%!U zcquwpm4)?U$R|mNnRjs<8KOx9^qotFq=RnRzNyq_+IzgHR-&SZ;5z(|RE6^1)41~6 z!A^=t9CDaX)oS+u5B)x$t4pTza&BgPZXyjZTwJl#U^wEm>?}w5!$*`sO^@as(v=*q57s| zF0<(z$o!(k(-L%T+Z3SE^Rr2Fs9k{Y_Y5uUeknup1L;uKB5GOBB4RUp-aU$z9j2`E zYzyYp$NJQ;qHze1y7a0DE~#OKN~R*u6etkkT(mBY^?$<@CzTNQF=LS z7Rc0R#S>T!H{5=ySyOBvd^nDEsVRC@X#`^4LMoKm+`dqyaV)u}*sD(dSX~hHd9jmO zn+-TGi+By?co&al6Kjc$SiM?e%{uMCRE*ywo2do!_ELeh)Mlj`Rp$k|x?LKh@SYgM zzN;mk5yD5a6}81VLRPjc`HAy20N6TzaXF>Ib;LTIvPMJJ`VUCfacqxqs6tZ>e*M5X zR-`$o0ua&ZHvzpn3HLDvy+NW&I}avZt|P7K_%HV6Uvl@3rWrVhKO~Bijokf8p1IT& zJzMu_QW`JAiI_vR-fe_hL$B-7M6@|!PZ0K;icP0aDimnzon+nWij|uPr4n>$Cr~@_ zpCf*=P0D5!dzG-CG-7M&ik}GKKQVoP_(7#sCv>=5XqK8G%}apf;OAy3=mhH*AU1UQ z{kYPdY+?XH-R0wKTY%W0LHJJzLHIs8dI~=-VBY@NifOmd9I_nT?aZbv;WrY3B1%p~ zn*HY=Us+G|)++_7p@2eW0rkXMLc`-Mww_p{nq(^mvE_@Ge9JzqC$?(!9d_>+o8!?M zdAG=jK$wQ;U!dI$3Uy2HO>~UeX9u#l0%i7D2f*y}#kcHDJ+ZRzOznY02%z5@{!;S| z)ci{&KlmO*5j_+VtzQN49V-0>-HVV8V^DF+1~@VcE!3r5QHxd}Y;7BMyNY!otfz`i z_kh>}?Yv`5Utjd@5Lqf$mo`Y{*AxHQ`l{?O6>B2w0UOpw#d;I=i~4LreQ~-Fev>__ zFMcp^8us93X*~Y(J~T_?0P>~u)+E{o^+Xn{;<)HxQa7TU!6tovdJZWFE0QbLalHE{km>&LHPL-AMc(c^)>J50yy0hAD3K(W-Ihd7^u?SF64I7b%1sl_ZQl>G)^cn*KcP$qeouQEt z3N9X-j_`3pWmrTLgc|9HJfexH*9g87I84KTC5ArG(SSV(cK`!-hcm%9&7Px> zi%WlE_UsS9>^X1~YZGG^LTB>v_S6`zuD&_a0r5F5KetNKyH;5$}h) zDN5{o>Oo`Hs!Mx~i|~ZyvGXSz_L7R_vGcf!O>YFv3bZXYvi=>#8jgQ@K4r5yir(Qo zV15E7)Wl`>Kxs2zh~;6kj~y+jjK+g!sK--wwWBzfqGz8@a1-|p6g@TguSU;zS!(ob z*&A-+`4Cx*vcTwJ+IvK~48!JBiwyN!!K0@ehY+~tM9?q)p_gXevv|s2q-ePlDFwm?~>z*{T_J9*Dh}znu3`sa^Ef7T1Agx~HnOE>k9d(x|djqm9!VN z>~42#_s)%DwR?!Ih3n(kkRDK`a2(s(Lu^XvtsdB1=_j)aJ;nCI<8dsurx-`+nx0|| zr4Q7!NiVd`U*p)sUSb#FEw5pQ@R3?m&)(t(3CdLR_g}baWLn23rLY$(5T}lteJCw* z{9B=5Mk;0aL1mz{=q`$4WUngP2OtzO_%yfKCXhYojj3r4I7_>^C<1qm3bbQauz)^d zf>7ZjwxEyLzLp-b2Vt|2hfQo(voI{s(Me~Err?1FtB>X>tI-!N_RK*Ajw?VtmedzJ z%J9kZp}wN8rf1i2gB%V;3{(Z}jsgF3PLN z0_w^s0a-o?4gg^G>9>>x^%uPb+uHR{^de0&wkdCCf6+61|3ANBahyI8ftozMed)9p z`t~M9J_!KRZ*u?bN31sgZMuS{i&^krK$wH(G%odD zp8zn@999h}3{+-kn!?XUXBx=02aAy!VZ}=}CPCb)>e5npJ4VrI`Vfe>>GZ&^epM&- zlo)Kv1P(1zrlQG?Th)eT5re9RN8%KlVu~*Hztj&CHBw^?dW_SMKQMT32LlaY{pl~} zKO9>xwWZtx(R}#c*4{jun!Y1MSDTvdpYw`AwduH+sH%?cMjKUaw3Q=4RP}Se!CMPO zZA~?Pr08sGDz<5)csfd1Kzs^k<_k?)nP@fXww1}7H2D8g8%b5@&(8_4)O)a zF%I$t2)%$LB9|{fc5;v}K(aW<7a%JXEGZ40UE?<3gKz+38Xd98sS0BwGh*lqsAc$5Sb-_<*jqR7?H8V#wGeR7?H8bbG%=DfpUK09j!jTeISFGpAT^ z^_|9AC5k@LQkh^~T3=NRUwo~xiD{u?`LZkBhNXFj6yXc533u5SiDELXw|tWjuiH(f z^;Qe~SJzu10QqipVgtJ38RCO{6poN-#Z{STwut?&6<3rkVxv?sP6msc7HEG#N~^7i zT)yV2Re&$MDU)#oe|p!cSYMz$GKKw^BqoeeCT~xKA)GxNRraV+bi|0)cbdaK1XNVn zM&x@`8qSyRLYl};qtYf?0dZ&~*wv0tZ% zyKV80kg?YB{jQPXW z7M@-4tR>OZ*ep+yENXYp_U7 zXFOmIEN1?-7L_clE?-VI?gVie}I}*y<4Q!(7x7G3G_|JUOn@;kTnbhvlbV zh}Sgqkou_&G^IDlkUchu)x3nXjV$nx_>FLJgZ#@O(WDV7ub2NmELQLq!ZYMIm&FSj zH%D(>P$CXWUsPgOu3|C2u@b9xO|0TOuaXj+EZP)6$^#ygRa}8~cqP{Rn&{`8pMh>$ zHkW|!!rR&M##>@{Pr-YF z{Jcm#nlL}c0zv3%mcRNgxQn{vwp{~X_%_-hNC$~O73n`u?u5f;i6C2=Z*ez_1l-(*C z{&rP4n*L+s_-BrjZ16Trcczh=S;O#9BP1-8r_?lz(FhY4%6DoRKG6&Lo~(DU!B@!l zVAFyPt%Pl#$@_y1m7IjEh3tGwLyk+nM?BsxknbrkX=NCq@d;l9_3vQ0Scu=Wuz37# zw~K==;5yzAAvGV%jzt-o${)5i%oCic+#wV@*T(R@Mv+3bb%>kXaxdEMA7!!+=%k?(CVbaoWR9*~=EF(m4Q z;hW_h`wc!C;rp*KP* zEi&&{MVD{&=W9bBiyy<4v6CXCwPp%KpXyst^D0Ev`ryJar3Fe;oz}O9sQ&v z&kX^ZFX}!&_zyO@>+R@$K*v`rt%N*G|5%gVdOz0x4?|aY1>5z9Ax8+`&Z<5)RF^aU zG(=z@u}wbv7d%aH*e(O@$}x3b7;0;T4+pSLFAejBBmLzoFAYrv&ojS!^6OQ>CDs{z zndyz8amZqza0@UR*Z-o;Z(@i2rWln3v|`jJfXJvBI7QJNjQbOhy8X_kzcIx7lrwBq z{1aT6=0_e!h@jj-uKL!{%%_}z)$RDWef+NSinoSwWS{Vl;favHUH<(agM}M1r^K)c zVSYOUraFtz7>mi^hJvxFMhNW6hC3SH3Jto*Ln|09g6FUIOU+vz%U(Mf+tytJ6rR^o zj9P$lK1*;mMtYSq=xp~Vc-2CwC3l>SZAinKF2;PP@EtTBnR|($ z3R~2{R897AHP+?&ws$l3L|5#P*SZ^>2*Wme7@r9*qu5GMV~Q{(O7^a3Y$t?HxmBua z-4D^_nY4Z7cMDU)Mu4VCbjv1H`2kpgwg;h*HHUS(#a8HzHIn^QOk!Va5Cp`Sow3DH zzD!-9bylUPhE*W8qwnB5mA0fFy1^QZ8;S0EnAZD(=(r;tI5r!J){R07#KD77&RU zij<4#1ps0KOT~movGt;{MR$S9pm>a>jdg4cS$qnBqB=RfUQ7V83@G`FCtL`hlqfvN>`-VqTywyKk+KRk5H<7ZhiP6dtoqs7_lA%5|=o( zK)V#MBIz^2Ce^X{SOAcqRHW%Q&DyC*6DwL{xg7It>#z^mOrvo?;K(<-N{VKqFn)x! zx`sa~DgGpn-b8CX3K%yxt|RKua{_JJtTY)Tg_mKhy~*eoGXA=)#&LjnjiUfijf0U= zjXjW}#sJ7M7of(r?bsJ4W3v!P;1y*Z0P&)4e^iS8g_Md~k)r6)wrbI<5p0NLtlTCB zt6JEMm)L+ok+B^Rm$3x^Rlg1?$ykCEGDg~D%;qv?MZft01yDBP#VNYekvIT-50oP9 zJ`t(;?f@WZKOrS)=aE9%#x|-(hr*e^moYZ*>`OG?92BPJ8y|+|OH`V#7C7yiZ&N5+ z<7JEz28Ob`UPk|r@2}aaya0$-`5geN@>`@-dwiQ;+|pO28^mnZy(0;0PU{=EP|M4(MXL|e8FB0?$wuZS=K;A&DwWY zNdk&~-&(ER+J-%^WUSLE2Nb3G3g|9g;+O*McAyk#TZu^H*na~c68AY$?m7zrz;%Xj zd3d3(b!ra&H$^T-BdulH=h?bh_nQKOu~Yn;{aaacjk|@eb>#REqqjy_SxcVQ%$Vq6 zNSNv7Fj6-`8m*+B6KBfr+87sWO}CRkh}2E+KdAyiyOQLMk;cPqZ4fcKc8a^7V>wo3v-PA z0v7Ur&oPEk+BDh77xdGUjXh~0e=*tEQJCSxs-_rYX`w$R#kiF4|D+gOB9&XrHGXW{ z6*jdk^ebSYFK5p)YTVV`py_YhZt!nV&Se^Z;k&`zD~vc`DcmjRFylat@NBnS<#VII zURk{!#_F}hcH^@Og8nPHMYFrqLbaZI-;~ zmhpN8Ve3?R^c`cxib9=n^0cSMT(t2Xxy2tw4_-n0KaIPvA^l4J>y=ThWQYhv&8 zm0Z2Vn58wvA8}R2UX%(1H8>()u3%cJG3-AC5=P}(B@IeDB+qa%J#aMS9N>hY%}N@w z@Bo|aX6k9^t>W9L_&NvV>u#pSTEqT5N`bXX8j`k$&Cr{A8RArYh>G{wBj3TdmYofo zzf=k=RML>dFXaW^rk<`sZVS1vrU{2)Qghi{+f>IzU;oH`ii=Hs>#Ez3bVEyi)y<~rEOh3`*53MB|MI2c+t$}LnW?!cSU6de z#WXj4AS|iLW;Zug5~kH;E1R2|3E?%_XDv*%g{o@$+*iqeuco_rTA9skVKNBGDzd+t zhVZl&d(*;nQ3&5FpKEEtEBw%mKeRI8a3^Ii1Ewcy)Y^ny)mQTU)}|!x$phP(nxl30 z$t%K5?;M4MeGJ?EzVeeulc*8an+~=&jmHjrAKwPZSaOf%%rB!&6*Z0zTplymj;0&x z*+4^WV%I#|@j!=8rfL<1?IrSvekP;Fv8m=Uo7vyg$MJ^4V|J~->8#sF{}h)V1N1X3 zl$#ASeO5up+9wwzm_C5QU(0WXn6L$bYFWcfzY9YC*9@2*@`I5k+>-{n#c0zyErpUF zKQ@I34&Shf<4u7SLRyVCwWW0CcvB38j8o%H{V8PBnqcZip(JU7sVm_NCYY8Je$Yhl zBb2P4XmZu?69F6qnD_}3fND5ll8;O>)u?DAdGIhpBnmUXC7XIVsb>Uef(g_FI3{Q; zZ<}v=#wV548KyQk@ZB%pSYX0N{mD6bU#96(jS#+H*-!TU%rq2@yr1PPHoX;^9+M9* zF|G1+IeZ8$WQn`P<~B19W{WqODzbqaO#$rb7p6Hv+aqj3mT8{rk;BC$F`=gto3g_J>qgNG}>SKG4_cjvR z?>iO!=~>e@1g`^flMAMuobmQWlN%iTfDFcbj@fn9^qsrl^ngt(H1!nr-j^>FnnE3& z@(*H<_kbOHQC=o3eX5$;#e0? zDOjiy$I?8daN&Bae9BY$P$Mks#N2ft#CKxhIuNRLVjwhO-{_>9-fh-2#^XeB8Mp); z3eb|Y*c{Rd0J~jV@{y10rT!Y>X?s?~AXWBW{yFi^25;CBfRUD{#gS(1qR&}ZgOup) z!l_)679f{Y0e~Xul|eeGsn|J^52r%R0@^>mX6H;&jVgCmmP)-1P?dTUAeF4jd?o2K zVbF(cpCmkh8p` z1sdUQILq>uDtVcg5z`=8;WHl~*E4+?>*p;+3FB2Bsf@>9;}Mt1=e?z7aQkeQTSMwD z_o*V`WDqx{S5=iTZ1ZLEq$X^Hk93l*OE;)4P4NoMEY+wkK(0}pOgX!{Q~|!1Ekh8_ z)*z!>O{s^*E5KaJ?hlZ&`de7j`HN;MSeAJ=0w1EeO3^plOa^hGC1HD1O+uJI}k za*fvkR5V_5f>{EjD&7wRic4@wytpJH!#Nl)c00^f|2}{n4Uqg5;US9fcgIUrcT|Lv z>Mn|KQr%M#4%OXHaMguA0jzmFsju;8m=Iw;StkE+UAD2F^un-goeO;;!1FUD^|!2J zI|HRwLics-VW8B+;HT1kmDH!&Iu=-8Y9ZWT%ZAsNt{J{r<3b-A@l;d)Z`QC*4N!g# zjvpJKd{33GranE_uF2xlB#US$8IzZDVyqut(rn36ON1}vNdD=AZ?>t(u4x=O%WrhF<;Y$YnJ^2; zSje6hYe~&kkrNX+a-kn-5NomIb9|a#3et}_X}KTi5o=j?nr>}G7o_>oP150~g=;fDdO=284WMVmV=<-*v!fu>itf0vyTl`|-YU z+*(RO^AVvCnc=NDCCBe2GH6@EKLgkl=vdTC7r7#`_Y)H+bnwxDQx^KsErM7}r^bZW z1NP(i<$eKx3FnKn8gSNCby$Pum?N$>k{TxKPmv^aiVJ{9Gd>1@-xIn*Pjm^X5=os0 zRNp#;YV1Jl;0gefA7R2@f+?Cl(V~+l)*?*T$H16p`9*@HR9Zz2*JlnzHo`!qj%-UaD$zZ9eq+1|vP=9hsChH0%D)D#2%f7}X% zdH?sVu(lGlLS=TgjpS;|hi@NlidKrq4#0mj(#T58+D7W;9FD9tw=xTFE186CRaoz~ zQlPNQi_L2*)p1TBVi%RzvI;v%#4s=Rds}IgTgq*EnRbb^YaJUJF10Al_g}|0hfDqq z)n^mWNyR0pv#&bn(&%bAj;)Fs^HWoVWL#@enZA_Ri*RX}WZvbD>pyAEG`cO)=C$Vd zJm1@FLWERLD7?T{M@YlmeAQ}StYOaWq*2L}ce?Xao9H}<<$t*;q;?%|EF9>J`!PmU zv{}1y2cmzT%2JZMn0N#m54kvaW|Zg2BA(loR5_a!p7`=S$$N?Eu#G82VQN>NDW7;& zDm-{e2+ogIo8#@2xk~gYDm@(Z@53(>avci5&`e=S`WO%CZr$!4 zRQRYDeiSP1g;1bfkNh*_0j>e4SFo!9PE^1+NK8<`jsVqSjQ}=Qu!-OeSHMXCy8zbL>h7y%7kifAxZMZ2vG)N6xCoEgfl@p z8=UbZ$Hy2+!VnZKK8ZOdi#iOCCLM}SE$}6Z?sHZWDK)3@ws(nCnbNaM zq!1|r4|40$=oN0I0*9<(>!PGIq3V~cc6%wNQ5GWwmT{35w~m%sHC;&J_gkT@9lCW* z!VY6>O?#YKCb+i?Ph|!3-?cn2(|61!2Ncvp<&sI3FCY!we!#=b!*pTY~b@r32&=+Kok87JZ0q${^kXPHgs zp+iYWsadIRc}rQ_PSS)b@k`0vIo#US8_3!@+}LJI+38NwkHVlOY(uORB6x0K*JGuC zWRE50c=W52F7rMl=XF&E(q$~?R%elQh#s3jAbmB2ut@WGayW|=3GLvmOHU<89Xh)g zQ=3Zhc04L&lR}9k3SJ|G1jQWiyn$4?aw4bE`gcJV3A0S^N)>MTg6)fwq{huawrM8S zLk5T9iTY>@o(i#KQ-PvhI0{0wKE#M<`8-alNxf9Lvs9;D)EC^2#M;s3OnUO3B>%LY zOyODdS#5CW()(cQjJBln&`f5x;CbHCb303OOI!MnMa-j%6kM7=u!zNUk;X|~7m?|T zOiL0}t3aJ}ri;|E(yL6am*S(hkRcr^U@hBK>L46h%RcEUwT{Z1kDuu4rH4aB><&KT zxy-ISCoSQ*74)2>F2H9Q&#j~T&bk2q6+Aa0g}Q7$`>U(u+wA2+41&~CzSvB2Ymvo; zm(k$IHEODWu*S7$gUqA0?EZzUV>fA}Fl!C_x|=jXxM^XvyGu12XIsqibm1K@npb;r zD=R^`tFw(qow-hx=ixI~pp8eJxptK2QD!bD8&e7_LF8Ceo{7&~ zcb&LGeCBGn0Jh|*x|HPdnd@7X9**)}<>`Fp+NjcfL4RPjl}{!i%FH!SVc;{@AFImG zT#t}fXRe0;)tT!aKy~K&91_);Yb8K+=9&pmow@SCtIk|U0rHt^_)BHx+75)BIeg~& zTU&nSiXxTG+WD*4rJmA0A)r7`?IrEgRJlGMcr_rKR+3LC0eSCy*13j# zSLn9FJ%|(|8$b@R$fYYV_?n3va z?;ROoKT1c{JE^nKxviZ^%n!>p9V?`1yX+0V5ws=#Z>$%o)KxDy_Q6Fnp0Lf*6e>T z6Y6GWPQy?@<=(UfkLtw(ZbwiMiPGt&1I{B-r|+KNCNl6(dJhOf1XV$r9#}}K9kpe9gQ?h5 z8i_j~YwiB>QHjO7v}J;4v$F|OO7$kM9-*#udKKQOG;IqcWievKEH-9{w7hyUivBO2 zUuViyhvI;)ddnC8n`zw)He{Goy>`%ZyS|w?qpWppojGs@+c->`RXrYz|EtDtr{mL^ zQmfL4HD@|+k&m!qr>2lI*k{9~8p$7+|2twm_#2|_*4n)@&~$ldNB*ZotZ!{RYQ$<) zo`*-Q^)?+@|)gXXsurffH8mx2x)nN74 z)Y4$p61-}#Y6g%8t8_f{21RQ517T+g4_4z9MRaM2&<#vZpR%qarF5a@v23iW zy7`@!Z%T?Cqs)Jmj@FVSrW>s+6+Rd(`PU0dhjgs4c^EmJhD@loGH|-|(b$fa7MqhK zr;L{3HKk+4E0K>C2fSRI#!8n|_F|0WtNZ&CyJ`RWCvu&!k^#q+*cu$#tL6LjRn6Y; z5`}YF=W)`F=>224i<2O@_(7h_)bpH_&2uY!dCuoB&#i0BbN)FzwoG_fC0-IsHkwp5>d@u&{7xIB;IFpmq>%2bC*EYHLp=Cs1Y9mX{L-wv})rIW+F9afIc9VSDi zlf#@VrQ12oXoZ10%=O8o4s#8l>M)l9st$7zpz1Jb5T-iJT!5;>j0D(N*^KT4uj(+{ z0CI&nCyzelDzo@ODI?S}m^6eQC9tgmUbzqkCROp)^Z=EeUSEOIb_gEzS zn0L6V+%ppsv~V?!1um9a^!Vmc+vP@5CRDouNmB1p|9cm^a)}hxN{+?QyI6|d zhAc)dUJ1%a?wtYHT`i2UEBo6w9XT`0YA@BS;%Luex5<6ekA1jI zIxjqqVTR?>{^TnC{@Y(JoGkAzZTgn$Sne-7Y&@#J)GE)z{bjz5NA(w{@;r*a47D+- z{_?0#xyrb|v{87tzbuF@=MQ{ITwSG;zf3Mq=l=5U1UD#={H0wf-OgWbD-1~^FspQi z0(6)IuoFPlU!no3{t^XH^_SNjO8w;pK-FJv0aX2^CU{kUsSc3*rMNz{@Ii6|72P5+C>qi7}-?OU#BtAp(KKO~diXwFIhi<^Nn zj5vMEaS8|7-dB>zGl6r`e_Y36daKlc6|I#%bDahZR?6qI+1z!~M5(whBpm$4Ud>he zxrD&7x*(xwy7J=J-NZkw9Djd>-^Iqy64pzSK7;Bx6KRgx3k$QBpJwUnr3Mu{QIp{X zvGl#2E%?D^I9_FDU5|aj=7X%`7t%4|&H?6`g_D`ZhgricDOA{WfQ`#Sn>!t5*;&#I zVaGn!bc575AO+&B%j8FRFc3AMMVnDoc^o~2Y?Rtbkv(Bt-97e=;kuVMh6^=hM0Ubywr`{4uROC>^B{G3NX_r| zX&s8%JcPro9chzzwR;wUUEoAApl ztm-N010nyk+~t(iTT{JKCb`#&XJ6sX3+XO<@C+z^Gok0hFnryY%f}1wGq2#gfL%B( zwG{4~nd=#8ix6*Nd(Yr^!3t*i(HRL3&1_i2{yB>Qmhv4Nd`@aByvt-+=PbE@ZdPOVxzU3)$=QFfn5x z^SU4n7rLjjSr;Tf_qQ-gDK$tbb@hVO*4GRy-biJ;yOjF^frtK-2mZ!cSnx#&@9aop zlP^juh2;yF+a>%8AGd&YyClU3!UDGT66(B%U%)Tnw~A~tdvHmbC5)ZNhJO$KjT!9i z_fmi`ErZp$jLQ^zPqS&4rH;alPuYpfQjo{aPi;EwaA&?3B#AY+ExE{5uHe^n;b|J% za}Aw&*ozfjlkV9<+T3Jn^P`O~BBc4xV?X^M4N6WqqlUE18tA6cGiY$nS3_FInWZ7k z17B(hK)Iq!8ovb`whL@C(9Z)&K;3czT+0L?Ch|hXUDVP_K&eg%zUplWbE4u!)9vd& zJA)0fN>$25yL(Est8-mirdOlg!r5fjipCe2?=z_mdwN~!EPdevX=A6^ON*V(rIm|( z_lmAu=dL|~`0JG8cTxDuB474TlCPH^D#LH&QD#2Ii=v;PEq#;a-+q$(HI<5BuQ~lX zjp+h>kMS^8Tn^U6WEOZ+@(E0bbjwjrJcdsnfC)q0VJgHax8HE0!1;rc*(C4_6_eTG zn^G6SXC}LIQ}Pxz&0%kDO4Vu|pdx0xPU|7cx0v#;@+0Q3mbav)LZdls!Y!$8|B<{) z@NTw;(Pd+l*Yubgj(fvSylVpo3WZP#e24LvkAw9ciMG`13C=AjWdg1yw}9xUJX}Gn@7LS*q#Z8!|0NiHGhP%O?Wqr=?kSuA^$tM zccFyqw4IXJH+Q5Vf;Cb0x+`_Sn>bIi!M{q)t1QQ$#c7o}-48BdKHJffbDwOoc1~h@ zf0b4X8z-^Yds3coXCm{wPxD<8Yj|IZ5Y|m(lkQ9Xgg+A5x%)UbTk{e7@PQPf%u~se zS@Hwhq&0LhTl+wYcF&mns3bNsU5@~%d|cG)A?~g4NiJ^^Yx~f~j~WL)l%hi56b`w?6RDoMQd~Kf zjsDHHQj8tTzW&X&Qq+!>U;QRk*9a$v%9Wo<`qGtRwc$Lh?xnDrKawvS*e8ET{{6Df zsj;PbtN= zTT~L;bLB#)ZpgsSMLCShaiSDCMv%8G`57`yfyi^;d~%2s zMO9-x*&4GE!OD{Ip9H3PiMhGX5ElJX>Y}(;LIPX=(&kP$9Gw~w+KsL z-IX)mN;Pmt?>yW7kJM9Wen&3(M_MlkpWR;Zh1WE};S#H?@yc>e)8WbF6dlXgczHX| zqRccM`$gmB?>rHiLy0=sUGSQwsiE@W6U^z)lN_V*rR65(4~o4G*@|p*^x9o(Ih9|G zf7sZRG8OH>1aW8~kur2_QU$LdymOoLu`Lz6YCGE~7b|#Gs+ffUI`!M(a!`*v_MRi2~!|a6>Da%si z-n~~BQ9&0Fu@NgORy6h)1;yA&qQ=A?E7(QsZS1}Ge(iurj3p{|#jc5~B$j9_N!0f< zGj|JM-uL%D&-=&s{U#62oik@XbLN~gGiTdoEY0oT@nK{g)U~BEbf6i9`8z z3xPAg@>9Bqf#jtDE!r&L?<1Cp(Jb(li=%;RapQgqZUpBy9k83k8P~}q1AN5G!rdIw z)F|$Bw@^C;8;Q>li5r<&i8l^^{MK#u%eOp28dDa8Yekd2EJ=6`KOF1m->dXbuEN} zw&H0?Yood)K%_5zT=@TUA45J=+gQ^( zsTd``A}dOY_}1S=a;v=E|YcP;x@Y<{g3uH75;|9yA_w!&K1QHI{O@)Qc0BT zYu~a;Vu*bXK3Pc|%;(?(DR4Z^kx!>pp=;kEy7nEwa@o0xxRFi22k6>I;}0y5r_)?h z1@`IJLv(&UfVIz3RgAFDydA5GrT$~)y^ERm!XVQjI`bY_Q2-L{(#7xq7Q_8j#fw6{ zEB{M+W33^c7w%qB57rd*y0Z3o!@edxeujtC;@Lt*Ga3)=&(M)z(e>^vkeoH63@4 zd8E^n?pThs=50&qi-w{nmZ59^+xnH+4B?27aZNq-xoB|M>vnS|dwpy!Mtiu>!C4gl zkh85t6RB2P^ig}X5c@jnIIp!TU2Ap!xz0+&r#~Dp4seKq2o_sMY=d>yDYp5qHC8>@ zTC9NgU$2vQZN$r;8djSyteU>b$TzHBwRPG61b<@JRT@cH%>-`GcjPcL-WHY{R$i57eK`9m+U zq~#krV7lTT=P>dV;>V_Mjxk5KO;>6!5$~Vf{U0UM&_1FLyOEpf@V;VsNB_5e=cK2z z-(lU;rW&WKMMuwQ@34Xtgq|y+vwve}hd_P2#h4UMTZk{OnY~A4CN!>tp4iWzrGHfEPS({I! zXGeht>Gd)U(H4OWwnE6<7zT%g!o42dRv_` zQoNw^`+Wr$=?w4kqUX;leU9V=45oeVKzNZ5i2~BEA(|?x-8bh=1t(hA-xg7NIjpn!d+k zvVNRsF5g!pU!%wHY5FgAE=xFB3LQ-{tSWDQs zko2D*D#E;lWZDE#3L2#GD0or>zs0Q|=~U;Nh3c^hVl<+0n{46p^T`%4pUYN4BWtqh zwd^NkJ2p>~ZP8qZY!l~l*}Bc;vNf8^Wed@GY_gSis$-g~`lpF_@4en#weeK(jLz@F zOfFjkuD3@sNtx+lgx`IQ?9R!jXOb?{v6&q{Q=L3r{9NY~Gs7WB*bH)ShS=bXf2VU= zt8tHXh-R_1bs6NVDd?_NRs{uA?X+Mp9*WneYXvrGPiT2MAa+kzht3r7iM+da)g!aS zw>q)O6xIa$QK-jrxqnreqMn|EP(z4I)9Tv4nvCU$r za3z^kUnY(dGVZHKmNCtYauSKCx-2!(&(>#wt6qw73u|o@u;1ASR->yR6^Md)sO(XIG!@)CfD*u-?2=jqH>LwtBQv zK6bLVMs{>cu$Q@LWlo(s{;f@pW8ac?d&CUk?ysu2SG=e5)_-j)a{ZdPs$!V;`6L_V zY?4}C6|3pIkMy#U4)r1<_KS))u~X*tA}c{D)6Gul!mcz!gxkrMUgY+EvAB1Do#NMv z=nshPy?6Gs!Ef(LzCHl)Qhrmz4vKYje)oE?qQ@h+Gdz_K^6uPfB-Il`ZpqyOS%2#oFe^8m(T_H(AWJ{F!UfBjot&Icrg>esOwGG+nYw=Ekg54sT&A)b?GrM2eZ^(6 zc6L-d-kHmknknWA8IRPYW1?B-m)(iWq=h`&I+3|qSpPS5A{kj&Lnn43H?zdbLUQ~3N#viYQ_REgpxQ8=h&OP3L6Sc8pe`T$Os=#iFZn%>tAAbd&Qo)qs0E{`<< zAGCE6@IqTI;0aFT0`B9PPY5`pFykgp+{lOvTas?)#So!OOLfwDv98X$ zYzvz>rWWKvj#$6d-R6w)Ies0}zWzg3xl}pFd0CWg`KNTM!wsAc5gFTBo`r~{Iq7jh zd?nO-q9$C#xG&bXDMjZ~_c)BeG=0aW>Y7WUzpnU@IM#5@rdkm0%LWo!?HosPE{js> zs+<9^p6rTGRUv75FP23;5{pRN{v*2VHPkfyjV28D7Abj4tW5e|!IFK~CQkXr1;tSs zYvO#?=s(0UH{#;FYRDKM&M9_f7w0s~{+Bq5cr{I&E!RbhkdmifxlUuv7xjrD7v?!# zU#*fW;t98-vE+xFq7=F|mbF#Nrg)~C!O+b}UH~E5#*!^JMUxO0OMbd3wh{iSN90@L zS3=+rGVGT4k97AB+`m6J?xWc_X_W;JdE%~h?f1dIt7*3}P=(+>)SGw3z~Zj;o^@7V z{UaXM`QXasKnMQL0Di~z<3D1cdhxxu(9@^h)6Oonu8lSrj}~hY`;-hXDwP!KJyjPM zm7WR0sej2-FXq@t5v)ui_W#)pPkjO3{o+j>)n_5qPUL~qjPn6 z-C5mhl=|shQ~v6#mi3eR#p;v_ZH7Letgg{ zt@C*j93YA0X`p0QX9Y@wbVAfS{79{o;2Qj{GwC5oF|PIg?o9j?DL~yPNu|*4KU($= z6V0Z)@2sM>{z0L7#h7P@9&K5c!p;KxT(XXNB6)qVS$8_Nv{>F#vLm$kb}mB4J%|VyTXN@8W9D>JmPsGoU2NtRai6*&2bAG{0%si85sZHyC*wPTvFmYCArXwrv&fx9X@pQeizIfIqJ_`1 z)H$7{l{(?aO!8S5X_)XngY2Z~*bGv>D^m9ivc9V{Us#^5*6k+k$J0MY$g>_0+}K0v zAv8Neg1<&)3eB85OiP9d?N}QPZ3ig-Frmr;&bog;F9d57b>KJB z9Gpk{k-z&&E!FnDrB(PSTQLKaKH}OR18LfQwOfB_kRYh{h~H2tn7kP%3z+5QLlL#VRR+sKX>tNYCXJo(+>C0)?$bTvBh0yYK$y zhU>*D8A(P_e`gDc8ZMO=UTh(qhf52E`8PQK;uR7;`!_Sq)=>Zq^82$ zOEeQiOk<>q9>>=fp|4f`vX-n>2H)};D4skiVXhu5gJ5%N75 zCw(ELuOfBFBR#c>%pEUv5On9%KgPrILdaRtdy@1}sPhYHJy}{HtU04TnJo3u3Dr)k z9j0Ki5q|rbY?vxV3hK|~>Qt#(V1-46^y9UN>qozcw3!Bw)h9^MZmAL}Ftr^l4VMI0wmr z5Q@(tS@Wd?;rwAWh`_&v8i%=(;Ugl!h@Uwhw)L%ES7rf zgpd0vX{lO%sdQ5(jK>QK)ODhlqkil@&JEY`AVZc*rHi{w&Zi$^G5v)}kX_X0P9hz4 zVfGa!le^2|MFD$xRd~@q!t32Eqr!pkg~X-1XcMtQ$6eHKDv<80(NwWroPW`3>8{|v zlV_H!f$t>j;F&Mi!gtngxBE^>61h$a7or&@hzwmPl?rkj<0PKZa=uE2u~^`_6e?lh zwG`5Ozf{-r{o9Wp=@+gZq^KX)QSXY}N-+-#{kPa1Yk-hGiu=@!QN(SN)J~YYQSG=% zs*MkmZ6FJ_z^8mSkkMP=4nx+frfu*kFOPNBkNXivx;%bDx=xX%sHPnfJ`A{jHMz1A z9+tYA=yyrgiq9PEBqEhh{}m|E;Maq>2D=X?<97l0hgHswDqwROTbQ)!I| z(&i-85IU87dlGZdoir6qwATrfrm&i%+-WG~bSlq0I4#X~mr|)_mXKKs{be%mjMUnF z{bUD4)&C;R(7C^z=pd0jXQfr{pE*;8oRfwK-tp?wb5gD#RI!rN7o?NIpmt>bMQOLN z`wQ~u`zoii_*JF#(9kHSNQuXj9DNYbfZPX%Hr5{~=rne~Ka?9=K+nFfcG@nep zAz4iEjCSI7<}G{+>sG@M(?D#M4ZY`+GdHBlKA+8xaCtl(9tK+YeAPQws-qJ+&Lhol zN~Hwjd^`h=g*9NFy7{J*;^y;WCR(rOmgfb#mYtCg_c0)X?YBs3O9YO6r=|Sm-?d7#4vKh8d<0C-vT9 z&fh(Zq`j3wgtb4Coo}U*zH3n2%$QzmKwgLDY3AualDluEFk#Lx;`L4n3Y?>r4sV{O zfA%8<3Y9ke z%{;)bh)bNY(X~8xWJ-}ck|~tvB-5UVtFxWihWTo2I6@j9vcLx)jEBh>Lb$JbS2ESq z36=4|Q`wYTRETM%zAI&VTEs7=X#~tVHRL&dq5@sH(v-Zlm>LNm<4D~wQ&}G)iW}f3 zRs7KV2TjPpFw^J4wk9Ma%oJw07#HCZJMMl-Xm~>$c^zgdGCA)hl6)9It zEqvrK)|BFLvI5N-PE{b|>zld@+bR%ieN$N>D2n(tfcmOdAmI&6aYFAXGN^&6RKPi~ zGqGGxHtlf|!d0k1HZ(BR_pgZpWOI?^b%&JU70AC0Od-OS3To+wrWp|)n{}+AL4tbl zd(#%d99~_IM@LR@Gen-*i=45Mm6{=P#9lIPfa!8X)oRWxSN3Qu%mf>pOb|I~k2-Fk zsS09>-Rk~9rUyj@k5^>rC{vimyeMEk9u2L;6%ovFZa8;exhlYyT`Z( zw2HamL$&*KQ>weii(J}X{gd?>+d3=cGE}YkT)w}x1Bkvd8KJ~gvZu36g_6hT6O;!6TW%8`yDy`i>aC5zJ^F= zO_ha<|MGmf)han_`dKHmScwA{Q--kkJt>o8$`VSqC)OO(R^jU}RdT@;Q$%>XK)rI! z6kJsJZ6Wl?V#Qxg*19$>Mi`5Om@C7)$kaQ%+ygUg}i)W zY9OpjA=UphSxUNr8QST$Q$nk%!MaX9rOhYxMPQon&l(x%o8x?aid#bh)BuFbh(sr z?-&J1xQ@R}fZRu#mt;%~{q`8yJ6&EQWE~)#X2>OKWM@*o_!oZ~6TuVz5sgJYX0*h+ z$bUdy&+}hBqJmsT8Jg|4iR_pmk1V}oBbBk(&q?^m%pP`a2|dix1nlQ5k>@i=%bD^I z;mdF{2h~G=LJGRU2RsnzUs}(fT_--mwOQOqtTW|Mp>75-&Vv6KGDxLa@=Ht6bXH$a z( ziGuDZIWk9%6HXi-2*@qaW3TtKnms ze>YEkVLg@p8(yIK37%)YMa@_dAIPxoJ@(tjxG`*Pj_n-#Rcx26xM?victWAdYwNo# zS|Pi6YUHzc_sFK>-hUjt1*Mhyy1H2ZKv~?h;G6 z&XWU^-}G^HVefb1ET#{u6Ehe0dx1X9jy_I9H%_Si5pSDN48BMO>Q2!yY3NRCWLQTW zMhj{B5>$FHp$ArLCP*jrF+iZf!3lkt5>NyFd+g4j$nklyNho>?dp)_FEVV0-ei!@s z!u9ndoXX36qI@;rb1hW;9-lzJ zoNO=7J6gaLkX^Nx{_=^^FqCp5Y-gp;ewC(wfKtr}Ge1#$hC?SqumJ^&tz8SYW^GxB zQo9f(yb#4yh*G2gr3khDjVq+YVp(o~^+;jcZ@$8ZlQGJDh!1$n@I1D-)XxL8Qa-%M zC+hFMOwtz1C6ar6qHN4%KF~1oIQV41VdQB$%i%mn;{c4jkb(eg=* z4e`Bo4Knp$Bv?Gpm74u`ECVN8lAVmhDkd>5I%J2?j;v={HekKcEccjQtg2H@>k3A3 za&YVPLX?pODS*G0e97i3UljyJ@ocoN`AXeS6pyg=$XcpksnuAB^8Qc(D_Zjo{g#}6 zP+PATVmeWXvbPXrHKRCpe^x;Xdeh6^*MW9~WNnQ^aT63{!p2WuYgRvckr6bP+QD-! z+@&hp5i|g6aKRR>J_RXgBpfK3MxPyoK9ZdmYLt7SoX+t{b}9TImc#d4c~0}atrWr; z!Md1HoHdbJkb+k9Xob5{a-V__vCIu0UZ5OyHwW*9*R5Evsx_z}1#Jxn5}%D+ z3YJ=*9!M_G0aPfB1~e6&J5Zq5dZZu)72Yvf>6ltxu+%z@QJnMv{>M4?g`r<^p&E&w zs4){Q*e3hhg=&O?ecC%~mqBR=HiPj(!TC7~qlOYM@SmQ}E$ z+2mA8zfAd00zQj32GN~$1wPPcjo44snNJykLkm>-8C9&pe$slcTw0iZm{eRTn}zM? zNvoA|Sz*$7GIS+&Ql$=)k9$F{f1Vshc|;YpFtG8wLsBZs`FH?(s^sZDgs`cH+*~GP zoNx;fXy{2l|34VN$`Dxp9BH@;?I`C+-&Jy$T++q{g=uWGo7c{gZL4In7`v}Za-o=q7#dZy)na02lM~cv;u( zC1Z}r!6nCG>j#@KVQE13&si;?qx1BYUr6S2+2pnbMDpUc94J)TOJ<#rO=QYS*-vme zNEIp9LbM-v{g(nlw5LKecn%@X?$LzUo1e$ax@Ql`Tt~ZcL-s74dcYwGeWcVwlf>BZ zXSVts_=Wg9log@TFJ#*d8NY(Phm=Z3<-9erNq)D#km{*az0Z&mYh}5_)!mv1jnCv0 z)605fH)*q04lbL<0Jt7#*8?Eybr&`_oJXBpYRG4&iC>0n5nAjfnQLXKd{v+p@H|6N zFyjDo7?Or*9u490COG`TeI)8vIZE6K^0?|QgFVv+Q1#S&3iV{~BC)&C1;dR~tm>T8 zG{F~Unui3VYVCbw_&T|gSi@emKdpLKBCC4A{#Y}KfVF~CG@no6OAnP`TK@&m=aomswE;uyv z)M@%(_mb9^WRotBRNN?+Aj{Uvo<2QyLbaAODGl*Tv`PyUH!o|=ovc&-hE^wOZ*=rm zL}+i~_L7gevZdM;kZmKL?&8w>Q6cK#`+?TeJ2YGE%Fp9v-MNExR|q|c?;^>;ExA;I zOZGS%hZ_CT&jql*quBfMfE{bY-jScj%UW)Sz3Z=NS(@P%ns;=)_A?75hv{4Q< z?%VFvZ4XA2(eJ6-wSKQWk+0ulck_N*^0yn6-iOLI`w3(-Q$#ve-SYEzSzWjDeh)pt z`YmhyzOpNSzssH=T{pqm=WNq}1jyi|WH0NmZM2gzsdz|sm$&b9RBwo8&oPM&D^8G7 z=jF0NtiJ_Y*@Ti#N;O z{=K$pP-n6k)LvF-5h6Gg`FIkdCG2pB)(XvzVa@9AXOq^aid4|Iz0>LWN%3Hq~@*8Y#s!+$qxXtg-n&Ep*H=w^=A z^{VVy;=witT4S^v0N(5M-QX+lvWPBA4h?Rdjwh>JtT;Wv=W{4z4X}kC$LI_P*B-`I zP6*h{%uq&CTa5y+--4gRw&JiPahvR2Y|SPOZ$y@c=c(a+yNUU(tF`qea&((4%bmA6 z1f{0kiW)H6=q#IQ+3yc``4v(=*$7l>+BU}+Pff;S5q50MXWD&R@*@`Eh*yts(;nFl z(>Aa{ZOUX&b6BB8@ZeC~wC+wo)U;h#v-*roX4(>Fs=gu*A=s=9nnliYwEmggB42E9 zvTy6n4zVcO2F9!R(9jf$*6IP!ZmiePWR7+)gQG23?_}Run;dA=zArLthPaw;vu_Cs zx$-_B%Tq{dUstd(`_5)u<%AdO^4a$hP9kkfEQM`ihmGX0Wb`iBckDV1FCksSvmT|b zmJ^yVJdxbmCHo5NH_^zbxX?YFc<;t&f3z0W=(JG>)$)yYJY#}|B}^#2iN-Z@5jr;x zJWA4Nt#53#S{*G=tL0j*5xT4j^YDxAyXC;oMjy$?6_wtYs-f#$s3C8S)_%wQJYLou z(i*EIm%8aj7O=2*?)Q9*#tAV1OK(X5@81F7cK|G~ss?!^aq z9LhGPdHC|8UP|R8ja`d4dLAVGA0uf0bp;Jf<>bHjvyG*bK*3G|MPtuNj~+9J(3c;| zY7!yBq^BOnyapip*@UuFBkh%FH+Dh_5ZDQ&kqNe(Q{pJe;Af0W!)qlp7^--HoZXLL zz2piOs3v0tm;>o@!=R;(Ycw-3tuZr1U>K=fR9s;p_)KTnS z=%#Kih+H+}mAzKljH%I!sCxpR&v$KqE9%AN8&a z7(8LSY>RMP>IyF}!4*a?ggA5&&fcXhnh$sKMYF*|s@UMN_m(=~=*Dg#7`2UEds>HX z>;~guJi4*FxPWf#L=yBGidg7Wk(we5+%U!8JGm(qX229*FVIYJb_Xj|c_B1tksS(laF`i2h z$`Z{UMTwI=vKKofq4wwuPG@@YG zkCO8>dnAF21w|7WS2^L~JZ_IgiyUH5d(@J=RpG7ezZL;p!?D5bJ_CX_jC(Yp?y1gaUtn) z9NW>sTMJA!-D!{R&~G62o(nu0U$x24<7I6-Gj# zLieK)b9le&ZO+$kc>(YD36S&2*Okgw=`7?sJX@1*b$%W%>#EuIev6w~zy14@{Qb_} zL^6Lum|58dvT{?thPrB2Ev zgi*724`*-8*TXLJc@O3M4M(MaMSD2z40`B8(WqtrLRBX%cv)Y~wD<6vjl75Iy!<_s zHj?juMi0l&)IeTtC;-xXroD&NXai}6V94U=;lz2ohbj180L&;ndCM zteD#J+zdW9MBT^i^lq+09=gQ~W!*R6(OtTGC(BM^cIr-X=-%$+da4`4E>>s}EHfPI zo!qtn&}j4nysbD8jsXIxToq42u0zu`ywV)cOT!y8T|4xczb>B*md{}}5X|ezy;IPY zKdny{{tkTk6#jM^Rk%Vbp2qIqJjc;Bx~XfyTGMY^N4JPE{>jsTLO1?LS!;$a>-bhK z7GKGxhlzBnE+@^-kHIC=zgf#q5Ne*m3Bu1)H6V8mv|%j=nlhCFDZ+;}d{C#(a$wOx zy>(4K>7($YpwQ5a6VQ-CA!!d&^YeIFT>wg#mKE1H%!9DfZYVN6D)Bf(rMt^HjOxvt8ofT70^}~cr0}AS? zrl=VX@u;VMjV9n=)k*Mqxg1G62S;6#3K;6BUaMHyt5wvCEkf^9Cr6z;JwHwc=bgoP z>3NlriZ$Rk#Cktj!|S<{;kD54vL76KKP9`7| zCu$u&ou9|cS|6Y`zbzi`gh)MY!E&3YjV3!U$`%rJQT7bDI00bPZ8rgoxor(vS{9D6 zV1kq1ZkytekD@&VJNMg5OKp*bfr_{+10sgmP+e@OGZ z05^BGj0Jqk&AWz4GLWKC-~D}o=DQ$VZLj~38qyv8Wak6X^8ZeaIg zX$-$hav5YNw~b22p_hxb?tbX<)JVO=OsLw!;l>Y=W5ac%)@ zoQ}Cz_o~3IXT#o}pU2BucBFlZc}dIC3^&odqw7zT`4m%o9-CrFi(3fE_l|Jtdr?+G zXP2}QTIW~H$=CTd$-MLB@;4rp9!mwBwGRT8ponx`a?Q`1zw!Zd{w{$CfJg#9YreLkpq0IbE@{3NjRc?>cTE)j=S)rVcdn6-hr2dj&ih< zI*aVRgG2A&+2r;eoSqqnQFoQ-c}JO9?C4FPPcWgWH6yiJume3tV^2&;XxH&ziN|cx z;x0nDd9%3hPx+C7iR^^t-08;M&TN**#@{bcGGBN;CQ3nlaQdS;^nxad+ENL6069 zp(56teKYyd!>xO=w^x~=Fd;p~8jjb>=qc7;LmZ1u(1~FVgeE|EIh`SFnL!hFIuJre z+y~5rA%LOBT775mW39GB920x-;!ZGh@4p=|Y(<|wollzP2Uz|d3uKKYkF^ztp|%AbJ2^8t!C!1S+x+rFbEVM?nf^ zh+9*st^-@5C8s9=h7RRV0!##%-+up$4sYXj4DUq)*~}mtwx_YG-!KIGlK}6A@FxL$ z>{X*_RYHHOqx3*F{+0Qt`Kc!XlG6B-03C-=zs&z6zaQ{1K5OD3|{vP zkPXw^8)6=yk21InA=7`uYa3q-aJZYibFjlq)L}hX2Moj0xWmei;AxNgYYtl{jXUgt z{!R!|0fg_TFo(T6g(6h)Z$T^52y0I&qc5GpcL)LfsmjF4_z-*mEL@CdxLDPE|&U+q-6Ysr&WUEz<6e|Bf9aB#JIGOpNPMdb1 zlL-Sgt>SP!f<5C#g&7JM_^R#p1`bX2Jvm9c2NGsyt;!*7tkly>aS~_MZg#w<2Emh<4zIIBn+#e$fr)nY z( zyL2J`=*Mo*oaw`tVfv&-@gT6+mIFEqhXaGn!XEg39Zm~^8m3pG3c0ur3i+xJwC*$+ z|1^$I#!=3QWBTPsoHkBF>^qLn>vf+Z7|!esC>jheuoahM#pQ&Fz1j3YmOYi-BTLd! zx?no&_i*f|r=dM#*)$}~{f<0+ignqI?P!TQr9o@Swktqm?Cwj` z6uxlQVU;CniCxK`Hs07|Zi$wFc3wlgtT&T*@KP^J_6l`sm@c}NA+)yWKBK@E_!E8% zjNNbPtJR~2V)B%49TL<1@iA~?f}4|U+aHHeA0M<5KK`*69FwjFNuwE0E5>6HvU{-= z#db68!8iF4i*v-^u>qo6_YE%*yTsd|(nm3<4Xn^21aT<-&`MP&Ai7Z=#G2J#9>q7x ziLbCxUe{Bb?SADz6Y)c0^i<}Xo_q>r&m)cc+944II>LDML8I`?LO9xd)-6!X^{lv@P^1T&TWpUX z6)S+32!4)NU&H(MHSkDx4X@t_4e$MM+FUsyvAbiglAHB%NJp)>3*4~cxDhrh7NJ(` zxfE7>)(wc%itUFpp1O?3BJAy!&x)&i=1276h&P9GEAD>-E5_NNwhv=a3s|8=@ZnJ0 zif?;3fT;3&vS#(!!?+duzJ(R%chxL#nF9?O#w`%v)yayn1%S3PUcJvS^878Vc&Ceo z5yUal@k?yf6IOO{vf|k84q>PjU;k*+`b~U#lO6$|dk3voqFB_5MN!VINLX<>;q_Pf ztazCzSwy;ZruI4NFytv2)nDtaEZ9g`$ghhj{y)MiCIVu z!Vt3Uy=-=~MCS}9fBYl2_W2%BB}#(PO!OCnNu>{RFS6rb*<5)jEr{_=8D+rxqWW&g zJ-&zq`K58Rxj8Z*Uc@A4Gb; zmqUVEf(EJG;WnR;I(QJ3y18&{Ao=&bT+SyI!K6**?*>wtXA7wVN%jZ4YML;R{6*8S zfh6=J(su*Mmoz;&fK2!(eby7l5J`?H>Bd2_nSl1In-AM8j<%J$EsGi66!BzRmv*=JR zQ_-R1D>tQG#0};U2|q)iz@O-K9GCDEm2fL7KX}9^)ajqX{cde?LBDH_dNz5gCOi3E^JMOKIino9yfKpdoj+_!$AbST?spHl zb(r6sr`I+WQomEVb=a&lVkGywrl3KE-7!iFtFY%tC%-#2g6nM=&Vp=mjvb--UGL#! ze1H<>c>)Kp)O_2=5;Z`nt%R{Wvsz3fzs{uPmBtc7F{PyNd>E-vOxYof9z*UHQ;rF{ zQplP>rK!**g**#X`U#JcNf%KWCb*@L8=}%Fc{x*3Jbn6p+;IygG+(?{0BH;rSb=Zk zXFLtn(wN3H-w^)O85cMg`l=Hq@oD-loXr=L#;HGze%>Jnw!Z)kZ-BScYIujFRSiJ{ z3aTfb!aoUy9NlQLS5ho>PT(Z*&qj1sZD@=)U#GcPW5QdY#jMF!w9j5-=UzOT6gMeZ zf;gJoH7Vti2J@jBI*O-eEsdUrJZ6}EoQ&ZW56$_xg*2aL*aTYhX@Q$rVm?ec&_%b9 zv|=HU!xx{NF$_(>Mh=2!Qo;4|DDs`Gl=JfiYvx@U3VQ>*=yxN@T3KlnexG~IRnP*@ zIKaiyJ7 z3#z0;E{msuTHf3snbazw)bR;m^n~klEtHZ;zcNa3J-(Zu4kznNC>9?BE6)9pWc)t* z#b|P?gi>Evih$Ov1PR}bR%6YIUT3;Odv8q0IMFb~m)=y&8vvW>_hUQ+DHXg^P@0!O zDg8!~1wl%Aq53H5QN_ueSUy6^55~KSxKdYaAhR< zrlj(1l|HyfV&lQLB2L=)I)R>K9DNrH%cX#q=$FPC zvfz%R4a5deB8@lndMs}!4fhdkJkAY`0R58>9vPNlk!_34Z|z)41~a6Es&6#mCluJ9vM)lwl;;bn1S z7xEQQc+sg`;pfpquJEI2T;Y?`$huGr=DZ(S7zA2}a(+MpXsKH@Md7WGl&*DH;bWsqH-b2&Jhfu#z zO&4L;bYS^EhLAC57pc}L<(SU3?LrMAyAp-Kqm>L|C4(p}Aa93Y*9mV>{umz&VKApw zsLd-Y6LsRE<%N4VWVvdsLVMU}S)m@rEmJ>>rahFFvK|I6CDT7c4?8U3J?yfCd|yqu zX6$vA<_DfH4zCZ4J4@PES7ryk+DvB{a|^uQ0LOj;fkIzwChw~&vhdqxVyc0$b9FPR zRzs;J{C$QDs-bidJQk75HI!Vv;>YU6n#$kO)YCLS;Jje`A_MKb^afb;q|@X@ zEu{+SSW8Kfj-C1h$B|Q%V}S7ODblO9(pU82et_uM64T`*Z{q8degiV_13#v# zT^cKEbe^@R-+`j9 zmQ6BdpFq`ip+!Iq{Rqb&m!S*&fG7qvR=wmfB~mDu2J>AW+KM&CS53i}b3)VKkUMco ziRds?hm`jqMNBAz0tAD?eJVid9&o7g460ZPNKbP}#imLtVeK0-rm50hheXinkR_|i^dmTLz8AF#29nS2(ujf&3t zi)?PDL<)QUA~%|0O&I?d`MViBvBzJe)91?OfPJqh;J}=!NyfiVLwK|PFCsTrDtPu{ z1^$68UXeD}#&n6;8Y)Z<;H?(y#EdLaN@8Nh-8Znh51ylA$fI2nsLB zmKI89VfUZJtEEyeAm>kPuww8?C-t5sG*gkJw8RWDq^0tW@Y$c_Zc8Oj82^HVw^B+O z+q|IMZgj%(H@AC1I<~@UapO5D)*4Yz-RGoBYo)YM{5cudS{YeO|J=1i{Vdg;#A64;vM`3|=#yH2G0naKUPrn}oPcd%PRJ=}=Cof2H- zsw>E@IdPfy1SA*^R+OH{?)Eq;MR%i>GVi+~7<>9V?LxcA`K}}=L6J%ScFIMet1I#C zsI(&UzEnQzk|XG;+nmSW4g4Wwi#KfLG3Xo!bppRW4gSo>-*TIn*Ki_s#PMuL zJXIj!?Ulx^XI%95eck1cG`_A#7IskPdzoGI)R-|yNf$T8Lb}H*5w6=mx{;ajN?`d( zAF23UEq&NESIaY8XWn&lD5ef-I;6_R&D9>Ey ze{fU%Ix5|DLYwzwa3`fnjo@PW4dlY|T#|=i?pjfBtP^W7Tex2Vy?KshHpxXZ2KqGy*fr=n3o?z6I z8Lmncx6m&}ZXu)&3kh9}B)O|1MpQ$o%|ON3HCM}WT<0^;Qy+3Z9>e5_4|x%<$i?)q*GkFo2CN|Am+W_m$MKg%;Yw24yTnWi z=gO`vhCF|=`)j3a&E2q7_KSGKVw&DdxR(7Q;pgmo@#Ya4y+;9h1C1WxPXfMC;u3b! z{KpEZlRjj>3mX*ToBgi!Ra{}S2S!6}29og0xIbNu6VB4b z=&kFZhRz~;`jb81D0Nyr;mzO9e)n5$_PZZ)Z)V@iGiOucJ4@hJnm%rSkt$>*rx{Xd z`Xb>J%0s`S*Vhy7YkiMw;ZI_}g_*ql$$)Q_@H*%HP@a?cJNgfOSt57P{ENg>**Up+ z+3(^rvvZzBE@3>+V4j2w@R)DeSLCjsATBdMk}y%}Ct) zD076;dUZ)3<+@v$p+2-pOJEn8QlNL)Kf8huT89@H%KDH6KPZvnBaDgc7ayR@?4RAK zb+Cdr@FKZCU|Y4;K;Hd;C~^qCdf8toRk{J?h2KQb^l`#@=qn2;TsS^E`*D1rtATXt zk0>h0KvMcEjXXPeg72LrI^CO`L;X%uF`{VwK{P#?0DnULlL>E7|3vo5_~_=8{vz)T z@;@;jg^sMOPVTMjd&kWG;98gcBKI@}J;y+D|H?jjEc${s`Fwyl% z7fsQDmw|koW>D{sxr`iq|AZGl&aoQ-9Hfic8Az56RH}IPWo4G=c7f!^Kt-u(p?P%G zm(dfBqx-MWUHx-9D}a8D%@z*&evQ7O7^yHwX&e48s~0wsjy6k79h%E*_cumeyO7}Q z$L#|DW%c2@fn?JlrGhZ17?mT0d>EvJcBnzw?6f%DZ76RhWRo#{`TcT_F zb8sJx`86Hg?;PM)XdaCoEkIwU(I@(G@a{vgAL;I{-W;l2a%*0jjx=;Pgry03Z=T1% zvP3`UV=Cqv8*K6B45V}#hkH;(y~s6;rX@KE*6bHahS@%3`WOU^%M2uVloHgg9~)JF zU(e3`@Gkqsz!!C>#+tHWdF*Gm?0W-W*2&Jyjj2W{nFHU{d0Y-J@uOM2o;90$4Aw6e zlKnV0@F8WvymiJvLdGiPJ4|QD1?>GTBj%dhaAtiCmU4SXa+)EKrkcIq(Q)Hs@9W;w z-ofO=Sj8wT@McD@pGcXUjs7@3C;MJIb5%-zXQ2c3AG*L5qxpMUU(wB1UdW?!zk89v z$pF1DS{WAJpAwxawV`>ZN|m%qVXRVEas?`FPQve~6YWp0>6prik9^I90v*P_L9aFX zQ78H;C;CJudT%FsODB3|C%VY4VpaM|=SOW=9Sc8lfk82160Sq2~+k?B)&7vr? zY0KtLDkZ*fcBi$J!raNMxzj)j`p(In+A@O0P}76E)4vS4fID4f#9VVaP2rU5S<2mM zF{c^E(NuG%uj#duJ2ffl?M85JRo)ZyiW+6hs?h{10YJq=pA9O|a9mH2P*E z?gV33d1j&#l~9RQZucAw!~`)$o=TB;l-?hMP&o*7$lZ4L8APILwg?gqzNC zPeC*`3E^gMF5B%S<8Qh2An0b2G0)tH5iNm{ENy=g6$49gn!$rtptoc~|KQEsM>$nM zI8R2GYR-X7fI!g~6BP;Se>gM9B#qp@~EV2ZnJ+neN8Q?J|Vjf3o zXy`+RPe!=;C+0A!tQ#zKDC?j`UsZrUR-^YeP$i1QGgT=UvY8R7kklDyL(k|5p?Msm z!(vEDw~2^3>uS}@vDDF=yGDP8X$}Z>o6*3Fil=(>=8mK)ql8t0T6+o&Jl|m6Lpy1-D}cxn^L-T z7N$P5Xx_}y1RPH!P)~$I$7ka&aGWRkX9mK#Wu7E>CgRSk7$|5mx~?brekS5hZ>|0- zOlYVF$71zygd2YXf6-?cJ?{*ze?l2N)?)sK)ych;WISdbfomNsi1R5+bSqx)7Yd@= zdXl`Eh&xYtkYckCcXFWI_b7|m?m_y^LfpBSf#)**(H>+uXu`pw*4Q9f-7kNAN?Xz2r~4 zcOvfG!1D3YGg+E|!JP04-PQk~(c=ryt84UNf6{fQVkUXBmFV!1tU(%l(x#3wmUe$* z3?lf1*nuM%1&$m*n$1D*`O1$96H1oPQ9?Q#;4R#+4Le|bFwbSgT(eeF-;?Fr!@7xj zE|SGik5{Bt2@T=bcTrAHyCU8Ei82?%FUya7J{Q5~BsN{xhF>D;^;8XJD`o6#lEzt*!9DKUQ zJcOkVeYe->bqdgfGiCn{nqMmM~(0%xdCHe<`9TU&e zcym3Lavw>6qH+y1Jb}G24I~--y-9@?2tI?nNXB9WpHG=L|BbtQA8<;J;FD_XBqJhP z0Ocj6#Hfs73qH}TegXr{#ltWNKEV>$QybsSy~yzu2tIvytNHEym=7Lv4ofZi11#nC z-pXl)c`Rk4FmxEdMmM;<6FjNCgUQz`5qz3FnbGUtWFySk=<#rlcIG{dZlR<0ALI>l zS>D#yT=O74GGqFBkoAWF>UJ2x=L@Xfs9>*@!}5+wJ6S$AW@AxWDJ*##mk<-aC3-f$ zrUNT-h@BoE-O-6&$BACriC)Bs{)7p}25972eoYsY=v_|q`Rp3O=V&_vt&o689u4wB zTr-epL~ysSE74^05j;;+ez~%zWqIDs$YLx_(EqDVnGbmybB3ky`aL`kPfOHqVAtHI z`tob$Qz`D;r=}L+K9z`o3a9z`eX1HGI{Q>8qfnos5h(SkmyF`%Qx_P)VmMfY`_xF5 zPss069e6EsUCyj8$5QT7#W~I3%2MuAkMwLf*nR4R-pQvX>bXxfV@!p8sx+fJ+(Te_ zTVHd{_t}wqZp;lg&8Jo&0`19&j!F$#-ccz;t5kwlN`@{X%W{dCUwQIt=2uU-^voYF z@M}ij=R{xRL?7)$@9soz>_iWDqWkC(fl?oO&4fxoLk_>Xz-aMyzuM(S`rT40k)^AZ z{T(0iFeWgHO=T9$z42JsERjZ@4}HVR69VsWKJy8dwli#JX(CqhW7r$B0F<^c@J~E` zml)X}6yk?CCA<;i%O8uD;&o{#W~SR=q=r8XJQm%n#V<<>#5JQddanZXMjAbmg;6yV zcCtJVL)S8FEexH^GM^4Zn{&|rn=n){@G$gm&Y3?9z08P~z#}Z>VJMfwVpzy4oI)Q% zc^?w&VQ6Oq4?{~Bco=$@Edn<8wM1s{JnA~a&@GJS2t$9@Ch$vMJPaMn^6`e=d?Lpb zK*vwKxtT_Gxf0V`(NNo)qRm|OALkvd{%4^A_D&eFudDjwwYR;9f77n=j~N1|z|9|u`V}S9z$a8F%0tnw+0epir8^Hr zm+*PjJf6>=&$asYP&ARz^M|5u8I6acUTD`Iir(P`EVR7u&O_1O?mQIbKs*$!>&`>b z2q%6YcOHs1!_iA2_+3zn&w6_pC^m>Xsq?WCEwAh?5y;(FiT zJ?A+%b8-T$e=nbpe($qC=iGDeoEexIb{y!H&bz`j5Lj~qKQ4R>Sy+zmL%Wyb`_L-P zF0Mbm54~EJ??a#B_o$(l3$plSn_<%WfaK#*6Pm2Qy<} zNP91yyec`Dl#-lb7r!m!FysBH{+#rSJbCi>HsHzKXiIYWjn?m7JDIObVfaDj>rz;` zZoXU&apep%Uzfry!tG~%rLhH)#*X=};Vpj5b`6PoP@=Vl%X+yoYtyYL;oB3ol<+HO_M`^;C-$V~0{*DsFB{PPOliNEHZqO<26Q^# zh~0luGngp?z1q9-4X7ut>Ui_WW_gbKea{%^mdwak)2CeLwSbYYrdRoK;cDt#!frKH zF2PsR5&QuJ_CMx{FkgK$A9>l6ny;At{%U%U$@dq=I3^zr8)>oIfL?Pklid&cnaTHq zHZb{U*m@Th#5SOtc$aKNE#b#(MZI3Aw}lM0(?4scuWP3-Yp1`$n&T_*SANV^+7>(g zatm!hXB9f!Ur~dZ?*58uW#Jpppl`4NeU;t20xRw0G^dPhKv!_N=9`RtDvjl`UvI`9 zUe7S{4d@d%#w(z98&DT$_y+VBzTt6rG4#vA{Y{|X`?RN-T83{x+cMea6~yBTcQjt4 z&*yj1Lj5mHws~!5JDTQwBA6fFfQGOhX#?uRga>ax|KLggW&^seG~a;EF#epr|?G& zuc01%1Ns<~ZCMAUq6FW7wl2vxpcPB<4d@AeU-Ub`?}dNafUb1Y{SILRy2DKmKZMsp zZ?G#SFl3+`-+(?z@fCUeUpAl@*d5Ms13H`e@(t(*I4<0Pj^zfn0UhVYH=ws%`396H z;v3NK@jTIdEp#itzcT;ju6zS}qy*o9R^Zz#b3ktVsbY^Xda4-2pDG51@TZD4H=S>x z*n`46{%kC4Jfq_Vv`bmOD=JM}t}C=F+DE&h&!t_F`3qBA{2>!1eJ|2K?WN~_Z;h(E zl;sl}&_9{gegnFcX#%~5mgO7JifngAFNFTilRG|!Y+%ZxeiZ38gUS3cB$l#8^FZ9! z0&BM6$Aym}0bYCqdJ2CDVZIQ$hTS5>^~X1$(>?hHlwOGs!7K6Xwc$zZy2jm{U*Clr z&{m|cE9py<{z_@P4QSIce5$Xoi#jmmNNJv&zdmLQS;X}BH=6wQFu0W=J^7UzbdB#g-2UKKTF4&Gu=R{KH@=lIUnK44HkDYxitz5iRH)a zfs(%rMq4I3eL$hVEyUeUf5FY|d8|Gjkj^hpmS_V%W{;E!cKRvo*cLLh(0>1cGMwAa zA)lEe#NW+)1u(*L*G;c}#5%j-DQ55C*Maf=&Y&KX#RMGnE5qcYVI|!3{(oBQYWw*H zhz<5re$0A&r%-PT8E>cWZKrQxr>|zGFJY(WdnrB#2kmwdU$A4m+^H9CC+-h+JU12& zwtES4uub)oN3B&mPhr^ugZPVZecU>7IfValY+#r#lWlIUT+fzE0WZRq%OCuhZ8TF$ z=$-$-a+zI%FBcoLiP@s%(ugVTmrHe~q2=Pr^RXGsvD^22SGe85j@(Ya&`uv~r|)O6 zTQ1>th5)+&cRT$Bw#l=F@Xen&#rJ10iQ5WiFy6)7SA|(#w&w))4db;@g4 z4|qR|`6r-wFH5C3SN+~`YxVM-9Mzp&_25jaZ~07|RXcAydiT$?eir&8<_*8stcT&A ze{%XgmscuBux~%b`@@pC$=fuQZ`EW--9zC74Ji96Hu_b6F&09 z7w?EZ=gGeP`@CoJ?JTPmZ>cH6pF|qe%<4|H7MZBK2wd4 z3ZscPhwK_~-u$Mat<2=MXWyE>=kcBNAMVxrU9fJmoZh2bFIroBtl48mx~<)#55H*b zTx|qI#~k>K4YyX%qTvpE^q($ThgUngTXtH6p0-1a58~LjJK1&#?}YM*`-TNg`$nIC z8E=)kyGwsO*V@{G?;079YxVJ1w2OVC@`_!$mTUdl@=2=RGtc@}sl|AoM^5|@z3dfh z%~GRHAz+x^{ED@1sg|ZNK3X4j#X4Af6GF#174bgEkN_NFn%-sbnq?a1yS>WbH3Gob z<8~dAWkgPI>uo!`5r343w<1O{XTJ!bJ7Q1ZZ4Y=WWoL*H-4Jc+u?QOii2iAS8NCOe zn{U zr?&H|b&A)nL0S0X;5{AZWaso6q(@$}Hm&#x-ck`ce_=oyf4uE~&hIF=b&$T`nzf1N zKv>$%$$+L=f4#+3Yi<3`HLGX$g;OkMTVY5QIe%L~o9g&Thq!1n?|vpDPfiSz_eIX1 z65yXRgb901qrW$d-I@;@NFQ{= z8r->_V+T2Gq?LXLSO;l1KnL%eOTzrhJnEq413S1pP(OadTDjEs__DSfOF#Y24QoL4 zw^&;)6Y82npC6J{)`Eq6GN|@5EaYfk{iB=K#_hA%IHv>zqVtdOHW-|oMF5+cWjMgpbVEgR z*_kdo%3PX1LbIF%oYJ zFqIwWoXPp3pFZ%G)u)l0nHl$Rk9IBgmV4tBd|<1Y>I*D}^YP})#hBfy(#HA#$Fn9m67nf_s}nbBCxl zrmD6a^^~bCkEjx=kN&=k+R}6XX;)2O`*O+R5MfMD@WBZwIwM^F@;mT>}y@bTM={8O_hDE-%Rb6UcA-@Q_vlv zR+_3Aa@0IiJBFf0m_i4KsGg=ORE~Pa)INbI7qyB$y@Xm@yLA$pi(Sq8hIs{?hZs5M zo19TSaPP>zZQeVwbKXW8bCGU>8()g+adg(W&dp=VteeVnQ1Tmsk&1l#T`Xok+$oxM zgY{JkX-OeNZDvd|v^jI5keu!$?aeOcS84&Un@wFDAjJ*SISkJ6@pxa-; z(23|B(W`qWJS5IJXx<>by6MwgRn-#SNnh@&Hm+#xf=QpVA12(x!|_)aIqseGGp_2P zw(WA!sV=iz?WBKDO0Cgi z42Fpg3QOY_^*mP=zxmQw4N`#H;QHlFL2+|*tD!(wUnf?lbN z8dT#P#@?rJ%6sJ;X{RXnWlY@J;rcMsvG22vjw44)j*Exu8_TGpE#J1)13c9)EUyjI zfALiNS+=&(r&Lfs)PM29@cRta^S#ukEt^~GA!XIqEsKZf8_TMhmhASrUJlLfYn^3a zAxx-++m+jEgY{p^sp*#A+UaY&VcgWp&iLXWJDiFWO$@h-sxwfpSwZb-8TYi=)LBcFXZ05 zI~C!ix6!jJnVG`uoa*$`YgSehEy=C*{gq){)Y8t_?s?s<3NpOcT<=!}8Jf1zpYwrJ z(u{;*4|M9qb*wU)0zTT?-Vj0zh&t^?u zHOf-zY5i4SM4oA47rD5nzR_3Rp*Je4j@C2%)V7x1E%n+}%>p5IZfCpe!>XDE8c~6U zRG+fUl_%6BOYf$7?HZW)Cc(+J8fu=4$JMvk z^M-40C3mZ-R&cRo&(mM1rM9$GPt`xJrP?f2Qj?8Zs_J5?J6HD&RBL;<%w++k=O%Xy zRFAvV^q9?MfS$_WSsTBz&Ngfww zvFKlBB@e2nhPqf5%+x=4Qtf1EGgCkJr23&HafbeOklLc=s2R-fCBRvg41DErUA200 zxu?`~E*`DlU;%C3pm8;w#>e&YMn0|=@G01AT$40DuC5vj=&RAV`b_0A;H=`AFZsC6 zPAMGMnJIi+Euq45xSCUFT&E`SaV?z0$Ayo!VdL8R8uQx*II9x)IU85WcwA+3nkDZI zRhO0UC_RzIRhXDOs--z^KTOa+4#V;p`H4OzTn*5@pT>s^My9OU`OD=2uJT%ckt34~W1C$on|?vk0|?i)F%C{ah=x zy(Mt0-lVm<-ZE*7*?CRfqm3F+v*{StMkwIWe!E@go@vM499zltBYhWK^@(lNS~b%~ zGyemCGyjbrn*OydGe+y@+Ni#k0i%=M+p4d*c>jbCXuuSl9>r1|8kPL+v+9>6JnD{M za-$J66M4h=Ow9ejZYGk3@tK%D%yjmfF^tbd_!2%7u|xSxxG&~2VH;vM6Xg?VCKe9j zGZ8t6&%}~wyP4=6&DyX5&PIk0Yrj7eIRlyhS-_e9FAMohtQyE?;`MxZ|tE?E$6?zS6#(YZst{d`e5XZUFJ81l$*I4k93_H9B4DotK&P# z-G-`}CB3(IsjJ}mn)y+1Q1_di+-j6M+hVENN&jxNy2LW4WAcbG>XEXR&%%=1OjUhK zTEb)W0n^oQz4tdU1K&8n5`{ z!Fmi*?Lg;B8$6qPISuJO=IlC;PfGXN)j_ZGmfE&_*&*gb>EWCv2Vk7B<(!@31Z;sCE?qIi| z>9<|V%~)l&Gv|Bk1Do$=9h8gFds9U3?Hvig{J)bf^>>*>?p!5*l8w7&8kwU(uAw7zqJIzX=- zuMV@Mr|F4n)jDN=!FTR9`f+CJ>oNEQ^uhYpg=&AV-`bXY?pBNRaZBfTjUTMne^)(X zSujZVUX3TTjn=83=g4)ap$*ZlqEKmb{CPO@170-g_gv6MK6>zxUZBVoxwm_}r^HyzKmJ@2W znHN$Y#!gk|ag zz2^Jqcv*klWfAVd3w`yvi`0ge@c#OMMQR;O`TqKxMXH}=(>MCsMQVs;XFvV;BDI!> z-p}ka>~syitd2g%Ks;ND^*O{myn~an->pYKy}hp1Z7{ip+2<4U+-krk+N^?OoQv7m zut)TZ=pQj4V&Lw!jm-7e$7VMExV}SIy(|$nv-`*OGrIbzd!0KS#5k6v{NW<1tMe|CxblqIl_K5>a!&vL`Y&%lZu`pzX7 z+|7#40!y zt}HAULyO1I#JH|wTt~kZ>B@(ARZw1auSBP|0o;44tU#d&V-uu;1X=b>Yi-FavnRd^oS>Y<71aLbMq^JIir4tD#?c^K49k64NG zFsm!;XEI=(hgZAm^*&TeD~O2{{+VPsn?l^zS}I z>J?FXiH~qXCPtYjWCH%j3E5sn5BW$9_Gtm}>^&^BIc~w`;Z?Tgsz>QlKf<~0)6uT^ zh>q0!N9H2hpf6aZhLp=rb?@q9gXp&G82`&g}E8Pi#B{4wVK?M~+9TiY_zQ*X9f z4YD-pq>osw23qh8mR+$)_)gE&YB!Ii9a%$XE9f3;(9jDI&z55sK|k}*m0hfzI_h25 zs5PFMQlYLAG5ww~!Mp+{ct%XW>oTDv{zr(5M5XqNL zqe#AVnytms*^y-4lyCyt|Mdj4*CRf`NT#*3yAj2@JKl)uwBt9TyODfYAAfG%h`M^b z7s-ZoyqsR)Q>>yFA<{i)fO*L8L0uyCE}yEOdpxtdHeRBx8M<39xgKY*!pC}}^=col zq;GIL#smL^$G0lc`r`F!faRSpl6S6GvpmbT9y@0E*m0u=O?Ywa7~Fk7+^qIZ_TQo| zbkS3`s!R3U47IfW`sZp%ecN``UGKL|jnw^rQcEZA*sdm)Odh^REp4f}YG{P{V5yva zp7VR+M&bu1^=UiR3d#Qa)wf;rO=)VX{`vuIcAq|^dh2HnsNTuT52y`Y^vHv1+2l*# zswpn|r2{DV_ILR2^+Tw7={x+_`H;G9(_wX)yS}ofufL0uyyREa;-=?hsE_H(KUZt( zhbsA&)8me*<@IBge5>jHpTjWVHgbmkp)M_1wp-h0yZ69Xx<<8Wg~Q|@PpTzLml_lk zGi=OIMgQ%BIw(2(qB^2PjWPWq*@!Op<*a0L)!s=lzk*v)9^1}&oH&tvHMgRy+|2bYw{kYz#wqL{lAKKT?OV9r zhvI~v6KaYRgH!!yJ9vTmRH#!_yMdkBbylpYT)%7&=g7UBF8ev3+{L;78_w;!O=^lF z9D*pY6*FKrM2;U(V&V61F?1gqKz(Hkb!LvFd(TeF$JBA7?|7$Q5!W8#^4DpcJ-+4a zd5|;gd(OQiA0zvL16=Svzk95M5kj;y|NIh`Bgf8>1UC(d>moOemCLUbd)5fmraCy=X zoaTr+MszM5(M4*k)p2gOW^ztD$vNl<=ej>QOaAF-Uq!M_JH`#!M>*I0?&v5I{!?D3 z1_P+hz7xETW{#|t-N-&Y#SMLP9?ay^TpxIbvvM|PE^#_>1=&B#;`RmX zLn>602Ea~Pmu|{E8c6rcypbxooPDpD#QGa|jw`laAcsr#4vMmoHf!GMMQ;|=nz#Lhp2wTT4=9dlP=Nu(& z?#2B+e2jBsCC(*fIop?a)RSFPgyVn3k`;L)SIU{h`hUDKSG?@YS+gqV((0T8t8jW) zIlHNjcH#F(G_Svh4a}^@8`$8($xcbT&V{FB&J$e!dkxNrI-F&m(1uYp|mDWBK9ZNA?AO@{eE{sVHf@)?;jNJge?@=u#f^oA=&;p+4p{} z*G=_(N*l3(H@1+NN?b>Lo!E^Sypj9M6n5b+@|GiiCv2g}hM%NDvi&UC?`+T?+vWSV zwqhG^=(+8j%ZV=&uadn3@yo5;uR6&`Nq&W7KZ-j*@_-%O-w9hQvLTHUxH(BsSxT6{ zT_607Z!hhqR9>jWH#87p7viX0T;GEHs_*3TX5wh#n`BQW|7yg`yScv;wpL`rIV$W% z31$0CDPgnS$&+^b{%Yy_>ONjca3{&iey$htVbTiON%)Rh!)a&5-St^i6X8p$&HCmFG+sy zgl{jmZmU1#2}9O#3OR-3+mc?`1!qybkWCB9F5oA@R%j`-TrC}i_X z_J7PTwdJUvzvJ0<5Z4mt5r<3ifCJoL*ad4i(F?ox0kdi)trO)7BU*{45AwW|i9Lz+ ziN3@Y>D*6no+OX^!O<>c;!BDM6qFnkAq%_U*How%@fqUL!@OWTu^Tb%5F2oEbd+CQ zH5Yu-?Jd7*cv;k^MAF<|`pTF6LP{j*z4W}_{9YO2eY+>S9tC4M_F}U5Pv`}OK3wH~ zsiir+`*TLObkxJoEY!1tNFV~p(6&s>w>-jZsd|iG(0v7(H5DH5JGn=uzVb2+#DBCC z=ljumFP!w6y8n2;XzlPFK2grNhwQBl?kDKXU)B$xI6*01Q-tDCDHjy7C@3iOf?SX1 z4zhzRi-4ji$BFf@$*U_nd?3x|F6+r5x-54Td|&|lW&LD|6O`kH{2;RDP7gAeEepL| zP{^X7pwJ5ny`Zdz%%8gnLs66ynN6BMP0H|@bH*pho++_O8SU=_MZ((@C@2yMyO1B6 zS`nR#f`X!;pwJ7-dLcWbCL82Le1a9_mIt3HXUrx0s?yv~(3wB!wZg zDZURjD01S8PW(Kb=;ina%QzDcHvC6=^G+l;;QEjwy3?4S!fV8{#6O90etVhS35DV( z3P^JjxZR0f#D7(UUHA#EX-s$Gr4;xsaTZbB2A-+IM>wk{=S#$%#Ak`Z-c*tUCHZlZ z18dTqSOk`q9EJXNfMWv}NIoX%4@>e+l9MF8$U94OQn1kBU$)CLp%qnVC3XHDaXN9J zG@>YyBZv)&wTM-S?!=ol*oi3?4d*I$3hARNAI%L4?5lU#+E)`r`~ zRq{!DF26*a+K$WZNe(2IApQw^6*G74pvZ|EIPt6FL@&obSjM?|u;D+_n`=XEp!!3O=yCEdPxK((>+|=g)~svRFKpl{ z1>`shJmy3%2JmAMcHt+uzYje&Y@@(0iK~fbbLP+PXg-pIPPkRle=W&!+^2lRg~8T@HO*1u|2URv5{o2Ys%*JCjzQU4wXoj^`4TS zh*ug$G}*r;ZY8cK$^%+P`nNSYkP|x7%ZWr>4CNU_>_%)& z6pOTV7yip9{9&O>&+cuz=~46ilC+^?`0zZ5pO5Bp6tN|7GufTdpX`3*FRJ2AChW)m z#r}-u8?((Hyo1*KDXj#O!OeW7w5gu@mY;jGVAA1Fkl7Eu(v|&$lHQ$Uk-QD*%VS?t zjisn=6KN&aXrbrj;^zCgYa>WUJ&E3wmq;(SF+tJ``xMfPG2**D+0P8pmnOL+k=nK> zU*K503HkeF#_G9O94!$}38X{E4I&Gb(0!z2q;3xIxm3 zA#QuIzdmqd&Bs}HtYothFYz-jLPg0vl){5%L6l4*y_+5p?^nA~x>QMoXOLc`72%oG z0bY3be3h2@?1bRsmFxR(H#1x8k+n54`UM!AKNiWV|%aQWQf3c0^DrPiN(u)!uNiSLuW9lL4MeqHD zUhg{JucO?zlN&do~!1Y!|Boc*IQ9v2Cn42Y$ zD4*hOq!(eLzckX9qHBRfQAG^z57L*R6(VMBVgB>%YBa9}-CW=rISL1HjSi&rcwUV!lNm!K4>;3i}WjCJV1F z-;hOks0hD*vmfH@RD_eS=uniB??a-MNb(m$6~q#{e<#$=j^_`jGhXI=k|^Z56S%${ z>953ac|WoGR4&(@!nsS5{~-DM$=p7HScX$muFv9zBX9nH5PQ7;pTbnv++!Biz}%US zHZ#3u6dhO2T=rL|amN)Jd)-CPOz`s`a&k9U3jRv6;6aiFcakh9^m4q=!w;Jwzcq1- z{ak9id4d<4NE}A&L2P}3Icv+WaldZY|F>Ky)#<@yO(`cC{%IrmSNTBb?xQ?;i_3z3 zBy+aKTh>V-sE{lu^l}2Bho3p^+KoHBko(;Sa}(L)h+T;u5}&%q;~$cm@{j?4@lU<| z;KE`+a((i9`w<6XfRv_MO z!tG~>n~5WdW64kC5%D5U`2P^V^K2!4%;~ATLyFe|%!VpyyIXU|lm9=|lz&=yeK8wf zPJSJT*{#fhV-d;?^kjzndJD-nBmM_7WnKhw1OK+1^f!&0_5bw-{;r@@kJbOz8<1zv zc|+R&;GANJqM$ii^T+ZWuTxv5CM*79S^G;zQUgvqm;8#GN8~&7ESnLnaB4`QEaQek z{Q{EzEjl)e_2;N~czGf*|5 zL7|uVfPn>@dH<650glWM1=yqLm$0xN9t{rzvmp1LfapU?k;Z!^eO1UgHB3tU@v;o*uc9LNGU$2M7wi zoIvQ|$JW=9$vkeI6ZRy1b>g*2+<(2qX;ZlVA*m@38SoeX;nawnM-0fBp3n3!Mai8( zGejh=KkpG^z)jM-=mRRToMPq^@Ur{oP zHy>(5fXuJH;v^LC%dS?B9y@9_gDAi;2|Mdp!M`#vw-Vi5V=rY0-?V_Ex4M^Xb$JD2>5n@8k3^$VL3t#E2cp?t{=h59ul|66oy6zk7X z@$m9oYEaNwzMACcU^mylg97pc9icC(fSji|GQ0kY;Vc5OL4{P2+6Q{@V@wT|xA1KVVkhUSZn*b=i4CHpg@QZ_UK>zw6^R`*qgVX7l`` zh?OK+*pHv#elo3DT>tB7&Jjc*3;R=~m#8U8S9sufqMsxSdv+f8lX>tm*S|@;e92K3 z_Kl=>B+hQFyFB3R9nM8WAq#sn>18gw#p9xgCvG~*!oG&|4%EZ8`qj|db?nYom0%l^ z1;a@eY(cW1(97{cFZ@^$_Md_O3;*fIxB5-1@oaD2L`OSCHO;`qW*1 zLxw~);~}oZF`WzVPC4(fm2iJcl&n> z&+E$biM!e9?p#hJS{!7YY~iqz8j(50#uJ1Rm-Kd&g}pcFWqvT2`+qX%0YrTzlni;% z++jU&lEgtI3%Zl+jKWWF^FW?o(A2+RPE#})oH2;(KMmjs1jUHt1|Fbix6{l$cpkNpH{E=7Nh@&I6hd>k<8lm6r3H(?>_R-$3I2 z-yLOPpGJC_dB1S~&xn;IS=hh-nfpnMP!f-GLqFo4KOJRZpGJC_y8lJhzut{}9`!5n z4`K?@&aQVz^9#|wD$75K=rv+pNf!13FYYHZvkccSBbJe5VLwos`$=r2EH2Lty@-3f z9c5vEgY+_M;d-x@9DTqq+*@lipT`P%k}UYcTipH?>77xIHy4umvwSI!f1bGK0|!|v zba;adGAF0;0KqVl1@G+V_RFMqMme6hhu_S`t>+1B#CAk4iNfyzWRKNXlX#vViQh@` zJd$5?!smAJ_&qy0=k0KmYi#GT6XMU+e&7!KzI`zF6k&H3_j(a=q0Ek3mz=;_M4;?n zl>E1in72+jfoP!PCwxW(LrJbcG}dzaMWS^bm%k&skbfsRg*b&c?9-n7P2piUFn{tX z!SBQ^#P=kAM*c^LbBS>hSyKG>!zrF$a52fCIevV47Ac-N%^jUlPQZfk->pkL?vID2 z_NamXPTVZ*p*#L}3X7T2;;Dguiz0C`sVTy78Z}Z}inxD9tiOMj;L+p9n*%o2zi40# z%|LO9Z~8HRH1JBf&{FQsZ?;9#8vb;lR__KnMK)Ue@V6|)ASQ3{Hkf^sNN-soxS;l2)&SH7G;f+yAroo;(Z9ckYyHSm;EIfOVyj7n7dTar7|xp7(^cgD$7DISd?A( zIiah91Z;(jq=I84{^-ve6M7-bEXpqXOR}qi1gw$j)p^015^vXZ)C*arh?DijlwB1h zV2yOG#S8Y7Sg)R=UdS>QMcHM4Np@9`fX&F$^r6(F=bZ;30Nbe$MJ$MOC0;Eqh81|MVzcJrfgAO)(2g} zL(O)ow@c#ADZCG%7qZNv?6SWkTa;b83qGA)D?OFhD=V?l8;*J*%M@|4zL>JBf&}cu zOrwG`C03fn8xeXT%Ph(+`%5zJB5&|UzNCU1CAOW*8xeXT%Ph(+`%AK`f&^?v{-lB@ zC8od48xeXT%Ph(+`%AK`f&~23yhEozqR#?Hy^v+jq&Qh$Oc|%<9Xd5saHGUXolXtu zg)CFV$@*f-I5i9C)KI~b5`X%DP7UdWEK|hE`eMpBHSFsW{Isb{dBJHCTPHf|g)CFV z$@*f-t_l*cH4?Ov7i=K$>MBRQkY$QESzk=qRY3yQ$dQkE!9OJyeB!7VvP=;t>x(J7 zDoDT@xwwuO%#--U=Z<JBf&{D)uP=DP@)BEp<)|03Oc5vRiz(y9qAz$O zb-(5XpOToc$x$z4nIcZs7gKgskbup|)MQ?8n#BHF9rZ$%DdJ>(F=bpe$-I$eRB)xl zsq_^pLN8>QMcHM4Np@9`fX&DjD!4;p#%?|%LN8>QMcHM4Np@9`fHmT`hZn3a@y~sZ zdLhdcak9Rcva5mwtdaivdBH&vtA6XK7qUzdC+mwTyDCV)8d*XGmrG1O#2XQMAitLd>H9p>a%n zC9NaHhY_CI-s2Q`1Sy}Uh=g}2P);lta8^hzC<-6F!yAy9&h#}E zg&*LPn#nO$;?_I-BKwLO6nvj#!B`AiVk51xcf8i%lQzV5`StKlq#m&e4@M}Y7 z0U`XR)Z7CEoZ_p__MvF~wJIR8}NEVz*vfy1O@ut67Uy&U} zz{Ao^X#NyMyS%KvVy9pUiYxc0f`W3KGrjQZe^28b3&(IuC>&&2=mno3|2(=c2>w8_ z;Flx|E+l!OMhE5ThLh(cPy`6SN2O>$P!k6-AGLrS_bLrYP_k=^69azH%5TBKF4$X| zFg+x{t6P(7li$O(mmtQWGd3l5-!!Y*WX3&4L3 zsqqIBcMf3?3H~Xs)1$wjoXTLKY+Z)tfKUAomCJXc?}*Nxb33)8aEV` zST5kKkX%p{ZbFUA465s7L=R|)U9MGVB!VX>Uho@|1wSHLFv>~1>@WNzYKo+ADvN|g zvAFrTAC`-=05L*A+0U6?_zAn<44OG%XR^6mg+k_V3g}FWPOuWmg4gL32>wR0;G0h3 zO@DT|$c`f5VJR9YigtPVo%(YT--=S(mBe)FKqRXA>?sS^&eEcAlQ$bTl? zCj>i^EEq(xV0n_uYjm)KC?}8ugx{l5&rY z3%e`}z2MK}A5)g!nFU)j85=ErpeS|7L9iUjfwZ*hXt2JytwRyz9veA0j3Y zClj9~){*QYkBAp>@Mm}Kne-S|6n9b||CE7LkEkhfLg66mg)HoX$>sRO2)mHQgY=#% z+@3%j=OFvyEti$KLu3V+`mOR+YjtQJ#Pvn75&2an-h7hB9VLGKq&ef2G|#47f4B+f zLSlQOAMsuYw;v~_5?2!6AdVysY0Uj=6MtyLWuafoWlf=jzi=`I6vejW=ZwEMc`J?Je?j(G^}k4LF8E^P<&Txca5VE3C*ec- z(PQQRx`Cy13a1hW5TB_|2mVAC;>iYl)$VSPY^_{%c!_$cWd^XJ$z)3NKTmE4ab4F` zWj{L^_R5dlcjp;A2k3df`Gq;HV;9c?Ae#XnI}PAz<;MIPJs?q`D?4z~+24)G>|{~$ z9N(%p+_Wms^O>^{)&G{7@~8!~`|`#gHJ-=ef_l^hdDOr^qIp4!1drOtF>0i^w6DW% zDE10-P5p;5zo9*B!QZ5Fe~9Gbl3h6LKYX21NzG#bUrN{db9#~dA5l{twb1YXO2aq@ zkJ`W~YTzHyTmvG(qc-wAHBwyK*I{eWUSY0`|4`=Fz{3_iAf5Z2Bo~)#`LqA{7yLav zxT^P?s{^YhuMVtM@_?59Ql^m*s3`uUGY$7TiV`y~(+I7rDBg=QjRG)cX{Hfd5BATY ze-a-CaR_?QKQGfr34*=m2_ya~{I;*(2_vdL;s%~D3c#32Ck+3F;CGP0=pRoQ;f)j} z^Y;@*CYYFY!bogvz9-I!dsz!rgxT+(+UM2&oW~1apt)JSw>tNuwIrCinnK{Hp?>7!SL2u zM*OqzkIFIvpF_buSwM?!5GlJ0}`#wG7`Y}T`1HM0bn>7{7sf&17pA#Fdm$3>UU=u ziC`|60($R7!(i%xEF%ifdX?|8j1gdNI{fiPqA|Z?Ab7W6`X5<_7952Fp=XRhd}mO6 z>oZ0=7~JlRk%mv{N@{<`$OIERoH63?VLsj+p$DVEOfU{~$7dHLg8pCA4VEQxJMkK!0E_zV5 z;f)Po>fmf61x$Pa_JLTu^Ro^AL70hmv}_{*2mbHDaWE2sfnX{a4JNJ2Hr$6G(P!`j zy;HJ{@S*5@d$y4ShJTZ7j2H&{UL-bo2o2&RsJxFN4?a94CKH9hxSVVwY6S9uBTSyn zHsZlV?E)Ndpj^y0(!talFuaJsYB@&gNKEAuIY#6t6bi^OqQP`97EG+2V?@0K85{wI z2j&=YU{swPBXBfMB^VCo*3B{8#~}ZcIYtr~1A32zUr>%=1GSi^attK~9fEcSi&7fgH~1t&ni6a~SkWjRI~ zn7%p3nEeW7`d18SBJzVV6LI~=;~)WwBrp{W&p^Ug(GVB|CQUnQD6uGzbk^{H4S|Qx z8ku13v9m_vB-oEbKN%y-K5G`>{s{ZqXfy*2z61SL_-XMNk#gS10OQ@y z8!_+V6qG)1xW9)f^E_|(gK>|YH_yiPck9d;{z{QxUCFhMaFlHs{EJ5QRpEtCO50E(fypgaJ3NRf^FLS|&Uxq~0 zE*MeEaSm%-FuYfwk;WH{5nvKH8}tdeV5|jW!89-t%mJ@~?ul4)O)eOL;7~9UOaVuL zp-mAF_Go%RGuGlD9f~wiX^sS7W6*u2qS(MdFdd8pTed&~a49$&Tn(mxNnj3W3q#z8 zit-^C2>t>_f?tFq4y+M@IItzS7VH?IT`)3m&<~1SuyPxW^dlqygTcnnVFtjrA}<&* zU}iha44BdJf{|ph%LOAHyaDEdK2eBYr6_N9Lp+!aMu7!j3^=Yk;=!e068MtV0|{{O z7MKgJ9fAZOD@xO$NC3_Nqrhv!kpPT&0SQ3QQAhw@0@J~BFCiWrF&go!aVo%IFm(*# z!K+{l7(Ev8;DB+62ep^SBY~-yfCS)ySCC+h`E?OU0D6K^U?dm=z68dDN5CZT)k%m4 zSAn_U3DA43q6AJxJoptD1*&m~2Zz3icyJ<^v=-O@Y#gLRF>W>zfcru3PZXu^TqFQP z=OY35#5+g;J|2$*V5|3#08CkkcyQtShzAoEA%2~re4K!IaN-Au2R~hgc(CFM#Dk|3 z5x-7Dryn8#6fIXF0r(r}{VA^JkC6a8u^I`$=ru?Hx~@e6uq~JbP5{%v*`FXDTn~D$ z$EjM6c+mZG#Dmr^5D!iVbob!E10KT;!3BXlg4EP5a4;G}M0dU4a#DkfK5fA$Oi1;L2mOmpN4E+u9;O;*W z4|YC-cyR6|T>nWpSabskz{4&Vja+a|sf&j9mtgseMlcvx<)RS_Pr1w9xHUI(MVkiLiqCxG$b*L^i4z`<20(!qw$BLVm_ z=>0WD00x7(U=$eK4++4rU_AIam;_z|(@lGS#Dg~mAbta8Yz*ST?_v=TUYmq?FncEA z!JFD_B*4MFB}f3S{R#=dIXjVHqoVBn1_{8!KO+H{bP@@`3-^!!40OF@B!TU~bZ`Ke z3%*(ElHt8cQL4FLGJ?UU!6+~ai~;`u<3a5t4w7(i4@?JrJ&*vbUj_-16=f6{488$I zfeBy?_$e3dT+r9pFlh~6O014 zf-zu10OGgc`hUI_54-QVAs_e5}1ay zNC2iLApyAgOC$iNeT4+Oaf-f10x%D>fl(Wf06YyQfZ-bv4=w~Vz{4qs2WM_U{2u%+ zV=LmpwC#uo!*?Pc?55!$0S8mS6mT_|0cL^)V82~RuoqjY-ADl525q2c8WMnq4XF* zDW{MCicd}>0oXYU3Ba^$BmjQ}eGcH`5CvG7D zXt|Aea5tC&o&ht!YIhJ1t_6L*QAv~|5~B!K>ImyHx~8<+uZ zEqU1}09TZ{Z1^0)lVf)z0Lzp{0&qAO3vK`tz+5l|Tvi70;CB@f56-BB_`{0wJs1ie zt&DgurpjeQi^aibI7k4mfhpk2K1cvs9!COj0O<2Q78w`{wzMJvcm#|EGkp;cdRIq0 zIIafb!FaF$e5EGh)A6~?frtm)>LMOoTJN%E#Nwc3eIx)g8X^JsQX?b)LmMLj7~BL2 ze!yO@B@%!ao<;&Nwk;X}bDl*!xUe1K!Sv3E2Um4LJosc+#Q%soJrECe?1^~L)=NVI z9Jmig0_Y-527n1*mHkKn4oyP>@DNx4=6;I=M{(ym zhy>uDpbhl=4)I_(m;hb{Q^1HrhzCCa3&7Q&&mRiz*@y?%fHn;WU*aGZ+zKXuxnK%d z|9d0=p9c%TH$b0b_^Q8jBmkcSZJ-Xuf(O6^(C0_Qg9E?}a2{9yZUue*#516u5D$I> zYBn7F^fMBG4Kk1b+OSYNniqa089ZJ zXCfY40TzI6ClQ~CJ>@CHgI}M*^>4$$Z75>FcBhd5)WH-}4`zVTSx5i|ok4;V*ixNE z0?_w78UQ0NA|8we6TrkvhzD<9Mm*@6hj_5$6~v#!3|~b&Snj%p1URtWKmu_19V7r- z8At$*a>+F^K;1RhC;-oR%Di&<4hW6Tk%UZ7>BaKP1=41owjeIk-Q7q2TtRxkgM5 zuKzj1a*ZS?)(+1#l(SfTpg(v93$yf67^>wOxnQX{G=3i6X90$SZ9&_44c9piVxgE0CV(G;Dc~+J1Iz>qKxG;d zTu_uDU@({jMuKr~5d59n74G#x6oX3nK*M!35BIHu7G=shflN%XpA_2l=$C zNc=7gVA6XC%*X0nj1gVOqFR<~#NR;TL^u}U;rByyd=rURBjGK`U&8-33ZYfWy! z%-lhtZHNP7z;rNaCuZg@?7LvU2N?_o(|6|@S_%%7eHej(!Ur%S#YM^d5d~aal=P!0 zU~y5r|Hw6>K;;LJ^K*^Bk_ZHCU@ABpj48-9yh}j_!@*22 z#*|Ct8JS?NN1kDGM+2UDhPMX_f>B_6**qf^OnpqtGtx@CC{Y#QP{u{^ub5{ffQgk5 z=!t|rc}5Z#{CJ+>?ghVqJY#k_7bQ9{&j|E}{;518vOEd|Ll34l%rjEJ_z)C$4EdTM zt^(}MP`D!OVeqen#v}5K@XE-e^+KnLE@*Np9jDCDDY06kpYG;$}`e~(ZFI1paJZk!mklV{&}9EG)Dd;3<%6T zjJmB|6fN;I9G*i#FceG&M}V1N0+L@@mf3WL$vDAW#q zU@#a5MuX|M@{G0J(NOs-hHU`kpesh;NEc1XZFL2|AVBBQR}Ak-2pD?Bm<`5{ykbO7 zhT~{BPQg^qzhY#}MWgGl80kyE!OEIE@SB%tkSQ{6SI0*&vuHZEy=RTUpkZYGSB=muC=AAe@zt*yIi~!?RU=_5MhIqr z(KVsphQQj;Z%4y*t{PE0P@wKrBYY?P>RmNvgGoVB;u_(EVt{I`>79~9Snh_jjQPMA8Gm?hD&ysJ1 zkA%HMz7aagqQtx98=CTxMTshzZ=`^6U;!9jD&I&N4H?V@qule2wPO$f=79bl`9{=O zixONW--rjpz4DE8Ftuzxeuo5q?|dT;jD9TNNCjgm<{Qe(@UINNaftWHH*DiAN}@Gi zGlC~zB-VT*8cbC4jSMi(7X@BHKvgsb`upb_X%j7qcddLQI2Hv%;6D{|6Ep-Sg0|P; z-!$Jy0HeS(&_6UEzhXgtFxq4@e95Az)mXF{0Sn!L7d?OO{24lfsa4i@GW`HrE z`!q})7z)O;$TvoS@n8a&1g3%MU;&s52EKuOEzuYl56(8_Ff;(>c7}aAWUVWv+C1oi z#4}L1Pri`|#`i;GGclz@A%p2)I;gyez*!b0d?HQ_m_8Q`yn~S}Md8JmiB*{TC1`v# z@`7<+Gy+C9zizAry~9yxCmL*v1Yk^iG@OdKE{NNO zQ_=G}UK2w6^JvtR2Vr2l(ctjwcvcTK#;HiXZg^|o!?5SNF#^myfCTACeE7PN1N#4nfFBU>E9^g_^CRdS zO!^ZAK<`sHbw6Q>&!B;yVLywR0mCn1rhY-gU1Vcfk?F~b@iGt7GFjB$vcCg<@;r2HS8<-BJnsSF5 zhW8!FeLw><+3$vt0_F~Ytdzj1fD8r?h3ry7NgQzlum4#}DBh!QU}I21NsqZ<%m$Mt z+%OW{N+{8>Xsl!jC2lh4UPAGI{e}_jQ9=pVZWw7`Oxz74x^xL8bHNRxplk^x{$q@+ z915()K)|TY7QBqrz?)-u`HO=}jXCj9+%s@Trb`AKf&F;( z&IT^3YWw>e91bsnqLCURo|!>>BSS?)L`6jNWgJGuRH7V>iim17N+WE@xMfO)N^LVL zGAdJ2e5p|)L&GGaBBL^+BBQP;UdgBkEuP;#dmWXz*C(^?{mIvb8DL&>Ig?Xv}s^#TiiHwa8PSon$XDBwtS&OP#@|n9oC8q z0W=WP+SUSPv8`=t0!BKjwQVA_3AzDVGrG0SXFR5MEIJ4+8ix)-n-W^va-eJi;-K|V zp9$#THE0l8GYbtub8bX~P{(cPFw}k*I($tBC#!%l@fu8JCVCFFLvx@N&<)TUXbrRp z+5)xRjSfyk9CRWy2kL;arXcYuB!cEYjuApDo0eyU`)2 zt)jK96zbT6M9}(5ter&Uc?Wr*aqlA!wBlfETNSkaBjm9m|ED-~(7-x$2x|MH71#eT z>c7MqfUY zw*}fURd7T@>qAbrZ4lh+bX$*kn2}I)2$}<(4XuDWppm^#w=IL#LpMOVW3=JKdHK zt$=P2+#m5!`v4@m3H1lU4-Je!12>}{G#VOsB{~9)gQh_%p!v}JL6|A1(|*;5LkSA{xFEBfAzemWjAj9IAU! z4z)uApF%w-dm8z(aHyU|y{rzSS>*EwyblRqI^9+SwQs^yXG6DOgiyzJ%)k1gPu85JE_IgDa2d@-W%7**ZvSvrchs_KgYO=HHQgfV+h z{D%*kVxHjo(Wrjx5n#Jc7O?4hfmgn_Vfa)&_8v8n?|(oI=xewVrL$yc^gI` z*xx(fnyiL8lhB`Bxj|*4tRWkjtsRQ`laCNofAAnKB@#Ma0nNkhAW)2VT zr|wk>Fe#B4{D`nqIwNKY-`HPWc{x6Zvu1Gq%6KG2jEQnj;Nu3WTa`0`=uatc9jLAj zDCmMd*UGqjzG?;f{7kahmwy+b-rOarD;hg23sv*kB+p-|?&^|y8NPP-jT(qJTef|W zdTW=kAjZ@I*GH=>rxl;#YPyU{2X%oeZ}tyvs~AlyAvUeFoxSqhg@1qG;Lty?sdYJ@8QhFR{pL z(RkAc9y0>VprAJfFqXHDP}eC@D!w_I!q>&9>qF~c=gg2DWTInuMdAO=D*Q=2d89hT z8ezml&yg_|Sm)w}1I7~IkGx*`?NnFzQ{d0Pf&3yEuRQ!e6aJt(!3@uICH`18r1bDlo~{=nyDeB62d zT=;F|SI_eoiu~j+I?umDE6cO^y?5w>TXAEt56u9gtrv zwmAOuST(UrLSHQMH)L7;byS8e8?Qd6#Nu?9e+54ludeUX0NW8ZLF;^x*V!Cjj8k9g zU)LYw8z9SaXc&bEX@)<4p!7G1nzG#!32LHJFaT>Kg2#_n<3sCUH$})en~1{;gJI3^ z$6d+Sk5^5@Lk404Unyf$5rCICc2V%>kRN9l?UjN5THw#WlDCdm)BBgh4jd%w>gz;X z+wjMc->!}6hGcBQk0xLf7U1ksG?d3*qb7H0h8;Ib*3TJ@m+PAfAltP3oo0jF6@*GyQose=MUsDQ`9KWD+cmuvy9z%(GrsnUpYk$SNsQKJ2mmL zDe6-10@!hPUXSMIG+#KLl<5sCgE2$pxrC(Wt~a;x~MSg?8gqoWv4_qOo5l z@E-vIwSfFk@m7Hfe9shh7~gGGCnzaV$fk0?M0IaK*f6veFJtW7I8UC@6>hfSL|To) zqH(S)n^=ss*akThWn@kt(X2R;x@xDXJ{|#4(Qw=$3vGNst7#YyouLj_O5nFSc=8N& zVQ83mQ@o4115>=v6BY}4m4MFpea-z@#5c=Obj!Zo~LHrkKH3Tk?tADfN#t6|&r@T@uN>d@3NSmE!;I{GRV zyC56>{CD_(WYwe;3;O_{4!ch^?40*xyd81kC02C<{PpCo;6KEpPv0b?PsMSVlS9;} zz6cbnIFdhloqAHK9gBW2zBEN$Iy@yFyQRZK1VHKhB6eCP{HmAq=WC^ScYx->U+TqU zu2&BZPaTIo`N$Z345Dr}{H5e~P~Bo^0sN{jKQLE)hS%Sq8bV_euzvkyf;bASgeJl7 z@Z+9yan%tr9tWeNsI@5LVM1eJ+dI3C%~KC}^!J~HWVg#?c4}PoG7SE>JEUKH?xBrsPQ}WIos6T| zi)W>(!Ac73X`y_bu(M&`9?HvvT?l(_C_gN03+$S^cx$SSiNWR)x{!qp3v4ZRJ^t{G)&=d)!$qD{K6DqEux2q3$DE>(}W>v1TJJk6e&diypc7&QkM)4A>EDwIiH_~qx zPP~M_Sj3aRNI3Bl{&ErDAmf|peM|Uj;kSP${rTk2hQAU1rhiW2PdRX{ES}4+e$Rs! zsAb;T(*Rl~@y{2i^STtwLg=ruc7=8x(Jt-QEL5j?$IixE#P5^%@rCLxYXdL6E8;LG!Owb2f6lqtn~{l`4NAr`86?Y8adDx&Ex9hcTixZ^CG2_} zl2oeL|7@Xou{y%5%Z~YId8I5odwQDS+P*~X@6jbH6|?n}EX+T*+J`#TY5nU^W`9HAo8vsu(3e<`lfX#xD`L^pTbgl=w^b!xQvzzKg6e=P^s`k6Q5u zUcB<~|0wuNPe^~M)|XDVn}_h;x$0E!N|f1uob0+QS6$%Y9eW!N#P5^&@qeh#b!h<9 zcfgLrt0)lv(Po-|^N={LW?*mt(y!-*1I@$GLp4!DjX_%T^QPap1cxolnt~0p;M@ALGodcXMu^d+;259tcBM}?O-l@^sum=5>tRtz$}41@Rwm$+Ji3_b?SpHY^WBb;BRy+ zs3$)l+|fNPY_ZmWj|c{(^8hsNg*6ls!UwDoXY<8)KMUcL*WjaMHSC8%c-9(qkdhx_ zVH-U79jnxCzWBogiw?E0$y(P%?WLjo*cvrdc@^Hnp1jj)wYv{C*JDNt%cQ;oJD4o2 z8^3am+FdEX7fqUYdVxB?)CfBQMdH;-`!C9%#i$Ysf0?Ijwi5hvn1$tO8T}f7OZ!;Z zYFX@OFkqzkiQsJ6OTRF1Mt=*NMw|ey7+_&7lr9y#^GXYA;DMwR)P3vUX-XW zG~Y1bN5I=OV z9d7_P%&{;hPP6b|j4s(HNz+BVP9(N)6d|C&3^|fQ*jvB(_vx1$l3|OUxEGg`39Tr zvaq{p!RCV3-fdxZGQ1d=d5;C(w9tHm7ca7~0IJ>q{>Qx*_93yMBSw;qwLlyOUU9#L zrFrnt+thA;3E*a@h1F2LRB+`378Xyv%?0~BXkkHevlRnF{$XKH$(g7Gx`4OJ&NTql zhb-(ls%-GbF?smxX)p{Jx6HzdW#t551CaJ!DzMKZ`nlOJ7nlSLqFlw`wB`Cz@v8*p z0r%+R2HPIBu*Yc}h5+1bxe^DIs)vDFAJcp4n*h8q-@CP`v3 z@HEgRu@bm#wS~PTu>m+|4Yrqteg>Qgvw_qx-!O386Bf2lMkfG$xP`qTqf>$VfQi)Y zTyW5n7UoS{3=Ua`y()+4TM14qJUa^wz`KC7IsFW{7JKMvoc#1rgI{{a!d|7ufho_5 zH!E=#QBr}cpB3+S!M?e$e?ZYh*{@>Y2>cv-H1(qry!bf_d&NsGy9RK-mn<#?46fLMMJw6265O)Q z!k&;jssWh)s)apF)eX45>9NzoR>;ll7Y2U*&2yXp9<(1P9Us~GRB-wS7Uu6OITw7- zAq$K4lUxkme8j>&qrp~!A3kcq?+!IA z*>18t75uYtDtn$d7hD)Ul?|uKEC$z(n981%lUWIL#7t!o5*tJ`Fh-&Qmq4EY$I#3J zFCTdp6M#wQP<&Cn1{f!UbAcb7D=h|gjXhhp5;*M~HURU1RM&uyBsJ$ye9av=>TKNv zVB$GU1+E0@?E>FFTk2OV>W-eu_Unrc{OOpf>=pS=>em3yO`OX5`DyR0eum3&1LYhr z9se+J?R8Vxk5rxj-hcB{*0lrwau3dNso>9UoyxqrO3np;dEZpFvAg7A@Uk^i+1LJ( zE5WxGO=Yq2JKzg@L1?~lg(BTGt2@KWHPyCVL4Dd4j+yd_0h+$B}z$w7)5)D1@izZ+6T|7x*cVu1|`AGfzxqQCeNUO5h{FNnY~8sR4ZO)Y%~#u3+p4 zFrFF)hW$7d*Ra}^Py+A{phaRTunb7&^<1FZJe4KN(qiD9z%la8tP;5SXUx1->em4L z6!;u%djtMtviQtYwu@V@M143d&@&ExK!{+Z!3FI zw&j-#{u(@&Hb^lz&d(PwF6?8~FZhdr{lcy6M!NW_1g{uiJ$u350RCp6mCewb z$9FZuue7qI#9`o_gRE>iaRS&c(#lQ|r-IK6wz7pZoLul7QC1d0`?nap{Aw#(N%587 z9wV&mGvWsD4>4A@Lb9&`e_YCrwXzC%Y{G!CqcA)2z$O510?wr9RB+j7tG+>Vfu8}V z$jZgQurXFPP{Z&_U?Q-W`1C)vQ{Y3M;{tGbuD!zW-v*RtjOC}c;19u}@m6*Z@3mF! z;oBSENrG16qU}|+yB9D#fhU*ZgPSA4%6{Z$%XqYZ!k)-HIw|vZylZRaAj@6R4hFUy2WzpcU8gEW~)up3B!k zm5@{`{`}2%i_c#ue&QA@dkJfCr_4C&R^BS2dZb&~=ngzoG-SkO=kcZ7{xOy!GKr$a$XH;Sf0ZVXSsfenTln0jzigv*#_33b1GuU$+CJ zcyhIsRmpbXowvq{>u7OQd_ZSFA8TXs!9w`30J~Q^_FkaK$9c@Fs9*WGm8EDiCt}nm ztSpHyap4ewGN0h<5Tk5<(#l*O+My9aH$7!#Kg+>@t}EoNB51=iR<_tf8;nmMWGb@a zlaF@jK|??TWGkQ)jkH!k4;ArsuVEon6!9{lvFrI^sF#1Sm3<(ONH~6*2MRRExdJwB zu(G{!>G}XSZ^YJ>OAA!AiD$XcB~uA@g@<e0-UwW%Jyqx5rv^;IL&&<&DbB;Td(urG7MtXZYz7&OD-?CQ!1_ON*WXJk$qP7 zf*ccQ(A(IIv}J%Bs(6`5@miIY-6S`qcqCTO16H;{j%fgX<_Q`l#{^>UibW!hzZdA| zcX{&b7)4{XmHBF|_`v%UsHfa9puqRUiqXz5AQQ-6E;7)d_js$Q9|f;PwlNUjK!8%@ zyn*UKYqWXu1v%cgvIaVd0h4O@x^j%*P>q$1r-_5R*Fh^AAtw$r8}zQXHgP@?cqqzY zEBi`r7?9`ZJmw8_;mGG!{5z%MEGA-}ud_0<91!Sj&34<6revAjdi3}5tTk)Ndwm0D|0L97yfoedn$aMf((r9H@ z`D$xh0hat^<*&b~_VP;oS-cx*XMec2fc#}MK3C!Abiarbt9X+UWNQ)2LNum;9&NGm z>nf0;7Vaf-;^3b4t9Uoj#soS7N|7r8G`bb1Z>=qnps3Z#Pm2U0r>*!`z(gxbB(}+E zD<8Q>?bV^;H#7i4Y4S*9^F8I+83EG`iMU7=EqH+(241!Y8+@k14?~q-d=lBeXrcz= z0U~}pcrS{x{dtVgkU*Xc_1bw^B3msxjVKHLQ1q^r(iha@@1BB(k-%-GS9p6Y1^5HwxF{)+XLRPr)b0Ct6FxaN%-&A}+1P zQT76rT+d_PMk8!K=2|uaZwpACR78x&jeMPm@xL(zvZFlb!yS2NA{#IF1?X;&M+f=N0=#EoB3mzq0_t%WKa31s z$ytdwp@~zz@6{LyXlsBrUp~NI%W&l79t7oqKS5%cC#JWIsn6(+Jda#O^P=xa zoWF__*$jCd<^%M6DG?_`tr^g0&@R~w=$DsxRyCSQcv&oLaXJwRUICdsX^G zBDAY8(5jL|e7~%XC6=+>B|P{&Bxr;;N;U$o`ig%1eLx?9ta8_YjGGf#vfTZk`!@43 zQU4*$tF-}dJ?JI5phw}0q%C5`#isEAtes+KMz#TO=h8%c7o?qk zK_fwZ<<-#3<``B(g4a62rY7+h64|H8@*!*~OEg zUN60lc4T6d{`@*$C%og!#aTv64DVKrw09~{uQ#w~=p-43x4zvPY9~ox#|j?vAu=Ra zh`ic44BnSPx5=3T1?>@}9e9uvbTchq;J17EVUb`;Wg=Zo`i#X#C5^Ph0s0(dl?NWw zf1e=Hlox2xKAv?D^*@IGNe$xJDAA+N!Jo^ z*VHDm-n3`N;rG{{;Goi>0Y)F)py;QbgB)InZ;CE#>-LY$<-^QM$4|OQMDZ(b+j%*usT`E zgJ1GfPgkVV&TSHJ#LlJRj_k*~v$c_Q&~#3VbkM!cCteN7NpC zIppLEVLNkS7votUJwzbB9eL36TB=2VSVnmv$~T@Z_gv)H$!Bawa0vciiSqE(C)-SC z%k5|Dr@;Q2>}=Te1-$GF zHF$WTW}j@kQ4EA$LC_uW4}AP&+Zf#m6$h&te*5Fx?@M)vub~o)2r(7>`mb;w&kbMV zCV(XP(l}rJr8?QS81~M!C)q`Dg z+_oG0Ha;3W$+PO!g#ih$T@AZ_fh+4< z+!v)pRpaaNyIe=UL#lwJ_i%Q~lGP)*@prYmOFgPacmx!`kCgYz0#z&Ej_=h}CE^1d zjt5+azgJ^Cl#CiIxCdRq#|4yph(SNhlN;5gO4LCVtma3AT@KsvBu_t~PFI3Hg8dZV zdqQ0vPz<}NP_|&_3%)gV<9GfG=a~lhZBO&n|H7S*nYHL^5jUO0Ac8(d-SvF$Np(O# z0_^2__=+>?*nqGjI6I5G0P(8f&)sZN`LAcx>%2?gXFu8ab-$^*yfePRD1Wx` zp1-Tp0xAI&zsedmZj3Q@=lQ>@QQjd9_ypN%bG`e!datKa_#N_k@yQ;>bxQ0%u~&Ru z$2^SKL@7rR)|vZtFvci_u+`#*Jp|*@{la+>T0N8ww`5CI^eQiy3~!KU+qX@&`H?hq+evG>-NN2=nw{Mc2s! z9G4ZQZmyFd#@jstc67jl1Lo^Bww7QiZb;luG!U@?8tVjRQI>&B5r#7T5Y= zMpFkRBowvx@dME~6c*S;`*^FcvtgH#T>`s;>^j)k5X(m(#=ke7=DpRkd|Hbd!C&cM^mbL}8RI;Z)Vomnn5*kbV|pj0_5n=q zCfCwJ<7zJ@<6%4n;(b@}dSh1)C3hLRdeAlGdE*dIrF=QU>UjDK#&jiO1={_JAAZ5O zO=*DL^fh1oqH(E`k%wnS9Cro3WSnmBuEc$=6~9d9cWp90pjcL6wmo?3Caj)3*me&d zQ-VxqU^_hd#uDR3rDQey9eDC9h-rjf)4{dw6{DYra%K&tTj3iwi=YBz_2J1|jN1ZI zAIB8=&7cj31=E+ul^V;Hq$kk5j@)xA4q+i|@e8S~#%T zZ8)|&U^jJg&EF>GBkVgjM}E)K?eL$0?f9N=w43HDsS`Z$%|Gut5B_47N&G=TK+r@C`X@Pp3NFqOuKM|= z5|4m}Nl5#PENJ3;EaGgFYD)Fan2e@>P2xRoGJWWsFvXMQoKE7K7MS{U$;32d!jXSk zucf__OuE@L#k)}io=M_Q-E3Omooc}de@o)`eT%!=ue!xF-n$x}z_ujUeYco=J(Rks zXu`v_Jk2!UBOoCWPa9BXYEvA^rv-~ktaOvVmy(%;v3BFj9i~tocc&>;IRi&iH@@*s zQ@WBd6YX{9!46DH3GBcgJlSEw?+Rf@;{H;HX?j4^wP@xFnK_?}6ZR7eOd$c8aOabo z@vj?jSs1^-gbNAb59V15kmd~R(qO((*b%ev`~~u-z^*5M9_%Lam%|S1DeE@EjwCx| zHfA69wJt;+3+$Snyj9rQ!jF4aMg9`l4zlZD=acO}2Ynzr7IqEU8L*o|WX?j^j$V9n zCh}AZKYj&~iRp<=M*LO$Sf*)dKqc&^!Eze#ekk5n^6$oUTCRgXinrd4^|k|cU<{vs zk7=P2k%F9~_~Cm@OTBl%E*d|RpN=;6=^~DL101E}^`oV|8<#FJMJch@V`3)pV~b3C z0}MCdz|GRApQ%8on?_ZXl5 z66!a=u0N(7I`5!IF~nmt`SB6PKH5cO0vv(I<#Ek~tsduo3rz#PU`CR=7IqxjhLt!> zWJkfaOWQjYw&OTWi`W(gaO519LoU^J8MnV|N>cnEL*f&zvX@Q4o=U_j^ztM>ya{VF z7j|TmtdPSW_!bL2vBdPMcgku^(GN3u$5%{ay^8_%pEVf8^ItI;yc^*OJUx>?^$Ip; z)N(moTr14W z{%({u!jZE^IOZ!!#fan_k*{*so2ECE>K71Q$g?UiO<^x$X+I}yD_8fRW;Pt9FSrKm zF_}D+;+HVP8~Mh)C@tEH(lc<>Zx)W}0ZAKBb-SE58y~w<9Q3zLr14zRANk( zupO@pn-04FMhy5(URH^N-T=GlEq-htve)lJ_Sj8WsQZOudO!he#{rqWl>e{~*>8Ot z*~?2XpYQYZ{n&nuu;bo$t=n%}9UPFmAKm#?RtS`*9@`Pq4(}8^lPB=ZwXTlemTDmrco!^QMLv^IbrXf#b$GGIpzmvtbr8O;_+!O*n~F!*3tOvwpx#g?);R zHAZhy#{3{+;K!oRIwcPCAq;7}E4kTpzX!K9W3Ed+!wQ)sJWKI2%+Jy3sd@@=+J53^ zQ-V?mcj+|OTMnnPyjo>M20tU zkMB&~U3PDCra_7L0Ryk#hdZJ|GwiB;t|9*BAs$Ku9&HqP*p(h2Ahp?(wRrROoy-T7 z*q<=L^LbWh*g-$Tznf1FG!F<(fIacepV}PSB9Q-y!gXT`{6%lN)&+`01;2Q*=wAF_ z7gWw@!J4^`Z|rKuM|jwdY<{?_*%Vp{yX65q4(hx_;)6>a{5SywUxs-9U(t!BeDY=H zbR`>h>4UDa%go0@tr8qA$|w^N8jP6hL}w^ z*TIg&W2OS#ayG-???3qcufRi8{)0aZ{>AXy&&v}9I~IQ2)si*TJVeQa?enp)gL(B( za}T8ij=<0PF;Un6yT{ku6lFFk{=w+@H+*uG8P~Y5Hyq_z!_1*v9cDIoHNs)%(}tPN zN)R5<6n%mZ7=}8rumewuI8$gU?5ZE|v@fr7y%7V?hQIV@UMAv;VITU19}#vn?1~od zH{6`8M1*u;ali6(VdufF_>~_Rj+h;=TTb&+!mblBzw_X$VHnXTu`5d8 zh>YOJqRqjht6@7Lerd};Hze)*+6MTK!mk}bgDAvMl z1iK}I`^CVY4ZDeAiedMom}(iX#WchAA%BR9@sphZ8}V9925gn=0@!Jq9m<U zO7XM(7>u+T_J%=x$Vl@5-!LN%7M?Fw&zHoSjr^gJ=5QqwK747vZ6sn#VB4?e$3~)~ zwIVi}ca4SL--LW4_~ck@nON9Ou{3n(@3<*p0C9!#b_~Ff$h0 z6xn_f>}YHI_KV@Gnl9U~gIzO2wr>bS>`d8yENt~!*?tCW`yAPRIqdrDW&4e=G3a{|?8Udp_H$vcO>f_REqwj% z`~|R=z040Lpl=PZ(>8I_c=%%nV*R`#H$y7ywVEBuE5>87=E0Hk3O|5AzXsSXul&+h z(?R|UH)Dc1$J4rF5WJgd-%;~o2-Wa6{Re*o{72pV)fmnh`0F>jVkVgncqrA8n8#A? zH^m&RG-|eMz!Y=3!I&}(3+%P_t(Bv-O!#NR&-jvhe06&;(Y($tYB&Z1Uy~T2@h89Dv#-Lx9Gm-#1O|#8j=eY~cy0NRLiCrxV zTc_d8Dk2(3xSXd?H>Zad!Y(Rr-(l^&2mY#ZK43OZ=YccKhT(N^sBg3n)RqGL6XAEz z+zJsL_8)=7Z}7=8%)y}%up@Wl$$z4dULqy|{>8g_`nBesJ}`T{iGwHqQnP!8*{alv z;x~EMB(b|ShRyrtDsnqjBCCA$|CizV_F9#p9J4Jx`90e@hntZRW?QOTEIi}@>u zt>SA@VMmNYU-t3zS>|-FLfB1w+H7-oZWmKl4la7TeO@tTweatB^NTregdbm@V$Mxo zL8Gx__?|f;R}zk26!_47*=8~9=qhOkjlm+S(%M&YVf(zJjcIr>?49rYd)wvk*SPsb z+qLlHT0^w0oPiy8fX5_@wHAjl9B?Hkn`1gCDdR992VLu~7f?6>`|>l_VY|7jm$G9D zrum3~&f<0%R*i==IFA2&^9}GXgI`6A{>p`M2L5~_kGajf)Grqgu4^&1-~rZr>NWTZ z>Bw!kyhy>*=^SBvT?Xd78g_kOe5H%vBs`TaZD0!?M#)D{G7shN+-{zt)WM10JqO=` zU<;m7mp_Crzr(!VuNijBuoiKKSb2weAfI=qd8BXZOl-{&E!dg?x0{Fa&+jx(3T=eX zHmao!SI%c&VwMcoVzH0n@eXr1Kl5oX2hVx3mk)P1%*#9%Kh0YmSb(KBy3!YzH+y#I zXMYg?K>6ja$V{`t3;&w2kE`fjbBHIePw!*kMd^Kfcl=iJ|?<~pCNQ_l8K7+r|_kw&_48gUV6i(C0M(K6o+ z(J7+$J+p{ck(QDk(#pe~BV@SVoa)AL#CFma(zP+N-cHhb(!i0@KbmwlsXoSgi613h z^NELgTQPy&iCu17nMhIl?{4(SHcL!>_AWW6}jG}8QWB2O=81@VWZ-;%bFc1md9Z~ge{ zs{TQFf?IhS@lL5urYF+Xdwlx_ySZ_s8`ryWj|sAVG-(Q{p8sy*JWZYW6Dy7MWh&T9 zdYH6@boMneaW$!)@F;QML|HzXR4=y?FD5M}eO)NpXNSn)Gf5_hBV9&XPZ~H`mQN&I zOj=6XL>ekX0_L(Xh&?@6UF>XAO*zV@X?RWL~7w!wmt@p3gjcbToNTaRo$76Tn z)x@QwO{6_+vfjjL?dr#}o#bfJ3#Q8eJ82PV6{*h*S#FylHgO;43SxZ#MQ&W<#*s;~ zzJqiFX+5brQ_ale%I0Tg#`SKj&TF49sXZH5 z+C15jn%n=OwOLOZcZcL0(k4>%PU$ZqWe&-4q&cJ&q-=pKx06O@Qaq_$s*}atEeq;N zn@HI`(yx-nkuLjqE`H8~+n`9-k`|F}AkCrpQql@iwn*lU)D&lAJ2^_J;!e^E(kjv# z(nF;6q(@1cNLxsA7R!c;NSjF6z0%(%UIzT%gOAPc)t5I75AWf!=k=-ZaEj*#7jI6K)l3r0K`lD z{$ISr@BYP0{NDfkm-xNEc!}TniU$B}J!C!wEZUt-<>H1~QKx{|SF)2; zlLI#qX#wmqnLykpt0kZYI}t}wc^8ULBkoFENPHP_1$9V#a2FlOpnR8Wj1^l;6}nM? zAxL(hJ8=ZDxY1W6un}KDoJ|}|oJS4xBrc)y5S^*Kmtb)XQ%?b*6i`h8y@?H%%MPi; zk;Fz~JF$s4huBP9OdLjBOWX(S6eIHQCL0K+fLP+b#Hqynh?f!Lmzvs)G*X8L5bvOJ z{PIyNKSV7403+J-=`P#5Qe49dM-(~mlRu3!i1D*Ljf;uJ7d#@qhIlYB>md^kA&wv( zN}NUc@2I#^0Pk>aa}ClS{XPbO|AokN~%e8XMzxacu2#BJ9*~GJn=YYi_$R-wP zv<8T8AXYtP19QP*4OCLO9kw`A9wnX!Th#9tf_QQK=aa)u32r1#69IUo5*HEQL|j4f zHxt)U`7Ojgy=46~VzqY^O!KS+H3D4jSL(iz|0IP- zU?;}S^x8J7r1*|t{O|0k*Pr5hm}PzZdy-oH3aZ}^{~DrJp@9p`Sw!%B`7ct+<|yDB{UGXP`MYee~Vl*3UNPTZ{i%P??b#(u-N~; zL78z@OMoNnJR=6M^JfR;*fAT z;(o*y;{L=r!~=*+i3bwbg*#<}2yz7Wm3$>}Eb$=X4C1SZ3y33$%ZUdQHxLgY4(ccK z4<(Luk|T;7cH&{g6KTZ5iPMO$CSFDyO}v441aT#C3~?RtNMdI*IbzA-(<%?aC}Nd( zG;tL17~+Yd=B;t=A=#2cssQ#8itle2QO4yo2~ICpqfKkx9%VWC!jh4x@zk5XTZPB95f^#l%TeelPKCD$gR$ zCU)LOjuLWY6W0+hA$Cy0`-$0=vV-~nB8YRS+(!HWaW?T%;vJHm>_KwmQ3JWeCB*+A zt|WelxSsf7;zQKHGGg|-JOqyrtHjHRV>QO{UqKF^L2^WS#L>i$5~mWcBu=4(j}hln zc|LIlm9HYMr1I6o&4R`LUqgPFzpCmY4;|d`}XGL`uew zGqgpY1QzYHr^t~<0fod>#7`63Dd983O;r9YaoAwlKoM~+&A@ZS6;yvcv3)Ro{(qhv zMUSrR$k zqJSLYO5!5oeZ-Z-Zxc5X?xp+z`Pam?#7Fe^ z|7LQ0Lji`7vI7mok;LB;`?tw+`**}CRQ^xmWyD8`?KGh8iOZ?{nEw7>K>^1p;0y&c z5{FTPCx~N-|3w@bD?5CWIEl)eh|{S22c4<>R5CfT$?+p`AysH5t|I=4xRLm0J)Y|S zLL4~idVccDo|li)Kj`b9@Ac31`saE5bNtPBE?k%?zUXy(ok1dxwqBR5`O>2+_u1U1 zen`UX0Y04k?9aNr6x>l-_U7&!KP+$dVE$M~)N2#18$c_e)Mvx|urjTZ8ik+TEjv~^X zq&1{RN!fTxOxlk$mNbbpgEW`4h_swEB~j+d5h~6!MdYX?Z6Xb^$-qNYv5C}Yg7mAT z(WExgG}0W>wWOt_)uczEqJ3t#MkWj)jUu&>rjllp7Lb;ZR+83{Hk0~KlzGEQV@YkK zX%lbI+Q&beC4QUH0J0#?0*{o*f)xUJ;> zMxB@3u;<^>VduY(yya~>dK2-10im4e#9rQQ`nn1L^M{wV$aUi9fp}#rkuvc%cscSNvlA|5p-U zWL|CmU1|qDpeYx7S-c!|^3Y^myvqImCn{(S{eAJY|L0wNkN;E$W>Ma0q&iR5+jEl9 zk96nfati;dUhE7x-2>NA2i)~R^55-N?p}Y+;fq@X8$Z+HoJ>!!Ryx>yq`LoNb;u>v z!}<$ztLQ#*o&PH{AlAP=!oO<<-t!bUgW>tI7opnzyO_X>P539{ZFD)81P>%cm7{BOzk?)u2MUVR6Ah!&+gsw z(EO3sP~4>{*59R7yl_3e!xxoTtbg~w%`~FoOB`7N)w>wg_TL``x_9tm`H%9VT<-si z2-KFv-ycW&|6&vW(a7~YdYsM|ROg>KR$>Y+nCKGo4Ez%b#m~8%qu-R<@{iO;aeuQq z*`+4bJAA422WbPsdJVh8kp)q`zocTn=m{=$BzpZzQEk6oN=31F|Au<_%NzE|2Gag-)X6Tj;l#hC!&nyX9T-oE$B^nA?bcv7 z;+HDr3|^9oWvN$m@5sgSBh&$RT}b{^xAODzYTKgcTNk$m7VK>wH#&nur~|Vo z@ifwjq+{J098TQpk`(LTz2b#B^k4Cd{r6u~Zl5xL+>fKT?I->cBSe%K()zC?-$456my&ys?*2mZZ*@}FkzP)E z^XJmP_psEPNw<9_dAg=J#2+4#j)A1BK9w9p`qn3sCzIBGEP2)O_PUq+rKHc0=8=Yw zuCJB#B87@m+?tQ1N8b-(1V-j*6ox@w=~pDU$WNY}q5`3lncdnIq$ zL#KG-9_h%ckowV^Qlm*%?v~u}hScjwi_0aScwOp^q~&FjFDK2~CHaS)Qg0!xb~&YE zoJ;Di*QAD$KK`oYj--wqk{Ri&?UMDi@WnRC{YZ8HYg?ti3#smZ&RHr8TDG)LbU*Ps zo7?+m5pR2?y}u{%{Uy?WVw2Pbq(5wwyomJemnA#fHb`SU=^HOe9!9$HMajKMpL{{` zWu&}Va(B|FpO^gOda090S3f8D^CGEHQl0G4XQku5KdJf@{x!w^`)di#)&KArIgq}j zj;AH>DwNul^tPwY8?e~_7d!I5tE1=V5$*qNGoUBF*a0CPo)oju?o|GI# zx^b=K&ZKL(b&5wA4Q2jS}hxxNc#CI$umh$7ArD($Sh?{r$NLjH#5M6Y0svWCy+=eUtPR(uJf+qz9;8ykN2ZBi#!AwNfVh zfK;#W2Jt30e>$m&ZGT5fgB3yrz>ROKGN-^&yhYxx`gyL(y63_NCQbvEtmOfNnay7 z;Qy0(oa{o0vZ;Y|(%GaJ@`q6Q=gZ^_K1KS7n=T@jiuHdJIdq*tJeKq-(m#8i=tcGZ zzbf{h9?+30{Q9sQ!9Pj$a(Dfh%HJa0O8RF`P}=_2E9hr3{S5YB8S!}o#v0SwXZm9M z-z)8ZyR<^fxd4O-X;( z)8EYWHz~^NWdE%OF78Bx^`}qig-%5J`@H`CuD`GAGj*~0D9xy@_X!s3Uo)V$Qh=^- zX|JK=H-ls);^h9nPzAlg^D1aF z;vTQFyXp<=_5Yn||GNk1fx5aU)>$vtXF_Lvq&n*Z(d(U6=LM!t56~01t6r|FJ^-C{ z{Ug6q57hOKD(G>#{>6AFb5Eov{Hy9dV|u>!eyqR$T=4h%^?|livHtZAxNG~KGN!Yh z;LoyNPghZ2+kfXf#QyptzU0;Jc78zz%@{4f+v>5O)NNMk9#*i5=A80OGa8oruecI};xz7Qa-969*CJ5zD7X;}_M$`op7>C|`GqR}ahlJp`kDmP-LwP(Tg^1QQoix&HL%TH+8Y z-$4!bBKH4EHV{f&Px*Qi$5FWo)*kkdNe&|gR8v9|aXqmaEROjZ;xJ+ZHPDCHpE#U2 zh`29t;il_g;W3-y_=|))nS544f7pVHs6zu_Ym4%8Iii8Y^~4dxbrdfiLLlPpbuxYs zaZtVFtB4t`fk=jfASzfWU@!&b)VmJ1^tnFR*FGf?F8p;AJEps9)@9-MdH4;sNS}R* zt1c*ff1sZpG}yvl=@YJc7A@i*^a+plY}(I#!^3-f+86Nw;o$>3(-!e-!^4f98H@P6 z;fQlA;!lN#_w!u5i0=yz5A)1f#E*xEhkD{41?$*1yg&ZcR6e{f%4_y>dtcP=QN{E6 zh7a^qtN1QaUca9=2<=zJJNH9eU=<%B)ThdIW54kGJw5IJkF&c0k94~K27Y!oh!txP zHcK#w6)b`v)un@!v=*T$LCRpO!6JVaX&b!<(T1i3X%iYcSfp)K+Ah-CKZ9)>wJIgm zV6{_SM7w3$rfulrIWOPuWaj(qUe|Lyb6vH~=XYN2``mBmemnOGeSh9};iv7tkL|nP z!b>-sJ<`~BXU)O`wr#&FEP?jB+)8E4yuPC!*=x7H>6(SH?b3*)5lbA~x6?rj515-p z8)dV7ryjKMux*oDCVk%ImT~{@qP{y0T6o}ACV9R8(R!??w0b@cH5I;q&{pJ7nQu+r=*o zf5$J})8|Vc(|6_}c0w~hbc6faF-CvbcbiSOZR+jtck*qwg4(sC@0CLq9zHwycHefj z3y<3D!C7`ay?ysN+xI29_lot!XYW$d*HOE$eD?2W_1$I@%sysL-;3_wf9=?}-J$m1 zvWmV_-M?K6`fjuTZkbu&R&-;&(|1s}Ys5nrR&06NPQjK0tFxaTn0@a~eWxC_@TeWy z_X@Gx>2rKOH&wlT_aC-!zqzf~xJ|&A?K>WO^{|C!&x*L9yy8D|%sj(|RP5UrE~H|Q z87{11x4S$pt%ATDvz@^Liwf9{BD%fdLMsf+G3AB}uh@4mT!_WKqv66V_W6bjHMrZu z*q{B5pk10_DFzAuonsc5)Z34p`H6+Q&N^6U#qB(1&CE(ZU!8Y1Cw)ISV&MTh4Py0h zJ0ADD$o_AZEqM6tzJDCC@VL*GN)z4c<1y29&iO8R{`Wom|7LBZh1?*(vrU;v+|_s8 zCl?+U<-QlTy|6MG^I2Q*EW1dDM#D~!)6ooHmk#OO?%w?_fLFldUhVfNH&M=YaC46@ zC$bAG{M<;iuHrfr^eOn=@XXzz;?3YchL4Je@nPqgG4bCceesOhRq8DS%EJ^Hcj$LN zH&Cpbpb05x3)>lSo)zB-9!EK@OW=Oh@JUJkN#~I*C?|oFkYN!Da-9|TYk^No`pe)3 zi;^wmMzV7gT8nb%Zu~drr8d3aq!@6coVh?u3UXt4Ij?}bW0d>35wM&umN|9(&Q za@^=h&Z}nJ*Kei~Xh1=(cjbPY+g*~&uxKWO_XY4;@kQ_^l;e6??q@&e875THpE$?X z?<%O5KoS|2$^=~sUn1$RWyRvX@HUjgmHl3LOC%W{L13x)D7+H|x$d9)y$tV%yKbZV zt%DE2xw-yBJYv9gJX}kR%9PH7uZ8a%$~TqpX-U5?yzJhvxw?L)`_;iySb1HC*Zv}N z0s=k70dqFI3I*8-_rFWv%cO-;@a5vS!`o#Cb+7kmp6QSbk03**_$a&@6U5E(_wa5> z{}*_#_=oTT=WhMCfBWB7b~|*7pdVAZ7knppS_;}9UV{mmi}Z`(gOdJa@AFLpJ(UKV z-fw?bAj7a^_&R*CRPb&1h@>BcXT%?eH=rDMw&i}m-ptnTS31e?Ix>uj=irU92tI~S zNc!zI4=tJ%-wWP+Zxq&2cXs7|haj*F6>vwCfVacl>7DzX2=9V(hjS*pAI|c>1kWFY z!v4>gD-pO!5feOiR z8$2$)0$wftD7;2|6kb>8Zu!58K%y{UeLcKE{3Cdyc+9Q@uBn^F_kbtGYptK;GPFwI zIAmxOZ-%Gg*oT{o;lp?L%|3470nw0b4c|a~72N*me>{e)hO@`?ZKNNAbJx$Ua~#e^ zeJ|2a1dj~&gxqY`Ap^U1+-%!dgw1~sOb|EQ0rC9S39mx>hkeBhOa`6y)Lt%Nm+3PI zB)0y#Pa60CwV(3Bl;$u&T=(9BPm52(&3$1)nSQRF6Sw3_#Veh=K4nY-2OvX*cmf_5 zKNVgrz7$>~eigjVy5Fa9O1a}nNQN$CXb|WAs8O8zqh@jLkCHR)>o?pXwIaZk=uT9; zOq@HawwZ$bLc@;Aw2N~;m6CE6Z(-|q%cfH@aR1UR&izZTICo$J;@p9)5a<4AD3Sno zNGrv;Ls})y{n2VTTaNpqQE~2%*1#h!d+w0Nr2_7d)=EKchvZr=E9r-@$R@?PpUM?` zWS&5V>EeJHgBxteoX|JmrQ%cYnE2*)2jeERLcGGe?Ni1jurD%Hi!X-Ph@S+n6Hmeu z;+Ml4oV)d(bJmGKqh$CFyjgq@o)rH%yj6S+ysg0ds+KIQib@|0yDYm*{qF;$G~zt* zh{3sUooD-Au8nKqag@V@Lld0)?nv4@Vhe zeg@B;9@3*2^Q`m8%r|Lt^jlF7x5vqXh2=ur9&_T{9;d~*J(~NAk4oGgORf8zF!vnX z9?K*Hx5sjEZjY7X+#ajMd2m=X=>*T=V5Y9u}6l-$?@WV;c;@UI1iKS#Ce!pFV4f{6r3#) z4wLpEFfAE)oHP%F&4w9xE>{9)x0XklQaJbN;V5JKcv21zGUd+Q`p*h@l&O${c$A4t zK|IP-NqQb-7K!s9Q(I7u-~M=%sVfM$?T<&9#ZnNDGWFs-$}Ev`*7-f)Jku!Y|Gkx+ ze|PX`mO!aJ#c-Y!-wEC-z6jnXeiXc2yb+#y02dax%+5ogOEN5n_lSQT-Y?z{PmBKm zK1dJyKRYrU0>cdW{hu)}z(>U2fM>-21s@eJwUt}0_k-fj$y?~0)QI1Y^ajo|-k-ti;oM|- zd9|ON5MKU#9vOzwYq1?m|9cG{lM3F0$HjR$R(nf4#V+nG*_Gh`vAgvD-CLStpKNf; ze-&oTJtp9O+d7ZzjVsfP-VC}?ai3v7Ip!wLBj)6chmDYj z%-l@C7sW&7v^b9kW-zRzT)B7zEP=CP_I}F5-`L#N@0Lxu1laqj6ld?JN}Ro)MQ|Qh z*!!uG^gK1LizEYkKZ_*;j|cVQJRU3&=kcIPoX3L}xc_*lYioNz2>X4>z~e!yIFAQy z;yfO-i}QGpDt0@B8Frgp2(amRJm@aYV0b*}73c9_K%B>e72=^QZaX1E5@1(+r8v9d ztHjwAUk&FBuq!?)>Dg%+bMDrEuBGg?Oh^XyTC(Eov`mV#(~^U8g4k)9DoF3QKlWNS z6a?Hl!Cp(r_rqq!31z3HOq^Zxa&dOiE5&WcG;~6$B*2d8A~@%O9n%^~&yH!GI6I~Z zadu1_zAv2+UchOT4D6UT!#P1bUu==|JYQTY&hy1(^sxW4kLBSy%5nx=``aJS4LZbm zZqO;tbAxVi_I`TBBldmA40_^>)5ohmbT%5h1 zwc_mktP}saKWNP}>%A|U+5DbIU`jIZvP|L!eSi7F!i5p@jd2Rri8dOM2WNj!caNcz?J~ybc8=#7}@Xi1X$}qd0F~G<&yetX{B6 zN(S~7TgBN|Y!hc+v0a>9zf_^SEry-cuEKzI_6d8$`H(>dzL(py?C)%}#8NCu+okls zW$+l%bJ3)%+s{4EGm{AHgA6w#!*Uelo-K91#dt!d8*cAQ`QHH2_rke9`2oBi&b}fa zZdm-ov%(&O8Lme!IDtnrxoAdEPy?I~F|c>6cf{E{)*IQp0X1`D8hfl?q5{3qJPu!q zitW+e|9A(g4Zb=24WwTwEti9rKN7YkUVHo~LSPLtxTk2{ugoqaw`kVGcMfcJf|sIV zHfc4y4i)e!>0$5|_@O9=7fGWk6y%;4cE5U`!QSFR?>OPrm?YfX7kbUSD0wA3H5z;v z>2HE3o(*nL&|UPo-*74I_Kyq?`M`XWLid|rDrb);IaGYu<}sjEoQHrmaUKHN;bEP# z%b&-9j-r4uJO-qsARYs{#CZbTBjxZA&@1WvA;8_c8;}5x0V~9L3>XsUF<_-Q4*{#- z+{%9KTY8?!SoiBcdqS@xFe(M*;A7%*?9)eXC7lr85uO#_2R=FDVf*75P!0i}0WC&` zshJFZZ8;gfLHs;;$wS5K%9Zdk@vd!c{caA*CGcHjs1*MZyh{8j_#*Lfc&+%`@Wqh? zK0u&ed~5qeiJQMRg%6Do)JGE zzFNw;3ckjUJKX%Jc=M};m%=&2$Cu-bsZ0WAB123Hx&&S!o`T1voZI15lKuhsq94f_ z)6Wp7l?-d(i^cy4uNVIZe2MsGwkzdYu8AJ@f5ucG(87S<&l$5He5v?R@MYqsz?X|J zg?ETYS0m6Vft%pn;wRx@q+U38)py%2kejo9@w-sY0G!KyICx~zQo%FGFev^qd|3P) z_=xxhct(61+tYCqI_llFKaYNUATTBw4uwyMH^8&vXTc}oY@rL`>kHGn<==t8RAIpS zE$|KE_rgmaF5b)@ftQJo!pp^9v+n!`-p@n!m;7a(+gkt;aL|_C3 zvEtj|8Ms}^{qNpQRPf_Xl#|&+IWI&A=p6q6u1)eUMUxfFD6OzbOp-Gn`pc8aGd`#xxHu%i43@*Pa-h!&lX<-SC-$v$5F9e`Tg&8xK_L#-Y*mS-%a>jd#}VlyQfXMzy<8)q)l24 z*Cst2K7w<+g_zLe;Oj9#c8BPHpNE&&-m_o-xl$}epcLI|UO>14t}Ekpa9uRF!nK9c z|9ASZ{XOgh_CE*tlS0E=HBTdho}~U3t`%hAx(MFegnzWa*1xem*VgvD+PUsPD&e|6 z*l!c*kJv=|&v>`5k451$m}kf4LIg7KMl7>y;qk3P3-R>(PI$T|IMe?Go`Z9xeil9j z&)+fm69Ur+@HqV+@Aj_Pvg`e%Okjd4b`C96g<}Vg1^dAl$uZ?9cx|yq<`e`LBfvYQ zOW_St!PW3a@tfeyaJ$I;?=JXqNk8n}_WwI1@C-6^!nqZ^4DW?=*ZU590M3@%08fi= zW3OKM&D*(K|5?x;2nA1p(J~z3>U~2jN-q zpTj4`pNHqfvz6%oPfLI=pqXLYME1uW)IU*BiTEsg*~~R*DV!}@4v)dPX!eGe52OFj zz!3;kNI@sUXAA8HUn+huyj9BiG`ubEk@3G~1lpybi{Tyl3?cp3;GN>P z!n?&+zn)g%;ic$S+YXZd&D$e1wccvk1wJejdXRH>{XdI-JI{m?$ejZrtt`jr@*9rQScYCIK$@RX*?5t3~c^etb zPl}KC|ALpmxt}QAGclL3`vH6!-pmP zmV1Sk8xgOBXT%SLk2-hTAJ^(*5EzpTpNCI~x5BgHUxiPK-we+cxZnQoL0}qzIao_q z!Z(Qj3T}Q{(37!wUWJ#!S390(DZ*4e*2%)DN#0{~^3V%6S6b$n?JbZPWc0fhGp92-d-yrJ(=7lahY! z-l64|O8Q;k%fzD^1eQzSSa^r{Y4A?*3*p^xF8dC6kN7RYBhxDd-HQwZlHn0}TGEfg z2gP554~xGGAMtM6pHn)kIyBuX$xseo4QKkj;iKY5z}HAQC&I@I)4S!LL|~#YVEqdC zS}Ex3@O6^@4)~<_5PZFq^Q3j(|DTc!FCxRVWOx%kf}TtXR?_$3tHigq4;0x)^FKzi z{6Ce}-R7MhLI1xLA@=pMkA)ozZ#>la4E7oFTW<6z?G;u3I}!z@MnZa)a|+TYC4CZ} z&O5fhOA$!r1EB&pAeNibE~(&Fq|ZqDG}4dB46ucIq@0xzGPFzJ8F-uci|`Tgx4bVf zS(%^>aMN?6pCh*14*R+pTVSHN1P<^4d%IjJI0il}ei{l&NI4fFeMZuM4IcZMUu04K z@w?j)7?lhUz{kXY4xbSJ4LmFU27I!}?ehOO0=c4qF?06|%YIsXSGWmtke`8r;iclo z!E>@soax-XF_Ag#8%4|J0%WL=g1!px67PY>#qWn#i~k&6W8JU++-zPzpiVNZgD1q_ zhc}4Nv(KTrt)@|YPk8f;hwZNpf#giUx5$a`R`K)TZQ@tM+r_)#De-$2+4|j-8Z2Y( zaCieT_P=4rVviaA#~X-saJ%F4zejxq_8nr`3Z8>!v4|Ux{&jdFIz23V2Hr&=E&egw zG#96j?eAv5RxG|dd>GE{{$ThRJYwJ&1agw02_8E`R#JEkJdQcL8r~@ByWnlb9+^9Q zV7|#-dc7}#E%8I|c6_);!y?!W6+8(~h`$8yk!3vTeSztb3H`u(WJlVQK-mGINyo%@ zh3CX;;IT793$Z1Rf!Bzi25)rk)_-QW2!S?a;K~@mQ&LbbJT3lxct-pQc(%a(_V*G3 z=B(m5cn2OA|Jb`deoIrA9~ic#e(`<0+ru}?;fx)7pzr@LFpW~d7f{fk6m&T}BmNEe zu=oHxCY$$Ch9|{;0gs8l2CoqRJ3KDF#X-J>B2z7a zT|&Urh#vy46aNf6A$|_LLHtU1qj%f>rD&pWBG4=u?(x3Bw8`50FgzvxzbL0w%6SRi zR_Jc|zk@(~VZi#w@Raxt2ZxobOME~0i1^X)9`Vzy`~H8w1TH~_wD|S#LGe4_!{QHn zw;deWnx27YZ@w|C|4A%@2?mfM4$r}R(9}F=ZGaDpZ+(bsp#^44d>42w@7VtKMx<^by9pGyiLkE!n=L^F3j*u|GyCd z^LX(d>H_$fv``0pLi{#(R(udXS>$&4KY>85C}79#f=`S8#k=iLV9xR@BYaqV-l1W0 zEkU1=>%^|k-SvMXfdi1CO#CQ#srX6W7nqz>oP>{wU(RxDOZ)Yod%!Mah+`$<%5oPx zAwCRGim!&JWMzCAo}Tfr{Y@f}K_H8@eb!-Nxo;oi$C-gw37q5qB#9I!t z^}8KKRsxqJgE_yrf-dj&%tp53et47kgYahY$KWlI1b&4;Otym8y)Q6vnV^5e6L4xWNCH| zw6w%?@QnDI@T|0u`DAE`q?8}+h(N0Z7Qx%ZkAb&~H^UQh;&CawOVW1+k4#DecOpZN z_%M7>{Fm^4@wM=@_&?yo-fjDH^DA8(nrK8a>IIVk-&S%kP)ACWN4vL@$KPb;(Ng- z#1DsOAC>2SK7+udWH<|+6TbvLEgr!QF1d2MzZG7ZcWi(6ArQ+4!XkJCULpP~cwGDy zc(wRn;WgqPa!TtYu+34SsT1P6!yCj8fj5eO8s02^Iy_2BfS+Gz73b#{+Qj+!g?8}^ zQ9(+aUs>o1KJ)w!KeNyy8TdJcesTXf1$$gii}R}qgW~*Z!f=t><ItH7QYl;Bi`wKf&JQZ=&w=Hdz3;^;5~WAK;>zkR&h z6Bv{qaoK+gfo7@r^YEnj#qd_~YvFC;eekYgkIX{|v=;}=Gw_u7EAW2t_uymVn;qj? z!oHj)UhdsK|C5%$zQ`~vo`8>tp9UWkUj`o)zYd;pZr8tj2c#c?3CZvy@3s$!6A7+F zPr@_ezePD&Dd%nYWP!W-&4&o&3IfizKQ=VowD>;oer$eRhmM4g$VKT>;S=ItI@Zqr z#vTyhpfUY8!C(cKp`b2tj_HyXkC47c{5#e|y?qBSS-4{~C~*$$GAz!aT}H$?v`a>u zBfE@>b7YsX;4>G&IO@)XWZ)1kS@HWZgOlPvgXhGb&(FA9zWmvh;8kR(c_tjB&;>P< z@H#k`#J}MQNncVQR+$F)T-3qOLp8zKBiqiUcL%{11hzs3ewV5hZjT!N$AL~d;hV$b zsJI($FRS_A0r1vLm@!s-G`!8a-v}6JLSO&|m7t*W;q6k;Rq&MfjhiT^AKo>S-q&w_ zh(OOwz*qeQJS}tlJiK4hXPI97pYYmWgqE;Rkon*2Puu$49&%7J?C@#l_WLoPzQHFP zM25q>+kq1#{b%4K;w|tNRJ=RNxfq^_B*WJb&?)^Ed{ok>;bY=Ig-?k863z*VI7Poh zAS)Rr;rY+FU=IERpOo}-j|(lDgU>Fppm2y7@0m}B83PlaX1f=+`EVx8Cw z6?_q1iuCk$cuf48-t9qf7zJ&Og6>3y3d!&gJT4QIfmccTm*9)U--6dVck4gr?0p0> zn4oPiL8ZrsCasf#c7`X!`8lZ+RyzBrivJye^bG~+{q}b>0$On+ys;pIE9rcAvpB!% zq!nL<^hrr?UndU32I|UnBQlK1GVX`BN>2+r~&e(z-nE6W}z=x{%!_V&P?p?B?0Ufu5u%t1fqpd_&Q61-L_xC%ZX={ti* zX0c?r9U1Dy?}aacZ;6V33{PPHQ3`+3yPbi7FavRHW^0kY*_UJ6pH1;sWH9OC45gn5 z%Qz_$G~c_unLLpa{hq@Y1~zxdAwq~jwJ9S z1dQ~2o`RQ(kHcf)Z^J9ZKY+*K`Iq3fJ}IEeP+95b*1&IvleyFG`#{YF1233OzR_ik^3 zCwdV;&0p{VJM2e&*eo|-4mix$v|Ly?$sRV{I%J**yVz2s=U`Z+;$QLQ+i#jUck4eh za45(MWMJ2-3mGcK!@%eE3tVt+#vJ#&T6}EFFrhUC?&>!j_`I$l;Cu=h65<@MyaCQV zCdVsZBI!9^d6T5K1E}NMB@*BO>Mc?b2T)%s={fFstEA_+=WXH~_q_cH>Hl+d{*+|k z==@#c9G$;MoTKyi!`VU{oPU7nef!&{=IHz@7;x=xe;l2ENSveduapWnI{%2I=ivMq z@ra}Ik4k`}^N)#hbp8o(j#Zl#=UBCq;uxzoGC2uwu-a*Hj#X=(EbdxytlCm>j#V2I z=UBBB-fjDHv*BR1amm2(%B#gWR&9+q$EvLp=UBCgLU+rbgVi<^2CQ?i+D37XRog7i zv1*gz9ILif+>KT1`~Phc;9#}w;vADUCC)KvyWm{gITn7mr2p9NEZrWnIU_H@aXhk0 z^lok6$p60M_ki}fB%F*k!XNTJ-&EoKYW#xlYp<5^q-3mSR;W8;N#+7hOdRQsk`A>@$bRcNjX1(N9!f<3k0Ub zC*T{z{|YaW%Xc5a%fz>}%g!zP^58T5|0)D3CBxzHD)G<47m0rXUMtQUB}sTV>e}Vs zW;1N;P7KGCUx70io*m~uYz^NED_sXF9)a`l%>mHWKibB&guDK)Bdy(pf>xm*_J}wz z`f50LT>VJDMk;;)J_ct$V`V{lzy5PdpGIIpGK>{ua5KyF9FaYX^lYI&Y$E-7_~cCb zu>J8CjLrdX!Q^Cucne0;^A^msq~|RdO}|~et>5?EeiaTXw!`CpA8cX{*vTwIdd_j_ zDWM##fVXnWk)DfSN2ZT3rEF5(TxYzKQG$jo%L^ zB;2>Byw5ioTqj7PN%OsAEP}1!>?JP~XScnh!2R~eetUO8z?H>*`+zvR+e6~)Zm$w& zcYBSv?QMtt|5^#KyS-kV-R%wH>~5EhhDFAfV0XI`&OIi3+f}1c$iM)*+l!~1fEb3*fyAyW4}}>~0T>v%5Va&hB2JpJRGwFVtyCO*(*%HVF#ogbe_{yfIb-n%Cmt&$u${vld>h)0U4Ky&)vj?w!up!Jv%0AgGYv4 zF7`|&B?CJk!1^a{+oX-KcmJtu8iy{C8QjF zp|wGrJ*YU%%NG z74*&oeAVo74Zzvdd)X;)6Eq0t46rLUEPe>mkBHw}YU_6eWf0g7bIhyNel7yHGjFz%JCZID0HL`BW4K40}FtarS(w#o1x0 z5od>`PCRs2Z2vzY0rprL#Cd(NQJfu?W^r~{lH%;Jv^sa|Kbwv{mNv=29!tA8`+6yH z_Vv2N+12YQ@UZ>c)!VJ5{RIKH!m~G=7H4mGP@Mh$VR82VN5u2}|BM891~V$oGng@P zp1@3quf#E80tYkhC!U3myny~c1AjmuBN_e)AHczb#|S>ISB}k?dqzHHR|)6oJs)0+ z!`=SJ|H9K~_Ek*;xTl-_xv*$z;oQY;3s1l~L2-CJoE6`WJ#2%N6OAI!ga8jJd?qX@ z&S$)q!CCQvsJIQzmHH@nyQDuccx1Fs*MbbOqT{qNd?u_z3gR_xPK7Ady$@<(wpJ^a4v$o-~)x_yX8NGKs9=+>}Wg=Un2f% z@Ajeip&R|WlfWI#w~#(7TfzJAN%68KyZ>=bl#{@2HsE|({9w3QQ@qdrG`v*286FeA z7+$eP`u|@;ATAkhg;$HOfY*pW2CozUH9V1bY=5sK(2x&=%`yjX6rc0?&_d1PJHnIV z`@mbp7e@%RN#JC7yZCwVl=zkKF7YmSkN9`tQNIL!gg{#SDfpoHIDAspB9>QR5HZjW8#OvC&Z6~XT{HePZqgd{`|^L8rvm%z5L3~Fr0lleq|>E=l+9V z*%^g%SIwJR33oOpP%umL4apGzeGWGaNZC6 zop<|e=R;u+n6j?{y5BU?=ZcoGFZH4H~sh(zp$hqzj^}` zx&#x-_k{T6C7n<{pjbFT{`mJV6r}ffKC&gctwSe>pNP^4Dmf#hua*g#4^PSFz3>cs z{^Kg>LV!D*Ly@6ZydGYDPw@`wb9C$(*$qDro<=$Biv16K#Y^b_Gmt`HP%_*C*RN~c z4cGgA53zzDh6&~MgH`Z+%lY=V>%eme454DKy{~Sff_GSuw9qts3>9<6wm35^nqf&F z?TElC1nf;||Kn5d6Y$;Odm;TAIOmLy?@q(H2x^gDSH@$5+w*^9;O22EG8kNTD@VoW z!pq@1!`oPaT-RyRNxcz0=}5!xQfor$5NL?f=`uC;|yo z@L6PtzZWvlm%)>8Ub^U_%bwJ=uq%J5?AqM}loz1P1egFh!@rhsD1L9}#bdXT-k=9~HklLSRe+KZZ|; zuZCyEe-ED&{|h`P{vkY?mO#wjVevhp-`F;{KW-g+!b{-`LIat@;8k#*_kRYyNW2AJ z8$2@XR$qody=1r!zC`@n@Fwv=c#HVs@TEm=m;Y}NSXLCUAIE_&7oUQ6h;MOrXt_@D zo#5T#`#X32|6U0skYNDMMesTJ3h@izLsHI{;VZ?v;j66s^`Fb^dkCzS3_pRd5&s2z z9L@=yfUlMGe}%7`@v!}UguwclfM30~Jts8jlz0_foBk?6Mq?=5Pt{WAie?K2xos{n`D^bCV0O8 zzXt*>lHpKzQYvVGw~C(yZxg>1E|>cv!!IdGz+8h2Jt&C1UO<3bU=9Pm5<9B zm!Tm2cJ2W}o4!Bt6Fg$%uXlTu92IlfFNT|mu&&Tgf|nM$TmDG|VubJK=Hh@4&0Y$L+Fn zi>5~WE$jaJf1L!Tks%>Icgs*vgLoypQTzaSvv>lYoWS+}Ilf_yITeAWl3^))8Jy{_ zg11TfC+wngbKEXI22bT3+us`qbmaqKiiY4l;$5h?UwjJb)8cm`{h;{f(H3D!hb2$} z9}yqKGEVrl*O=L8xyRt~wPB?@0R9VjBYYqDOYo>31#OSOYFnafx@NeYA^%%6CwLOh zZu@nZ<2Jb6di{@2y{E+ah*wW=|Ng7b@Fzb-_E_+run&)ia?Ic1W;(c?4*%P1shgk$ zrUcFj-4R|UzMpsdv5n7!&3MRf#&-F0P`ivY^_yskQSr^u5@X^E;1l9Uz_a2fI(Pm5 zNeNtv3_0;@;nU*x!p$qiJDf-0rQ!{~CF~1`*8Td=J=9qUR7i$P;c@Y6;nm{3@EW*X zm;LWSc->6;u>JiUfy7L}XLugoAfAOcivJVdEWY^{vGR&9_@b@ft?jK6ScD91;-7-I zi=PTliGLB^CEgD2i6rn%1p3AAhNs1U3?CF<4IhSc5&RxL0?+sV|AIh93i=Q}DjvHa zwA`5Zp723z_q$=)a|otUIQkQj;Sfn<2=MOJ!6<00I3LBB6h9j2r^FlK275r3A0FMX z{r@rqcqQ{3pTXW>IN=6A?G2a%j&?O6bIeh#vf>=nYEqnoSLK|$^?x%|z|pIwCBy7b zho&~K7H{7ijH*$yeq1{}&NxMTTur z(3|iMDQFtrDZbU0!i08(>(e#uY|Pm7-pA7nw8(2L+h;@7~3rJSe- zfe{JZ2hT`BkHS|=`e)&5#Mi>d#or4anYB{E?AFk9S;?>id{Vp`o|AGu37-<@yP?zG zZToZc^oQ3qa-mww|loAF2{s&p>ynpNw_W7|L#Zn^^%?!6sC&Yt_{4R zu%Rem46i7ZU=CO@uPBs>^MXRTI4>wviu(%+<#zE@N#L=tc*Z`oi)R#f6l zx%nu(-Y(^{`I?^3=4*OBI*%LEGmD4AoavouK02Sjzly~$ip7xlV_1(kp&ZpT^M_)8 z&7R)4b#=7J?c(9(jIpACF}w;fAbh#r>$J{$xQ4T8lZ$iRa+c z;=BlGq$PL_uvEO{!q5^i>uzV2e_Ejefw*K?1g{ZKz$?U?;ML+OTnFlzS?7HH`JwW3 zW#Nk=>U;XHO<3~zvQ$Mp?(qolvbyS+1g;thUM)?k8CcG=A`_GF$4hi^M1 z#QC;E1Ir2fKV$g1!xG8BmxP+c`I1nJIN#S;D$e&cmWf9k9%;D*_*PK|oXehXA$3Xm zU--?;-g<-c==X~Cjopm+vroTZdy~ZW+JKd1>Y~0gH{W~LNS`$QZ^&R4e<|!(aLL~A zJ*{Ev0lCPwyg2NLGAN&Wz}>vtYyT(R;A`ZKrB8XEXGTIfGfy#}fTkD4u^8?CMsxymtlAX&Oo$@^e1D2$|GdpBDi@I8SaAXXeC3N zNdGw8ycO0J-dy-KJO2e^zG5}7Il zbkLAP;5ul?F>oC;Db zwh8I?!5m+Piq&~GA9v-r^`HB2p8nUM0y-}jB*edl3TjX>U%&VkJOSsWINoS(EGWls ze>@XvD+sum;Em&?_y8(Mp#nDP58*4MC4LUq0cxMU#CJ^WEphB(`Ofa2eFpnH=0)Kk zWS58kZJ=LV>^ofQ7MV@Itl0ObUsCL!re7Kr2hL{TvSM$iw-tLY{eOymh<NM8rv+E11- zE8z{|zk)Y=x9dMMyox}pWcVArUEH*V{Y01e_V9l3h48^bciZ155Ev;8SYHAk6+Z_) z0cTTR4$q3;0G|}^w;tYNl)w*>VOsnNxcO69_N@4~@KW)0@R<02;1z$8w;1RCPiWG( zWY`5>EnWk!5kD4QCw>|{k$1oUGv-1B8uEd#7InZI#czQ(i{A@Ria!Ex6(5ZdXp_Ke z@OJTc;VJQ1mt$oVFNgPt?+uUoC2#}+Y4H=`gW^f}u=o}55%I6XGr?#2|92oTDjA00 zW8zQ3C&XWbXT{%yPZqgd{?iELiURi4w<|)EPK)mfH*XYg1qZ=P#p~fQ@zb5V3zHQR zxCj~IaGq*i1Fw?wJ@7@6{yun(q<<7%XWg&=+>W0`ARz^t@SOOq z@M-ZCaP#M)ttK*$Ay8TzFu#V!#9xP3i09yO@i|w8ma7)u(Yx*c*GOO=WT+Eg3{Qxk z3~vxW58eppW_%^Q$+=tq8R$ZwSql0tJSqMoc&qqR@HX*rcstzH>$ktR5$KQ%AHX}s zx4t?wX}5SB-Yb3xd_erTt9}39z9uPwGmv3Od>MSDcm!W1ej9wX`2FxTe~yaQMl*uI zxMX+^zE=DX@O5x5%D=-WC4EVIXt^9c?Ej3JkH9noq5om_g`0K76M7`PRJ;)$6F(nb zAs$_YKwJVh!mGsx;5Fh8!|TMKh9|^-7d$cz5|~7WM)Ci`o5kmSIW%b!&PA|0e5s_b zg)j4N+n-0j;}KXc8P0@vh+hKl6i>ms#czlA!u^E0<^KQz{gUBl@U-|E_@MY7;ltwp zfRBi8W^YOP{(lAmHeH1cxTE4~$*>=MjrdXUaq&~&Yo+|9@a#J2|6h&3q!e@$JSTn^ zd|E0PhHsGc&%jG?L1VM9|1;)g1j-O#OS}V*!Fkfjry{!GJUix75k2C3C!k;aXOTVo zbWJ@d0p3O*7Ux~`5pmu{&xrFb`lvYXqDNyA;BE8?aef>$EB>)D$XCOi7QOoQv`=pzt3Le(-vmu)2u{xmnVvuT^z+P+c+UF9 zrgKBF^GIV~TG}Qog67Gye3hI5-XTfCx55N*u)<~VGB^h-9J@D^!zIQs3EPoAxUHXq z47%Y{+>ducILM%mp2Fu0Myl*PxAw;hc)Ne#m-dCy0_WodI@*eVoWPxF>2NEXb%d4} zktUrF&xrHIl~HlNxH2Zr7gr{%`}XI`%NJL&l7TO-Op5cxm7F+VT$vWIXaDEhhT=dn$2pzNbUNoY$}j2#CMR1h_wW_(6mKNl!^g$Bhp!gr##SSKva8pv zlPSzW$}a$8miY;?OA8se%6tu8D&7N+iQj8|V=eJ8(kI`OyMG)!NyjSX;7M&ZgZuH` zmi-2)UzOV7oKOyul!9-L8RHm9U2wZ5hJ6wbIf-|Ro0^B5+~0!7P!MldG)Q_5sx+Mo z=@%kB$4Kfy#XN{V(_!m(8$++m!Ha038re^A^cLNdU$G#RGk|g`QSqNpPW$5>);Usix9{mz$W6eaE;Q`d={=* zoX^4~#rZ5;Yq3X$&$hJ{2MnK)YZvD;Zz*v;JJ%)72fBL1!()y1?7v?EZ`y_A)`_(E zC(%N~;(Q=uMEqo=pF)f7jAftyFt@u|@7DjYUpD4!WQbwy{M<39b`#-6qoid}9;jw!cvX22p`MmiQk(mb4l^AI<^y zwMjYPzD^Lo__G$}aAkJ`@%yuX&A?CoXa5qlBHJBNc_geEOV`X;;fx~A6j$NLGj z+t5{bz#~6hUUq^{+JLq30eE`5;P&wyKM#-5w?E6a ziT$Pgf6WW@-eP~#dE}m1*`cK z!-v7yq}Rifb3WnI)4vPPY#H26xBm^p6LW*tz@LVGYB-uNn{JWQT#G^v-oxJr1-btt;HUh zK?K^01LkpfyZCS5De*tSyTqs9J>pwj?^@3F|0S@K57_>{`2O%g@dSKW{B!UT@eAM? z=WhMyLE_5@j7o-X_?YM0X;`}3K$Ux__aCPGRy!2vmey2Jiemy3r9=@4xe_Q!?5Lm(hCg}U{Ch=A97V#J0 zOU2)SFB6acg}`zNl-m7lR|1-%WQ5dQ$472o>np+zSP-7Wt(0=dF~^+Vv( z;>W?wUyC=hGvKA-%iuBb$hzBb1R5km z$u~mNHHy!NH;eBJPl_K2Z_PWlzeWVw@`14M&xf~*Uj-ZJCw8-u9e-(jPQNWnL!7IegjiKq{;@iWk z#TUYB;GD5fICt0o>m;xQ84_^L**Wkgso-*Wi}(%jrQ-eYW!C-rzZshPhX^c32CfrN zz}uyu-@;Sk>)>7D|AF_+c-a2t-V~a&et-YI?%yj#2;-Yb4Oe89O||Jl?RA+SO+Tmv5x z?}4v`a|Z5%k4XAQ;h6&W+uySYj1~mk_O}*3CjK6LLVWhOLd#{vzq@?udr{Q>-Q4IaDc3O_*QU^kJTvYIX+g)ztI0LV?n2eDQ!iD zQaEq5w81$+yw%b!>G^H56x`ivaX((n>SZ}$|7XlCCxnV?q@Yh@jtAgdhH?zAmJPBX zIEM~g{qN91Y>AKX#K)L;bQ3N>u9ZNUy4NBt5@ZTL<47Ey3^AHb^C-UE`g>&oJ@sv=`xVZZ) zt?&P5r^7Pa4^79fy6U3&0al_c3gVpcvi*8ECzO}%H%NL8aZ@^t{yz)im^I~?AQr?S zYpUREYF_`ZmGu1DYdxGT!9hG4;ai3Nk1_n*YfC;5nj-%|6y|^{BOi!rMS9M_H|!3> zZ8gi`w!!?54@z~yIb-7ynpC$}ex_|QUiQVws`CanA84|gD!V@j7G12-Gq zHfxp&_!Y;bIKSf9D$ZNAZE)N1@%0=9>Xd?d;N5V}!1v&~lKu#;pPL*! z-`4L|qJ9*_WzQjjb?yCM6oj=m|CP7r;JWg@0#8f%yni?y6|IfN@CKrh47}N_%bquz zb=mV~^PsfQ+n6A>bpH7tj$N!xI&If7*Fq~%5ZCs9p`cYVp-I~db?J3oxz1*EF6&BU z&c+^4SC$TR99CfmwFlPrIX8!bbTiu)ULg~-8(cTz{k_|7m}PP6Brz-0z-ztzxSP$* ziPwhH;=GtVD9&rZ!{Yf%GS1!l&k8<^Da}ZRX85T11@JNPE8!F3o$zdd`|a;`1SSgt zt}S>YAqVFkkXOB@#CZjET7179!Xn!M=Lyd#JJ|VmD@Dma!Y-D9rN~ewel@&Y{3dv% z_+9WS@nQI)f5_WE&md4M8D54jhVxYG9e6_0Z-6(5Z?j`)iAH+Z{~5Cf0?iDBb5J*YjUU--IBk&&aQFy=jYw)!AQK5zG{XYrZi1N#$md%7@D2Hdo_l8f39|6yap9r5WbhrGI2>4HR*}pkK zSHMf%C%g*$>+qQP9q4wF3fRBh*!!vO1st<>+mh_*6M{6YT1q8;$+u&=(uZOP_{|rUl#BDhgvuhf%b!msR22nG{=tMr;(RcnR-6we zEEea33H9Rs!35X;Um}6|raQI|Ghh*S` z37z76FrizV4<_`Aufnn)nDMav@yUc0GXcN-@xg>4aXy%^Qk)MatPl~ zJ>41!*e4UbkBjrcgtg*)FkziIA52&;&Ic2wA_?#Tfeqq(KA;5W3tVJ;KA=pT&j*x? z^Z9^Ec>el79}uY040f4q>=G?6)#N@X-Yj|PWg5<&65sIJP@F!>4=Y&m-%t>H!|b;& zf!BpSvgyGI$;A7`6~E$FuK6Z)_6%45eB#ZvjTn`Wm+=uT&_YUh#yTc#Z78>j;CL9;Kx)-3)1`T zkLP~+nFs%s6}xrB3NpA>6; zQZ3Gpsnm$`V=8rUu8jPc%Hj{C|Id%9)Js8pS$0GwbOZKiElAJ9ZvLYwQqF=eh8b(6 zhy9;1`H!YBfVDUO(G>CgM^nV}A59U@e>6or%6~LP0{M@oi1WTc4VL}Rn9%33$d*WY zer~2o99P96qpwoUz0Ea|9Zft;VJb_CrOT@?EP2z7@_x=AC3GjxnTB7KMWVtD8K(*F-b zMb9(cl7S;a_rkf1If8V*q`wpuq$NEsLd_ftu>D&R@ei@5n-ssyZhi&*3GV;wP?N`oMG)T*j_WaOmK^UO3FjR1pwcSNcShUA zISxWwk=y#YZ;v6sim%2FB!wMF116{wo)y0pp4cYrh?xFvcn{pZH0ys4Id{+h4@+Q` z&oIyQ6iulyWAKDb=sI|f_%ysr$|<`&v~99_55jbX>l{AydW~5TX3X$|tkY<1E;fFU)qGgo)qngvzvb953?$Iz zE3h3_agOp(Ak*^BBIXKyJj z&fe0XI6Fndkp$Q)8WCr&C?n2Z(Wp3|GwH@=$+t22oXH?Ovb`t&<9Bf42ykD)0etG< z+{^NSmJsK2Cc{$Bb=YdM*lL^m=Vf_zn!N)5y$Z$kp!$s3LtHlc!0s9&$ zaSmQED9%1bSAqNb*&XXC2)LTq9qSiocPuT=$2~S+2KK@X@R(Bdao7Wf{jV|hm=eyM z8xg2R1|C$};d{f+@l9&S*~TuJ$BxVCPla809O*mZY4PvCGfzdu8NQD|Rx+&eZV%O% zptY!gyW|cN77f=G?vgvjxl8V*bNO?h+{*we;4XPUoV(-|;@l+&3aNZiaI| z!CiGy+?{*)Gv`(bHs$;9~nxm>hg(OcXzDQZ_qmu6*KV&b+W_D&So7cCP#)o0eyl zxTmf}`bw0~Qw)?7_-GJ&)%9>5jVrg>_ylppFr6UoFqfd5?fnGVl`H3W zT=osx$x(YnRCJLnbX z?qEQiyMqsb|%WZ(s@ zxHvz3RxQp?pVf%-%Vc%p{4iMpej%4Vzd+U?8TiGrMsa?btXZ62CQFLP`|i15@A=UI z_LSA_;bWVJ2DC2>_}}39!5424d>1(PgQZB%-5{UOYe9PM75IGKhU!ob_aYdVBQmup zs16n6ZvtUSbAQL1JxfsmAN=J_oi;cpi1%*V;Vg%@P|AGyc6DcfgTeG*g7(A&@wvK? zVJ7{NXt`z5a=dcciHhwNQUBu=%I?Amb(`Bq+k~d>l?;4AU;xgh!Kr&QWMIJ%>h#ZP5~TH|$(z9Fy@%hFz)@;|;Hprh3Bf&X<#&l%tY z|C;_xKcTiApALO@cMNsED+s)?@8j4Nvz3 zH%R{mee-knFHCRx+#+6J=YL)Zw1;}ld>^nQqvGI;Ph#e8m9%0v!jZ;^%D}yiWYeZEgK-*(4;;g$xbi--S1d{|MeJ{uDeZJ`Qhum+r_uW zN|zFk!@J;Isdq;Ub;I*dq0}PKD+L`79}qtizC!#G_z;{Gr{KfI=_7MH0wcu%^8h>} z{xkTf_!{__I3EU|fO8%Cqfc+IrLC0=|3E?O#5da^tSjrqE8tV&`Dd@i?X%Z@oh#YQ zZZ&TG=jNAx_SyvsJpb&qc>dXI@%*#b;`wK<3*2vi`Dd>S0&W8G&t8l3+3R|7K6|}H zoX=i2iQ7l7!?m;)3GmtLrQ&?XdYL$%v0g6DN31)<`G|GrW>Ild^BL=I$-rlSg#W2BhahG`Rw%?I5)riv)7V7%0GK8 zf&8=AQV^f9UMK1K2=sb!K6^bS<>1llW6iW=;Ir2TT`SH3pS>;-uj}hO;u?G1#B3cF zC9kvaf=~?3^8#KFsu1VfEpa%z?R>kX8qU5XpOUK$9_1e(;2TT{DTpr^X$2giP%C)L zcKF<}2^Hkaf9ZT2vx>^MD-zFyv;v+9X$5>*O)KC-X*vTu5z_MgiID5aXa&7?*==kN z_%Mi8z!M>@fG0v)KA(tkGvGHQE*qX9X$8E)q!sWElUBepCv73V$y`u=*pPW1p%w5v zLMz~l###YiG%l#X?+y;LYqx7rE&t$CZ2c~v6(mmC_#)sbn^tf$&PBBXp0a8AJY%bk zur_iL@RUs};M1#G0bexM3i2-+OZj}-mF4G$)8lnft$^1>wF16qtc!pz8nXiK55_m% zLXqK}B&~p7UeF47eN`*qi^Dntyk4s1hc7SKj*M2o7mBrl9-Nxxa2CuS(E;|_x?6{) z;Ov(i4c{Q%1TQI-j?9-3D02b(V?jU1CF^qW=i!y&zsA~LCH^{mQGxsIFNZ*FLBLJG z9Q2+Si|+`p7vBfIM0_#4N&Mt(*^!aJA?SuL70;hFi}UPwxj0XbJH+!R$EDJd$)6od zhWy#Fc>e5IJb!X5o<9kuhy7o{Sug{x{p~M*7A)@1g6>T0DOeES^6J7LW30!4k-y z1&im;g2j3AIwj7N*A3!0ceTT*Y+1av^K80IoM+SJ;yjzK6z9oql{im!7kRhs&&`Zy zyS0+xN^S+>JlU-m=gIC8ah~ip6}nsgJlkz43|Qyc?ox4{>@E}M$?kG-p6qsryOUji zA+u8g`LkW|{K>9({$y7?f3ho{KiS<97c#jBc(%JzGVo+~l{im!SBvvxca1ntcE|IM z?Ju0w+LPLRz-@eXm<^uPisw&i#q%e%;`x(W@l)K#?A^hmWNz_RkUyyv&!5za=TB-zsg65!eHN^zd-t`g_T?rQP; zNv$|fYR9en^`Dy`Piogn2AEY>t`z4B#Z}@@h9T4KgBlXx3&pkKe4%);IA18P7v~GbOT>5W37dUWBmurq+#=2w zikFJ>h2mx6e4%)`IA194fafn{@`d6~$-oziyT$oJaj*D~?MENn4y^$v9=uJMfj7Z% zS1vMNJ~3oSBES=d27gCop6Qf=PKRrMK5K6ixr%#`o;Nx#Kzf~^zxfHW{ePJvv%v@K zTdo+FgZB@wL_vCk@{1Lr;-VXr_SkV9CTKur;8wajFL3KWE9gYUt;j&X9ljjS7J32R z0q3QfPCudc(K+0s;-ho-c%N@F=iT6rZN^S_*#4jPfq7;C%aYfQeg{v>GM{2h2od;`2oe49J5pYU$mpGUtv5a^c-hr-k1 z74}gxx5F6}-w!@4eiVG9(B1NHKm~)?j(5beKOJ5t0|Z^*eZHB%c3h40-$eRMVFfmJ zH+)q5$JTxSe@p_akzoSP{lV|yYbE_(;OoRcgs+!!V&4rdmn)P0|DFg;OF@TO-`G=! z38as08#X`g0eLwt1?Qz2UXJU8=Rc;~go2C|)Cw;Z=jFI=I9rI9<9gtH;)0js`o&+4 zaIH6u0H>4#|E+-YFv@ZNhQ;}~<0?3h5gnL;;aF%Pd!@(!eu>L*(Q0I1)A38FYv2oz zf!FUUFZ_ZR`!c%!@$%gy((|Cj#~r8OY#~1GI2}APY$87IsQrK*KL_)TIX@h=>QM1R zn6nJF8dmTmd^Mb#_iy2Airj90e@0-uC}7OL;A_RV7zk_cI`IYY_2T=%r^JtR?)v{5 zBych^l+0`K^U66m7hWcQ1-xAR26&}-AH2%CKmM?S?<25CGCU5i6@MPSSp1Lh|HIt- z2go^{|KBGhEFvb6WOs=gM2$3bqA8203Be*5gf0!4XsU~}2B~fd_aNGBMLTWN&LY}D zTH6Xng)U7Q6}8or@uMt4hlXx77#b{}w4QUH^M23n{ciT#&+|P0eCH1vuj`!aoO7LD z*M07D&OJ-`JK(bixl7+{d80S!oI!yr`2_H}!uJASApAh^g~E>oUnKmD8*TdG7`|8p z=Oe-r;Xj(;!!H$nEBG?u_kk}L{zvc?fe2oLV5RW4!B+_%afr{*YT-MAuMxf{_*(F~ z|9=1k&q#zTZuAK>p&xUc_%V1{2A12v;}X6Mo`~|mWKZ@9=vd7=90$j0-|_i{Gc#_k z19oI^Ki=Wk1kPFX&qz2GP1wBQxb^>&BKQ~)T7>h?M;-Hd=cA7Kyz^1VeBSx!hWxPo zbIgAZZ!VK40Zq-zAa!gX{}XSyic|sjj_T0PyB&uP?XLgvaz`E8`RY~2c7Bmi$9CRa zg0a0mv~zE2ixh0{pE&>DKB=tmyXlI)D$Sv;WcEshpLw1JKh^O@(;{=hB3NP_8ZYO9 zZ-G~(QC|<*it**l$oif%>$O1vw0 zT=;(A3E@Y8Cxy3xw+Ii;gCHe>%fVZPe;+(8{42HW}to}t{PZ$5^g zJXB!$IJ|J|6}|#hP!axX@IK*>fmemUWV!SI`$bSggqrXzi{7LI!Y6_o3`Dj(?zcC1 zgYX&Pv6%S(CqU375zYdS3;!B;LU<>5QuqzvDGWs0djH3mUqYZm?0w*^G8a4!o)-RB z@HXKC;8kRZZus`WP2Qw~IWq3I{41W%Mo#G^PjcZKO;$$0gP^Ac32+2F4t$1KA_E@G z62V0f%ohGl@HxV-1D`8=CHMm24}vfBd~p5$DF_xxgxA0q3txG*x6l&d4}vch{uKDK z2oH?8_sd?<@`%7*8ij;2QkUD`?8CPU-yJ+F`~dJ8^t0|~hdlKEJ0!wc`iwkp)Fqso zTe`u6X)ra+5g zr%C5Skd+9Fz&nJ03p^+Mdhkx+w_C2`itB$oaI_H-SeM+4HgZC=AM>*sYk&U*S5?*n zb3d+%ZGxUAa1KP(@m|j!;C9K!Z)EO70((Nhyl$5hoNoX0kc!jDAwZs8BWEG6L;@E*_4t@oi(pMtA%r!ca3mt ziVTb%O5~ir77^IsIHf-W&J1yRwok%y>aK#bIdFA$z2mmk+D%dJ$F5gy^nH7G@cP9e z;GCH17mx_:iE*F!Ir=~_zTyV7uIvo*sti1^(U={FKdt5k=wI_u0SbI`9Pqnv9 zl&2;hYfni89&2wE&SULq;XKyfCY-0*Gj)zpi>Jlg>jG~Ij(%C;9Q`_kbCAmk=OEW9 z{N8Zaa#;6y5pb025?&v6gmaMV7S6-sCE*?$y8O zr(a{^7ya~WY&-{}A6?@`X!;>OesE7ey2cOg`H!yI%A|kGb1?eVHJ)qHkFN1Ny?%6! zHxcMZ*LV&l^3k=hG4WiBeswKA%h$AibnU8>JlAiQ@x}Xkw18bPH}bs8$R8O=~U#1o?Q|NTffo$_$V<0 z+>QqB$47})aJ#44{pwE=qKD5y?cm{E0`B)NI*Ga6`y06}Jm2AGp{ebn$(^3_(PJOS z?V|_Wkf{iNGI%`vF1`Jw+<%QZ%Q{HmoSEIpTY41uq5y&p5quLoC%g;1Q}{2z^TJny zcL{$2JSd9bRS3F;zXx6t-e4bQ!jkm}-x<6toCkJ#Js+G*d19v`5qM&!PdE?kRE6`v zPQP#-*r`Rht$&`_8Hfms;fWoybF|KQV5dPi5A4K*^T1A%aCcxQoJ`{);EA1taC>6M znK~()2XPwaFI z3S0-_iJhEqUR%*AoCkLD!g*k)OZZQ=vgwDdw+O*V^h-XNuMo}?KHZ{-Cwxl6dBCSf zI1l)g0}=3qPp@#E@Tmyr37Lu{q zxQcfX_)>7X^S=XME*8W^u3Lsb`3Gii$Cd@Yj?NR`~8ORFfn}Lp@Jgook zBH`Rnf#o-WcM4wxo)`Woc$e@Oz>C7)wA}gs-6AkQ_a-U{-x0h=_$R^3!Vd)R6+Roh zvWqOH&4Zv%B3uYw6@E2%zwj>bn(*7e2kIRC?*RzRCw!wDh3@wxc!Tiu;4$Gm2eTG! z$lm$netX+SwzGkA~id%(-WXV~Zd zurV%fhX21c8r_)?OtpgWXOG9aUoRAe5yBtCPddgc8VSzE`m*Soc7_3RCw=2}Yjg6Q~G(4jJte{swqe_`hzo>4!P(7eTDs^P2EIzz2ly4{mmi zjvq&ZHwd2#9t%WpJ_Jp|uLO?^{}FgXcsF=b_;0{l!0Z11;}E1I!Ykmd!Z(7ag>U@} zZ@F26b?n;AMDR9ntSQ+mNFZ1M0oQicU+xu^W$|b_!WR*qTNVxn&q~54fiDsL^BuST zzxj->Grpb6BEkYVAXwTl*X-^yqzMGWByc^#tN!l})gQKhCU7GJn!s_`q^%`biSU}h ze5?;@0k&TFp9OIJZz2SmK+iBG*c0J3fy2S`F!eUB=4~ejUk=V?y5IZ){=b&^v0*aw z*f1r29$Yij53c&R!Un%3&4I6bHo6anNnipZXaZaP@;^-)7W%|`0#W@F5WZeze*Cjv zbsi>x$-^Y@8E~z#!!!X@!HM8nfFJ{b*4g>sCDhp@D7qYct#qerhvCb?HQ}#Z<}7Ew zOMw2}fCTPb>`mG){5B}+L&94j{QclnXc=qHsCJ|!}r@<0yfT`r~8biFra-BdS=@2 z&i|i;1UTQXM1<+!+aW?438cVT1&<+oD>(gwc?e&TA^aln^iJ^q={Q{J1kI*=k6&)L zEAQ@CAb&i%48PLxMw7vE`wIB&Yr#i;_Rpu? zIS^zd!k59@g@D1Qa;U9x{3mrf%gi30K6jn$-ChH_laOVB2CU{=>b>LmXSArLXKM3CKxV1mK-%}8jB*JUpJ;DdT%fh!?ApBnNnD9S*9}J!nemwZBx*qhu`4G&O2#dhe!oLMRr>@BN z*XzOOO8DEsGs4RO1Pesb)8Gpw!t3B!;U8MA$4kO2@gD7VJo}CF`851+P_P(+7zF%6 z&lj5{kGUz&lY^ zf$xBXxtD7V_*ih>iP8tY9XQX?l@mTgzQDHrxl3Pn^>dg0dML7s*Y3yjF&dudV>CQ= zI+}H`+-T@=J0?T_U(Ydc%VY%+_}tH(k^RECGjb#N7$m?O!bhS2+k^KX?yI0;E#cb# z{t$30<#5Ir3EPihV?`s0jB=l#`DD~#;Xc6z;oJ`x1F!r4{Ps~31l-BMoluj6 zbHia=65z(d1UQFq?kk)c4Idb8ESxS8xUsM$8o_X5VM;hR7PboKzQVL{zppU#|3$!! zg&E=8SlBL{8w<0-xv#K8IQJFiLLT-%&VJlj*eMaXu`n;38wQJ! z=f=X)5JA|!xUsNDI5!rSg>z$JuW;@wtO&RJ3jO|{J`r$ZVO2Oc7WND0#=@F#?kgM+ z&V7YuFY*7mv9MvUXm{eq!kBRG<7*PmZG3Uz+{Tw6clNiY=03h81)=@zk2@DzgmWWe zN;o$nwhHG)#I*2$8wJ}$z>R_#;oK+KE}Z)Wv%zKhmW6YlV6SlQ6RZs7Vf}NXVBb)I<=iM( z1?N!8eS+&HJogE15YByq8-<7a1fBoCNd(*|I1;WBEyR6-qlI&y;CSKOCpbws_X$o; z$o?O06r3s%coX<^;oK)ULpb*d&Jxajg0t%!{f`?3=hOvZ=QD;I1?LLqKEVaTxleGR zaPIqCB)r5c$QO%%`~H>)=f1zC!nyBnnQ-p=TP~b8fd?x@!2N(Lg-^B)$mSj}=vLRJ z40WsR?iuS}hc1`iAV41wpFRx?{d~Gs>fO?J(@#3{v`hC^K4JR#yk^pT%5!>FJOwiv z+82?DnEx(_Iv4<2Q3zOLhg$2xGjZhXGjDB<1nh4cR*;3F6xFjhzeK42`9 z@I1X6n;I=KPtCL;{I0%D8$Pn7EO+gnIps53ha_BoW|M?@%tkZH&vt7@dAuh9J@$FQ z_dmMNVUEHdGsFY+IXp11YIxMNQ#g;B=7sa9X_xSS^V7{m5%8>Ow{RXcEeYpQ(;neG z!C4m01Dw5q2zY|CBAh2U`-JlZXH_^)aP|x5k^35W-T&vw%K?eNla~hln^nLQpAEu! z;xi_kCqA2^JTMn->j#Q>RA82XCxrhPJSn^zyhZr^;3?s29k>2}s|eQHkt7_s(!$>d zZxh~NN0=~tM)*$P?ZT&mXG0#gf131j5Ohd{G5Se8%e*&WFg7a6bF>29$*5zc47KH+@!s|x?jFq77dI``vG zSXlQv3!Ba+VJPK_Mh<*B_)ZAV>nE#8KXBO%Q|`xWCPz;5d{}pXJ3rXhCgFMgWESDM9LHNGa|r(#=nr`CxdRcJAmA7K^5C|&xF4^XTm?nk zp3hI6_ela=fE>M_w*(W$LPubhBLS{XabDs}WQMyna5}r4KcY-rvdQe^9tb#ECmcv&{1iDbG!I>~u!`Fh-)O0?p z;9L>q)ifz|KQ7&JaXV<2e#yILmJ6rzSrJa>(|p=j84s6pl`9U;NsfzL3C{=j|8SKn zDG|8J)gqj$Tq)sPV}tpdmf$K^gK(~L#e{Q} zt4TOd!^VYkkt-31fU8_d;aufv5zbYvlyI(cwF>7VR~o$T|8teAO(JlWDIw_}XFki^K3Y>fDb%b(6kZ7u1pa$EIQU$X{=MRcyOq zc%$XvYrHvljW?3%Pllk40;E4}7)3LN;YT_>_VV^W`<>E!jd#j0;e)v@LZg|Bg!!_$ zeV7Og-!9?LA13^z(7y=b>BxM`hYvoE8rpsl5D}Ij0v|kX7$$+6hvBzFPhK*5pX1G@ z-C5|ou>Sn77lMUix<7+wg;&8B2_LY0bB%h-!nEh@rHy0#pPk*G<~@6SgD4`G^yj8I zad5B`+xurEjU&L92v1wSg&A#%C(L$*;?veV@TbAQf---_>9JoWL-Mpm&g&0M{8`_Q zVsRhi8ZR)Z_puWnimr#E3KY?SE`nFV+YtWe;Hj@(?MMQAC3wzp+dHxl{0f2^A~b>b zfXC1GiaNj_055{Kfv*8K*=WL#fv3P*!ti15tU!<(DzN-n@Urliz|93dfpnzM zz$-ZR^$+mmA|IZXd)IRNbk=B65Hxu`=0hq1?*%va`KFZmnpac=-x|CM&IHDQ*Mv_1 zHy2;+N2fRxO@bhPaWtoUfTzGy2tUQ~X48pA%9Z|U;CbOK;9Yg@(>I4gP^=4l3P*x> z3qQ{BMw3H^lE}~;@RAhZ3*cpNHpa682+Y@gi77Y-JPFRK$%1FVV|Kep`1`u!&88$7 zy&Swp_|@P+Sp-WV=oS7$@QU#3!TW^Y1YQ;14c_nh;MJ<1=;hY8X!dq>4JKCRa+S~nb{|2mi8Wa`(?F(x%UT_vU6!GQTLdWfOX2@tC^jzf1 z8sr%5%*;Nagys7tcsDr9k+(dYg*v|Ov$Z{jx+3`1-|+lN@Dli`i#`7=_`{alnY3{0 zhkK1}e$xI=I2@KQ^%cuu>?N1S=6^t3ftV{VANV3{`J2s8%8XW(Xk&*7{|U>(T+|Sr zD^XL134eg+|LNu&4n=0MFAn{p6NXX5gkuu^3&Vsz&+@Pi9w~%2wH@gMbdYZh6X9yh z!;-}%f$t9!{>EYWFQF$1JM;Bb=$QpQqr;|ZfA3qq z&*mJmef%m171DM{S$!=|Iup_kNV$%ATtxE{iF;Zo z9dWh`b3QaIlhiMDVU~pd4e|(6M3|f_uKnbsmh&I^T5mz!7GUHL+}(7)xe*Y@aDHZqvK{o6BrAAqVPC)-f`Qem_QPO3L=oF zz+;C*6F3h11mS0Ze;1s3E(CvkX!x+5URJnkgsq8dt=ze4m-)_|v!d{K1LDO#=hJ@_ zeef6H&mHRd?HESx0bg>0=Ls~)-+`|@4IBex#q+>C^93*XCnCIz2#=oa`8ezydK3Kl zb37k~{owxsfB90+f7$2@Fy;YYL!CeL{1tSnUBJ&NhTPV~3?#4*1V6jQN7x1l90Y#H zJ)Xb1y-(m6@chG`&zR)-Jj+9i_QIm7a*PmF`v6%cj6K6 zx<7@=sKm&^nk^IKgKZuR4PvkH#azqjuNk~5{8QjHnVSy;FAGnBn|XeYH%Q=U&kr-^ zd@tbe+=d7Z;B*Sk29F89pfF-9JG_0v{h4+JLN$rjPVh;>^DbKOQ;6dtz5{%+@YRkt znl!A;%s-0o2?_sa@TtPrdmfmi2>yu((}kO|udWv1W58z!p9G!~z8Cl`$AiJuiv69y zt|UpxP5^HcJ|BFp@QaW@t0ep_@YzGTP2b!IMHx|a8zQ8KMzG;~EDvX+<$E4)|Ie7E z?!U%7QuY?gAUqrNI?KcG+a`VZcQIzZZ@KMg%_fToY;dC=@`^en;Yo$vwoNq7-y7nb zMEfjwr$jr{@kX;i%6Bxv7ZKiO(*4pdnWN_+TDwHcGTH^c`!Lrz@NRIn%)C=K;zsu; zScy<2iT!KKLnFO=g*Va(J}>5X;N>elk0FyUfLE4yJ|DaWz76zz8G1(k&gq|GvJhMe z!2~ZbGm${pBEsM9g$uSz!~xpgD0Oy&4|S{mbGQHV zfdBKD|MRr_)BFxX@&5(vzuFa|s+ys>cC_O=1<3UP~w z{Zpa+8lB{RZyP>r8+*Ryjq)OFvOoCp*FFCj`~;WGh|~O^FZw@U@qaGyf39|any+;^ zX*7$FA}8hRqT-y0Z}LjI{hvF-KfCPMXqF-vXVNuZ%i~C-3t~>>FL?255U+rk^Yc4i z{67${lGs}pZW?1}T|VTLAsz!8jC10#@ik!$HJS>c7kcs6A&x`LtpQhgF>^c}Vw&UHs5m9cuk+$tp*#&S2id#5_(6y> z68n!{{1n7li0Pxe?8SeBcrnDR$bWkA$4=aAifFg3u=Uu&Zm}U%kw{$3{5>A= zouyw|{infe!dt)xgwF&w9lnQ-KrW7U$&6_8nfa0n)o4~AGkmPSAez@zBEH-!xyJwb zq5Bj31SvKk#gRzyPVg8wyZ^)BO~U)Y#}z#CFN{5?}Np?D|>oRp89RftH75edqAB z3%Ls64+H<%EYCjyJ{$aD@E5>8?|EQuJimojM%J7Mq32F4YK@sgzo}Jw=)aCbKu+(c>#F4=(*hU(@hxy zmgpKpD1oywy1-X~(?|Omc$@IwM2la2Cu%tB30Ug{FS*#(pT5+0MOz*2_Zqa8Klaf^ zq4*oYm%Z;fi@&AqN?}d*B0PKiIL8}J>vBK(v6OMo0~3RQ)iMQ&YRIo`I_`HM6g5fs zV=NEHhV+eT7cPYyorUljwB6FqUe862+X62R3p`{_yVMEn_fDk5-vKx8MlEq2_{!ch zoMsr#bR&TQm%!k2eF@>K#)o&7u<4tJAXtZl?YQWE74QLYzKi?|cnpfj-vVEdKGVf# z!}*uv&1MraL`(1fC-)wDj7dPzR!Df76@+!U{CKZu3-FZV_C*4!AOU^?!j}$XXCowaa)%dZ`gQmvpj4Qm0$WcQA3e?pl2!c zG=%jZ{vL&383gQG&pK}3)XM<)H-s-rM&Ac7)f4dgM?LN>+5^tP2)Uv0T-|7=7HAXtY8tjmkQ2f#6snk&J_zZWg>_ra6ktotH(8r)W; zOW)iH!Gb}7E5ife9pJRkpTP6r>>caCi=yWr;HxD3M^D)F!;x+c1gy(#DxUX&?*NlF zf%k*cS=bAFlO(V|_-M?QqoL;r@TLF)*4Z2gri0VeUj$Es)1()IXC;9vz!!tF0D17G z;K6pt(N7`hf`G044)785{4DS_;OyTQ zfcJrO+RcGiLmsw&=IDD63?Kqc{S)xf1JQ1FJ9q+|Rk<2`Iyf!*NANWG_BL(T|6YI~ z0|95hzk@FXXI&0}cS-_VKjo`t1vsm0B6wN&o=@5I!|u0E5}1w%1K=^3`f%`u54|PA z5`@2#z?;Bnx%uEz!I_~fcBZwa>QYr!Xh(-OaaECpXK;coz6wF##LnWJAquoe+mHTQvU5JkPes<%)Ol!s z`+E<9IS{ZPkF@Wj!T~A^&PKN*_)>5tygT^HdIDbmH1IXxtg=)9K@|d)_ylnCZ(lO< zGr{BFRP+__8Q`3b7lY3QXWP04JXi<;tKfPFmVl4(1u(w=Uk1($^?Kx#hFIYG!~>Kt{)b&jB9~z8iQu_&CRH{U;$<3;}a` zDEM-4wh6A!WJa9fR2+^3jz{=1_+j94!Q)%_@G0Mppo5CQPX_-c6>aGi zF@a^^Iq)`wzZtv=ek^ziJT>wRKmRk~2O%h0LHJ9#{~Gf+6@gRHOH?$<&w(@ik>FME;}QOp z=WP06|4ub{MMpuf01=A9F9J8)`0%WPE5S41)boAtvV<>!$AfLXq7)LolZwDuH4jh` z_=yPrCo0;`>zN6@4m=0m3jPo9DtK@T1Rp_=8XdL7HhtbgMR1n53EXV&!_(AzfoFv8 z4_*dGl?CPq2;yU+mY73D;H={>QW5ykD8Pl(vqLmPSAgfhXCZvvaqItAA)x7giU_G# z)Dm}q7r|-L--4U5K0Nta@C-QH#EanNkcaJ`CgqCr$Z=lLZpbNDmJ{GfaI7GkHt-qX zTqs@!{#o!hknmdY&sgsI-v=zgjy~aOh%oYbU-#3&!wBJT9C*8gkAp7K`yMwoZr@^OypAEhkd|&WI;48tWgI@_=9UnwZorhrL z1kahkPr#GlOkgE=20V@g?g!6-PX_M=Ujd%<1)l-F zC%AdRSJ?t^COihb6PyJLCPAkvzr-QQqbHEpZvoW6K zd0B(ntZ}j5q>H7RPckruLo}jXQS>0U+%cIKTUBj1igqr)2#vD z03JsIPl1na_8DUMDtHo{3BLut0DP}dPgwt(AXo|kO}*`lzAjgT(?U(){opLXC&9<> z;;Ee?)?8n5FCh-r4gYd{7mo-;A|@k!DBl|EpZ9>bZ~a#PVly!vHnlN zk0Dr$2zwwyE5M83O!!yeYlN4<`@s)|p2xuxlYB-$=f^)|)wJ|p?BWyPkUSoI7C80n9zc+T;9%r53BDSfmiQcaRl*+!KIs!a;e()OE_fUG ze&FYU2YCowYqY{kAXo~{l6@Pz49?cx1->4ft^HQ;rd@r8sQ+H@l;?q&fgC*o!D2+< z5c>@HN>TJz@Mpj|0=^5r37oxS#7n+v5^+tr@@zkvs=CjUIPCd!oLGv1GjC$rEf1v@^#a+ z#~Cgt$Mzk-XMr<;Pk^_BvugGMUk=XJJ_Ec0&H^9%vQ0m9Kn5V7=}t$4#AKhLPoo6q zfVY9OE<3<;;GE^Y1>OVBD)=$@x&Q)};AarT_Vfv}#H+xkgEN7L!54zla!-MGfqxPO zcm=!+9?(QJ2sT2%{`xWaq)$dIG3GDcanmkIx-wk1V@9f29F#6YXe^g&H?Sq;0;rJhFCQhS8e)X_e(;+I=&ha=7KXr%fRyz z{uc1n;2gq#1>O%HH~#k!c*CaxpCfjg3Ix+XR@G3Z`-9_M&l0IRY^eXT<;G83V0KOEQzTr)lJO95I0*+`UM5uvt za(WPa@-&|?GxRuk2K*3M=q2zXIOm8B;Ll8h|IhC9KM-s}ghQcd+rRp%nX#Wwcz5t- z@C-P6M*_SPoKodeQ zvCVp4H5qVPq6s_?&R(+@c*XO;Fv9*2nEibM?8irdPX(v%HV1qTI47Ggg6F{FDAM;PfV13- zh|nke4e-$|K4Fd%AA-*S=a}E{ny;FL;H-j1@RgQ_oKA#b zHTa<@*`DB=z-fu;;K><2Lu_1!gSUe-{7K-;!2{Oid=h^BTPAGQ!ujd!7~ko6RRYxL+IL zo1mYs_qsd}%ytlPHGBmkYz59`_&H~KOK>{oGW<$}-x=Y#3|~Cdhrb-0tMI)DKgn_1 zK)JUwp7If&G6bodH<1;%-`U{t ztD?LE+@6&&LL+#qa9W~-gsF#?TLr!~^wScn!AE%>)CY<+5HuhH`}f*t1jF&*843SM zWHj}>FR|@&?)Mq;7ot4nc+hOpQZlyQbx43C<{T($lkoGw=Lo+De6DbgH?>fISpV#P z9HBNMVRDXW1K=>7;rl>yj&Cch0=_>SDV!s019&*Xy7tExh%t%4-R7nv^m|0NKZT(o4@f@g%^ z1YQQOA>n(;uZU*!G3vR}^D@E*uR;*NDw^;n@SN~5Z+O#H!Kr8u@ZyrFo&&+n)t=MR zvpo+?1_GLtFO}2h`3Uq6_^xO!cmqnn?K}&F*SGC}Z-elBSG3e|YyYqtgumU_`I0RY zMSNGZ9Gu~~|7V3H!2LfZaL$(8{!<3uHcT|EfA0TTD~h=Prw^P7bN^2jd~~SD{`m50 z12~6XzP#EfJbZcO7AQ7BK$G(I+d^=TfZQQ9a-pvpR|1%FTg6V#&BoFY_Z%i0X7<>AYd!ymWwuUnvMsH7D#xv+s&3Z z4pXx#`Q|ktLp5LF21y8LQoh4&0cVEzj(8UMIFyXr@7g2*zB|qc=joVs&x88x$G6!F z5rG-v9*{-C`IdVzI7`fz+)Kb|Ii4TNgZtUl);|vrErWoKh3A2~z}Xmi9;gUT3-R^+ zN(s+%LaT(k*ZQIVzgh%5V%3g1rX_g9Y7N4(`_)fafpeVTnX-!LcPFE4x_y?r_Rj=( zGO8*OcvPz&oF?V&nHAvF^ZMhy`)veghIlw_)?hF5{jYvFZBXEv7x#e724@{}56B$h z+ygRKI5)8@0B44-f5E074ipO^U|n(-O9wbj&5cS+z}Y6axn-$@=jN6!;XJ;#B7mSi zhQo9vaGILic2k<6b>IOL#)cuY?7c5I)3apU3Du7XtYhwk zstM;#r~z>5;X%d~6|Wyv5E$-|GBP`Hhg1VNpKQ28stKHP2lsv@z-b9?{Yrwf0Dcdd zeL>kG0`6W*fwKhMyw(cNM#OEmadcN^^eQ_S{GXnto$(-f4_#HG(-?8d;+{I{8h`t6^$I8Y-nop58s>0$WSg+ zVt->DxA$2~_^JP}>4(<_wcmKP3z&5OHRcc(q0w}KbMdIn@~{M@M|}zCGq!`LdIw7u zs>*?MRgVKA6zjRPEQ(oc3}E^Y|cTz^+7Hy zWWZ?&K7MzAvyuMt|7C^(HloZ@Uov_!%up8GFP9l}A50pbd6Fw>3e)AB8+_e26ucg` ziANDWbA%5cL$`Uxa$EI*DWi_@xNh#B;uXb2(OWLU7}EsKY5L~~KS}r&8(7Exr>e2` zXHIE}I26$mGNkx2UnPum!}@2!sT-nI5VwlL)}DXdo34fhf%QM|7V7Da zntES^udVap%UDHY0n*S<%Y{|!{Qo%+a8gNGMPavGBUN)c639K}6Uc7sP5WgR-hN>Y z8DavLTE4jv@S84tv*~&%6YLYJU=>{N1ok>@B%Jd4%^l$JpZIZt{J(XxDl)Vk^pyW2 zLnnC2ACRM>tkt{(zC!qW;N8MU72Y|+-um(1@|F9guQ}%Hip$XEZ}U8c{2mM*7yih( zK73Mm>`iCz!Utz0#{Sn#q!5|yoIQRa_#VgvhoCfgGdP=7Cpd?oU58|1{(rMX^>$xk z%|s1+7bM0Kr|yVaXy5;x!PAIFgCA(~7l~Lr8eOHhw>NCH6iwzb@QUz5JfC99 zA~*#Jq<8ZPWYNUG>hk+v&0_9~nuWIh-;P0-{qK^AuZkwK6uB-{e6I83y!qJiqaQb^S7v6pv z0$p$h!hhh@6=Lm)hM_8S~Z9q8hPue8>K3k?Ryt&WkmHJ-8Ka7qCT!Eo)_5b@dEEWD@V^Os8*q+8 zaoFlOaK2d>|A^PaaR@I8?58*&z-(o>4!#ncPXt^yUm=|9?8}978GM;=E}JiP+*Se; z;5z#fiD1{kU2|G2oab~F3Fm6kLg8FAS}>G{9tKy9<_;BD&b8J#!uckm2b|5Ax7W;; z@O)&NB|Lm{;XI5S>MRQ{k4qCXBm$qYrVHmglU3l%5TD1Vg0r7+(P;7`SYc!p@Z``W ziNMF)*zbKKVt790HVEfqt`W}1+yUs{+CO2L`eW_}2<+VBetgVbvc^9b^Q8|TbE^o? zUcd+YXG9Ml>?`2Zb5?-kFKa|meMJEry|R8(DlR4C^R@Ynw@?Ljz(t@Y3D1>@p!%SX za2FzQF{X_EeK0J+6GhXZh~1TIF$r*5qV6_>?+QJfFfyL+UcZ5W4o>*qJN(f^pDa8% z&pK^MJ5Gg9wcRiKw^VFnhk(by6G(@z<#I^h4(0B5BEr`&F|kc@T+1UoU7oXi_`nQE z1itAkN`$W=0fP)N0S<&E$xtW4H%NF6sAU)4juTwx=g9mF6p_<_{ooT&V%CIt)EgFF zgSid~$0Xr9!JCHiaGYY*jfW!o0FNR<>(B@`{IlR`a8}KF@D2&T!SON1^!kjpp#Y=a zw&RprSUAGZQAt!j2f_q0#5T$CNT2UHwdkcZ<7@(?*(UXc2q$x0I_6y4EqXZDMlN1lU~7bJvV;hH zHe;hNgZuh3_0zb^!THERFCzQ2AL#MKVa{~*BJ@r-!Z|9Rd2dC&jZy0F`qt*qJqne6_||4hv{&+3~#RsUaO4z=7pCVhCS zYn?F+nY2mjZ69(6CpG3c=t;fhc|Z8)T{6L!Aa455i&?lFlBtgUyo-=TwC{kIg#Qpc zH7*){Ie1L?3d?Qp8)pX0nNBqme$eHseuZgjgcq}y|G^vS&)!I{c`YV0%7>Z@Uxvmg zj`lo;+`ozNj`AwK3Wr_*h#J&d1jn^f0R*CeQ-GXU7 zAL6wl9`#S3?J_t$>5uK)6^p1J__A+RnATzE*3Y8Zs1cj})8S;z-$vA&r1d>7E<&7_tatk=xZjFHKQndpX)Y^i zxK_O`TBFI}y-xyt_9gJ*%~!kd?8@&rZr6n6xsMm%mS#tX5L|$3A2V>hGM_4V18!^D zM~K5hyq>)iS9h~b@Sdm~IG zPbYW>I5YGO@a)j=;iEUN(uzsKyn3qvoDX*OtGXmSul5>{gim?SXS4=RJ+Imfxh2AW ziNK2{5)y$IQ^dhpWxU$ANy76+i~-a=Ex{W!YO~J_%Rjgel$Q$kO9WoM+a@LE-C=35 z5N};*mGHcEAzSA@{rXkC?V^Zx`)2A9Tz(Dj2u?|OUUJp~P7Co?w4{Vz6};^0CVhf$ zM0{lBg|oB3`CP;cYFi{cFSJd9vyOiT{Rwbph!^7qaf!f7g^N;RUP9a@CE%sSc?r)u zg?qr+J9r0i$@8GT%HVG;9%H&C0xuOF0Ox?iONcjuZ|Q5s@LIQ;gy+Q<8zS7+|FJMp zzbN9paqA-y>}Nv}zABtobgUCSyqK;}!n>O?!cB;iPz5X*Z!>NJXUTZ`@puW(t2ko9 zd3DEV(Zk!78!UJ2p9%9i=8>X^*EbtcbPg=BNlM0>wG-fMjPGCsjDy?8==&e9=x&k- zPa{HA1{U6W*e3%K?*Okz_%+beEBuOzO+R$M%c6+aE%rzRUgy{a&Jpb%B#@WzKL_uW z@E<+l(_I^2q@#&=pZgkc_E+BjzFNZbzQ~d!!22b;B}2Sby$Bw#1m_~B?V{)l;2BXg z8@x@z9|E2RX94yFpAC*SXTOpF!7Pao1D_#$1b9nSk>Ne^NeRzemSW&6u)nC@ZbEE; zfK|Zjnv6u?P54!*8s4104xGJ-H|;+o;ki^)3>~tt{g1=Q#bwP^@YHq$=Q?XIIKy+{ zcb$aiN^}i;0`zd@y5Vq}u>FNg{I37;ZO0@C7=cUR(}zR|BXa4w6`UF6lKor>&z0z` zaJ#VVZ%J7qinxN@B@wugyHYrpw9BH0OWMy!c)ktpKRocJV2QazZDtLcC@e9TsK);|d_ek+|@M;Oqm#=+}Tl=%l_|~+B2+S#8nl>EiEy3`7X&MJ-c)m1k0cXLu zQ9V60d|3b7sop+RU^#cH=R^_r@^?viZsjk5?+goZEB{(>S}xqm@BM#?z`gtvGc`B+XoTs8zf%{q1)<4f<^+p8tY~YK&&ejR%d9Rvqp7$Dg zjJFV{X`Y^J67Ehk7k6}I|;du;mgK!?h9C>VgT=D&n$1o>Bz=U}Wb2>O17ms1imhe1=xj@46 z80KPd&JlCh+4MvIze^(UU`a_L@bKYUa1N!sbhKZ>^Ul$c@BtZ~-<^un|7Xeg;i(ox zpe6X>sWdpl^YYSma8?a3FU^5-fZ~U$y1)ZQ;8~!#c*e7@S=^7Cq;lYKaBh++N_cLP zS_A$mgy$xy8u%2?cQQQvGzsf{j4+}w^D?_c-2A?;q3T(_&2YhVH}Hz^y}`@E_W>^n zp9WqOzQ5=8ko1W@VJ_7kf(UtuFcUl{{7CSu@MFOh0pJo0}G{`Lxc$fRXdS#^-dYdsTtgKeytdtlyE0>j+ zlJKl7BjM|n6^CuOsLRS~5k;)5+Ii7d#mcG*XJu7{v$EQp{vnn1AdDYJgcaxpYssYq zPl6{Uqc21aoB!J84cjT4hE0R3VRI6mhD}NMx?wZOmm0Q16w$CrQAESWh10Mx;WTWQ zVby>|QN+I6D~f2?lJEg<*sVYC<95rpqK1uuC%|b~ zypl83Z~E{FbeQ@pJS0N{HbGBZ^v69v%ruE$Peh0bp90<>e80j&m)rU0Fo=sH<_3r^ z;m0C;N;uzWr-k!Hf1B`Q?J|<}Ozbv55pYLFUU(V_cMCrqyd?ZA@K)h1K4H`Dxb=_N zW7_OLLSLy6;Rp z*tOs_34bGaRrsxz7uH;!7$2~4#+Q1HX*5nY<`OtlVxx^C2i$aW4^k>h_}_r%g`<%N zCMSY2B4mZ5^_wa<>yC}TPx$Ylry~3@@LtF5dul3TZz)TJCtPni`enq6{V3Y&*Ml2y z8tQN4*ZS~G=Z&bkIi^;LLUwJT2ibb>*0L zEyOj6wj8`F-0tkPR;Wjd-5qTfjAn%2@1t=Z;&Ww}VqxH(_#(kH*Z? zgmK~VXtXrMY%q+L62700M$2bJOv`7%Y560f(Q?B?%Y!rXpLfxMFMWvK@j=ui7l3Dk z)AGeX`|uf9o;7Hmg`T(Y7u=fqJGJ`v%|!gnr=`00>E8FMrY zg8cGXq1GDZe4ei=M>1LHVU6o`zs!CApy~BzpD- z&xoFb!PAc0nr2Q9g&-vn4hK&PKMFi9oQpU);atS&7|LhcLhw1xpad&W1zcc=Ap$!g zn@s0WMK;c{(HbpgqBX}F%?oFZ=9Hs+vH4yP?Zg_*O89z>W<yfE|T#I7Umcd-h&TM{D+ zvsNUU3X^Y1j2}@g>~@QFJC7*Lyw#2_zue?U!^(Nlws<#qY+;n&4{n5)!E2(Y7rZL` zanJ4OB7$cTp(Okz@S^Zn!SllV!E?gj0?#^b+aitlPY5y+VE{ZW{9oWH;amI*^NaAU z!Q(@@P2Y@$AU0HB`B-oxe4^#+fc@&v1e^JgfC0gOa(6q-`{fgO1db5!x14b z{8;du@Z-U=!cPUy2tN}%y>MPw{=q6Z8-kQX_$qi(_{HFH;a6H7S|~l&Tc`?W=f?=2 zLqExFgAGcz6fU^kc7}$3`>HFQA8qvGz>C5sf#-$q37!+aFL+k?0iFk@ih`tYYBGfg z8HsQdcv|@J;3?s!fG35|1&=#Eq%$nAg3yB5v%CfQw0J%uR76n+cun|a;8o#Qf|rN# zu$DRtBkr&@@h0TCOQJQg5j-pWU*H+x4Ild&O$*-vJSBXh=k~CI2;ztk7oGr*3I8;> z5&l{5+BfD!n*PDyRmX$DJ~0!5ibOaHye#}U@RIP8!HdFA1J4iTfz8`ID+rrF{7b$G zWHHQeI7wYPFH!<_#=Ml6!%|Yh@6XOFJRE)8uoM%)xyY#z&S9x033FJg3O^MISA=s| zDqk|puvC%=9F~g0FNWpv?cQ>{LW0AO$$HD>am4F8HhlE{uoIkR4SPXpv5&y1imkdR z{9UUkTs6)M-=Xl3?NrTdt633GEW8u;IrgEXh}rbh!Z#tcoYcqGHVrbfaiyD zo4(;FkiTqRq;H*s2sz;#9J0dO5I!TEgGO5TnKr!Zb14yUG)W3yfCS>gIm*O@pNsHD z_-SZEwZ-%N#R6>N*}{msY@56o@hVcKi^0pnue3bcm3&X4n_K%<)Ub4OlbulxH`gw6 zh=6`>OgQ~qBOHFNsY=3hb1TB>=9V1~2HPb4+>%6~pIa18KQ}L&Zf;IE-Q4U@ZqqmP zb2CE)mebEo3#Xr(5>7WaDV%O@T=>Lr9tbC(;@AB!s(x-&OwEa@B7A?0_hsQ6E=s~V zEEGFs9^izMmk6gKft+woC|Tijb2Gv@pQP(Nw7>mfgfywTz;pV!wM)E()Xy!Vx8*R= z{B4-tLBF?hO|%z`3+SeafR1lTI33@ja5}zu;c$G7`L<73{oWua5$O14h12oP2&dzl z7EZ@EC7gb5>gf08g>%l% z38#mU6;8i5BfReSrbWQXKP8;LL{d2Y-nel3y)ogO@r>ia;CRQWt@fj+B{+pug&+5^ z_kAkDPX;dw=gdlN13brMU2O3lD|)Wt|%l(_^hkzUZ-5h0|lL2&czd77mZ~ zFjEo%`)}#GXywskEefAmIPo{OHtC?|M0`}?iclQ-qv0b&M#RSz?h3{9K2st-x$sIT zrn4Cr@o9yzs}qffWue@Nn7(FBY)fCWTIYoq?y;W~q)%y9M2k+JGA*30U`jZbRFlH# zYQ}}b)eKBb1oSCuw?s2UpRy{PE@eeHUCOd>x|AiygTb+yK4noN(5K7`r%Ra=PM0z( zoGxW%D7Wd?mrm0|1(wsNObMT8J+;uKO#ax9Q0h{epG7T4m$D|74##l!yi^qdyKhA} z`)ye`$Lf-Bj@3os9INvy#5d(wos$S0tFyxE1D0^Ql)3A?h18`iqtn!vPA@OKa*rLD z=t9wpuJ3gc)W`68l!k9#$3u%NOhw!z#qLw=u zyegb7WMwE1Y)#Cw5yD|6eY0-@>O$u3jwZm)Sdk3Td&o-oaQJc4qwu;5nHB+E$dqsn zOG)7zmg2%WEX9O#STc7H<3iS?WE_^N!Y_v9%0Kg#Qx`IYMo$;g-XChG^l5DKwN+8W zvJF>-zgrmlh>gakToy4~W=Z(O!b}^jzEGMKF&lbb_$FJZu)4}(H9DCk;dC;K!qH6Z z4pm8j?Ia_d3#Dn{oTXC2*-Vnc*-YY&2ZIwo-OiXqpxbGL)9tKD$>?@gg~RPMm7(0G zUtcJ#+!L*Ex}9a=912Rp>4z4DbBM?buP>D5M8L5lE1a%sMmWcmv~c>aDd8MzlK1?_ zLg|T*+NMnBH74=syc*#p*Kz7TY`h$`BYoJcaQd(r;q+nC!s)}Ngx7u8qzLH4#)Q*{ zHNxq`)*g%&fIe(hIDOcPDPVO>`;N_ z^kFl?C&Gs(naQd*Z9&aJ_VXNp1bsu&@;fggweAw8Us0Hc6Rv(UX`mjdA(}%4| zc>1sv;dLK2g_=+wwk#3o!ZH(*;^kI_^cnhizo0JmMhfNEo z51SHBA2vRehd%5jz9u-IS09gBoIY$tIDOc%aL)fF;hgx3!Y6+0W@>wLst7o>=Y-Q! z$O@+qn-NYQHZ7b!Y|8N=H8IoY$bQ-)Y6(tIap9bYV!}D!7~z~(YJVD{-=<&pVXI}| z1k{HuJ~1SM4bRS4lLY9S_cesJL~tFD=DSx&?=3$KJ?dSMw6 zA5|Fpq!n{DFeT#S3Nx)ZpodXQEZLa+F^TP5#+)Zj!whJO!Sxy)gXoBvB1|7@jAFjD&y4>#=7X zB;mD4z&z~}<}APyKzRwzBSsmNkKuWes4N+J68bBS4>>XQ90XN~@RB#w>k#L}Aa6R} zWQu>i+8Kfc|0j62@Q=r%+;Y-3<`X1raZ1};g6@|y2N^Cpg zpu$5>*;h1&yFbB+Hbgk^rT&V6k2}Wx=tu4a&-!+>r>h-fcokaHbDs0IINr@xMtEFb zQU4OiJ{6pIu=y|T z*apmmc?nw?HoOH9&h&=jt#q@Iz7~9za9&C`L-Nb=b$&G0CBScO@UlAnJj+*o1qCMk zhOePG^2M5%g9K>VE6_&T!PS5Zz_Gu!ep%gIa2AY)^C02FnLA`T2S3C~e6i}0)~jtd=* zpEr1%h66)RB5(lc70v;pBK%NfbU^r#QG+L?+#L8)!fEgp;WT(tpC2t~a2VFKN_bR+ zy;Mdb(13A?z=}u+XGOG#9va+$tHB!_-;BXy5`l(o6V8gr38%q3g`eaNKF`*NG4FiL z(Ett8w1cB75t}S{9pE1w;2+~h`BmU00{lg~r~m&>_y@xze3LI*?#f9bF@T{u?MW7P9%R1b&$<*s1C;K!mk&aXg;IoxtySSg(I-YRfD z?sNEG13ng(C-Szyu_Y9_j^Ts^h z8JZG3;nkpS&1$X)csFQ=DB|6qONH}p(4uhO#JLK*5e48ynQNcNniX@(n=-42FbNTO zQ|2acHagyvIr0T>Ayyf$1RE`!SAvbN^U(hG$2-CF(`-k+;7!_N&T!%Q84+G?8i%5^ zlN!TIOmpBTf%A^hD)>zB`iB-%FZvQ(0|D>)tb$(%&MQ4L>wNfcf%EE4^OEN&aC(0! z@O{B~Pi>HeU@r)GQEeCaZs5GAb~X5?zWuKwXg4e$u>Um&34FT_k zU4jU4aNhsA0(>8EUjON@cK!Fj9d_^MC11)R61&IYH2+~uj`!$lhitS9Gw&-jtK-wj~)MHj^UROCnC72(@9 zgd=UE$zcSZj$YE}_(W6s;#Ek%|JlcKyD)A{CdxnOxwVW4PIQXKn}qPwEgu)YpuO@~ zr#X!|4DzIuZxJEaWy}v(zV?M`d4Qd1$Kg#n-sXSdQ>Nf}Z#{!$x2$^(N%> z7ZBt}eZd7~!ViOQk@c;{-m~L=PlLZ$@s(}=*ZtOmmv%bE{XgsCE%5kwufG(2h$8Hh zA3%_L+$%~!(bn7glBG_GR%$HduJZP>{|Xy)tkK8(CZ6m*6NU&oz(1l{NRxlccMRj} z)|>@i{+Caw>N0NE>%oh?K74Gl7hLUmV7~q4agH2~XYA!x5N0Sp!Q1M~P;?sN8;d*fZuVQZ#BP$Nh*#bkon;YBeos&*AO{td=UQ2wuE>EEdiO@emk@T z>E63o9$M*!zxhPgLvIQ^`?1%P1aGrEEL?etFWdln>wF*nOcTR1DQo;^5BP0=ed$r1K4Is0S64}?4{;`|CiESlmg{2&zZHSne$pS5e? zc)V?S*a^%{H@HzgjiP*j@TF1V$Y#eCD?psv{-j z{BZD$3_r(!S3macFpKOiu-pw}Gw*jx8%v;np${LJjxYN1T>`<^5Fyna9ru$^zwj+TN z+xx~@+S!Lc*Ja0;?JW-*`Ss`f65kEJ2Y7NnZ@F>c`&oW;7{P2f&7mAhd;}s?E<%$* z!pDPWf8*Ok993|h<-5B^drkN+tFiQHU#U+asT(YJt>Ei^gswv4&!Mq&$V?ZK?@R9l z|KYK|DBp*k-xW@L%Pvzs>;43PN2uDNK6YkjAM8EwlScYnd;+mYjqwI*n&A0+$i-Oj z%&&ZB%nXC7YpL?x|Yp(6u zjoo)_Gk3q%ajjW0Nrfz9D?*JD5@r;kbxM3ysv$*)LH(|!7!e9JN~MIcmAz3CMg5<1 zp3jl_&VOF7uIYWA^PJ~A=Q-16?`86Ugu?cxVw%MCkisDRDVmMXK{~rN_6mQl7?DFIF!$ z=-C84<#w?rzLSdNFtY~43Jx3%MN2+p zMd{$v!EIH!MRh%10I!+D@)U9E^Sb0}idqecV2i#gC0v&!BH*WATUIdS)VLHU_dlC5Z zx45|`Lw+52a0%HL^-nS0A9 z*w`05@C-XguLj0JV@%EN|4r^wAb;dlu9FVDSxTPv!40|Bh0*@6vYr;A^rTy6YbyLb zC<=d!D#D=uNv_)Sb4b`)bWv%##SiM7hd6YiRXFPz&3|WkA54f7m(B_OkDX&jNu?{Y zOBUARs$|0XRTLNVzDY^<8<2TpJW^@U^{1^r?XjJFDcGL{(1_#xh+PblIH%a zbR$8%O6&vgv_hrGna=teb8;J*OkFA%OziEwRI-04atH6hUL49N5tkm1z~eehXDE3g z0+yb&0D5A@*m&L2t0kAS=zUzg9hLo*l-f~E#5tn_$ews&NH+W6(k#BJSz5?D5T%_=59>?U{20UyQ3`>^|os$ztw11^fXalznCiOYy`Kf&u>VRtHLXF=Z6l>3j~E%gAm{mV@m zhe5-L`(+!yTF5nc1qqXo!5(6+*Y>lCtHGlvab#TxdFLY>X=rJ&3_QA&iT1=A@BQ!Pf$O%L>3`Eg?t7#^=iu`D!LIY*f#KZLZe%N!!43>#U1)=&(!qy6&U!Y0*C#GR zY$jGkmB8DuykA6T@`@pZlsi?1Y9e?zaoiq`0s?)xf^ir$7V_Q=iH@2EdFLRO_o8BR z!9yz~r}<59Sza|=*J*3AM*TDLGl=js&p(Vr<)QrZ+>&qH=dshN@G6;V6mVBU5anNX!1F?n^shY5@glIOKv6VrZt%Sq=Se0@|YZCEUJM&^CnlI zE(&fAUaKR!P+u5p3vR0=T}6J!T!4sJmTMOj&k*)P*{C#5UWXH}Ai*<^TN`~&q*mufda5Bi{T0Mj zMevV5;+nZovxeYbmF32m3HdJIFRx)w%VSoxE$xj2Pd82|kMO%6JX(Wm7C-@`=GQec zQl6B5T?-(yV?GZlwde`H^C#v7;DeQZ*;#NcK}XUf$Y7hz z3n6<&&M}KK(7nJMdHKz_L7#_w*-SR591MC3{GO7`m!N(A2QGe=22o%vf<@a=K;RJ{ zuYs^p*e5g4%E|sYdMOGx1Vs;(=K%NydVU2D4&wt9TT4#34jwzlCtG%jul+AnLwX<;M=CK>oy~T3&2Caa*qmvcL5K4#^ak^X%V77aoJ}F-g?MKX=9123Ece< z*T9F}+(am{zr#mEcGP$-xc3GZ97e%!q5vnxJ>8|=hx~K*a|QN6&&S}kKI#3ivT+g= z?L$Ij4fnwuWcW!HKu1F*IT)v-W*3OdVC;kyJex&t6@nh;nO;g#k4ELp4#g?v8`olo zhpTciEfE@-ikB0U-{gTErHFjU+y3MN^zhnIa*7P+P>u{Ys&SW=-^caV3!n#xs|g}L zkrx6w<{w3dXRu<@on$8XjVD;q4p_7RJRhg6lff5r0m6Oo6SfqK)>V)XsY?nJb3SC) ziG*gk9C~yvuRh-@MQJpdu*0?dPmrf8`$|r4aZiEA8nGv0tVa9_Zr{e8IaHYvWUyUF zg0~ZAh~tbdwKlh?7guo5cSJeja(MAp=h)V3xB8N+87?0Z;u=>yfJXIzr$5RQN*kCq zP-`p>3m`w7xLl7cN7ZXWel)lp8w<^!lsuINGA|bXI{M#40Y$UeSEHe5l~P3O?{T$qTNscGfsBUEVN+wWP`X+MCoM_a;$na5Z zHt0tv`V+hmXYuzy{`NZT343V{f)ILRJ>qI5*O|MCU6v~cvRou|{+MepRwmG=6LHy1 zrfpAFUhXCQGoU}amzlLw*Sejm@2!Ah+EQQ~SK>xhfC?U{=y$WYqk z8h--*0{BaHnNI*;3NF5OE8@xKgb#>IgWUDEsNiy+<#65rdE>L*vi?GF3kk6k39)~; zW&xEd#E;QFz@L1c^`z)N5AOSm15rEuDszW0PVp2e2WI)Xy&hNHhtoLirrPGQvNUyOQnHG# zDZEG;!MQ|haN9=S`q;qlBrdyt&m4|4gsHd>#Rvqj7xII_!&^Cc1jZLyVhqT z>%d=mn+qO-g13Xm&+^c12R}qy?!8Z2dejNUX`eYYai#PpaW&N2dT<--t8S?c*wwF| zV@vfkp8AW-9cBF%#(i^dfjBmV9V9u?)P=Xm%jGONhbfj_9ZU(*<8}-YdYkhQc)sPj+!QDZy~4dPiV;2t9)Fi3AdG@v10QQ} z1@4j!Dr5B{Bt+>Nfc(Tzv(3b%L6I&zR~{^{lEn_l-)rfG-+~{w%Aquk#l=abhqlV4 zcxH)#UnTCB-F%^?o0nviC9d*UID8+^bNZhJjk+zlS8 zc)zSaT_jL{g&2*5*eZ6No;@al2U_r~V$bCaPZO6O@?s$-Ff6>H<=3-(v;@m9Qu6ea zn8BWyqx?^4A0ortRIbx^FlYz((t+H@E5P@G*L#dT^f)|p6#R=`%%?;CZ*bRa*8e=@ z%l2%{uHH7AGq68sUL#Q5#$0FzK(_E4$ z!?ryR{v>V(z{*Zc*BbrvAhFY6C%g^gKLGDLmo?G_8TE;Pdk}|h;e;soUW~~)9FBoU z<8q_$-_1X#nA4+~PjkT$EKfuecABq8AC*C`;L^cEJNT+#5UY{~;K4jBxyq?*qB(In zq#UTi6+l#pHcFmlgu0E+-xn&PZ*xS2aq2S&D*ENJi9NA8e1y2{TDvV>>uKomJ>H2; zAHv1FqU32^Y&qt7kL6nkFADa-to2Y7_Hn^_^|@VgwRAfO341j*IS%g~2jBEJ$6`q| z=Vfr)-#n&A5jo}PTv;wQ%j4!mJ#$q958*`Z30UT0UO{~Q8&3r`B(yX4SD_iF5Ayx7 zm&>n#F#5cN7=q_f?E^ z*G32(tH*_^aUBqRb z+$$5;Iqe~DZ_2hu8*+v|%;~L%zwjKP3m%9Jb2@Pdzk~uFLjh4ZM{imJkbe+6D<|aV zgI^D`p>!!(eO5rvL8Yhuf6vctkQr!t4L!vM(QZe5cABmXYUCe~Z--?RI*W3yZ01Jh zzd~FC4>dvkP{Dt}qn~+{`Z$V7Ys!vw{=)5~>rsEt3r%97|k|8K(=`bbX>d1@iVXa*Uy2ob46j6-tmJfwwl#IXq<1 zT^1^X5RuMq6v_W=g(6Q+_Jlrp`V4&eVAiasqOZWkt+yx0Y2+jl{`PWcbScFJTn6_| z;iD>>9*60`TDGVdk=UYXl2eUm;!Hpf=MBKasXVHNQH^%QE6WUV+!zr+LO;`0olgCI z$QLVDs1gF1oc@GL_6L!CY7sklErzYPiOUAG-VgIX$Oo=-B*akKmr6cOT(ykAr=TbD zC3ijDGm14=)hrZl54wIM)>NGnL=jF;HlhF*acPjikgXMUFxS?g9&n#ZrZeF*Zg)4b z4T8EjZib12KLqZ34UvOkc@lUp9|!1s^nmBV0~tJA2chRR=7r*+uejaIV!V6@8SK+K zD^VvhtRyZ2G*XTYy$-&G>+ube-MH=1i{ukf7r(*H=xoLb=fDRID*g}hgCHB(NY zZ?Yv<4NkoNJr_CMcW_S$m*=c+gL^Dj#NGq<9poP5h6Nvh+btJlw<}JAg}sX#M)5yC zB101#AT&VgY4GQ<9MyZgE8w1?Tty#NCuLi*&-3%RE!JRhR}0*Io2(cO7J7l)5()Mf zvCP3rsSWt`57@UCVNfsdu!+$YYla6Tr?JHIDvu3ObmFHVzqB0(c~{xka_Q77vFT23KxbrIj{l{uTk$95N?3_qB zCo`xH`VS=R1Gy;Cj|Yj;E_UvNICNMDm3Hv>FT8uA?CR45Jg}2};^nzrv;;S^*yM50 z(~-Gf99qhCx1qJ~rG)g<4DsR0SxQug-vlV~ZtSMG^L^Ij2e+4J@9F3;!ELR05ck4| z%fT|ZJLke{YhuFQ=llag1e+0tuYVWK9hTlkINNrg8R@IQSc)0tJz$n zUKi~G|HUggHAWp|_(m(jGk0F_Q{dq{xzFimBd!pajp4=^5rTZlEOxqQtXq*#8BVAI z?rg@xdLWIs?5&NE;C+xSwXwWt4(`Lf>zpb?2XOZqZUS#*&d`&%Y_f%xwNO9sm}U3y z0CBT#1EZ3EGBjSrbH?DN1w6?rO+VwPVHz9^rADHlEUBXHj{tS1Eh zdlVPueGTZG{V0Uqzn6!;*62MK!95*1scd#E5&i}bF5wkL07{Ezvl~LkA5xOL-{gaX z+lkB05V(Vl@FB2jGxv+vOrBS1PEa2QGDIhF@ATN2w*ha^lY8fD<(T&(F8%MdY+(mM zK2n;ufnMkztL49tV>d+tmPBGACD{I-CvKmXil{HSpU0?;8oUohuUZa;KT|wSjI!K1 zcm#YZxGGmh;&mvB+?-9gX1C?A>nb(jrs;@Gt+Mau8Cblpb8d7N%7zmeU}rWie7RZs9HjW`&GBPIreM}FY?$K+^3pGn}Z8{9=- zVl3u>|5JhW(9wwcyw2P&2JYYl+7PJEYD!S|F;03~{eRCdIN^U#6ko~1WvCv@?*$M2 z$)i@h5%YuK?n0ivb?^8Y+}B@r@A|a0hOd4@LijHBL=5~k^O%EnY7O()Q6bzf;N)UN z0r<@0Tn`&~cW@u>6t#ojMO@Y|GL07nx^s?DoDO@A@``RZdLdc8tJOO&pqG9lnSlj9u_7m{vud)+T&(Q^cjfBuMJ(MY)4s6j8 z@S3=zR?D9OpPJ2ve#zqEGPoO00tLX!%Gvt4_TZEY#;UukHi!z!Eq3bJ`Dcfpk?KsSza zFVH8FHsZ1v`xU<9v82LS*}ibDC$WGvVd+Dg9d;{ z*714l^N=5o0%~CiS^z#-ar&RGZV>+GMacWq!|fD>Atakf2pBwR>Hhc@czhxE`&bFi zu!gua)U%!q(w^8zTn^loUgPL2g?8NqJrfpiziuT1LCzohp~$;AvE>eH4p+ya=mZq~ zS(-g}5&UoPxu0@4A)$sgRG6q4dYx1f@~%m*B{kfg96H@bvlvTsjm9VMpThJ;r>wC7q%MC|S199LwW@ z^Hj3`J)Qd~*h_bIa3;a?q5UqU`QkE^LC|3lzD%iMSzyy`<-&35qnMdoy*Ww}ss z0~um?h((``m2Sg+x8KH*V1vKPgL`j1)+U!V0AGx&QF>0xB`*CDQx&6V)-@|cLTCfe zzCk-XexTxMqUv3IU}Iw}9)rBs`Knr?hG5V%;&M4v*Yeo(i{Sm|aUdx5vNtV8LhRSX z1Dkij#}4Ao-W~;S01tHKz7c zu#Ch^sd-`1eA>y_yG&Ft%ymYTleXhaDP^f_oNmi-pl**R=e@ z+$Q>&y<+Xyq3>+vP|>d;DMy?dtWcE3=%!7sfehjgZm|GNZc1E^^Va)D+nOE7q5iv& zErQY5340#|_xMmhIQmiIa^dR6!n{1>r$atGhBs^aO3`d^r{yMucbU^ej~jWz6R5$O z)*!<(L2h9`>aiL8>N@7^ULigMUxu5E=0W}daT(ce%aY_c01()p0>Uu!cANTpQkL39=a3vfgYRXUWNf$ zKkhTE2_KJOPS4~WU>DRun@m6kJKjQ}+wc|QvaN!a8`73Q{z?Omt>;n2kHBMiOMngh z3vh2+Ila($rPmNgb%tq}%3;u1aO?e7*NDqDiQ^1I7ht0iUV6?t%+(_<<-6T_JCz(S zvnW9}L2=w7Iti9`1OEv((#``PtQ65{S{-fzZdxHGKzrg=BN<5rp!9$;-fWXT<)7TrcqWzG+4;N6IL;p$e(xEgxq4hgAVW1iQLbe*Nbe#Yz4LmX9If1@LzFuqk8E zuix=gg6i0R^Cf_#aOo;!h_>fNP(-^Ld~yk{@pdTM13uvfduSc_NpSZGKA6*E|L@@b z;B$#X;y;ui4GMjdxD_Z%huSho!`Pd|5EPY(OL?c|_JStFWiPNkz>*6+(f)8x+LyF+TH;GHrtef{` zkdM~q>D^O{Rek~JP5n2Jr60Wdz@ihh4DH5QAC1idcQ6ml8FQ;c=OuM*6u>>G^|0A%bem1FzJX zL#F^HzX|U4aLoP#_k92!$9_71l0F2tFGQ$eU9v}Ue$~WDEwi27K>b~Peglu<2{|ux zmg&SzWqmZWGI1GoHp{x9HgOrH@gSc8L~FC6rp&8|=t{2SHWZL86Qp}`dLZlh6!!R= zkmyHTMoZ61oO2KOP@Qw+WaO+}$T=rM;u+$yts)pk_5B6&A@6yC9XlURco)10-uG7p zdNxb0n(!M)Lm#qkQ#!MouDjUcN71xZz@ue5 zE6q`K^4iQR2ya#=l@LclBeQOMT6eXgbXEQbiG8#?^o0&$kio<-0Q}YCT>i@_{~@J^ z4iY=eQpIo}2{9h}t6Da}(^-#0#8z{6(^tS2$PB6UteEA2!FSl+&74n^#YOkBsx%?K z0Pl;9f^s6IMZxXkPz<)JrzNN9A-IBv`yl3@E08Zal*3&2>Xa_ZPkv!XMMj|D@{}Nh zY(qs3vRA;XstjquhVHJ{$IXb#I6w0&%hx~%wU(R=3Rzwa*-gnqsW30LH_8kh1Rd>h zYr`fak0ve~b2si_(`8NqcUqnco&`Pr)7+T#VapQp7{x};M@SA@4vja1zkoS?EQ;Sp zTQ$tv#;w zZ0eviL}-U2KU0_w5GR^)hPx4SFCc^OJMMUPB`(Ag@OS|SN~{zoEC;uB=4F--9eAVJ zp(BlJUnALzm*qsE?l|~%98c;y1FsR6ac5t`D|3Alj*V`~lHE0mn|VHf9y_?xa%SWt zPJ11{7=<@qz%0=b8G0{b2R4ABd%!Pa>AxC$IJmncFRB6CJS zkc;yxNQl115vZr-lHJ**fx{ePPSmU%aar&}%l)@Cq&$s`PRonanm|t+=X|mHY*B0C zemNxf!#(m1VQDuM;0r9smPikeeW9qq@4b{H9|Q<72Hg2NLQG!zq0e;i$e+C0^xejM zHhAb&wV179gQ)hudxW!uM)e|Z&qt~umC2an?BjTrb5aPMwj zJ9#Q{`Qi*ENSDSeL&tUS&=+%52|>81WDjnd@Jp;nFY;=D$NMo4qsa{Lg^zPk{{(~D zWot$6a?sfjrT2l)In18eieMZexmqYaN#9iXMG{B5xyb4MjZa*B2+N@6>pQ5z0~jA( z1D|w?eb^fotOoa~o1STb0F!q!_luPS*t8fD4kLpd_eZ(GPlAW*a2R{xle6I0eC!i_ zxAhJ1+wr2B`da}>G>cR*`nhyn3M?#x=tY{MoaDq1jPlLRhxb&P@ljynLQeOFX zIC6&b+2k+=<5(Hs0bOv)jOD=QFns-@dVxj;NL4nv|7f&jU9T9Lw;`^ZtQ0<3;hcD_zk&7C!_SJ zdlebnqc}ceh(lX1ZVcZWJSYZGa0AJyr`p%B=K_$=kzDz<8*;`h?+EV$?!hh05#$^S z{_++Mw>^l@iNxhZ){cU0#MMgKa@TY<;xcXn zK~tUJXgzl53I51Fk0bsYgI~k)Ga&WL0k0Jl+5h1a8Lv#BPX}Z;xu5Hribm}V9^cG+ z950$+AoxMNQku2|>N5&_!bJ9Haqua`&Fo%ui`k2i2v=m2V=(zua5v8Jovcv2PFz+! z-*Py-0`ikER%AkcBXQ}%skm^n4f=Pn9=}*>34#MqXRqq@g9Cgv-m*Lcs`J3lT;SHxs(TTa zb+x{(KHl@8mk2*euKNEaNIZ;11cwN1*gfpI;A7kZZp=^V#AVa>v^0Gk$iFg>HH=1g zXbJfUTG$QCx+r;dMpI&d{4T92G4AHK88^Qz)Zco2B)^esooT%Ix5HDPfR{$u&!UWd z;C*n*3(MEcE1>Yww~} zeRm{>Ej!Xa;PDhLei-`2XyVezlPzP~49G8dki&c#9Pk2ov_xkv7>y-=k&5)lpBd&s zRxceFA%pV_A9%Q7?+R6LhS=esrHCHWHbUNd-SP`1Pj^*rOPssEhx`-w%Zkw;cM=6$ zM1ouIKXCLX`oQ6}(FwrIf_t!6jr}N!aISmgb)uAm)+R<-l!)aA1luDSwI#*pLxV(lLat{7sK-A4dUvOhuzDI3nvO* ziwq%5ADw>WqBo#`?{Pj`m-UOi;5%k;2cu(O_4yHcs#;>@G~{y@;Dt0Jkl`{CBGdT7 zLj=K6mbSDq=Iz&b5}>Z3K6Su@)p!LPE5p1oxaTEai#QQ19l*U;SbrF=xfcVF(7QcH zZ!JXoBjDM12iFwVFQyTfE{)^287hH4V{W^fI@MBS^S#D9%{b0nHiJ8HZ+sNlK9ih6 z#%8$>e?R1-qq#-x2!WGY&up%Tvm7h>i#gqNX?b5m#lGx7FMc$`rX2`g^L1`HeGw~* zxD2tGP7cZ0knaHb7Q0x!4SH>N$h#Lv-k7f4qGtV&p&ds5jo^<;u15K1ArUW$x|C;; zCB$v?6MkOY+JcN?HF0U0^{rwXpvU^kzwN|jQ+cuC-dm3~?PYnt*o5ij0hDkY8Qhlp zD=(vf=v!Ttq(_fHbf=&UPR~<`!B!pI{s#xAK5l3X9=;%_JQ@JPSR`dqg0$3D$nP(5 z!k_};vc?DBXQ$6aaCU^e{nNw|t`B%=%O%bc;Ne@Z(aKTlF-nj%DBXjj|2Y&q3mGEg z6CXla0Qt}eSpy*g24{Fzay40Q(BJ%D(Kl(l^$w{Yp~LoYAC);+hjqlkkKm!4;b6Ujz&I5B08CoDi5T{}`@Ydip>u~{^cL$HVI6ei2 z|B>L)1MCD}SyuE2aoLJO{g4hdub$YZA%n9x7ogv~JrfxQS}q#SgS_2xf5jT`#dmVw z?11pu1Rhz>6^_e-N6~*fkFD3(=goY^1Q|CiFJoQ`>OhMc4q*L+K$O?n~T&4TgNM zDR-$jY)pTv8-C z4cy+hWL2rL_3_SU$l%1op>`Ow7yQKM+<4W{7DtK8ZZdf>x6ub^)QiNW&x>0;QL-Nw z?78*vC@No6kO`!yJZ?*FhJx!8mx=;~3)M;8BJgIAx6j}j>ru)L9=^alf*Igm$>mfv zpBq;%bVm?ZiwRFRuE8SolgFUwDXjCeQ1C3`(x7}?LD5a~BILyoUXen0SyQBfku1_zC>JjokZpq2SBlZ{R|04){Od*0*k!8NmLYsxBtc@&Woq zhD=ZcQ&Wh9(=jBW?wQ~tIhxh?c=$lrH6JN4}^%wzAFo$?&w^c*d5nPPWq!Qr9tEq zJ1p8R6O>QBgGiqt9F9BT=AVhnx(9Gv76SiE@eDD?a?jcA_j6GZ%SE%w;P2zag?97m zQ-?TJ(Jyvm-GZ@HxRAkmb3tqHXa}B;+_$l!4&ZUi4UYGL|NJ!v`Y$MWH2BcVl2d2> zqa-VuhJ;wzZVLDqi@C&QV?->+ze~XTSvux=@Y9yp!R>;6TRm`SnNZF5tcFS z1Ty%x^i!q;)L(i(3giR$srV+~AV;@bKKnV_F2$O-E$- z;C*&oeMF7N+&`4=(H((guZ^?mOV886ons4?MS5xTw94i;DOpC;K>Sopb(pT~3|@ zA$J+Jy&Qsd5x8j5gRMprEd}3tJDa7qcpnp&&X3gM)9*Q`>z7KN?%M0_2H}5BvOK*z zr#5GpjN~ga0}VCqAa6!(@N%8O?3H*nk9~H;QZ{kv73-~3Z6QB(Dp%|U=;;D(S3kE% z-6M#CJxK82`S%EF+#mc@84lK05%rG}mjzp&BK1RFJd6z-^gILpEgpTUBv&r7d%i&l zvi}Fdi5sky$lx8$@u}l&2Y73&y&9te-+&*#n+=@<55>Sweac*4let9PB?B#VKOZ?c zOF`2R>1rWDmNv^E&Nr_&RTA{rJf2TjhK#wyWmUY`TZWo&LR-z}a}{^sgrPI^M5k~x zIkB4S2mUW!l&_;{G;_cB82dIvtC)xklRo1*j(|b4!R>$Wm}mokow#f~>xs)U;<5s6 z%MCZ{p(n1M^rcpFLQxSCmc7MO`$ynA!FwEMgPNgcKZ1`e;;{S$@>htt?*JFqc%`SO zkFSVJU!BKYLg?Azh?JLAto0jmhA*)RVThC+3W;6~Ge(( zHl_`DB(B4_CFZc0^*6^coa7Z&;F6|W}j*o)~dPT}0sJTM>*!k*F>w6?9>@Dof{>3B zm(9>by*#G=f7cQJMFuh5qeS(?uT{+b57E5WWEi{Ny@p*GEYAw_h|3CEFGX~Le0T{r zm5x^raaq0?^StWZ?iJ0H#e*Opaq_qsMO;o~?iX#Aa3JqP{LMv%;X!uD1E}C4;__@T z_BD@Mz+y4vJ>?QxbUC=U4HxXh=oA6(q?S9a>D8mqv>yp>%L5z-!No=HDEge?0y{8e zIAXUHZ>4P5iP(rMhrG!rdvmI#s~T2^d;pIW*-(64;?m)(@Nifk^b#lJzr^p?%!hmd z^Fk45#x3DPf*ToPQ@P@L!8VY%G^jLw?YT7MN1NNm&|`(ukZrMLp*342`xhbk`X2TI zw=TVo3EcX_)>~9*X(HdUoBB@iYT~qIxHt_x-{3a}I4FepjX53J;ziGOV0H>!K#*gG z4Zk571Fr$@!#V#c#FdMHHi#dkL5GB!QAi;T=n_62s9enpfHmEclI~P2C zBXj+j@&faibnHqb$BJ=`hHPBUR?W}x0deeh=KH|yur~m+4uFdzJh?|{%8;K^#N}uc z+Mc+M{*C3kh={?F7Decnd5|3&eS?o%gIJ^0ATAZnvD`S*M9Qnq)EYTspRi}*Y=P(k z9v{px>cn-00pPy2Y_dMseFWTk0c<+>5L}ae2p*nIoGkMTcjb)(Wtpgnco7*q-}5@x zjtuj`eVw@1UjqLCJi3J|5JLsFgNH0L(k}2|t{j1A{i`R{qq+dxAY+H3Ux=&5C_BEn zLbdrPzT%MI{vyZ7b{KR6@|`S)9c4#x8;$M26<8;g$z4-*Bt$Gfo$Lgke4Q6?8&&Ca z6E$&Zki8?HOzSs;xfQ34YZKmwx*^|R^3-Z#gyolPrW02u(D701IuB|vml9+*_0{4w z4nWZY@Yq?7vM9RgTi^rn>j1fsUkh%-_4O$D9`InizpOv~jxZ9wLBif%?9y++kLnDT zmvH2@gAV$aevc*J2OFB$vT+7ZM^R_~b9l6&HiSW8*zi zRD@B$c<>PBEVoJ(VlH?-9^S!BBjyvAO+41p#BUMj%QvOiqCg4O^ez;=fwu?!go49R zWVZ|pyCEO2yzgK?cmyv4a>CFv;O&3My&&~az;zT5vh23Xj*&G;6J;&CvwDitB!fdc zJpi{LE}K%^$-ePmJ%&#M_I-Wd^=`PJWZ~S+9){u3DLgkU!v^9a4gn_;8^zAAB!( zU?Q*PJf)P4;-J>(zo2uT<%ZVpQ@BA~K2mOvs=;XW*I*&n7}VNnD1u&9bMN zqvTN--%$A`R5V%EQ}IslUKlF+TF&D?)v7;ZAK0Mn8|c}4l#OVOPICf06IE>o`KzpF zu&_hXawMdVc0%6zhjlioo6YHFqzZvx_*jlV23K z^wjT=Az*pg?osgCn|N@|LcxE7do3^Ixb0!|4LrO$6&0vQTz0&w>SiwL?KP0#LjA8JfW>93hV%^y&a=nh^_%7~xVc7K{%86MX(%Y>$9Ih9qhoC3WAhGz9kQbJ2 zaEawxh^lxx(2jy{KvC!=-Y9s`=hGfRIQr|#Z{+&`9w1^lx-$gac`5PQcPsF2_LAwe} z*aBV?znJ(2__yG}D(p>xcs~OkJRV0-0A$}WhIEJ980=Vrj zR%FLOSs&bO`Nix!$yF8agoO2p+J3~P*UIAcnR=!BAmpt-i82X1{v;17aky$GaT(xM z5iol0c!TAui1W+2>wbz1%VmNx?PC@Z!3du@>RUdygL`q$yAxji0z7;>@1ga6>Nt4R zvZg)*zGf-6?%ipX`wq(yml0eRFM<9F2Gs!%VrS_EZv-Ci z#b<#LthHMam%i$zE@aT@hjvycB={^#vz}&3fI9t1B#*keUg3hCATHZ*#44W42BMr< z#HGD4%a4@20UpKO742c~a&T`CcGQ0G4b15j8}CweBjIC8kQK3GR2c#OITTfWm<>9M zHa`e?H!eX{g?tS1cjFg|arhzr0I#Wjp@t6K-a>{lk8;g?sT=}v@amGQplYclzT~hw z2@^VkyYY^frO0_7aTULorNaY|FIJDMqKAM4paW=Ovb>Us=Vh8$j~=P;|TH z0pcR?*n8Zi<7m9?;Ok!Df|o(h0q}5nj4_DjL*R$hRkkto>mA5&9tpuOcuT2glHZ8S z8oMn$Hsvuis>KuO;O@1FtH8Qu%F`5_*_14Utv4nHeWcbMJmTPb=pn8zc%Tx`VDWUe zcMy1dJMSpn@W&)@r{z(8KXbpZ;}OH>Q11nlAnO;ymNfwWj^b&;XF1ASfdbs`v7dCW zT&KCZvH8FInzw<+8p_^HYY7`dY(Ekr-*LAWExF_);K5bQ;}w}-1`l=Qg7vlJ8{qL{ zJXOZAH7zxPJ?*{99<43c7;@*5t`l&>9tRY?rw!a^85moF2eEnzusxz3ap}34merXD z@}cUTm1F=0-Ai2U9NnmyE_gIDG_+hqn1BL8|JyT2YU=rZy7Jw0$ls{XopupC`Z9PN z*EY2NMc~ud-I=B=T8s=~bNnod$sI`l6j#l#CJ|qOH^dK?p@GCH;vR@UFz=g4>RRu4ll9C{D*Q z7K6q?k9Q!4lD^q20Qt~txy+=Pa6{27WQdgJX*&S^Hh9>wS6>G1tj$O4fgzmXL-1%r z9({DoZvpq=J)U0K5HcqBBEeoUvEbw2@8B*1ng!M83b?Zthrm9$P^M3riR|jf@T7l5 zv~)G_MmR*}B?PUliKp;X&=n#f{IaEuHHsm`<+N#izI6=b9XJMk4e|l-Iv=uW+5}T_ zI_vU|W1j?Z?(iQ7{Av;b|OB5viR%kAp|>OMqn=i)X+O6!5l7H^HmKWe1&O8JXUN zeDH7HL&TwH6LIwl%)t7@9=#hG;<&xehYUx+f5R-+8a4Y3+?&qVbKID9(tq*3@ zBrcCqmM)nk>;K=yfM&=Lz>vWkY$4i%2Q9zk+!@?6&8_r=F-`UY{~lWty+t1Z{`e!j zhD#~N<%@Ah2%qQq)`QvSN$~p@aZL0=&7LQ&rccW`#p{q4mK*9;fqVbO$e_%n*z+S{ z*=Dwg{|fOXc(69dza0ht0Pfz&lKY_O40vq}=DHh;C)m)*mUWDcxLlC!)l;S*CVuBrjO+mGxt+Llb?_PP-nNmHBD4OwS3TmgS%zDdU(LY1Tlvs1%G+O2 z06o?dnof|n=@+zXm-V6q8F2Oquc;{`SceVjgA8tqhsJ@&#&uIw@^Zz*M;!Nox4WBro}TK@ z5YLs0BJ=n?<_&PZcNrN%o%!5P4^Abfaud8CDeC^JaV^d4^Nuk+CC_v%F)G!{7kBsV z)ANqLo(>&*49Ut(_`e*p`BI~LYL?4%9|}t8&8{`pED|%XWug_nmbn*Tg=1fjOym%W{x36 zkH2d?o>DY(rBN%zd}6s#F*PsKJpZ0?-E6ShsAm4Y%$Q~FUTdVAZ=E#CnSZP`?6w5E zGIO)cnx~8!#WHhU=D$0PI_5Xc9S*bU&qkVAqq(C_dUp1$CT5b2lbbu5rf0bla8{nV zrmdq{N`bkrt)phk%mnvjW@aV`XC~&&bejLfjqWK<^ZjE+4RcV2Bi)>L$*5f?!8w`E ztXq}13JT07Z5*}CBbTUN&t^Evn-MZMD>F+BpFHW&(Gx}vY0$5C?>?PcI9pgUCRQk` zz#P!rQ8P6=)9ilPXk}(xCcht9XZ&b><#e?6XHxzI>$99$$tXJ+QFeTbV*GXEJgnqh#}4$>zI~&3D24LAQjwE7@3Aa+O>O_Oq?Y=4UGN&AMM06}#Tr ztXY}K7H6`>y6Yu7HdEPex;{5579=W5wm37{;!I_+IU^~1R_ac22?+mz|SqE_TxZz0d7POV3VB z%gQoGZ#E3Sbbf-3Ici+9An8I2k}k9$=|T&VF0>#S0XLBvU31j9c9Y2wD@ToM79>N! zf@BCJA~mjAP_p@IkRvJof1_)T8s#iGlkLw;uwU7lne0S0$XRqHo1a3?$1hgzZ(B6857O-OJA!2&>|*#pamt{uLi(GDK*$xP_p~g_$Q_OH`jAHY5=t4 zOm@E-04-9zTaYO zUb6jp$>EcC)Bc<0=OssfUb6XlR`ZJw$;rz#=WV9d`sHo3Mt^Oak)Pr+f8J))X-+$g zk&g}c4QbG|Ygh8BD_@Kp_Q=B{hBUZ`{*PAB`v2qtH`5&dtx>6bo)|e|^kX-3m>qW0 zb|5R?od2oeOwG`eo?K@3|C5utEl%*qiKpc z{(w=jSV3m48Qf)bHB)vQRn5Y~Mw66mv+8c6of+6|7%5riwnauILUNulzus*$Gq>(G zDyzJyE|=+CVpJ~X%FHzD?jbr^{+aa!3GMpAc+(8-F`P=0+?DbJC4I8T=xjc-*QjEq z?j@m4dyN+6TYHU@DY@p;`-}~#*#*jIbIX^sD_XmsN^B*+jsDW;Wd87_u_z_0XyRAK z-6_&f&i&+=qfz5D*+{jnl$w)m9@%d=Q*zAmUmLF}KNZh%x^m2|U(@S9v&@u3Mnz|) zGckU1681njIhNE+^UiOKjSp~SCd`gGGBjHx&X_qInu&58n-->m)55aMaR=mnk=nO- zRu>^8FG~j~h+XZq1dSC)HJ|>gjMtpCL)v^67PY zvao-S8@HEB$Yz#0VYIR(^wvy@j}a%RP3E1D2P_5VYbT7%VwrjQ=2rS*KEIYKeCdQS z#9Sf2c}^NV&6Ouf`9AsQdt#-sRMm6Lzt*UumOS&9lVtPHlvFuOEjAM>MXPKY8!MVM zPEo{kKV=j&(Rc&bI25QP+%}GP;`uKN}~_X1|c4g67o3?SC<5 zrDkQDbvruf6zLb^o{Dmc`p>s=c5;~?o;JFe<<3xLil3#N{xil*v$yZ$gCi6{ zcb+pG<}>H0r~G-&Xi1%*@p&V&q}mqKlycsvOT)}A`o~;*o;vN33r5BA2_@5MREZ0Q zkGkZ_7;RR19y8MJb|oI!xiS-SxH1!*nVop*m95r&t^`|Mu6(m|BS%xS`9-6AdRAgu zcCI<_BGqBlMWdnlb=+uF^yfw6P>T6tfuoUmHBP-WdeLZ9EYp>1wz@=zPUA8hX%(__ z5|m^*UFMBThO4OWZ$|wT(`ZLoC;e{JP0e?ium5gzq}m<%-Dqsix@@FZ$;?k$Ze-@< znSZo%)Txk_olv)|>^$??%SJ8pf0t=cd1(#B;epFWZEB{_8k#u|(Vz6h^Sdk>;$B&2 zG_xhxm*vbcSBL4?(Phq{f6Oysqqf=N52IYM%z{kwHbS<97SD1yi`xA`V{g$DSB z=5tq##+B9PB_XV{GxN+w*XR)S`>Pa#-(E8snFFsG9nANx(ziFSk+W`GGftQfTs0cf z+JP1_m5Pe}ZR8Y7$t`O7kMU%x`Rq|6Eh8tPXcu{Q^urS#dED&qol$xIua5HNMs7bb4A)RJOF^?(#$*$#B#(U(awXuX)S3;>u36D4Q1{?d44IT2;qvv&}_vpS_x+ zf7x4dsWnnX^Vw>SI%XY;v$OE2ndWpFR*NdPr?>5zX`5*T9pW_J0y8RA@4}SRdlO@RxH{S2*DTiv07bLQPJ(X=-w)7Wff7;{=eV3k4zAM`|n4&_dMpznKNh3 zoS8fK=9j}y+kbw1i@hetQ8DiB(NFjnm1o=dXI^bKmw@f~w=4c%Y|s~%R|&j%t*CYg zIB(g4OT>*)j#!qfG0N2#<;-g<1>?`Ss^{3fz3aXkB5-xzU20sPf69JuvZHd0I-~n| zhjN*^6c_Du_0jG(J8VCx1A2^icurAg_juponyL;<8Sf~Vrp`@S=ct>aewH%JQG1y> zIyKs*&Ng5DDmCQDyG*^U=OvEJM)jkfv$}YI&2|5i; zI%joB1KXRI?=sxQl$v>&heZ~*ie_Ugz7i)BNs*dzoj-1QX+37dWE;jVdHAH?Ts_JJ;Yg{cb zW>vo!D%f%p+tCXQG?8oC^W8J9v^z@2s7w1s9i@}iN&RLyVyCIM^+U7ro`0&}Gxpha zQ}x30jmqvd3g;TCnw9-k;QQO!zAGI^)+B>}m%+dIGWF5^vm7m#sbBUVKfsfxORY1w zjtH)Pw&X^2(tue5vQE*-0}S#xxY7;{A$7xmS&qu_>PG{{J1Qrr-3QJZkgMw5XPRT? z$$IlVm#NnbT<56lRDZ_BHAP*MHEUAaakg7*Fjtet_HPLeqK|bzxij+gv|A|K5=IQ~ae%_2COAxAibY@UmFKr%L#8 z3GbBf6%xL$gs+tF`e4?H)jHlUV!}|VK#f$uE#Ye={74C3C*em+_<9MSC*d0;{B)Z? zPHB<|G@|(zlkhW5B(5zIUXk#v68>xnzhAp5q@Rbt& zSqWe5mk8S=LXCuPl<>6@e!GOPlkiOvzFxxbl<*A(-p{^|N`xkYPfzD2^n zE#X@w{Cg69zl8t0O&Wh~65$_G0W(mZ1e}c5B>n>ue}{zsr-VN$;s0&n+5gMp!{ZB! zV6!Dl_^%~=nuPC=@HQi&Q_;B+K3T$-OL!OYte*wGlL)y+1(+~wMp7iGc@o|s@p~kE z7YVOOcr*NyTrA=Jtla!7kqGn)^RHCGQz`Rrv4qETYyFi=c+7^@UxkG4u4DD_S1A!v zEOwi%TEh2~@HG-XO~Tho_+ApePQv$=@b%05df&5EeI!DIR3JmbH%a(@5W%=uSxj9623#iyCnQk2|o;Y-Tq{_DQ<~usX(%X zA0gq>Bz%s9&y?_^B)m()kBQ^`wj7BtHcqhRO89XSK2O4rm+&45KS9DP65dScNG=w5 zw4Z%HQ7TX(6__mHOC|gi3BOpvPnGcH68;nkUm@X7joaU5tCR?*Nd>AU{OJ>!6OxDkns5uzDdFtNcfn9FO={t68Td?~(AAN_a)Wmr8hlu|!xT5lSTd6%xKw z!e1%j7fbl7Bz(DqUo7D(bi7{-zpEuerBvY0624l(FOl#y68>5VUn}9SlkjyC{(8&) zV5pY}H%J8XZ7-z4F0lJGGJe~W}~k?<8Jp8ek{5pFdJxbBzmw@LUm32*uj$(n?( zl=wR&{2dbhDDa{@hu=zxV7nzg3iLajm@MH}N&IONezk-cvl?nx7{TXa^eJA zwS>==@Bs;*C*jvfc#nh+N_a)WuN8R1e#H`Dom8Mi!mpR`r4qhI!Y`KacT4zk3BN(Y zSNJ7@DiJCr{6-01E#dE#@HG-XEa7V<{CyI>&cOTG_YX*fda1xB3Ev>$AC&M-68<3x zACvG8OZXNEUl)&m7zGmH5vjm_3IC{sZK68>=ukN!U@5uUIJxT%Pb zg1<`mWC>p{;nO7ilM+5t!apV9UBuJ>*ac5ZgdC|rgM`nO@Xty3JPH52g!f4J7bLtQ z;r%a4gkp)%Ea6Ke{0<3UD&coY_{9=_w}da3@G%|F_QTQ=;bom5{;ibouSoc63ID2u zuaWStN%&d`zgNQ7RY>{&>k^?}D)5GcZ;_!bk-_P-+$T1^6Q ztrC8}gx@FO+a&x45?+(=`z3sbg#QqD-Tt^aDiJ=G3fOLqkAhDme6oc9RKll8_%;cj zDdGPW$NOzAiSSvRV9Sy42PJ&2g#TQ^=SlegNO+HgZ8WzgWT_mhj~g{=e$4`2+k{Tr<$7RK1e?a_7{aC(g8W4nKx}okKjhBP`OU z_O?#^4L?lS4r%SJ0{)V)gK$j1pAqgtxIw@l5l$jpC*b!8pG3Guz;6KdFYZc2r9iwy z2AZV3T)@qQlL?m!cpKr92^S0aDZKIFc5l$0ucRvwaytmr~!a;Zl;f`NvfuC~$y9l=l_%Pw2gj)stCE;O& zV*>t+a5muv0e?i;O}I|L?-3qOxJJPKH;5QPM5REyM0h0Oasf9J&LLbX;BACQ5iS<+ zQ-nto_6YbM3iy7)V+p$iyn*mI!fAy4!`BeO#eBO>FsvjzfpEt$;RA$o3AYJ& zDdCBPTLpX#;YoyJ0=}H^WWo&so=H{mk~ryWE6ox{5m!9{ty zO)xkJpGmmm7vTdF0DB0x3HUJKe8Q~){*v$v!Z87VMtCOS1_6IWxPWk-fZrorNVw(~ z)ZaP$4I*X{Q7IT+B77F%asf9JRtT30cpG6a;bH+lMR+!0kANQ~Jcn?ufbS>lBkU6J z2Es*z)BFOlhKRF?unBl2;d2Og{7eOJBV0_lO~6YDpG&w^z}FC-OE@Or%L$)HxIw`4 z3C|;3C*Zk+&nN7!5s2ADTtGynfM*cCkZ`$xPbXYLxKzNC2wz0FSiqwR&nN5=@G!y) z2|b+_+r5R_B4U$PQ)c-unE{f_)@|hKhXj|j|W^zxJ|%^313FIRlr{o zzMODOz@HH=Bitb1j|eX!Tqof72w%Y*puI*Q-XP*iGE@rqCBjz`E*Ee!Va`VFr2^hY z_-ew%0)C3{HH19^ew6T^3Fiv4Yl?mkM|i;adq83wSi)+X#CEJdE&P2|b+*nc|_X#&xmh!uow z0(J-n!W};f9~cLECE+#!A0~VU;Z^~ENq8mUn1DYcTt&D+z#kD_MYvAD?*aBNUQI-e zK)gYQI|)|`_$9)35iS>SGvR8&r2^hYI6$~qz)ulgL)atWM+pZB=L-0K!fOe;1iWD! z=l>89X#%l^4C@Hn1iX^)dcqw?gbxs|A>1b5rG)P$+$!K}2yY-96Y%APRl*Gdo=C0r@s8HB@x%LRNo;ab9_0-i+pKElNU9!>au!X5z+Bm4m2 zTmcUtyos<&z`gxMJV->EKy)Yk5Mi5u9fThy-0_3(fw6$=2)7CNFyTiCw+i@6!jBS; z3HUR@j}dMV@JEC<6Rs1m|2-leC!$6m-XQ!0;YtC&MEI|S%LUv_xSnt+;E7**1Mgm< z1U^%$4t5mJ^X`qcJ-xuD?A_BLE_)k_JT~n==*#bGYLu!&$x85~`-ac7DXU}49G$Jm zdsTbU0Dtlp%tklO*-?pOLEvxzRPOkyg3z8{x+qm&*_G4w-{`*5IRvwqQWdi+fs;OY zy3p2{{;FUKp7ahN@GSl8wUc(>*3OK2!Rs$ydF7SkokQsIEO&-28?)m1O5pQly_*CI zY9#NjwwJ396iv_kAv(aOgl@0(1-{XKdNR$XrGrQN_I~x-q6z+IKy*Hn<-Q}u?Wwfk zHr=i4?%2W*Z?jE~X)EsRg~B`CJG%(*;SYNN+$caL5?fo&j0c#DQk30CjZ#YBQxv@K z1Bo+Ei<)J?^2`T4Qf*bbY@5;)TdO{G_NbKHXZ6ax&7QUDKhMr|9NVJ)bawV>9jB(t znvB{H`OUV@RaGu(uzSgeoOYn(k;$(YPL4tRJ5Tr4rJjGzSckhotvY9@KWVEjJYLcl zTIKfWjhllS3Idzm^#IxC4hOFrbvT-06oura7pB|xu5vek&z>ytM$;`GmLpx81zrWr z6lPP$T+_qvjg%Noj1os;F*t|d-WOQwE@ADFtP?6qKGeVIlmt`!r2Rgs@+??zR!!iYdFKCxayUoS~l6I-ay%MoK6K?w|sc*Qld&)_Uo>Mo> z%}Pyv#`4yw=c}XUW|gMJF)8O8rh=)_h9CC*FH=2G-`nQ3ytFWQig%}Jk;332caqTf z@wwTKc@63tbB8!Gb%nacpq|!sikf_0R;nvr|G0VT#Pd!WWO{vJpl4Cw$Nb<~DS;&S zefdBBiBtClRQ=tt=oBqBSKaZ{SF>3)NPf?(`##Kkp*)nr1>3HOEz9SE9=jY9ND_eJ;AZ?{IHqqaiTx zDSg=mT2I55bA+Jfu=X9PDFenY&lT~c7FfEnRb_OQC7nG^x>i@LMlZ-r*=#Ah77pm` z*8hUbiW8Ns6Lpv!1AAmC(T$co+JhY0XcQ|5Zg!8Qi$P7AlYjZ0uXrOEoabIR$kEWC zmR~sRl1)Zw5i(I0{dd&_BV@8N$x`)%qnoYjv~}mC8!_XR!D$@!MwBTCmL`4GO9cOp z3$qypGD`k*#w%v)prr;;3a=>lg zF3EIE*{W(K*$(@7HT9ybKTnSf$~jv%8q1?O7roj|4{^hK>$3E)b)@p(8mA2*>s3?{ z)N4baG=kc+m4`reuJ+P`y9?sNKc1Y-=$PY;95UL&IZcnQ`N5@0G{RUwGDl?np{#Pq!sG&Lly6mcF39$dvt&I# zfNs;~yg_6fRTW9L6=Tt3hw8es<6g};nU|g~cGvswLZ|4@=wHMP*KJ#ObVR=qd4E_j zokwp(#a8u-h1q>pjW!$69Th`#_AlxK3x|&M{h^3T=-pT7Ub%HaxMo84VW)SqgoOGF zr#C#t@TXrStm!S33Qh+-)H5VC=rqdGmWp zwAG+vRz!)1FCNi_*OrTOT#F1X>0vSOqi1bOV3WHASB&blYT6~EuG$hGoh>Jy^Pk7n2=ueKOXVqU9^>^$PlWn@c!0piv z4AqCOscQqS}B+{Nhq z-6&NMXmm5ZRzm92SES9|XUW#?rOeh+d&^df1WG^ZFINb-W#dARsHXk*^U?9S2M55O6`d2cE(XP|EkQ9%S}1aqoy2$ zqy2_owBm|}{`R9@9t>fS*K#rvhQCwIDY^o~uwG+7TX z@7fv2vYY-;sw;(#5~-3B!|j z{;zELnf1LHS+i~C1ou1pmb*kn4O`Wx|2$}N!9eH?gBr`p+jso03bNO$zx??|5!h~6 zGUT#&V8b9_RP$jB1u1Kb_u zzYlO;u5%CFa6wu}Toj}LId|zkj^dmfPi45f>_#ixsWmqy`78R+a)$1n|0~cjINpyX z1f!fwA6hK-2O%zpws2wSUWFnBfkke`szp(vR*+j5)6SwyD}hl$wy+H@&;irSRIV0t6G5i`z-W&ZWc20PlGQP(faNM76I*%}$-%p;{5iFK*}xPtwuv~FcGHyE)h&HQd~P!<;Or%Us1)0Jf2qeDP6P~xdP^e- zvF@Il9+{5!+tvD;291{j+m?2{Xbi==#f!>;E*!f73$OBawdc)Q~+`;X~CKD~!Gx{rc+MlfXuf-Y~Bs1*G^)6`8jFPdVe4h6w_eNp`@O#RhKV79Uf zD{Yh7ScZRdJ-nf9oVw!HOhsCT??8Uf zk~Ju)FT+_fVF?Zj8jPN=myX0*=#J1ITBH7P+b<`ge6S+R0uwqQ#+->^mlD@kbHAAU~lhf7m z6@5?3Gf0zT^q-@&&C6J=u=y1L^jN%8>}4pCV@A58L_OQo-7ES#R^_N4tr+N2EFs!L zqi{6}_Yej$3nv*&O0?L#!x*dqA8RH*)Ebnd=KK3)lvn~uOUC@BeK%6Q)}QG}+os;- zAL1z8CUVl;S`^c~-E;$|aUMi~ zOdP3>t3=3-mrfq3+ocH%`t)WtEz=Yetu*f-FlGoOKbrRX2x^H{%yC)@I9eUArw)v? ze+$Z~EtNgzx=o?c8uJd~TMhAKgZRr(O5fEKyI-amqP3P_nuIj%lo4vq9f~7%m%8+h zQyre4)Ti&5Ojl{Uqo1R0oBGooS%v)u_p+^yIafcA<%4C?XuT=xJyiJ0be*LJEZ(NN zlkS^>?zR;ME7#_r3=!)5m1p+bVwB+uF^#IS?Ag=RhLxUVkMWw5dP7yZno^ZamHJkl zn%ZF26Vo|I6TJ!0;)^`f)866mnnT%8}Y_OD(1!g2#RUr2hsx^r zoa;`5JCL(Pty|SIrS$u2gbqsdm2GPCsv(Z-)76hxO>&feuR2$cb-cArJ!5r$#}lWi zC99{WzGBuK(=I+uePnf!7|GwQE@qQw-g!ofX(NT%#M(R0^c;(qxFC#2Fjh||J+F^) zVU9mP4^qVJ6{Rd7dZn4Y(&H}A>6TQhbh2Ff@HS))fln;i@Eq=rBDuaRJZ7wY(14l2 zDPyo+CsK)~m_=jSqubP-cg-&_&4d{U-H>k4=>#6S;BZu6Nsnfk3^adtl3-|ce)X8R zEt_DyyQ`aZhN&Zb~kE#}p-iYK7}Y1#uUfZ^Ezcf!*tERlAK2?`x_MExW%-VqzDrmh*7s#ry} zQ4Q+UHA6CrEe!2YL18~Ut6mLCNdl#kls(U?53U(JwA7+#_dbh?r)?73~@thZC zTB{yd<2uu0WOTtB6?rmRY!ucX*e&?RX$xK~bgx4V{3{3?!Cv6=>a?KSvGo`AvS7y4 zCZmiJtuXJn8NzIJF?we&SD4s~*^j{y^*{u0GuNt52XiwjE&f$*8%KIfyKbP`5gfqS zlCpN7qxyLUZEi1BXm9?kC;XH%6k;rsM-G@`qBZ6nx}^<#>`n4R@Byqh*=w3WOCcX{ zL2#lHtu@L{j&Wa!fk&$sWj49hoon+_>nysK`m<^eWsbBp>cdBg)=Twvhzc;-ebJg5+L&qIVK<8->ersk@zuN&O^dkaHF zaL1tOh!htv{CBd4Veyvv%C#w#KT$iV554+h8#y# zj{5Y55u6(SzM*i?A)}-ZCfpC3(czi>OoU>O#ACdb=|$=)JAnAjq`~* zqVE#3IE<608|Ss|z1993von@iqD+UsP4% zx%a217MLtCt!RyU?)^jQQOoWh(Kp91ABS6wUSvX2Z?)n6?2KH?vh=9MZ22sgs@?C- z$V;H)kdo?Bhdz*%o#-GQi;oT>GSjz*sHG32k5WtuoBH$+-RG`?7tkS?Rttw8)WYg9jdAjdIch?=}fI7IHIse|Sj!hBeQ9XE??8LY0{RG8{BtBYy#2dn!wmC&r? zAIv<6 z`ysD$p3HK^r)v8{9#%Ex;bE-!oQLyLOH7WK*8GXO@!?4%w18f+T|M-0f5%y$sNL$6 zL_r+~)Jy87J4?-?tpD)?>XUV2M3(wN-INqO5))4hz0GyQ)P9dlqv_^7GL@I$BUpeQ zQKOHH;N^=)Ch;=hQH;H{s^_h~rz|qW>e)%>``DTa8dC?Fz6E~s`zhqK2KDhr$5QnN z|I=SR^yp}|GV?KE&_6xa&#`2?df{WE+*@u#s$|)3nXLbwdy(1-yKR1KHcNLr);Fg@ z=J0}}DDb^i9`4;jWg@6`{N^#K%T4B(cJv-~eEV=UxHUw9N!JFO%ovT#SMcDj_=#k8^86=G zPcc&mtiradbx-tnJf^BUo*0r5vjovHF$%g~Rlj{AE49UgDnX=KGJa&NPhx#e0 zTAZbpEPYkqlam}6AC*tK&amB9#csep;_P{HuHF$Zbn?bNw)|k0o888gf`5T;l*rNT z>L*W*9(mFydg{z5I0z)GM)8vT`sz6XhL=IOg-}jaY$zLh+}BxCOz3u7IBNl(G*HDbMW+&7T&&hLN2%mFS*Z!y7`irQ+1!d$K z1RTwa*KjWQxK1%9x|MIJSzCO4>n*RK`_!T??YW-4)fHQ250d@IqeL4lPHi?gKHqXv z--Q;6h1*zoH*(MNt;Gq2%W*Es;?(AXetF-@ zzx$4GD7#&aIulax-3yt5d#*JRdJ6vJLiMC)NA_2GTnvqHu&BwyeA>x_t84Tt`Jj{rK6z{_=>GvG+xy zf!#uO!fua&cIG&pqt=R8=WSYLATepC+5XG)o;j!2dVJ{(U7dtv%h*jR3e6~B4!|@E z4;zgofG_ZAe&8){Xh+*BObsEmq9NBY?Fsd%hC#Elo&ZK1o_zCF(ssHvHV9kwV%k9W zunlPbe;-dIHwZS1yzgoC?O0RBIvO^seYZ^-vEOjO-ycM3g{}1CE3OyS>$YW&`O7{&Qp2vW&VW-Bqbj2 z@+{Ynn68g~B*XQ+vg_~upqmX|(8em7G1)HMo|j_E_BNx=y6pvVL=zAl+lTZq_qc)^ z#1@yB+K0Vx7ITC@zi>^QpGyDhg$v_|9Y7SkxGoX#>5CI4nK{k0m7KVp>;Hv^02nOh z>7te5wA!*kLF`%!YrtY>HhCSM7u7XQ16|>b7=D45iprcy)RZ(UxN6-dScs!neYI&g zjeMvnmzTkja$ag8BOS#NH4?d!(9q^|M`=VowYhkNwKTCF!siEXv`&U)Q)r|B{m?wg zG43t(U(G{C`V5aK;4=#JFuc@zs1HVAntrC*Ilo1T&U;ZExg&4zuV3k*w+?(mAj{hn zU!N>|QN4Y~P)GDDwQk2Ljzur3`*%zjbkdtBfbk~WHM+!fK4vkef2F#2o=){kcY6Dl z8ziwL>3|)<@$i@G?ww=%SIDIn@u9I8%J)aqq+J1)U%zW|f79H)E+6C{scho`!DYkQ z3+l(aL?-EsTAAc>L!dEv?|_FRlN2!ys_Oo9e5@-SBFFB| zbj)i~XYD@A@$e<;dO%=#a`%uf^y)WvUzA>H1Wlz&s~A5@bYYX47aKMIms|A&&m74L z8tt`qj0=Mbwn7&*nd7Ug1L73!CJhK#R? zW0VT1UC~;TKn2H<#53mWUmK}j@>18)=4|!fna;F=`eVY?Hkl=2LW3GMy7d-y-AiLL zHd`d>w3w9jx2W&Dl$Eh1fl^M&CAVyNc}QlXMbIYQ(%aVl4pL9y7N@?9t=GHMt6m<) z-VDAxxW75+l zA1butJOrFz(kmC7zzM#8QQh>)p!tv8BpC~BYQVrc-U*JG{*O%q{kfC2L@pLhGTTTS zpG(poIKgStl1)~u43{NVormV06)f0(jEOb@oF<5KKeUX_;mB-Ke|~j53cGOWz!! zrtCdAW4cAAty)pDHswZj^xmwD0*gZ5*-uKB8`TA%_!1~w^K0)dRoCtnYvG2yVzhs- zSB&;w_hvd)y{PtUIm_|(`D$s4811*U=%am8%ZWz&+85Q6UmrDp^bJy*p+FiGKfa?k zCUi78wEvb%#iE-`2AXO=Nq^AMBh;^6?~__*vd6UZ%GDlk3{9<%V}_TD(cWNS#8}Hj zm9!48sNtRK)%9--No|T3dhB|2#~VY&$KseTF$q*~AxY+FpYZ01NBdi53B5n7 z+33#e(4Q0h`z#XsGMAJc*Y(Cmn!XMcZYmTurmxQISuWNuB&5{=~1S?5$IZp*+TQ0xod_m<0b zz$k~j#ahSd?-#*7N6Pzvzi(=Dd_`D!n|kc6sXY%`9;wv`rVMr7-!O|^t5*NbH9RhA zwnvf95*&(`!nzYkvq;CzZPgq^+BPn zAE?j0lj(3r)HmN5GqSHCpcaL)rBIDy*~T(UiH?md2)|q1J#);+510ZQTc&23f~K~L z8mGkT`0ahQv~`Lj`3d#j)>V#UFQ{4XW@VY}Fy9`q!K3=K%E-6$#6YtsHhi?zm z0uMXJ=x}Bw(O0bAqHRgjUigPv|87>lw=9Yl`iGhAyu4Zc_}xkVJe;uLI$wOzlSZ{( z-O!(<>~tsdY+19%SO%I)wQyxuQLdva3gJ)af&0SAUr+wphjUtWC{{T62yfGX=Topu zTqXZPt$BXf1sm-+E?r~xx0Ppd!_)&hH-GbREeMw3+$Y`?Y1&~XF`^se!Usy!t0$MJJ((B;Um>8;%KEeu%AaJ z(}eOggLU#w303A+;z$k}canPc-(9&&s6gON1&_t@@LWC@&iV13kO^U3e&Sj49BvG` z^v7m66Q@4(_kMj^Sg7nhWzT00?2%xF{l%$j%iq0zz4ijUkCs+|L^2aq2U!z(eW#m+ zU`$qsY{7W&>Mir67X|hfh5W9?fYl}ON?P{)+ z2*XG~caqRlY7h#XIhh5{QJdW55a``mZSwmIooVTAmcSk&Ns$_p1j@-IAZ;O`xmF@L zNLb}oXc%h|@7-Bvuy{jNHnj9Gb_AxoF+1TPBbw-q)SDtem6ZZ*x3)cU3mt$q|5u#s?W=O2CJ4 zEQXg@oCH41#~6gIK`aFk3W3<@7df#PPhibgk#0f$te;rW58k&}$hZ1? zUzazF)WQ3^Ud%*hmyiYg9{WOXf;fU*^=gv0bFa6mvy1=A6V+Q3${Nq72xhGT zt5)@n{R8~0L6L<|6vCHnXg>sfy;ZNJcspM$ns&&!ej>J8%*Nmlxv36q`s?RHp;h1D zvq0^QC};68IukzbF>RY!+8<+XPZlkr3t7ENE+5rQPS$g;!EzZ{VBTz{BPZmpM5;{gCZ?CiGT zYWBPm+$1U~oO}@B%@E`ZH7q|g)bt7k5xkB?pic*`vO^Sg`9g_)Z#0jdd=w0R&S&Ig zYY)<@H(j7qz058yn)a)6{j=jw+!SA^?3fbr4LZhDi*2ABwg9I!Obf=e0wK}4>NtEpWK%vud<~4tzY@E5`th|geM8MU zMCL0CROc|z#JtnqU4DbN%N`{(#iQ)`qKgtr5hDiIJG^7wRXSgynoxzuM};mge>}n8 zRYOe+yLRB;C0&myRj+0GroFU0hHW&Fm>`STf)P*L%A!DFj`pAJ znJ5d)VhpG&o!??1wNNK(ZwXQwPN{)(*jduz%-N&;b!dOqrBBHahYm%>%u(5(BG4{^ zh+clN=_Fa9f+L`LwFQuR8P zihAOV>-Qp;M_{c)XvBDl-Rl&yx{XebCAzrY@d_6#3og!In16BpCHa@We8S-n@tbWr z;dp?5Vu6HOaI?wHWRLFq`(c6nv=x-iB7H;7bWy85l8k}0MdW*6XcXxhZg4D-!U~+3 z7ywMja0@qJ(#E?@?hf^?wpsRS_3btn_X<1Ovc@2SbvdF`{g&)p>luw4;=4dgRa>_) zElSzzlixGK7%b&&LPS0FU(@_=BbZv7gi5mZ*jENB%#!l4Wr+7BO{ zpeNu3p_}YW2P;i&tMy~qN~or?9NbuK6hmWXPn|OySW*79(>8(zHb^fOff{2`nCGj_foP z@hj0KMmb8%0V7MZ0DNRP%A-E^Cy1_u>Xs!`N1ArzHUx{HJ|p`u=xZ@_Ed3yr-Kbb^ z0r|?j>1X|^OL{dQH3?F5xg}G38nUQ*E;PY^aXFD!EE}%cDGmWzXlyY+Ww(h^{p%J3CbHx$W=_xR>3UTe;Nb+&VcX=28~Wx}p{|ioVqu7L10T`WHq#7`EIE;wnvHe2#3~xgdPmq#gtOqaW!*r=_#oo$K!% zj;gVm?eqY(=;fPG*X3q%{nmRDYsv{jZ%$ z;GO*DdMI#qXj3=a+`e{S7aX;KfI0qPQolKYf<8y*1n2cTIwvr%Psf~~uV2TUfUl1> zC%CMiHYc#GPurZ}@_ub|0?YgCKPOnwZ~r+c(RvQ}TF(Jri?`~_yqmVO^SRF;8zf=0 zFr#I6x1g=Ci?9_^oA?-0BAYEA;CLgW_T~k`4Vmb{daXcNof_R@v5QQZ?7j+pm?Wf8 zRtfa~esIkWEkv)Q)BF2>*Xwxs*pMoEpX?Y6M{a>wbF@K98_>o`<*gFkX{n;UL0)O3 zYGg3WMqjZQsk5I%?LMPK6FJe!j)W3#C6pjdTZ{tOcT%F}YzYApgU+JQ9;f@?B4uB?^`5eEzr`d0m&lwvJO3W85^r@-$m`aP};5x-y_CAKs z+`?(!IM*IxebBlBX6Lk5iK{mNdeQ>q?_D?pRtwbMfa<`f;*vQD)&K=cEiOvnRqW{* zt1Dywz;cE*zu8K$GQOz~WFIn{Cwv-BE(#W8wQ+^r%Fz`)XcocgKNi4;=Zw9B6|Wld zt6t6YcD`0L?S~cjaD^yz$8IEb=LAfJ`3fVn^m8xXn4>`v3R~J8GHar8KQBw{gu>7z zc5m6=^MCx9U6Y1rPwyz~0*`qwzw_lnr}9yL6P*JuI{t%SmnC42#V zJ0+PDh1GE!_heBb@dV^KJppMH35e3=HwEd&QSz9YHkp3l3sv)TNuu{VOzmUZ`nid^ zn*|HH_j zJu1(4DqqH%gyVOHDhS_+cs0NK0tI=f!=D{j#cGl9pc- zPepy9`bz8~TD2p7*6YnkXhnX!6@na1HD&}J))4Z`+3C$3# za3!?Dg;pq?Z|)MIwdxngaSdTUfQI<3=N}FKcS{60>NfR^X*b-E*pd#GkB&7N*pRcy za)P)i^+$N>IrcQY6LJ&OFF6OD@CUkA4o~carvF=YL5@x_HH&Fm$`e&LI$^rWLEQu7 zIic?6(Lbf^T1MZa3}$$?ln_CPRIE(h7Yc4>cSSsA4KeN3vmxJzAIO6hlft@tqHcZI zD_mEt!cg)?&AmbMaJ98rsDx^{S!fDl)Yf2-nL*z($1s=kUWxil`7y2G`gqM`&h3UI zXhzWYG`Vy6P%krx@sL$TbfL*WbxSGmM5dArz)&2wk?_}2Lr9@te3kQ> zBGeQ$_sNRNUf|}IKE1C#vSPLm(?dPYifbLOX7EK5%F#w|$T#k&FPQY95?y3!7h}LD zQQLJLbi~u}X`ilm%_Ysyl zFbvCS%1Um?Q~z8Ld6pp$SNNZ~%;Z5ymc#ad)e3DgPcA@U9i)l4j`Fp*QFa|OmqQo` z)x^LYyyiDyKEVh`YSw24JlZlQdG<&RIDGhmF2vOH)(>0*Zld_#7V+R8^u|B?;C&yt z7fegQs8q4euUcN26zJGAg0 zwmG53Y%Vo?=wJG`FYuGMsUg0pukJbVLwNSt|6ET$(;7tT+m^Q|J1ZHmlLpID#@8G@GXM^6)F$accmenD!Z^UJG zbabcbC#a$Yr8tITxWZ4|+qikzXdLg3Cq5dS9Pig#m21B|m*`NY&*8|qUQd?L96Gi_ zGCzXI2JL5Uk+*h1EUyLT&{4qvAx72)5Jqqt{oQB zT~V70SdmgYi}rb~6$JCfWKhVFhbz}xMP={lPhZrqs`uk znNGq?%2|J)w3XHtg=VM6`;DC#IbcZAeeWcSkQP?Yabe{xds|*tHDO(awMN+o`oii* z=i0-cPq zjA`49Rna!HpE1Eq(NghKExy1HW)BN*!3gmM3kJ0@Fvd81qX*40cv<`^HP|`a--N0P zu)j?mIU-ubRoCXYM{t(x=pj=K=e8TK`U5jZ78=e>!=g{y;2`E!ktTesL-#R1DcaNP zPAsP|bSYP3lu(1-rr%&?R@miJ_?382k0qG`mna93OEcbA(k((MVsRGlU@X&=E;#KA zQ&1(idIk8rO@7m9ib4ub#B37ZU7`0^8aLkVsRtpNwBQ)cJ58a}l@vaT-p^2aO^|+rMO8WKqh1cxqXw-k>jlc=7 zs2|-l}6*Z+Um0XuVZI1tO&h3zeBzsQjoew*)zwVhD!AOud46AJ*{S8_O;Jb~aAF znH+Sg-r)J4+AoS*MJS({p!^Ujznk+Nls|5<)sV2*>VeQ&X*44IPlvsqUqI0;QzLwG zZV`3HGwx&Z647lkP~~&L@;_CsI8No91eF)gU@dRu=kCUUpxVbRts0D_m9?^}mbESx zP;{)R6jmc;C(?R~$v~|GVEH4h!zoGjteJTUDysRk>K~|g{OYMjR?jb>=ybF8nD)ix zCsMD#WT1L4gXM(kMQV)+nLST8he|_XG)`bgagT2sn{r~h&BNb(m2|QnB<+^~gj#z; z2|JCg7oIp7_C|L8E&;F(L?UGJdAkxRwyLBW$-u|ETg--CFyU*rv-fq8m>QT$d)Y?HWz&EW=XejH;=S5{Vrzn0t z8fEXr6;rJ2o*%NzQ$kzEmfCEy0!y~@jGGn0zu-|p5Ap)5joS8VJrAj)Jab;cSQ2n_ zU!3tkz21v$%yxME!Qzf=v=W{J(Qs_($^HEgf|;w|8up?jRlw1MajDBFHEzepZ^5J4 z5LR#~hh1D_#Zpu@KV^1k`Ga_Qd0$aznLCRCvJ{~*|NQ(5lxT+`PH8@5+_{xNyEo8* zpW=Y~tsIVz&Q-mV7A&;o@9lI>c;$CV2^CFI_n7K6+_>FP?^41f_uzlQIXU6Nznq7 zW1ZFXm3cBJ$8b>A+u7n=>wpcJHPqtDOjF<4GN(y=88eAS4!nkhK_{6jpoDfn2TqhH zOC9cQ#>axWD5W~K-TFkvM6R4(jaiZfio_@UpPH`wK?VI>@&f@!lZ~?Iq=}d42HAgH zU5fOP%`}6ZlYX0DNYoEHqWs~DQC_Nmqi<#~w1m6XqN^NiH;oqDyK`T ztHY>@{HW?KQI$WQvU^YgKDqfk>f$Y4Ac@ztz^IF9AjW=N$4LA$p1D$8nG}HGM}E|m zbzHL+0}c1vZVTWjCjtC$L%gzY7b32AzKVI-H%ozcIZaFLWUe2Wqo)E z#8Ko6At2FN%KCWseAd$!zzfwi#!IMzvqjS=wU43 z3vJJ}oy2W>09cd*;k{~n%Zx2Y5U z`^Zo|Up5552*Uj_3}_7QAGN99{nzDZ?MVDv&~4la;aWA`D(Yi=&nHwf1vbDpg6}_; zFmeoU^hTPDAsv67Ul_bxEIV0o!seh7DKIVMk5L*`;@1W_taz_2y#syB1l5Mq<(=3W zdrxcvUe1lVJ^${>6`Gi2*ksOKmKkx-8V^utvZ!^i+L_i9yXkAivsT7b58D{26vr2E zI)IOQ?P9yV9=3q`N7U>evi-Zk1=VO6>cOs{aSB39alQ@9I@~i{)r#J06sr;h2O3=g zYbME|2UwJ8`hK8&IK#mk%Vnkv+HBpzb?c|5XuQ-VR~d+ ze9)ObIf>e`r{;uGo+u1XchXPl5u5Oz6<@qKvdg0~9LUv4>JLXo`l~@N>hcMVUV~fq zg-Ac53$1#2P==|A=0W|2ZDgPJMqV+e`p6>5LKRR8|A}s|#0_^VjfPu>^3zge)RwK# z<8Lc!!ha}*QHC4+)qc8810Y^wxLT6X65=uC7?GtYv?_Hu9&xeLn@EW+v09>C`VcHQ zZL{#gz_$f~AN|HAKSwx`p>5O?T!80!qQ?f-t~cV|_()7b!;@il*=HPK_%o*2Ki zQKH?qT|Xwh=v_!aktU-EK7?!*Nz$LL3AaI+V(m(!%tWJ1%qW8oXq#nHtcQcFM$sl4 zMSg2#H6~ZO$;B)?&0zVMEXWW&9Gmela$lyxbcoWvY1Z}I%wJdF{}G(;7Jw(_{C zRR?O%IXjdzii=XbXR4`O4q7>VZ zgDKcl7t_5Evuuai0pFtojC)$KUW{3v+hyqyby~U>xkm>*YLf)MlmgO2-i`Hbvj82-I|3m z>oPfmT4nqw)871dzfh9D$mlb?oPmRJm0Lp$&WutFu6&i8TSg#@;5-d!71=^F@X`<- zhPM_34m;Ow#g9+&X*}a3pU!g%F{3C3o{0R$%)+URM>ed0X+7bw3@kNVpMUZ2YZH4r zvMfG!|C7s5YBj9>YBcPt_s}3?+R &NrAU+6xf^&>d8SF7I!@J`oWg12`+lsd{h0 z{l~y)YuE5mLi#Ufx8p*B0;7n*f?aC{@=kr?QmS6cRd7#ZaKlHioK(-=fi1{*r61>- zubknWP;h$d(#cA~LH8Be@pF?(;5T&PJKvv-nz8Q#4e)roS3zDYqq`6UamdoXx*C$?aB#SvS1 zu4Q&8>1#;E6A5>{x9XK791wA?{hO%I=p!Rv5U~dHh5oin{EZmV?y=A-x=5~l9BTIk zJ~z$6@l?zH(?5Ha<^OwOFl%%HWD9I`u~`=TtE17TD(mH!6_4vxLOK17m2aHuZr}v; z2R>v5B2*ZIYvKW~@mU!C>#^l}MVuIzLy<-MGix-!K7Nn&T zn$o5-ZznVF@qzO=^A<3R^~Vn@9x?-Z*;;X!)_3*mJPOFDB>dZKr5`d|&~~JC#&mQ} zXk+rxb-#9=6Lf#X=VzqiOk}*4v#1iri9XxvZ_isQMmJiF?CMsUwXabi#*xlOQlg;% z9&c_+C_$q3c@CV$3<4O6EiiC0{zoSo$tPCw1;K#+iiI)bpt^eS6s~?9udB7_htJLl zEkC`rsO)v-T_iHwX%JVO5a-p;LFk^Y z!LB8+Hzu%?rk$*_bN&mg#TkzT{+$W@q-h_G6s~79-24@)5ej-%Ox0!jYC!4If|U$9Bu#imzXQTC1z)E>D(KQ_e(zO#uEOhS;QAgS~H__Ro4oPsrDyPl=Ds|+Ef@? zF3~>}2^V*@PxCj-xC^2oym`5l5?5ml%dpItS%Z6TQ{C-VI$y%mbn;mjiCnAI+i@#vnveHi#M;z4O}Zupb_D7JyWZ-Bq>Zv*VzQ{Ix+m|<5pJ3juf zJA8UKd$!}!rQu5f``4Pv!R=X=zSE&sejA@iru+7 zgeqlRp8clV<8T!=c5b>>hJCi1E@rkAKGMzJd)NU}O>B#quVCJQS1^;@=w*zpjl08d zUeYbA+ZVLCZ&bKrfW2=|WmltNVlPsl@D0gmUES^BV6uJunRW53A#*mJ)cm2hpfW`X zywqcM-jO}_oA7&SCcdh|hyB0@hO z#}>u~jD>(3yW3}tl-YGp;)13X3wj@Vy%?p?6@$MCw{=ImJDS5kceiIbjy8ugd)Twi zNHW$VG;syulh`Xjq^ZHZcbBd4j$D+-gUwy@Jndb`R1Jc#HFllDH}tR%pVeTrk?XS( zP*IzjY5XMAIF@FoI@djc+(O|`%;~r$?ik@6vi^MUk(NBr<9JK*qiN&RRJ6KjMtDrB{S-&aj&ND3 zJvTMoY<&}p)TP?pJ@fG0r}k_HG|SYE=Z}TIO8s381A3x{1;@fOd!hzNyuK%DNZ%0- z;o61rw)C`n9BE&Mzv-zPKG|tc_>w{a?OBW=gsy_t2X==&PJ4Er57WgjORfR|YQQ+~ z*_)mAGaPMM;q6X)4&KiR|I=xoJS08@mWsEKT^N-xGM<;eu0#&)4o^(8&n#HHQeWrH zr1{OE8~xt2CKH2S;|*~b~Aq2cYl?7d|A#}9}9-s^aI4?P@C>un!B&&+V}%g*>HR8mae9L9bC ze3HM&qMiG&uIxf%&Jm#~nqo4tJ>w0Q)5wCHDZH+?eWLW0sI*x4&E9r*-(3&sqFP`x zUvR7&TVeR5X!xW)_DO>>4T0SHYlTxY+O_D;J^!Kbygv5AQ_{>jV_LE zZzK8^PVVG;t6#XO49xXTANw@gCM6x-f$Q1n_QC#=xUBPcAU;Rx8Xw@}B-`FQUMb*} zJ!6HSzu-40v)P&S5hZFCR+<;a#XK_*D}#txBzQHV*_%E7ocH6a^eF^1i&S;1&ldhF z9li@?PR_9N2QR{Z%7Dw3#=<2T_Bm5e`$hjLZr#+2%{pl6v-@${F>KXa*Dk&_G=B4d@cDh=7v&~HOnabj_{P5W(`J}15TplGSadkRVmd&6 zUsP#1a-~V9HX}%4hkw)8o@sq9RtY^|el9lLzn^`4>MFAgKKPpvp4ZRrN?jXAg)+i- z^s|rbYo=*9Cu8k$Y>b7Y{p@2M!!p8$`q{Hm;*?FXaC)Xa+y9SrNjvyVC1*;jHN$lEM4v2gu#5f+$B8tqkP~km}JF^20A=+DE2X(_OS-Mz}rG zK4gS7Z(6f79$bo`(Sa45K6f_8!sGkfv%7pe*BSn9fW6<`czn5`Ku>jC^utKZ&_RC` z^}t+tl24d6(-No66`t_WiT3oO_{T2ugEu7nEJI6zuJa;z5p~&*0&O1MI^uk=iA_0jES-6Kc=6v=0*T zuYW)HzjES1^EFI;4AkCT$P*?Gv`}cCHd6Nv?0g zE3zQTDi=A_9B#?Nz(<<#1xh(OJ`VRBq|a43gX~!gj#~LIb{L>D=P7QoH{ss9LrxtU zfH6~t7Thbl&D23DyUR=+Vju`tgA;}y9%LWh_n^^irVf0xi+;VLYnt%Bl<%cy?crac zRu|iz1>vF9-G}vBxq#=KzKt5W&3T8ya|YYT=OphjXA7;G+hJDDMh|eV`j~n#0SP}c z*q%PX>=*N=>Q-+{G}jAXg|)#6=5p@{Pa0yMIJneE_srZXfX_s=|0l6@(pTa8hoJO9 zGnZqx9UNkxG0if6)NOQNlt!Ul*EPiI%Xw zZ2Q}p;aZn{#E2ZzLF7R%4hWRP6kYM&%Bp@yOMzJ09qOQ@zE93mJ0aHxGe-S6aK zh^A)PQkq?R!V`zt&rDvDi?P5lRWVFT4i!8~3~wE#Cx>qgvrinF6Yu%=C!h%SQ_A72 zQ6^CEsg?f;XJy+bIvQt$&&kFFgmm!6YU4aNs(lTca-6i6 zzX*Sv4YeVyhuc1CkSPU6j+uBfi!x@NI#N%-7R z@J(xjELyoIeCH@+>A&3-ZWv{sieE4Z|7Vna!k}uSI5(s;*iG!c=pFZ$yTX%4+Y2)) z%^G6bsJny;$SA}AoMP`cTFxgAGM_w}3K3Cr-a9gD3g=W682i~dJ7wB2d<)GN_{Hi}WHvQOKKM~k z{_NH0o-vaf2hME0!)0UbiuK`t{R6Kp76+e(^FWB^fmBOmwAEywGQ&xV!n)r=I&C;` zoPB!gK9dDo!kyto9Rdq?fFz=%LwR z*93d!NRJVX@lu&VWDFIL2%#k(A)wjMUK74_f_>n`^mzHZu0eRkaN}Mj22I>xkrGQ{ z)=S~1C)oRsR=~@=kO`AH{vceyQFmOX>zeR4(CL(gmV~jAPOYd(tao&s{&jUi#JK#U z@bp~!_?}bZ;=)(=;hdc@5}!CUQwD1X;TpX9#LgGDuuu9Fi2MBOuTML%TqjjfZFis|Ye zn5zkg5vR#Cxk1~5VSG}t8e)S;8;~1fSr4ZA><;3}gu4f8$^Sh^)bEKFiOe zD?M53zJ}sKhpQgh&SAO_aG9L6qxot>|S0rRJ_-R&5ScjV>+jH zpdHNCsM!!1#C17%;+R4|#X%g)L=@`Q6op9CCHK`t-E)1}z=5R!|5-KJbk6Sh6;)PK zrhd(n4r$3ifzy&IJZYg2SNg)pH6=iFjAPySfB^9@JsSjw{5UY-0ju~Zj{^pULL|}FtH{SD>uO)H9D$4Yai&#M}K@>wex^i8z}CZ)Q`yg@6q0kLG}n$uyAQv?4g9fnM+Lqk)nu zljt-+(?CZIK$nht?O*9+6Y+NG)*-Qa0PB-vbO}*Hb#@}Dz7DhoebB*WQF-`nEAp5z zu{snWg>=e7%8_QxmII;7WgilK2C~5(^QD$GcOdKBWXx6|-5+P&J{asfD2@;O5A&sI z5X_g)9u%Di{RgC3gJ9!G+alHu(o9unP_(_l)^^8l?mtUIea>T(^5uD~ybmL~4Q735 zDr64^jM=5)jlnD`)~E{x`l1!_HTiRRPBZa=XM8gBCuF|X0GXH{;{0G1<}u*FZ%Z0* za3xiQ3}I2haa4?8*i876!%wQ?H`Hz0K-@nBT?%a=a)w~dxN(no56_@u_n0on8IR@8f4BHNo~5^+V(iL1;bc9ZEkJo~-lgvly%Jd0 zZV$&{-sLxnB8^E-1IQ99*hMYL@*qrdh4S1lrixb+SV-ga0Bu(YccvYWh-Y#egz&+s zq9TE{?myjAi@1sy&+SheKQQ7(fCwOjjV6lcdz6Em9rCN!eD6adX((&ec)kaW`<;ix zGecQqBmFKJR=>qN#5+U51MWWJ^l;W(v2BAG{e37)>u5xYz%h*uK&6-oSr{6etHo;m zFSg>mIpUdNteL6iVpFjykp*!iyf=(RSXb(`wB`S^i{jug7E79L?JyQ%DKwBG_0hkH zXrIWU8m}=D%iP~K77rz|{+10!5|vxhn2-!^ly0ak#T$2soryqyM=y!K2uNc6t$B0i zfi#$l&XM5Tq8;LqBo@POoEM9d*uMzB+es|6Ntpq;%>BrDFYW_}!@ygv=V`bHo^R?W zLm1fR-8SXit8VeVQX>Z#gPKAEeaDp=+^D&r-KdedB?r7sPHTvPg!ArzOLK}ngIIE0 zE8wqPK~8QcyGt0~1Xisp>tOX@HL!xD!KIViN=~X|-F_n&zA62tcz6U0k3HpWZGD5g zwRYAhK(t-dz|&ai2o@3LuG847ZaU~cDDU8-J+8OMRMslF?lmHBpED%uUo;v)46u9d zhQ&3b0AW!k5Z5e(dc06&ty|aBLE`Ik=rM_X9}M;a1M2a46+OOXfGTr`-L)-cr>^Kz zTQ&X~HyPJN)MEdgBF)D7w(bm%BphPQ-8CCs@C_0N*BL0f;Nj0~tWAJNh9F{@N?(f+ zXKk>{L4-6Ki9@AG?hr8}Sxj52A#3o7P+t~FONd4=K7_kOjps(PiH+iQI{=wn#cv~7 zug1F!gb_BRtLQcg)A@im>5I|gkx{Ua#BUb4qp+VjzEfTnczwtWvx#?YCgzT2LE^2k ztOZTG-$#-0W{zlOXPq0L@&ajpR^*{vH```o-XuF;sT)6;&kIjbG z{y9zk9N*htPr@C&oA7fq{h)3$fIwrkL$*yLr;R+cYD?Rou&h`^vYHC=xJ_(i)z zr=i%{C_pH_%QO^;wLVH=VeMk;VDsTmQg9?}^~_s|kl2vMLj6fcqzNHp>+-FnmjN8# ziuJo&>jRAf)cOfOt@R;31d>4+>JTWt4oatgBm&)m&od7LAGv7j__XYvXf#yj?sZp8 z>_!2?BtXZ6Z{02W-iOJUvP(|J`m|KLM|Kd??_;AHtuWLHn&JEJ!wk0?GosA>d3(|5 zeiqU^#FOOF7QPvNr?wEeQ`Z*W7%pbt&wBKT_SAAeG#s4uzi0`uJ2fpKWVpC-KWh~d z=dFG*2~pq%Mls8hFY5V`5P@2N>*r$lShAV;&cy-k{=1L~7PrT;=8aV^-0|N_NrMlj zfmixHz{0F4dZs2+T6{0E9$@jUJ(`E1+?0$pfDol8-V(bXV4WJL8j0|yy(Mlv0HbGy zk#saMKPM{HSXfc%^xxVPPTwq^d=TBp(naOvY_q9F+y5d_Nc!j!rFhCt(dZ!<3e}U; zi9zCD53$xE2G=FSCHWKzL-^c=Z|hF60lECsN{`s6eu#w)%&F7cNtMKga;rsZ#T}86 zj0x&bizu;Vd@5@hn`iW|%)RR`npk&E%|%85BGjtCWc$9tg94hVb>_z6I!JB!_Wwb6 zq!_r-^z9?xN(u}F%G|Xzcf)XnQGhT!RpX)1lr+eWm35FgcjCXw4!P#bW>X1?l0pM& znY-^@n_XuVpk@QLW_^VQ1?s9xm<@GsX$4&FCN#W=XzI>Jqa9p8{_}4AFER=cB4hs4 z`VX1$*Tdk-t@`BZ_6RfcMG>OUBdkNN3Lc@mO;SEOa-e?~MSh|A8|3FvtF`6xY81;t zxDfa`%GAx%jMIetMmuUx(;Sf3T5&XM1tfRM4fsMU(!_B`&KqF1>hu0+&9@G|k?ZH) z5Q;46S+ON=?OSrMMSHUwn_>Ygh#!hZN(1&j!l!v=f<&qJiUWxGoC%qtJ;fq$FtY~!iEmHWpSzU{WPA%v>ZCQ z9$ogql`0H}CHjz>sUc2Lg*%H58LUeq0|m9DtXyPdkS?}X6lAdOAsV?-HPeZt8>L(b z6I(M_m>GlJpoRD=gH2_tMD}AW-9+zu9%Fmtk5?aOyILUS0rb=FMxMLx^W>&AhPYV{ z$kUmuc}s&X`CsJ`iOB-kIbNN28?k~v9VAv|vY^HW2(7tYne44Qk|t-dLAit&bzd@4 z-_i7vL-#4B<5RWRuScp*`alWX&tu&u(~#@qo)n#jcTZf7d`A@C;j*qpqei&uIDqb1 zOMypv?j3N_viL(XYi~Bt%72Y|mg{Ag{Ji@j*X00fQFUF-y&>BxA3p z^P@yPThSup4f}q76vowa6h@F_FlCC}sW|b5UiGr6@P}7pPSVc107hp@DqogV6l&X1(l1qSG76Aw-YPn#S$zun;wdY@u}gVxCvH?*Ri1p9iKWj z6wRkmTxfeJ_K2zWP%GZcRgZfPJ}1??A_GL(i%Y)b^!4x7r6dd8q3Wt#jj1(%TFkL((#YT0$7WgC{(ym(nNtQ9(;5E8j zcbQ6nuo;kAshE2-1j%tuiOh|;l?LFbI(<0o0`BpMnV=h8SPXXbo* zi)4V8s?9*vbffQ9doxfq9YPS0+}Jdo2<&h-hFz%o$3>cR{RLSDw#^w`uMNa9&422N%R@0h?9lUZCYrfZ!0 z(-!!;Y0*ZVlxikEcD3M!XClIL!w(+|s1HD%GWN_!e1pk0;F@Le?>LH4w|J(J#oA1= zBFz!CDBGA_#^j=*v&CG)R~fJ_?bWZ5gXcLaDY&=4Nsbp39OI)I&Nrp;(18G^3*^NG zaD~)?@@XvPt&uOOlOvst3KR3EG`y8Ry}>ONMk|dk^0!e;S-Sfgi*5jXFFX*KIB~%5 zmL(Y!%fX(xCppILxE}L6g)jDu2`whzPTaO$cWd5X5TV^LBm@4$5CH(KhXjvA9|6S5 z-STmg_?U6zKrq@l@H!zi#yRjD_`}ne0`;?dWIX4@{x!7I$8Di*+bu6|Ls0@rRnZSw z6(4CiU1Rgq9p&uhZ!;Ys0+mr|Or{Dv(Qwjsgu4JS=v7|nqNfhbOGmqNZUN$OT*c=r z?Qxh1_(%f{MJ`P;k`W|oD55kjuF`mev9*sk(2M(i*@RvY(Wo^D<%mL!yGkf>d56k01v1lgilsmza zPI2;AJAf{cHvK6qHkoJo6c8ICff1Tx6ZGYkPkR_8_++ZqPJmW7mlC&r zC&46I()Usuo-)>D)v`2T9U)krSG10DdNnL@^Uqfj9yGk@n*N4fE&EPOPd`F9YH!uM zuqReCf71pxmBz%w?!S%ue_?mKWcQCiUTGr8?EmXTc$6jrEl>aBM5vNY=mqaG5lGFe%fx~4Qi)7? z4huE3h+M2eSywRstch3L6D&cAS1x;5dX#heQ~1(BdW9XrDf%QZIY5IX85nv|+IL{! z5R22lH?Rat#gpEzD|)!vm_ghExL0Wv+zC;HUr@iE@>92;#y7sKW5uQCSc+Vg;-6>J za%GSRS{yBl=i!d0XX;}}JVHAS5HWG({KQHT@BXV5Rto%5-K~Z)EZLWtV+@FfND%RG z(KO!dnHg3DbRY?bRU$dFJbA!r%hLC-f&iR$+bKCW=71`{Cpa0}3y2HJ@O5B>mp6No(;}apEWtsL1UxXndNqDP@p!K-9WoH@>nZ8g66`yjnu(&nTt~AvR)mkPzkF44-#O| z19$_B^h9f=Bz+m>lF%0Sz68`WPKgg+Vm+g08yp7-m4xz81#l3q$AwOIej-U*gwo19 z)+IdS)FR1r1ou14C2(jt_!uJK>>na|9y|q6GH)J>(wKcd8z9ciBUi4vsgDF0{OaLe zv0*+N($r@QksGb;e{Robo#ZC4(*ibC{&;%<&f?Mc%?ogp1ncs-1>~%mK)sgTd1WS zwdgSAYwd2lce(^glXe>@2G)XQ_PD1WmrQn9 zzRdkb|5VXBz(ERBWw00FK*}ifU>YIUU#n9izYIhPHq=A$ePYfltc47>XNmp>t)*QD zyJ3GpSXt6eP|69U;KG{N`U39-aRsyFPgm{Y)7Hz0bT?F~_W z;==+sXrQsL3s?m9WWN-!_SjlAdX=?Q<$yy2`;JB(QXiEP9W|Dh?1*+W!Sb|r9R7cx zu6PE}8tUwW4p)dbUuBD>*oc0OJ=@N+qUFbjt6L0lk^e|Y&!ckI4%lf~+(VST#v*g^ zDP<7Q519B(uvw<=z%Dxll1{hFp-YctsGePkTH;x_Ju6VYlXfch%$Lu?uwk2cIb@U^ z%sc=j9wixoMT+($N%?&fVT?$n_0^7@^lW?P1t{_xv`>OY;M-P}F{qL8?bPd(lqDFWK3vWK3pO>@^BXnummunK|#@8kIRE zwnojIzW&y*{Pfss_KAn$;Wv#BcqBNdG?&Ye>>@grO_4=r!xZrqOcA(?x_BLm z-Ko^lQVO$B&l?w7A}Iq7REuy8HgOpXNzXw1+j)OCx6FSCKN1Jrp3!L7yqr&NAz?TY za9Eo`?v=m@9J!Z5KEz9^cHW|HGiWkAe?yn>gH7q~h-Jxmu&De(-r}GZV#ymUG$R%j zF!P_r_j?hhjvzTM(ePB^Cr!r7@~Ih-?4Xw)%G2cYm(RsgTGLhV5WL$mf52TAHRcDq zbKrKn=^tA1M8D-My7ee$XMbX_y*AT%Td9E>9BKScqZ;A*(sKCc1nd_Zm$ON^gcQZ* zC`99i=1Z5?2^q|g)O{HF=9eh41nI^kPb69x9f=mwt$;u!FC{^W(-nQfkE8>Qi80;@ zjvwureDyDofLt2Q7UoNylO)9-#ZB7ai9PW)@3p7 zkp!YHu|_$=u>t*SxQJvvC;6U`f-Siuw+g6)wi0_fQtV?7TmytfS zUl`}X>K0OmCaqu*!x3C$-q-kC$}S4k`eGXj9%`BYQV?d;g0q(St6(!i5=;@jkW~Ex zUNMq1A!(t&qjtxD3d>8o#o-k!rk9W8d0ZuJ6q~QAS)=P{+3_jJz|NxE&RM-FLv(!; z?rC_Ac$1~`2b0CxH(C2!A4nN$-TLub{jNVhU(YgsMsvwSP#i130z{b|Bvr^nOc64y zc&hn!@|W;H#AvIL81uBfG`mCW`RP&dc6^;$B|gZuQqGiI)IfR)MCj~jf_h6u*;G3~ z#gep&w&#zH%Ag92t0Wc1inE{o93-d>sNAGz`nf@N4!=@2$nH`#`Vi*x z{@7^$fgjICqKTE#fQS^bH%3`f$dmQERoNQ3wzzy*y99(N7SGY?X!)2WgemA)xa!6;6$%VxTdKouSlUC^b8dQm26ENFjTO zR`@OAEac>(LYxX3qcZ^y)0hO@hwcQ7B-#c%J`F#I&ZeI)5f9?+wL*GI==gI^189LQ z#gYpBF7+RjO+8E%>`@X#1J&_7y!&#ZvQ@{(5Y_Q8y!<9%AfAl_cb&aj-x9EzIxQYu z2}dkK%I=U~3xmFY8Mk59vFS}EdTLSE_ZF`HWax`yRR;$t?>qzf1IvxqzGu(eNJdxs ztbAGWf*Y14{rm~CwokHc^{^;*B-kjT6Htr_XqiI~m&w&I+3_Gk4rols4-Sqf}|~6gOTvE9A9kSu>d;c@Fa)W z(GbRISUf&RbQ)#Sz^l-DR>(?cDC>FRe0+Jk0!=0ZFj|-;X{&FcJ;x??$G4F17f7GK zl$`krsT#=>x0AIU-%E$?FRA+t$>&rF{TNE0q0(oOzb2}X!hWhwS4J$X%b-;@6>_ev zdSC_~ZQ?2i0b?(WBGF^_+G{@(^2i!s({%@$K4ug+;P+9Tw3+ZOk})PwfQ;p zqBP^J=@J`1SzotQ0iIliK{%^S-yp35gk!XPhe=7oqkUj20*rt#L^4C$z#>3IrG-CE zy#5}xbu^6RP##J3AbL~ebCV2}PV5pD3l%0PE7l*6G>j@i!}?e#iy@AyeBx^_c!J%6 z@jpBo#;Wvae_9SRC>h4Ds5r#A3d)M}hiPh!t{5&OoIxb06nQ8{Di#roig%8s;#1Hl z3CujS3Ao^Y;FAl{l2$>AUKt8gpyVQ|G#nqHkDf5x5;Q$pV%jSToS1qMELnwGX|U4- z!lamX482G_uR3l}D}j*{h6-09v+#01QC`S;uvGDPA?wy+1wpi=9i+k+P;)O@*X%_i zVHNAyLZy7*@}v}{XQ)^;ir|WmSh9+BWmd6m6^m}NPAisnMFtELf2?Ai+Tz3X;4%yY zb(K*3jsE;j_~%4giLzB<;A$3@TT?(SOo_E(f~K9P0V5(&T3_nW|p5;$3Ka&=ejWUK-gpQ}9z9sEPz(}o;C zolgsl1%Q)Op;06uvlo9-f1otk0@CfE6*Bf24JG>`Ya@*oQ>Au#{r&mQ~<08JDdzUZir9Tu>M`_ z15gu#Zdp9zCLI`hPPGbXuQ+eVZTbRj9w}C&y#=miqdZ6dYUWX!Cg9b1H(^-(;U4ka zTGsAyV_AgyoRK~gCR`%{r>+@QkY=7E6`N<(z)5XJ?QBS}*D|`J1Sza?{|EzCMkW%r z8VR;-`1QsIEGW=Ofm=t$K}bcaP1K$~}5;5Gmjn_m-hnnv5YrX~_U zu-CjuoHD;Anv$_l*%B>@1#{(^6}lc8>G zr5jw6KDNe z`~@_u6GLoJNSq^aKtlS>ap*T=qvEkqPCJ7mn2T6fF3?!4kz+wC*BUt%ID+X$h-O$i zW>I*=GQC}!rVJJL|j(8~Bq_0_~zsjjR_*B)%d( z>S}Id}pT;fy=IL)k|hyqlTmL{CJ!KP>lNVTGLI&7A^!8B#0 zB|()Lwf-B*TE?`Lq*^9sf99NYG^hzwW$~#1O<4S4&GWL4|~bjUY;xQ8DR-p zS#F#q%fVhO$w-we3G)0Cg&Hgkg)$(AO;p+jBN?S|w!5-ihZgLCW?fdJp9ZHNBjRgE z!ZOX4dHw2$T<~?dab8S&3oNmKq^0%Tt2!U3wGTL-`xt16*-ZzH&cKF-( zKjwlw3%*417NbY4>!+C26Oh*PXmz$0kasBV$?3<8)free@w>VoZqfSK`Ks4eqsI5u ztIuKigcTv1YzMP*^V4H$=KbBkGJhemrNM9x{m8-R)hr9<{UU#+Hn%L8ji1$-lm*K? z#sW1Sz?&D62hd<|Ay^w@=?!+s1$_xX8@%-_tKN-pvzoo6Pq&?xmtUmVh#vnpHPcb| zqMDkSM?q0>ii#<46k`;yOay$yT6k7gY%6GYBgaDw`G_SqH%=?_zlzL*HRx-(*%hlk zq8oiV;=7O7!}RR4p1s^CRX=c3i&FNA^7X8LV^a229QzRCEfbavEVy2U)@tVs>@XRH zZf{^MBF2D_*nm``boDU&25nOi3sHA95<|XV{i%@`zF@Oj#J4ie{{j}o_QQv?jaW+r-wJD8*jHPS7)|n9Zi=yTuffEXQ@Qm<6$> zhR>vo)n4J)!dmC9FisZ1N%g5e^ELl~qCz9XVvPe=B7!Hz z*H*gDNQP+ci*(%|sc55-Zm~i_254JlTWOJzjN%=TzD5cpEDL&iE*Lxn>00bhTWPsZ zdF-oTNhn0KYEgxeZum)&6tR_78p!}(AH{Y1`<+fo(nC&qjH%colOm7JCOOaA{mIeJ zR_fPVY}`t0u+UY#mDOXR2CG1&BbQln4xFa>+4+~Smn0dTC9;CpkO;rH>Jm1S!$`A1%4o>|kS9Bfs7yV*#E567_b~?u7c-!%zS{zO5sG zfY+{b7hgItXg7id8ue6CYsK@s*@t%|#eU76Y2~r|K=5Q^w(f;>bh~BYJA?!2*kxbi zPzuiDUFtirPAjsmj{XtTm4q=Y>zl(#7r>3eXH3?HW#_TbQgSIyg#-4n<}G54Y_z6fk%4ix702xp z@%va%SiF&siQS1N_J%03TiCX!`@}Q*Sa91EqW}b2G!>WvNBNQ}!!<-Ym9F2%1`_S7 z_p#VU#^%aK_xalGXZ<8IKenF*(ht|{{j4RENh=PpLYhkb53(;gpEgNcIjs8{gj9gc z1(U?g3N69)P6g}6DCN)*)=~<%-;S`QtkJYd3yG~khK-Yi;~1PrD<+BJV{AK>%{k6S za=&)2^5g7NS^2pWYzi^mxf2LkgXfTMS#uHbEvx6tfvO4ylP*>>TS^8)Daoqo-{O`E zYQ6j|>r|%#air#x6)7w1{}wk6(Dw`9;tF9#wy;#PD0=p;gs}EoFJ@OFOv2?!Vt*xD z$m5s0#-3zloC*Y;Vy$~;WNXqMA_Z&*;pI&zt)heyZ^Bpca;P%0T~ki6-Tnl$=P&HR zK)>_bwCV0#>eqv~fu`y^zc75nwJktbYG$0 znxF_xkw6#b=5EVySc+>@v{rVYE)_-V)zp&0uf+?ODAvg)@$MzoqET7S;)hX$6k4=- ziFNipkx}X3MI(JbBE5Eb18ap!V#Ie$qJCpNePBT@Wd6qHHS<7sF7S(fi`j~&O%i|o z##HLqu-_@_Q;y5=JL}I#lI*_BZt#pevF!?OJ4db-J+6Y^3bS30UuBaS22vdU0~fLV zCJJ_qJx9-+Yivy;zlj=)Vp+%*ov*XSVe!kC>)ZYkzfrVkz$F}%P`71F6vwWEC-6+a zfvOq4RU7@u*6^~4;`2XQ9zA>2uw{+Pj1naPe!PY)=ZI-D`7gl0^V(l5zfq(ek;|f~;3p!h1Z88kfCDzIMMBf}cSrqy66*M6C-NU=l1fO>gU!-`huZ+%|UqHwE zwqm#ywQ52|){B%T{0E*gS#-1TzI@tbkxkEn$zqj-cOZi7v+xh8+zcz9$nz$-s;s;- zD7DYkq$y9)Fn_EW@4>B8#PVi*6u&%KoN2~8@`}l#aUky&8aqY94-$AZ?(zRgg0eoo z{X1Da5XgHH%H-8bNBPLQ^CpAX{+gh7{Z{x1UMdOw_}m}!i!x90NzBir?STW)X0(Tnmv zyrOf3J_xogp6)^w{RMr_@W*hSN2k-Olj-Ix5Z=|7p8=U%>*9D%MwtK5kH<=kt^N5P zUNuFW?9ZR2_LB$jr)B%41Ng%VkvJ@#r;-Gn5zqT{HP+#z{I|pNFs$$y)Fou*Ybo&m z!!0D;`OsE0ZJ#(9&+Wn4#-gSB!QtYJS`67YFoB2g$PVJc1P}{2ZB5`WV7NuUp%?_b zFCNMlkc@0LjQ6AT(ZhH!uX;*6IgH;&&n?3+czFIWjCUt*HESaBmwzTYCi0k;hSY`p z!Lbu|m}nKYkAOy3+{vGbXHbMdznjQg0R>lSB7XpMIWK~S^VWn@zv28yu+bGbq7n~} z%pCZ+N_^aU1n(jj&3i}iX5=q7VFaHEj9ovD;0fjsZ?#2QwVclsbsLKHP3CI@{MK)g z%K?lRom&9hBylMj{jPe-HEA^8j}P2ECHmgWC^gi^m3 zNhli$wqj7_lY4nk%c`e5>zCg+__l5_64W9zc=}#G*u*VWNT@FXU^LCu)3&+5C%pmEH75t#mOP(ycUxa$4G%CwrmIzJiWPKg+l1BS#}*SUu1rwD4mZBHiQ5M5S^kv**2q?tIMN& zp1HG80pwaG7b4FmMiTQsp^I&aj^DN2$+I!y)Z;w7u?HSaJz>t|56TXY%j8M?!%kvt zChzRMZ0y-(VJ@W2k4p^!!o@_otC>74;K)=nnLg%g=UnKBNYCPJB)GX*d^~NI&Sdd+ zftPiKGr=;pDeQLpFw|>B*b`iHP96FLkN4chOUVC>u!cJTS^d(sLv=u3-+O|8gk^l8 z81*CsX8dZg>`5$EaC+g{kn4Ewlg%HNV(9g3-jGX4wQ7<+lz&a){UlDkC-Xs#3?dR^ zh&hvaN163g4sR{?Pv!&U+u#>@3(2?imj%KPONSZ1Hcsocen!*!uR~QUy z@VA>rr+A~048Ffd^0(xg;zA?cqJL7Zh8WZ%0aa(Qw)7bzWOF)*o9j#fc^%ZT9|yg* zZDB@Vh^w`$KBF_!m)}z9x=7|zytnMk+fVUlhsA}dJfppVln>`-x}<}j>Cou>#KSd`-^DXe11}ooSDxlp z^L;)X{Q+^V&BKEU`9;JV8awBQ1{3csmTm}^Xga6VT-hwqKYQmCrYe~H;&iUc4)>kG z=LTdwD!EpVPD5O~cLqmf5^%M92G8*si9$+6d;;}wc; zU4rhBqjT)k93JQ4SShimv{vMHQ0*NC2Ze3pm7!6~|E zM!Z{MaGU9vEkE(w8d=v>k;gw(Qb3Mf zXVO&kPNh58pS`RzX~oO@NiUObw(b?f7IJxW`d@-&ssyNUf zk2JY{v|Gdm4dzh6*S%fYj9!D8<32!fdJhOzi&3!N=Ef4I z5T`;<@*efl!7ChR=)fzhxm&t*G`~!5In8TEJHvNtm3r-@14pGhROWJ9EgiR~)4i0F zbhIPS>u~s)dJHKzED5}XCmp?|(MjXDa-`55yxeOOEaR?|ayh**wG%wO@nrxFus5#3 zhqglO1HQH_o`uiu@BwH|xuGwiS&8Aa1x2mhVb7dP zJWWnL@c>{qm5~<`tzEc~887cB0yZsuNbU-9iBgB^({Cm z!#DIa@}=+cqcEo>NT2kcM-v^@+pAk8m=5M1`D?-6SwSko+G#@4?kpnasJ@CWkP8cS z4ZNng9pJ7(mT{GJlvCLQWm&J5>@22g7Po2r$l2>2HS-3VPR#w2NR;@ewRZb}@@GL#9lCS+J%Vfb2AdVME5^Rkk@nTu> z1O7^UXd2Ll~xN`8CmP=Q=J3-JVxVW*#qBVE<`AgkT(oLEa9 z{&~pKW(mSfBo2Vo8hRMu>%(!$vp*@4?X1@b;>(M8nSkE>Y6o0j@|8hKUtq zKqv>J$n*3x`Kvmic6$=YyJLiN>^&^WIkYxmfO}>r!N~=n=@mFwt^+A?K=q5tg1r~` zg1re_fDq26$DB-XPG&&J^3ob4Yy%BQ#wR(dlVbKKIrb%NKi?|JbR^-(&7=iKXQtaS zFTfLqs=_aZ9thlkYwkB}+kZ7B)RrX;*nvwKzg-=QliyykceF115qr0OJiB?AbBZ5J zbSBlJKQmh;XMT@6@`#W|^q5|GXE#8Y&VOPFfQF81TdBV!3^-_cX`%c8xjq*8x!Pc0 zbd6EOFw>aRCs0U4!xMH1I$(6H%)QmOYzA^|`ROg))H`}nOOAVszUo^vn{qv3-JY*pP)kmE%2HEQ z!u@E2rf?0VxX0RAjb(9HTIwNrCGntJO5(v=PEK5~LLm`T4pqrn1D=f6gw{O*K*|Oy z8o>6N)&p|mvrcIR?)(8-$M+98P5p8> z1(T+;rhY}h>|D~Z0yfpJBu`-=MoSL9Crg~K&MWgL9f*!_DOr9-bCXm%EQ@R4J3zOx z=yC*{a7j&>yDJZ+9!(gRFn%X_7}m(%XscKvXzDYYz#$jLI6G(I>%983O2qZF5L>CS zoZm60(2uAPXeXW}zEzFQqlqRv@Ab!0m7aK>mXAc-#K7TkidyV82B^#(jo^2QP_rU+ zejSTnC6_55>pwANs=tRR$07`{HvbSQ2;ZjnLg`6`aLXgb10@za=W4LI-yZF-26G?5 zYhvB^pd>~GxJZoRJQd>95`Rxw_nG>{f7;B43zKcDF~?|+MYC>HzWpM=TlFdwsU{xS zuCH%^_f%F%2|-~nM!EJMw;5D?U&Y(n46PPE>?+yIYDf6FeB78KUNpFmpiGxg;QW#X z223Lc#(%J?#>8I!)OpjFDevUNJNQqZ02JiH;NRZFz{K0o#U;z$g-3l@wE9teGQFTc z6fEJvp&9z_5|s7_ofk*Zy-9U*)jmOIR0H8qHfMxlrPP9 zs5camY2){61Bm^BHBNOLQyrslI}-ORMZ{A67=>@0yOf8d8`CfUWm3XvUXUd8x}RpQ z*Df$zlC~N|P1UrE=W0Q^7ybC18E>)p#lgr zfL>u!yI-Uf@W2Fr15*q~TVS6BcHM0CNo*q%Lu|z%K8YQXh&c)a-hQ#RfJcT#8=2Cb z9@gMkn&G9f`^Aq1Jc!5b7dHy{Lvo*-@+xd^k@z0rt2|$BXm7vDJHck}>i8P=pP@!4 zq50EpomOH5lX1U3R^%_^%gDChdN~i|=k6DMm-7n*DC!M9nCfS}fjusN!Y$aFJXGvh z!3Xii^5tzl?VNb`O`aM0_kCnog1)v0RFZPF0Mu}wz0cL+EndWV|Fh!wN?0B1ofZD? z@a6pJpT&Fc@Nv9$w5WZDH|OrruAp~u%EZ@KX|3cG^8Wlm{bkI0USCue@;H9$C((2j z?B}nH6925{E%?+?u7Xv(TH&vMCtmmfM-|U}C*JvhJNU0BMfAUUR_L^(I}sx%0(V-H zYwf?W1?RCRJnh}{#(Lh1SAL@F=u=0!etJ#pUJCLAI*;EkxPpJmPUUBFpKS zzv1=iZ7``zkfWJ>rbc1$_}%8&FOpbN!0jHIz{fbjYI9sgM!?Vz_pbiIu>2PoI~XJ? zQIHV}MEn=9(_msx`+`U38re8&1X5$52R&p}x5ZA?HjC;OtMSW$dmSfjMNzs~bx)22 zc8Ee#NJR1=k(?g@_Z1ze-SLn=b|40X;*EMbCZhpG1aIR1B4ieC;zt4uWZ#f{Prb}mNW|eiuWIGki?$(c%*HMPyem=<1*Jz_WbewYUVN9W&;m+hG(hU z&zT{Hbn2?bd8@^nU-7mL3pIah*qc|o_I$-VFi*Uk5 zFWY!?A&Pj4#O8bvk8ftcOm@yqX-EuHRVW4(^Q4GE-FQVyOCz`kW8+De(%~n(-92@W zC@jW-_Eb?;%p+K#_^Ft`5o&Y{^L=OthC};QgB!69mTcy|TJ-NhQ!gD?z9krJzoc6a z=q*ld=6wMya0`FIYCy4NzJSFZw0&<24{oc|7KFi#ZQE8a)-Y;9#HdA}$jL1{yrq$2 zb1stoDXQ|NQPZuwgQU@bZIC_&dEVXTAVRP zQ7U=Jl~l&(aB$169sDIcM(pH~_j;Jm%gDd0j_-UJ`3oz0zbDBbt#}yPhie_bt92Mx z6z$}$p%MuD(CmhR`bevyo_$XvmC-7 z+19KT{lyjOCYeXr!U$$R-btqhLXY%q+$cKD))d&F0w!#<4g`)$M{`*;`h%(0I< zd^$8z>rk8hyi1(XA-hw^4wZU3w9T9K4s}i4R)?Dw?$>7=y4Fm5eL(A4^#L5E1NVUk zu@D0}@y0>keb9e!lim4mjhhY;AL-UD=k)L@T8Wk4pt@<%Xw*`JyMFszL>}UET6npO zx?1*GUDj$Q_8;Q+@aPC};t-#Oo{v7vhd@TTmLBFyh`%C^^2s4O_2`q}b@^k}Q67mx zJC5>RF@`gSnz@DaBlO-d_GK<0#Ymm_yY#Lqful?7W4s0G4Lqj#1w4HW=j%WmvZyUR zL7}%pd}UocWSz915`}gh=Y81I;_u_!=3y^7s3sL_voQuRHfs_p&zKdZg-^Sl{f5Ud zHch;Hf~x>=?gamu7w#9Ie#9C;6Yssw-P#cx1f>IvYe0P;!S3V0-!{<`sk@{gQv*$AK*b!wpw)f z5l3fuAO9ndv{dOc-_o(GS^_tVB|kzby}Vj{@FS0>r~5}96JdQ{6A!oo69hsovs^w% zKKD0pVW8A6Uv&S8ucPjK{}Ueyk2M{IV&rtJ;;kbh_1ah<^~T6hzlhK(EnDr%uEL3o zry4Zl_r*7-`CGhdwRq+XZ`;bK1T`a-jv13>4q)1 zl8P6B&Y8dPkwouLe}N*7=kQ-4IKh?A>(5Vq#gvY3B~Jg!d($)I96ZGEoOcfE7RuM0 zg9jPjTf5<)g?jL84!q~(%KF5nNIv4`ZRPgkPdD%A@$aI@qPTU3;kVboCV+DNs`<;! z3{QX9Aj^pHH%B_e(P}Qg|Jdd{_#)+fao{{H67c7=T;S~krs?cJYGZe-)ehJ23p_>P zsJP;HLI|JAxXg11u@5ifj1JEhSK!-(=L1*Z8i)LsukddgT|B7mByGj+gW}1nyicQR zz9}Cfg(K(mRokO#ZqTte z2-oEXMig=(;|5<(BiHy(9>zDmFFO6nJ2x*d2xfqV%kP_(l>I9cv-^ zP+>wXFXQh0%cD(dE3f4Gn0)^*H!C5ge@Z}T8{5|SR?C-~+7b54U8WB5dD;{R5X=8G zN&m)ZX3DWn)8srI$iobPb~Z87S!(AkZW>2DzR685(EBWtX^Q?FAv!Cjx%7hbWr$;k z>!M;h$;kO_Pd(E#!nR+16T;I?5|7n41yRB0>YE;-=h6D6HRQTA+uxKzYtY_%Oa)Z# zkp`wrHqBMqz!WMS|GsNzD%Y~3#r{U7Y%0^cu_>FDt;VJmjf|eF@Fo*Oo0!HE@b{aT z&a^Y;0~W(Pny;XP=3Hh&Jj(cvm||Oi@JANYzo`9)0Ml6N<@5jz#5Vz^E~-uwnjf7J z7dHoHKbWJewrzz*4)!Za2nQCGYukR-Wb<5%g)a+*FR(kJqG%qvMm9AiQ7Z+_Ob?)U zzcw>57GS^t^f6XjY2h?iO`vHFqp^NB$h3@}y@E|AsY8|!QzB9Gfe_ORk`0fAnD$db zVJOHq&2=Tz)Ra*{bC_vVh|y8HA_Y5KX+Hm7w9W7k(I%Q4u75?FtUSV4@y0l}wy46w2qj{=#@4nidDF$JPNu#MjfBle__>p*L&F(* z36vGhW5C9c%lE~YIsxSuV@&PYv#vEUrgin6Fx(mR6JuNEbk{9_HT+4PZ_~n$)Guz) zF?0o3?!sVOsgZBXbdkR)#az~NZ9dL}Tj&fLtA48ZtcM9VPvHOG!_=;oQQ4lkP&%vD zYPME&`>$pj+$lx3o~D*W_t8B~5k%FgJx!fQ>K&w@WY`4y>D@yt5L;1aee-QA?qzJl zm(|izQTVJr`-{p9IY5f(*`B6>k9pu7NscMEZ9i@Hfn&OYkD+vpwBD|TxkC5d9O>K? zQI(1AIC|lum`19%$Y3})<-AA$@Zk5K z?Uo^54y@5{DpD7R_||u#?!y%BO}Eg1XUr**lPb3KF*PM-I^4%p%chINeYGjqIL_3E zrkpFOpXt1X3Us%b`XuYLLxdxH%wggVwX{l4^W_uVXIjX z10k*gbg)4P8?4bW{Cr!g>mn4%tGw0=7^16s7nLLuqmN`$8 zOl5THRfJsh!ff~myelC>jERCTG|9B@3llsN5gPXkQ&@=M!kz4VkG#H_pa! zs7tn zV<;=B%|^HLq#W^1A5ZJ_(bAAQB}ul_k&sj*(pKz2ERP)4GnFWNW?1sXoqoS@Kb!dd zOOv_1F`*s*Kq}*lOoqGzG&SZ=lGJJ`7M3etnIf_cE=zW<>`sEn?jTR7L*8ao=lpsk zfv9x^miFliNg%HzDkKPRtDU#|? zQX(QAb4is_%yOAph8RSFJV~`>zKH18=gd;lL#9NF<1W()2$uClrg)kUmx@d-d68IalN!x%2ApBWGmHNMy41KD^aOPcj1!=rdGD! z6!4+1Wviz)R9EwA8ym*HWYfW zhw_bH)^&eRCBBKrA~7a&<+1~imnucw{&#}Xo;2>m3Cf{H0}VA|OWYpu;ZS7^DOop% zD!3~(NemmNjHHH^4pVa23|FH>r5Hb ze(IJo;|*45(@Xhkx0RO75VmKOQZ#wbv&uBI@cgsN zn>^SkWeGr-2Sh5g1T;{|s?ceMGCssu32e@fXeAh(Irg1fl3=T5D1oG?x@IV?X*u|A zhJqyum*!?FoeAmWnF`J*){CVxl?-09UtF1~Jln?afMzLx?V<(RNsm$!2mCtYaeOfv zkuB)b(IjzhmJ%gCoTUsTTI1!JMwj(nwcM3v%~qB*GFBaRoBsi!Jg2Oa1po9o!eo*- z`kd02T5k9}fPkHB&nwzqGV2AUBXQBv7nBh`ff1}5WnctL0MtTx+4b@ZO27XlKTM3v zQLwB3LgeNsa$_s--qM4ZvxugQrh`Kf$My~+IhLaw=T+~EZgZ5D;_DZcC-s-d3ES7_ zC^jCyM*KKOSueY@I9G|4KT30zaYWHpdCCVep*&A{o)Qx0D!<8u-Y@AzvtLpMQ_i}V zly=nh!!IfIahK8|D(5S`=-Fg}0t1!9HFN<;K?zSSREE&=lZ8ru$~eCe1t=ln6)j`@ zD_X|eujmKS=UwT$gcwT#fRr58m^% zv++HpYp_qZbXN)eR;br{Xtk%-W2^sbEqqXb+Pbz{ujRj1Bcg4sM%@K#_0AUm zTg$llZ!M$GIz403M_R`EkF<<)AL$ukA8X8T@5e~M2rT$m=_LE}*{2@NwtPx-8|59Q zt{au!vd|NsdkQ`MdEG(+%o5_d`MJ_kmJIz;L*U^rB?1B*6XN>hOFj3XOUrFiq~(Hb zLImnIbUjt1_j&GSPgD7u>o(;AGimtr-lo9;i!>Mc+raqf(&yXs-0D&-7rfD23@Ouc zCzR>A@9fZW!4%C!@eT!NzdMzC2tbRSdXal~dvJJU_Z>KZO*9l*RpQKbWqg>*TpJc{m#LC3U;bB;k^;JxISGLiB- z9#FCT&1+xA+zZapBTev7ez^j`k0(w&DK5ar)0 zv;g`7%dRH&g6Cp+KtgH?;R>LE56&8DhSi3sw@Uypy16uf~am3yh}Cr>J$ z0F*2EJ7p20gjL@wZ_u;fDdiA7@A*L)(A(R_Ytl>z(QRxgq$y3^=1urAkM>L3z%bAK zpghL0w0{4S(vHm(tV)S(<6T*kDfVH&0~FaO;8B12`vmFpvRnu8d=+Q~TCAxT=*GO#xvrq*5b&s%0nI{g-W5820m#-=D;-h3&3Ppnk5T89&Unl|uXJdga!6bK zOW?$SJ&qlFoZ@(0)q;y(*H=W@d8JEGmR?NVJjM7$a7`B!m8*N``@Mm$7yL_Ux6omM zhok;Q*^LC!ITrqXB`IEzn?4k;Tu{dHR9P*IUs)t>Ur@puXLyT*d??yqR2K2B6TR63s4Qn6eIu!Iu=emGAo3B_){oSTVQInhzte z!}ZQ@n2+f8pestth?xr|K+K(yqVJ-_(qsvMLR2lX5@F8 zWE)cV`kQ0;x>2qh{^l-_n+rs{2Ih{` z(7=3{8hf#!Ig-Z3RoKw1G81*`LL;-rD6Jct5!>Pm5!cw9EJu1#WAlUZ$LYpq+=EVi zqX}?8(_NaFd($^yvYMDbqNmkjZb8q^7W1;;4MUqF-s5~ZBJ^34GK`GdHB5YKF-Hc^ z&@(HLD8G3^nR&xpO|0gv=+$mfpXrQC0jnA+SN8vV;a4L5Rfmd)eozC1`r z7%w2#9`{d!T%R^KAC~y!1)E0`UKPRS_54ad@nVR%ZN~%syr^J<<>&sse{=2lbRFIZ za0;dF?(fS#(R)(3c`iN6 z!_7}ydiR$2($^sNxYa&lcuR9FWsZn2r(5>+l$jc*oa!Y$k1)@+UfmlgufgR3S(pn_ zMgLajNvPubpq0574i{6!sYs9>&yv>WU^&q%TbtAA(^7rfnA>#7@R_GX88|4#Ku5Hcob6LI#UB$V! z=HYzfLeVV>9E|7iDDwzD?SNPsWe(+w4v3GU%&l9@_Q6TJjYYk)ZNZoKeu*;QN20!8 zJF^z0V`4i1ytGDq)(!wr;6OWbe|q}22blvE(W$*ToZi*;=03cuBJ$dsdj?j&k8fJg zA^K&&rR!MudZ*aa9t;G~!5z$fn)>N3AJSYPk1Ac`JDBG&zIm0WsoH4jvaHxkq;=HV znbi^PuJsdd>hEZ`wW#cf-j@1_-#eOnQ4{St0U5v5;?YiKO(%J?lX<=zo5&dRXFTtK zI2L0bVm0Jba^_yhYVL5g>1+m{#kxl5YN? zBKf|bIcLr}i+boK3Cl~?d+n$+?Zz0x#kZHrs*!n>&(qZl}G5e zo+#bbxk&IqoO9t}5`41sO@s`B`aKh+(j-A39UdxzxFKkrqT?w>DIcF2*VavvmQE9p zIDe-3L-5oUL0t0ocX^Q>*g!YyHv$9)BKbhoqk=iT>|uu{Y`6|ldk*~CbZNA0se|2; zE+{0d)Eb&sB zz2Y6IO4=*l`&FriL%iyg;xE%H6h5HxgWNSvYp>8L02Xt-R2yx=DK0XGjTCON=b+KqnPa);YyQNS2vNp3A$KAP`n)lp=%#6s%I%XF#Y{8(D;~h_arw zAS|Zx77FbtYGAifgs>4r@D7Cyo(60+MiyZ!p{$`6Y-KI6rK*8iqX=Omh+wnKRsvg} zdLxUlRa4dh*=%f$j*ab~CUtfP4dCGO1cczadIG?}s-<7*y+DHjL>MVOINySi7cg2& zy^AAvvnJ8XMKi7tBxYa;4%Mq^B=qc_=~9durr)@O8xep%NcbfSehz8icX{pDw2m^0 zQ2PlYctB#?rb`L#I3p{#Q8L@j)sumRs7xa&X=$}o3-Jmhm?a-XNqYPwj)pB4;peo! z1BoWkGzv|*{I{^QI3HW6X;B&Nq(}9pKZ>R zx;Rozp!WepXH2<*(J}GA18F8up#@W_z!Wz_>f%;SpqT^&0Z<750+2?(TmUi+AnG7W z5B9ZS5@#1`-AfgkwJn;NLo`EEI4GPnKcuqLWyFrSZ zl5b|=5mglw&K0)xf{s<-^)I@QR>^H#GJ)b z)beWc3js;9K2`m@_p!m|!K%WC&B zXO=XEE>bCdGE0Jc2o}NKo1{tf+h!(cNPCS9n zVUI}fc8vRItr*P{qcY|+JDWdK%TQ+aqga+uJ8pYi8aGJXvWevazs#;GEl+depwsjV zI91=~FuIk*pEir_dR%(w|61m6i=un()3#6k;qkqV41&u$ghivSOrIbX+ZT=}N@>tEK= z@JNBn_X5AXt~^ao!+W?CSB&7$1xYE>5#iyMT8F>FzHv(6dE!r8 z?^$pHW<~BRY;e9bmpW)wzBDv^4BMTLW!rrAC;hz4hAu|p%j~YjQU-9nw^+Kzat{$% zPJN!h@&^Gk|9CR zl+-8umD1@?NgvB3q8(RA<0IROcn%(h9gGX6o@aMID|IKFo@WVXDR9P(pS}2!ey=u_m+BJk#^a_i%M^NP3jiT zGB<{IF_t8*Im-ilW1FsRa&HW$(`6o3x-opUEoC2@{%-i=wBXwRZg_gMc(Q{lqiZ*X z&!iRCKR1PMu_f+f{Y%1qw&Z=Ryd-=|C-FiARKb_3FWOBnnsawsY3I%1JG24*&6e;x zS?=ptDx`w5uaiR9$M(N2El*HIhe34v(<3B!MhKx+``82Pq=gg$+OQ7Iz#lC3O{qh- z#0qVcI(<(hM(`-u*-==V&-xS*o%`6tH>Lap0RpwPJXjV*i{9T-&OX-pEjUr;?PI&%l5Xu{$qA?jHLqz`FpX{yQ2jVkAwh_ z-n4x4D>k86N?_H+QoF7e2n^cos%Hk?N|=x5U;POCJyR@UAA1=a__owFJc3>Sw&bAC z!ndVCZ5O4-V|s#j0tyx(p~3soSkU$p`hTez6UG&5W{+-=I(I4&6o9ayU0teM_Wto_&9bbhkkZ zIt@`wyFO<>l)xvN%-V03UZt{So29|#?e9F4Nn0cSzL{!)ejBw#QVq{_hHshfx#qXZ zuiBz}1Fn5f^4M_Q{`&WzO7I73^S*SxlemeWCV;K&@_%i{{l>?fl{xEJ;s=sFCV!pY zULJOE-v`pOHe}gK;l9XU$FfS{p~3g^QYnqT_m@gOPSEXT(!+eFK3pcf$t!c0W9|j$ zC*@L40zFd>tx2>;RY+Csa`b9I1p=HA0||()Dx^_VqTMzecOu9yw_%A+e>f{8+|}w~ zKU7K|P8YEqs^<)zT0}Ia%X7+ECgMkeJrnq=PfNKy>O?e$wqHb%b{;%f%j1v{W1^)7 z&vj(K1*C3WM1typr_X{o=@TG^rHw zl~*MVB=6!|RnqwW<_<}TwnOrBJ}OT0Xt(2Ha>XhAYIwSK?_)cv!8UyV zPz_B|vyZjkE)AuvP3Ly$Hu^2zF6GhYTaO)5wyoiHws40u$vo3iUb~Ndx+R%$Uf3sZJ2(5rY9sQB?7lO?FNbj@ykEBlQwU0EC ztf_;@?;H2AgnRt;4Nj6PuYMSY@Zk<$X8&0FH=R=%xJ$~S-zB@G9HQvET@p^EtYhiB zrMEb#XLd`&#UGtn+8%g8K}N|ZQVu({N4lG5-S7$gv}xDAg;Z_Ec<)oa(7!*000F+| zUS6(r{$A-;9tyE%AB+je&*1&=h9)AEV!!kj&uI8jif2jmntRV7E!TfY%RPKZ`oJvv`j=8>qV@F0$~7>{prvr<2L3pm=%m9d z?G9VZd{e7o9CAd%IQ@txi{Boxo_8Lxx4DDx&Qj6B{gM&nxIKd zM7_1lXU8>+-M`f^UiYmv_v({c?rkTv+{aE@bEkZ-p4Ag(oYxZE=khDJ zhZ7akTgz+p-(IY3tUR5LVa$n@ljvB6CsxMe6CQRUR;Cj>tbd$5qDzXtI}j3lzxWi zm*8!@09(~YPHxj&qZcM$0#U^Fw~>3dFT3kvv$_ONCJ|olETFy6R&C|}HiYnvYzzL~ za2GWr#g==Vt!OJJb4BEDD-WX8*QvHLKT3C3JK27%C43K0+E$gAT)NI#3BCSNJ6YST z{G*+G4fST1czI|f^~dxC*-O8O=;P?JJIUr*6(`PA;u4dmNwGpjV@}-GNgmw|fAHN_ zr|)?l&Ix6n^>u^8+ltR6Z9B^kbr5=4MGTpjZ{Dde=}TYgEdL{tlx6E{ilaVRHC9nF{Xa_nY-&1i(yg1?VHqC{YAq$$+ zIjx()yi+C~I1BR)9;ZhlO5IlbgQ z?M37;lKbJmM-f67_c~kKOYTD3swH%pu;(Td_Scv%S)OZ4d7b^53>m0@jrHm)J39zm zIEj<}4SFa0KiCm_oh|Jv=OA*q^!vW@CR_Vu`tcJCov7d~AGU+o z9Nrh{*))geYaGu?!L^7^jBt9;=cd8(7~0BvX0Y6ywi&k$mXFYOO=)3@{6;w6xJex< zAL1tiVu#7|2QE0quwz`v3|8Hpf zF}82yC-@Ir*L@>d^9Xq{Cx6LEc?exQ|G`Lk82z5se-lT^!(vRdg}4Q2`$##NK%Pbx zT@h6^N*>%!tZHGD7Liz#AtO9h#>){EY;r1e7JeU2)e5|$|JLI-#w=J^9mR3;HOth| zvLjI}jKCdQDG_%RaHsbUk|tXCY#J>mL`(GP2}0K(<=|+!uW`SP>Uoj*;gY zjs{RkI)sZPduoh4qoa8A)8WZU!)n;!xzmBw_gQvkjBM|^wi-2HU;1xC5^LLagOqev z3Bom2-ZnPtQ59XR*)pd%s~jAjBHI5&qi$rI$I1V&)h}Z`#><0Uc&AXUs@7*v zY(9LZODB^?hx9qlAKcH5ds?+m`uBT)fOnQIH?u+} z8;}kA*^q^;+7H}JkXGc}p>Clj#VFFKAs_gUmPPn1Xep9v6bbu`)&IR!d-uSQB2Yj} zcr_k8t~|SdiaR~D=5O-^&6-Z*nnkDYU;MUZ3vP#jJ6dOxy^Q!__eY{fz^$QMtxXPa zUa93Sy_o+hK;hn00QP&Mb(rTPwX8n&{(;n+o@98abw%jqHHs`MwbSO2DToJ1MHFGq6Pswu-l8~+ZZ7}W+Z z&cs$Ruo3a}kFG)8Gn)PK4>>+dtq??bhpB#5FN7YzzWYrD92|WyAtstycNBeywqe+b zj&OL3NCL_zHND{EqQ9#ZVQ;cfP$ag?abNEVM%u4-wOq)X5ZK{3{OWy9z}h{I)lImM`U@O3pyG?lOlELW*qK}9_HMOep%6m9 zU@&z-GWsfpI0%_a!QXc8EII|*ymUz-Qc3Cvf?hVt7A(M4|M1p)kB_#7t^J5Ay6AH^ zl0k_f0|h_XM|;ks$GY%bvbNQ1-^TmLcSpDb^%B`+L$A~CTh`vd#Qp~6zMI&^iSjk> z9JBJz{v87z{lt0g=bhiYFLp)3DAZ@z1(+w}rlB!Q7Orl>YpOqwq=t1}%^zw#j*lgO z#K$iE6o2e;6gCl#=IywvT^;+yqP^&T-V10)3CtGyr~2HuSr#9V%`ELUebx7OOc5LO z8!WBt+6{I&4HnzIL%_MuHFXQo={Yr;bBwkS1&au?(|B>(?!6<8#$^p4hV6kyaUHRj zjVBsuXPHvzw;sPLE>i~gf;{O@m+x^G?FiOVP9VpuGW;Ia{d&0rBw)EN0Rc#TGj$YQ zfe3}qJ3kG7tNwXOF?YOwq z%A|@?f~-vZ?Y*sqND=^vWS&u3@W&yop-6FcGqS8S^6xC)lcIvZp7@J>8i+VU`k*}w)t`2wrh8ox$JIcQK{pLrdTcqkDJoPp@{#B{4e_Ku z)IhOOB3J;(9z<&h}<*R{ev)~&FyIPgIxObaLk1JXS=K}Uh@4t(t%2Hnb60xaLZ;BeuN;9YB{@&reE-UGV}g$aT4K{WA4yXcvl+ z1!dzPWm7~DqBTYprm`XJN-$jM)S4}do{S1bxj?oLbO!qIdY4_OT5MTG_|` z5?er1fvy+W`RQ_ObcrqoToeB3k~_OS$1;3VQ%$YI6#;SApDcJ(9o$hL69zG>r*t77 z=o0=NNHO8Aw`uZM0>FM2`NJENhI&rb!TDi7g{{euVAb zI2pkfWbp5RYQjAhu8~pmU&zQjz-CXAJGsXZtf3Gur*q5Kl4>B)id}BQgA#NDexl2Z z-opKZxRE)dpn1_?v*R+fUPE8KMt2{QAWj(@`E=~kLtu!rd3(m#&ldh{C~MX@U5<&a zwsuSObkQxjCSlYqIWRe35t{9M{}|^7xyY>D!oLGKCfxWijUTx{&^e@Ab{lG8o(@jk zk|(jZK-TDs%`sTNUZ#O0a+fynu+c#F({wmiLR!@(Q|@f@9AkqrP1-iq@iwR} z+Q7d9c_!Q_wkQ+bWfGikA$SdO1_DWMg=0WBUW^Hw?pg31ynSMvO!o}0Z~h1x-Zd6u zJ9hc%Kbo7-k8$*-L2^rlVXlJk>`@zf-+(4qZ+^;vj^Sak%ai+th4~(eUGjZw|DUm% zP~q7rO_?Dlh2MfzKonV13!MkKzbB*>T1Kq7i-;1xpkDPp&q)mATd=H6BB0N%q4OhhBu*qh|;ckLwL#umU09C)n>{PRuG!V}QELtp>f zA-*f(x%H!SKvWl4CrZ+Ux#cFKuYbIxulegat%#lI+<7hR9h|o(W2abPs}7Fp9@^W* zp}jrlZ4y?y=SMtNuNR`F8bJ-Kb_cJwlV-z}#$BjFL9M@0P!%ZC3(?X3JUV}$3;N?x zYS?){#&noU{-a6Vv6?sKfL;$l=$GhgVF=jC&bpH{H)Be8Sg$ZNtBZ`S*KnkK=af1YFXC|p7$d9@PQ9XHFeyV~T~ z)-Sd7mlz+3z+)0H-5cG26Z!@?_qG8dIIuCaSTYi)dn3=1w9wz!9W@FC!vO5?;E?FY z_U2u#vFF^e%Tpm2r-Ilf(Tsfik_qiiJ*hda79bE>?2zorr>zhgR;N4Lw{cdt$m3~q zeuAUr-KO>n+L}c@#k99_x^445BTWjUMD7vo9{+`I_a38xHxFn)J#47uNxAr2_1AK* zqMI^;Z(XA;?dvH=hzD6N^c|=-8@zvlHbv$kgKH@P?C+{qrv`#LIE~_bju1zZ@e7Gs ziQ-V2L7vK0*L|oD0RWT`XfW%!hw3rhz)SLGLk~}clUA)N+Mql40?YK7t(?65KtAk6 zA|99Z5;6;1lAz4L`~YjSP;Q^}^z$0z*%pukE`gk(LpHX69NrSrouEUekc?PrA7?C4y^-X?%LL0k zKdY8GuFx==Xnd&1C)U#pPJajQ=>iAr9K1OM=94O2#yepfuMqJ|W?@JiXr(1Dp~YaWns zw$WIp3jEav=mv%kZpsNpbZ#BS7kXv*(iV>c@fSJU#B?F%iVV;9!vA6C`d_W!f`xJj z7}N&?bwnZLRjXX|z3SgB+6i^Uc~H(q+%C6sKimmS5@}%d8kC*nb)9LXCX?I;YK*1^ zpC26xT%-dx0hs>M32qD1I4uUE*4Sm>o8WXI{>EcoI;SZoI6ncz&pF8Xtj#=prwmzw(Rf%x z@LDjM9;~Yr0}qEZbmc%?EDTtzSKb*VqK5wsE`j7Y1l(SC*r9f)t_*XUvH9z)Zp|E z;D)KsF5?9q|8#WNl0R*+{I$s?+xTRFd2}L>IK;n86+5W_X z?3u^pZtlwVs0yScrckmNPyTVDcpzDC1(}b@WIiT>frm%@F9IL(M5ATI?9-7~L3}lC zS3qbrgOmK15MQ_ErV2GG$)~E%EZDoIQ-WH+rnAaW8X?&)4f@BIM$mpPJ1rU z;eC2IuEwyB-D8h+?Xi2f6n*KyGiFGg;+q|X=QIyuL+z_{k9&3YAGOdv=U%h+Y0(2kQ6@JSvr*m|T~Xk7f}iO%C5f z*p$0~mp4~r0?L7g)ncB2EgK0LhU5pq0VEa@A21iVi|7wSMDZ9hKpO$08a_6sr&0Ai z#TU=8Q|pnJ;VYu0GgVxRPp9X%4Bs<_e`$vlm`F%D9VIG;50_(uJ~vcqMV^>M{3+oF z*>7{?#Je=jYTb!T1=&GQJ8snF(Nrg71G<)f2U1P>-aL$?2-7P7F{PNm{)M8hK-xj( zo-23i_W)pty!;kO`FB7ykviG-x!8Go7PFdaj8bpf>?MsDr?7z#Er$zO&|C*vNnBRp zY$ArJP`$)g9FG^?2jj1dIhEwHbYXrZPQj}+*|VU$Dc$p@!?TfcpckmCCVJ*qGb z5aGtk%i;M|H9Q$O_}0cTx)GBA8Atf%@;WXyPWK?~)MlVu(rAk<_!;St-Q`JSGeVYj z$3F4@lVUfvtNxE9|6eE$1I2=WF7G3@l&gOh0CY>q= z(3QG_^I;7D{!4#Y`oZKh?~xgoc8T5t|B1bQDd#Vaz*89GZmr#VJkR#vzOFd7hkh>N zv~A`6t-_>bRmG4*j^mUlmwRE%7`SYAjm$_T!IVz^1k zF?K6gX3%!AEBeBhc~-vNH>Ho=9=rS`H3t1o`bCA_T?RSux-K+&9T%D?e9T)P^QP3}FeRyRr?GW`M}*)5%-+~EtQzy-yG^QJ z*oM=*uI-H(9@meJK|H?^hRsxc#Dq*4LXmo3afV3raVx)>ei z@S-7}!M)X7lbY7O{ZZjEx_H5#y+4Bc%lpmzfT&*Y+wz)c@m?wPn(w1BSB zpnv4h^no3G6FLXb;4yU)UV+CSkT8rOxXe$rYE7HDbd;thcEuFh+J;8X2a~Qelw24} zw9Kml4hW3sCDpej@uS|5z=jMOO3gIrbQ$*4lDCWGv*qA&df*7#nlv+HyV{sHt;o3) ztG=hX?DE63B(F|f>g?H%>t58&t+>i0;dgmH#R*`i=TA0dBKC;q;BK+_r^r&Mfn@~_ zaKKvpZ3;xFawRxBonq1P-p-)Mq#AkZ#7VDQZ;~3MQBfcQ47gY zz)@mWh{E4M?bcSXz(kqwQJSUCjMoT>Lx#o|91Y<>H9C+Wjrgc(a^@Hg-iZh6O#{O=SG9;bG_d^>%Hd!K%)^0{4z$DCqC9>4tlT zXRp&ZyP57yizE%s(bM516lgi^n6|lUsB&{2!^v@OtZTX*hwiyD$H*!oPny|Y)%OC8 z6laA{^BKNd=Q};$@Wni)ePT0S%k*4K_j~~ZS8FeP84gfy!8vF8>Y$O*vhQ4QMMsBMRUI!<+m}0)4>OYeL@# zXp)&CB05C=u`fNvJG%&vRWuslbeb^?8Nt*Rl|&)YlrL>3lVseXbRC%d6X(oAL8>gpIyF#Ftto zPFi^9rIDlJ=_tO1i35s-!jv_ZlO!iJy|hJrWcHDvVE5kA;yfThI4i{QV4Q`>CGSO} z>9Idup-sp%b|4#xr1_vlO6WTfR>K_)eA;{vJK0nxEpo4+It8?UosiK$lm-uav=ta( zB6zfKIEs_0N1~3yumwMvqAD<9LH}>EA@TvD`NAB&+rm-jt;TU^^Gq!5OoN&tzzUU0 z<=}JFx20$bq^=>2K;tUIw~~MQ<8&fHR6}_T-_`A>R_-(yED#WSS`xI^k&w2)4*#7G zVy>ffxOGfS%gSS{du&eQJX6LTr+#jXPOHF|x+iu8chap*%7a|dzRo=U8?yBV7eTdt ze%@XM%MX1a^s&SHR0=!)pxoMBh;rN@CHXY;wLW*No*F042p6C%a_NW;tWJ!Sd;&(L z%kZ1wd!Y=uG{kc8Ne7AuC#=sk{1Yo4{`(w1D>lWu;7zFh`EN7<4zy>X05+uv0{vP9 z!EyBemHsTjpTs2h^qk={LFnsqook2uheGMLdqJQ6oLmSg(yzTRkcN%`F9}Gs4#esUQbbrdfaeQ z8*R?ER8*{2L0Fr&Mr3k->6%;!--1m9T;rWka?D^OgzEfnVXcGGbPuJTs=(h4m<`<4(d%=dSNQ_hSlip1x2KQY9lK)Q8LCKM zs_T{^v=^@kzf`EDqrfdZ1*d$!peh~eR6ah`IbVnX5~pw6C}+fZNb!$1kPlL*SHn6s zH7b16FkVmHe6}UWI>KBX_S31D+UDk6h?cDTLN``KHFJ{#1Rg)?_dD;MLZ7Mm3CZ?TA7d=Z9Ew%s8a|53);4>_z z+SuWDZW99y{j-xQ$2L9xwV)PTxCp6tx^Z?u+XOO>4$OIgBj$}X5UZ79Gyw5#9Wnp4 zAQn58s^{NyCZPr1tAW>gdVo{z){YOfVAFp&JuGNm+h;kL?f8Hp3-I!%0{F$MSxGq<1nW`C0o6ziq*=JwS~w7TB7pLOk5Dy3 zQ4g6cmU=FC9KdqQYZ4pdmb-Ka-SaOYgz*WjS(`<2$DTr9h!{*!_#;uC3~kiFP%g#|3b5Vzg!Fy$8EBU+x}b*YP^%67UH0@CVE}pkrR;q#%jh`j0RV< zXAO(x?l(l4NjWGFIz^NO(P@rK)i`{r`X0f-lxUsKi?^L`|Cr$0;P2iSQFy^u?M^odxQU-kb(EWr-V&_8cjN0 z{+1;!m3t0UTkh@B)S*_2?X*eW*=HN!J~|60bRg zoLJMakp=S2(UwikgY5YNc~tmp_IZKabx5k&e@olYXvHydl%$#1kcH4~%4?pKJB6pS z)F1-!lr~+jFqa8)XEt}4JSpLg-`jE%Hs(lMzVWq=A3wGhEh1Fb zZK`iRf|OZs7ZZT75`ZTqbeoD?HUtuUi^{?HR8u=jt&20ktK zjyC2Ds13YKC3hh9{_|=1wN!(>`d*B=_2R4I{_Jf6!sR)E+s(OWy9|7q;g!=|BG2L3 zLcY$)9>@FGQJLg%m4@u7WbJ93=E?fCG1$e99Tm`COKn9TaH)gf(Vh^i^U9+}i2fp% zavGp$=}SG^qW>%#B*8acWzOZ0vt>s6g9F(8%b{fp&6MUH?3LwmV$2#d>A()Qm!OKw zq;)&k@03(*COy1^CHtU8LEv9}@}OR#$t2&}QGVK>(mb3SiDTpqW$*jsnRG=*%nG^N zkP;KyE(Bpw!-)ah#uNfzbi4{zJ-c;<+$&>mR}D4|Lo>=UIuZKDeetHSkV!{S(|nOb zsP9#pbgjPl#a-FH6>^Gww@HaZ$DRXNqJ$RU^LV)$9mp!21ceDLmQ7eGcQVH>yyXmY0k80f?6S0DV*dqe;e+b8hriq?~8%dp=Wwt{3j!Z+3 z@#7xVsLN@Ho!~Bsh{kWz^N9^a;&_H<7oKP#$#i)>R09ys&4u!4TmBd9$3nTQt^S}k zDd%f#s9MVgKO=XE4w}4}!e%}r_lRyVQ#!GwNJ%$+vBA@op~IDq3YwHm_qrNd_+a6h z21xL|N_P4gh?K?8T2RS4Ju7#QH=U`p;wmQS>1^7wpv2-h?amegHvC%l^0RUuThY60 z*R%3SGB}!_#U(!Y9`Y}FROkR-@Gp5_m$9QSU81?>G;pSG`xmZF!R0f*{7ddVS}>nR z8coT3cPU$+lhEfM{eHDJ8wz>liU+alEb}>eU=QJf$necgLdf`BddNJ-EM3wentfh* z6|M}Z6yezcmmq2ho*{nve~F<#Sm+MVyPE%ew&hxd`R&^YmJ zN!36b%+>?Xs2KjSy2`6swKj=>w{bbUew92tCeI}H_2bOD3WjaIne+(-gJHnZ5}yn~ z8Wfz8E5vuN;V{o}co6U9#U1=05Tq0L6sH$7BaZtU;uP-97ctw2zNg$&ijYWSy;sX| z;gi{@)p9p}mCntp<-z?#$g=8Rqm#!qD4N%c>V(-GMS9YYfaa3ba?kKi?9ggCWvUr! zeM$`pwceoJb)Q!j%HA{}@zenu{SgvsJ&jFga;Ic#Shaqp4$_-I(7FjpilQury1he8 zxgZ;-5oWUYm^><`%;fHcRqO(j2gFpHN%xhqlr?gfA(j~G$)z9>eUO4W5<~jHq^0I_ zws4KyDM=yN|7s2v+`#;6a8(ur_=h#P3_{31#W{<$hriY&=)H$;pcI?3! zp~VhN69j@j-qA$eg0-HAE7r!v<>HE6edrYpZLznUiW&Tj6RRF_hTPx8VqTOxwiB8j zu{3;Qg{O&)dQlz`Z3zaJSl)|JtCoOYxIx~0QSK2N63P44AMEgpa#FHD>F`vMrEPpgoM9tdx&#kt{kGjNsqa;M3tH z8i_e*ya+zk7-7b8&O5+XydrmsJGV&>I6bLF)Uwi7T9Oe_B#)2DHOY9@$1;lKE;IAY zq`tsHtk3^1HCm%bmjRBn8Nov?|NSmSj}Ev<^F0d`;j%K^wiYbH!XI&-v9HRL!!06f z#C5WHugUNBOA|pn{-wG{oCv80;!M&Ja4`k(x6{9NCQExA*Ys^(&u)8N9%ox#!(Mz{ zzAk(y`}%b`(H8wB`}1{N6}PsAC9aeEWPf)+YY|Z@5NV8FC#pdg6d{+|K~QK%7%gmR zj!(R!$Tm^+q@(wR_S!YkfsC-#ugmQf-{0Hd1V9$6SSOE(-k{?{`DhmY2G$P9>GTFh z$B#8E{SA3=r!_d30Hs%uMzuj9ByhDw2z+l~VK0WB8aP|ScD*4dVl5fO4++&(Z_2}M zO*Jg-O)TpVE@Zd9DW}JrHEUeFkZpVucfM_{VgGp(mgVWYS|VhHnhoYHU?0CLkFY&g!~T317ux-J zJL|DYzRq23+|X zs=!{XUWHn@D%BITpnLB{-k9++r9-?1FN;{t1WVO_vZUr zQcksc9s(aXh;FiW>Ec^?GlKuS8HoU?Hky%bFbl~wNTyzMdDx@x$-Ue$mk>ddyXXBx zTDeqhy&N51-9mKuIK=!_ksi7JF=kSX53MP^3LX5woG)TNY4)H&09fw-ej9S-NnUslS*7XB0>8`)) zOq%|I++lRBsMIPUL$yllFVd>>3bM{#{s1g2u4SbkfQ1!*Yso@vTBBt}grcfmXW=p$ z-(DY2yb@mHOz&`1oo_Uztd`wbDvue_MytqBUnLRHK&Yr1`arD;iq_D=Q?T&ZOjc7W zcj#pm0Hc;n)KX;Bvb&aDU51Xj=dUfBLrN00@a8m#TC6-9j*G0(HV*MW+m?!2tBqP~ ztsPZE9ksIzS5Q~ZW51L^jvEiNR({&6sAc{9a_8|u_*J|ajh>7K)mIq?;m3hF6NB%j zhF}MN(Cl%zR}w3<2$OPM!$z~keso)0Eqei|=t9^J{Br*Uq2B{!hX}qsJgzlYOVJ|N zS>902I+x3xyO=9L9KGIE&;Xjz-%xdpwQPDhoG;_p{pE7U;Q}t*`!~yxF$ZD?{ZU6W z)eBPso`viJ6z6lm5O#Zoj8$-g-X!R|Z1zpLJTxX+F9Bs;^8mX83UffYD45~99`99& zQ{%jXc(VeOSjkItu$?@@{#hY+vn3p1t1IO0aS!2Snq|6}%yuD_ri*`9$P>fU*uZUa z_vl2k=AP^pr1XmVN~4~fB0`q#CsyP@LV9pkBp+c#0PXm99NJQ=1P{gJH-gn}lasoM zWHpeY*P(iRdB6dZ=dz2Ha>xFn0*Cjp5FTE{#1hiPgMQXrDR<~TK&Q>&xd?*t1wo#d zmXY-O#~tBef}MFzFy9aAyp=`B5~O9Y>PoqPyu%=oeS}vKooQ)2>mGnSxsI?g z0l9no&&f67t)xL9u)XYLxdFLfe3pr>L_=3otD#$9MOQ=UW*=dv2we{iUA+a}8I@gI z1$1*wbhz7{*wtM}x5kRjT?KUWkFYgW@E$%$o(^v%X>dVZ8rxTewk|NSHE7r#III!3 z&5CVMHLxu_!lqP%xScql18j*FY|l(#k5_}Zr6#&KWP`X5ztqsxThY}Ky5&dMc|zA- zLziYj_w8ghU^~z~XQE5d(8X)$dPRPH1u-Nvni#G#2U;{styLA!iYG9{PQ^p!Fypvu{?v<)3 z(yG~eguV2k+@;Ne_jm(>q5=oo^P$|M%^?G8dI_*TagY-~LySr9t(GAPBUD#o&_;Na z0EY+l(%Pw-H~xw$%&9?F-UL^Fgl%@T>R@|s3wvy*eDgJFwv}8R0y-O9qt&X*Q%PK> zomkee6E{ef)r+p5H_K3-Czp}utuhh%IG~mKUG@9|!pBa6>Fs-;GGK3)Qyx;kd+;|* znrZqR5lF-&p_b2Xqg|NDPrhrEXW%v=d+Y~OrY~vi9b0gU)LXAIQLW22FWMOpNQS{H zdx2vD{+X=wBP>VqQ`pZR$sIZhuGzgq)m`Zgd=c)iu4i37man<#;8UFR92)B(r1zW1 zNv8}8>4zTp4PIfLq@_c^nidT~_4#(T;$yh{(<)f?$8zUh&kn}YpepUS#<&342$+0u z4WQ>lumkql!0yauw*3paLt7d@Sn2Das((t}FQB`B?ST zs-B(c1?L~Srh=d2Efdct@5)cahAE|;dXu=;vhv_W_USI!P87D@EqCfK%4PT}sGwTD zTkmB$qFzb5B)B6Td_o26hTT{LSAVBV0m+4LH}uJxqwLMySQXp_4~*xf5;{)it0ax9 z`d0C;K+#dH3^-J{9|wvH$^gXa)&T>>1_TOGZtxxeYNw@7wd+j{Q3V&tSf%q@C&0*H z%>>Rx*aK|x9_$ziD9*rp_ke+wbT1=Bjx%t=?YII045V|88Us7QYj8eiAaI85%0Ki( zEZNUtr*H|%rBw#QS@b9JMB-iMC%Dy2l*{l{Qo$Cyqo9vq{v_~@cUB8wQOmRR_W1FF zg?``o1Gpcepn4JR;}NKL<)3^yHVnPcd^)~mD)9kC&OOc=K9S>FMUCg2h`Y$1IVyK- zL;NqFYZa#vpMo3b`dPT)SdB9}A>wqcJwWZZRuu#RoF{MT)#<`a>u_`^RQjiyJayX( zc@l&}o~U38o{$9^e9unuly;uoxmWHJovV|`H+!DjD-Ve-(iJF><2b}#xpTW>Gv&!o zpwg)D#l3i5V9j@I&^~M*<{#x22Fuwe%eIf-X0INWJI!8Z$W*XlkC3{2YJ`xwLIXlb z-7Wy?QuknY;_gBrb=ug~r7nfl?UR$DMJ5-vnEhaHw`2=@uM5!Gn=1-iEo5d{J44t6 zGF?jq?;OoG?$jPf}^2HW{08 zYI%)SujCT7_l!5GT`s7_^3)vM>7%$vhq3A}I^7`V-3gC9VpTjR7Tt$f1?Q4KBV(73?Mf&6 zPI*3A@@+)_PjFo|?shNB)VuBO*nhy26c(d7@l@ZFMQFy|?D~Upd<0V2od;nXtrNXS z%Tzwm1s@yEyD*V=AuBm3%h3Xg_u!6$=)rkCEIrt-Ra|Hf#xDH|=-|8x#U9)~jAa~> zZ-9`pR}aB84Yj=OketNHIdMqtd3CK$d+^Ux*5(Urux-#WT(X8*2BwfY#nC~7SE}cw zLgJ^f#&h`!2rlSg;bo@3Zlo=0UzT*7;5;lV<9MJe3EEvK(Uur62@0W6?n?!eEf-16s)X>Sj zh$J?0lp(RkVN=zIa4XL@(7&r!wjvvaCUejZ#YIV|^!&b5kU?qRuG{5)Nmf=xx3 zz){-w3RlE)at$>bEUglXID~h3282+=D*&h~;xQdj^R5CFeg|$rYYcb#lLZN6iFB)# z6XR=)E&ve(AFO+s&8VgAkn`-Xwep17CP!Wh@8->_aM!j`du?h>xnt#HKKMhN;`f98EpqM)Uc^+TU)V5zmmK56Aj#zpCat2 zVrm7j>ox;~kZcC5_km@y(2&~nv4*Z+@Vr!nA7_<7<@7y8xJ=1aJq5*p0Fu2!A-QA; zs9(!Ndbxx!v~-Vg4Xpf_JLt2|5p>+p?mt zn8VliV{_|dyDPL45-;#hNXAb{ClDfY4o4@X00N!RH|1&!0kWmx3O&w#sgo1%aPU>f zpxJ^}YR4P{wGDI?m$1YqJ|l6>rg{tN(M1+(Tz~R>SAJN>A3Kx8=q(Tk#e5AT3|L9+ zcmGE2G$Tzf2a%zIAtIw{)NUGNQhQd&B)JjwMcEsP=P)8X$(;3>8tcjgAd(_6nm zV;-jau0&(PJF*V-p{$QGSuY9)w5o!e`mj6dFJXPA#`^4*tS3Yvtk2OJGiadMn5N_G z$9hOjR3A=yu10zeC%wtq4)Ynoav$bs-Dnmr(J2J}TsSrWVDgf>yWbV5#;ZZ%h_ zn8|Ox3@$`Ff}{FD-#}P3CaG0%q9s-VqTFE2>XVRvkAd%fJjs*B>xCI~#D30u0e z+23p3@yhq1ZJ<&iZK&4TFr<&!hQ!b|K&Fb&0FtSzyYn_QXl+RR0c{Y#ybVKtKpPrc zTiVd|c^rKT5iiSwTzNEP>f)kQOQQ6CFMaB|0|h1u z4XjTX$$D$xCKn5ZXAwtMQ2h{|mlD&>uCy%f6wsy+RvttIOR8Rwd+fePiaX4lzjhTg zV%p>ui;w>qY_-B_q9pu=v2V2(xW*4e85m$M5KOQKygXKuP?$$)dhMEd6b0LoZiXTI zC=P$C{y1%kesck31>HVt<#}Yu_=N&@co%a89!M}MhkZma!8IE%U%BgBcJUO}tBG1A z?t%oW1a- zls)(}+!bq{HKI~1*_DnU9Y79(_c>)M-E#_lB6*X#yShbPt->FxN^DTNAtclV9 z1zVkfVVypLqHuW>3I))KXY$X0YE%@wu{eh9JtGes`1^_GX8VPY$P$k&*bN-#ci`H_ zD#B?nU{@L+OXQ3C9eOEe85&y&ldeEzd+xe z&&r?McGt6?&dNE1#cqq0W7wcrnpBONwM`1^W(!+*4xtjW+pyAe2y&S>i~Vv=ew-p# za?isRgzXH^dAZK^@I*H60?tgU6WQtuIGl);(V+{ngMK?V%JUP7b#Dlw^V=fydsPEg zf6i^o>e}Xs? zrx(Yj5CG2qCO_EO6h$z$AQy9=vH7#)cR90LtLyk~&Sbv9v=oAYd&@S4k<%R5Si|q~ z&@KY2>RGj$PRn@;YRIhMM2!CfXDjC)XMg=ewnxvmTG$`_A*;5W!0INv9SneRP4WzU zvXxCZOo{&A+$8t!bx4eumW$PS7;rR4tNxOsEc{QPC_Bo=|0yR&A2p=C`Y5~qPlT83 zKFZ$yQy$Vrkg2_6T3-JxJN>8JtEaF7TQGN$XYG2;ED3(ghFwJ5nH7-TbrEEq{gyp- zQO+7Fa;A8;tv~u?EaJPuPH5IUdP0OX<{~cnr8v;7`fHA|m}a?KJ5df3IbXa7YSD;h zG$K+I57eU(&Dbal9%ZjnPLz?;aFiWx#;e4QM^{~?bdQcVGQ#RsjZ%i%qUu)t9qEZi zdR*PAHAqjWTeVl2O48mbT$wgV$XSaa##PE?M%b!wWtdx((ZqJL!o@b1y8aTeJvHk8 zo7m2v`zlFd(5X-=A7oBn@;f#(uO=}M-Ot>X6Rk_JT0v5LqfKck#Ziz_LyGHdN@n{F zBY$g-{a}(N#GR4Nr8hl736b7vNI#@^H-0qfeKtZ#vkf@G4n-*AqX!61l6R+Dq(aim z?uk^!5X9?|$_?~=AyVPu+euPhB_3~+lxu7$C)iO*NsCSq7y`hMEGu_$ftQsj1W_w1 z_r(esbw+$h#yq=8Q4ZQtPq2Pb%9!X>QJe_KjZ$u;;vYpRDFksLN_mLB?`x&t&}=>1 z)JnPC>|DzU$YB`f2?m2gbkf+XlwlFX)BCSd68ebF5E^LG;LCO;E4fPPI8*=|J$H^q zr={m|qY?Bb)Xz4moOF8jYn2>88ZE(E-}y5&;zOD3Ufp&2pT)j9*I^|V;HItyH!toC$(xE zdOTVg89mO*@D4FrkLScFgKdC#CPw)Sq39N;bRx#bwN?fa1S#CR|y>jWDcuk?z}GH~V9 zvH9_6Xnr00ARhH+i=4o+I(8x+Oa+ek_V_KVW9jVyG24JxQ^y`?4>>QYD_z%KxiOqT zf9s%hbqEdWn)3v0;W+7j=H|cirldh*cISOlT*o4>fvPE~W0S8z(XzVIdDkd7UXFnd ztLaygt49-+n~8{niOO919o=2&MZY=Sm2~=jtGn{9F`QK2Ke03MJ|7tVu&r!<4`m47 z?(;)b5;E$qu4%E(?hI;$^{pD8|!8$f3Nf~@aeUyS)E;HG%BwZivOHvYySx4xj zAL2Ilrim=I*UrYb&Z^MZJ~L$pSDmE*!e6 zgw{GJMkc1ep^k<30h^bdV8i+-_UL7T%`_e4_rcHtzF!6^9og|dN*@BdDp|QZRv^cU zhE^@iovaM9J$Hg_O2(A(oE68pWDAa&eU;t>wy5uAaQxaA!?frGOX`PtvB-+!-hLJw z`};v70POdEm%;Im{-9&S3HC~V;Micr5$tcl;T!-p3a|wOE`#IL0Oes@*$H;%K(wXI zisP+;29C)DF?!HtioZKZ85~!=roMPnTsJQNx@>=^sUP>jAL9Rjyu9%HW$#fXhN#=b$0t@Z?KH4LgP z;h1&^WZW>NcNfu;Y2KxM8!%PEeU(YmX&~_!dwdwQ@JT^!Ao&|t_j0hcZ4cgFY#E&-OCX9qG7MjoH+Zq@4-i782&pA84Uzr9urx_ZA ztr|&kTgA4I)NoH5gF)4Hl(PSdO{Qt~GEHuss`TkE8euf~HZC!iLwxNwi#1zLsuFLk z*<3y{nnZD~li12sC6}5XK3Z#jhtZH2kUCZW%^$6F;M{zEH1sYs|K8C`cUlsk8LhRc z%NV4i0NQlYT%%It%7n2>i#2M_Sf$S`qW&wB{~wbL@opUr5bTpQwKI7%RC>TOIv*9h7Lp3D!Fex}`x30@Ahf(=0=FUmB_e z*x58?2GKgjt}OumYFGM4H|oV`T4hCcrK2tN81vf|I2(?!X1nqfh%H?um zp8Gs|*`f69yT(8wjG%PyGe6Qk6!64Z@iYKWe7wF=2c8eF1|Et^V?)!GQ5{osCaRt+ z+~MSL;EDu4W!k4;jIeZNOavmr*r9ZAVfaaQJ{`txL%A@38p~PgWTor)$O z$TLdOqic9$#30R>^Ll35sR#lpLI(K-5zTj;Ju_LkBZBJub@G2FXgraSKSjw%vXUUG zb|mGb0VQ2tFwa>YS;2mpqI4iBYNaY&Y>oA-x2imrSgl|Ck?wt)Zu}r(5@Ar2liOL2 z*8tgeRp~QG1fJTxw>!3y<&#i5E5dNG+?r$bPt9NitK)kZ{*)kZKOc&AHWPklHQ)+-t&xwJ)3ic1+z-*>x|Inlz4gJV%! z*%vNlfRVz-MN9^kJcY+tpA6twbBz5p11-xjT2^!nk0_&M#mCs^lrz`JDLJ-!8Z7g& zV=Q$VGUgc>)yLR9)0Dx+!p`9>(EKaAkFmF>DPv-CnsugBhJ`)N8m1||TO{?Iu1rly z6hpF73_OQ7gAcr7eZXLit~141R+PbJz8_$$8`XU6sO1>z zFJ&p6BkIBA@+_rCH2wh9q#h9*70gn)&TiCuglNQmDc3vvf^qy!szs;|Gk<4=;**Q012tm&;zDb!$bRW4%nH4i!7fNRZILfY@sibiF9+;{0 zQLXfuxamE#G~gy~*HG`5TdA%^3TaECx}I|VP*lffV!D6=Yo=dN((Pu0k`65EW+g6J z2>euE{8i41Fr6_j1arqjl7~%w z_6}^{%}Q5`^Y{TA^As^&s{iaU7LlzCOb~H>=2%cGNS4{k+m(c_n7fUAko#3@`KDuR zVYc#DYReDV%IG*D|NP-Q(7{q>DO+swPqLGL3@Ax-+zyXy$-vxv$M0aGqbZdv>d!2d>?d4gQIlseaeU~ z=_c=Cef{k{F5yC-@#>!H2{}r;?n9_0{3vyv085^BjS?p)ZF-q3auu5jH2$9W(J;XV zYm!aAfE(Q+Y1IVSbWr=_1f`EPy@pSeORZ9sB3ooBm8U{9ne@L?m5%=hX?*dzPFmzq z>OT>xoD1DQQR(l%56}#%y*-Z7n-k&U%sWP3O@x2f?nniX#**SEE3LZZn%HjWmTJhNZsik>l6Nw!Ja40PrYU{e znbrvR9`{3_C+D_=oZA~D)Xi7Cp1tP;|v_^SjD%FutO7%D+dg}(`l zd^5hmK7r*2bmkqU=~IKd8fvrT5Lonp(z5Fjqa)Rl!^SVX ztK`Z)2(elBL4yE@O)$3WdePu(4=6pln#3pM#B0xOl!1j9-R73)Da&~I9dqSe8uOr% zU`Ktl?m^64V~ju$~@~}Y|bNo2gCTdtFwasmA!BP@R z!>40p^}tFL&VSLo>58L=$(f+6Luis~r`et;$ldbvj~!F;LFa z5x0x2pn(r7w|A^Ixpr-JytU;o>pKbt#FRK#) zJ1|ZHs(Px{j)t5(u7All&$d?aF#E3GY;YMca;`kA+}X*J@9U-yxHt*+1I7`wKK6*x zIb?&;x2WXKKd_XoxeD(*qTJ%=^CucUKbA+}N1S^^8P&?PaN2EOJRyiyOCeq~b_Ti! z^8EY^rSo<2yy+;F&QP2VpFD3pN`K8zx(4|qIZ*X(KT7Q%Ric~v{NiQ`13L4WG&x{?Mqf~Zm(mY`Nr|_nIaA9hRd80d?wEdH2 z%*2WgZVdT&rV<$vx8fyzSBraX=G~Y-c=9pm|DLJjwYV{7Cgsgi_OvrI65VfTMN0FJ z>C0l!=6}$Wvz0Gx`Nt@J4uYfkwn~~i2R=6v-khVPYY8pqD$j7jin+?;T0(;i1SgR& zIzx%m5?;zs?&pMy8OlR_f{p#4{G3`8RvKNKTDZ6Q^8ql~n#o%b!xZ zg_=~lc9>HngfCan!KajtL!6D}2n)fOIT>8I(WN`KS&{+m3NheZ9E zql|BB(vjp|tJ9%h>l^HGL?3K(je*JZB zF#gv{EdlZGEJp|h-%HDR#bXJbUZL@hy028Wa)rOHRQd)oK@qMvu~zvoFv4mI z?m&&<&bKbtcX5m2*C`vh)|2a$`L?`DT&kwDY2jD<_!*aKRS%lF<`rcP*K+f#N_Vcl zDD72co_1BxFR#I*V2}j9uKdgp6|XB>n2weC$|^?P^$jJbWxml_&_K~>O^--!4DEk| zmkkZRF=a(f-c&jS#+XDWPRZx^M56rhrF0Yum8n`nUyE``^N#H5j3KoyTd>yWGsB^zOTg(-wK0 z4!nyc-5$s3^1Di+qpnIX=qd&3(eD9e%yC-yp3*@h^sV=>dmz@p?eYNR%zKD<#vP~5 zn=lPfkJH_ol))Nk-X_HC)BHhqY*IQVPV*6V&w2;0q%|W}NmHgqCg^W3BrQ1>^g;=G z$mIoh@MRyzyzmT{(puLScHfLujCB7xGB$%58ONz$vvP+c*+1vnX0R{iICXnp>0!^8 zl{Ef+UV%JmG#Bm-z4*Qo6`D3-iB@BhJ7+h3Xo0XyFzJ0bb%#yp(zFS5`F-?D)^Y0b z0dmCOCfkrR;REF49jDw6u*k9IIKB6Q660uKmMsCqUks6doWcqr3HW~@?>Fh_7n@^o+G!-7F+Crs$xXHi7Dbo{%xl_9bVnWXT9IiY*PJOpP zg_a$s30t5l_Z_DNThL48plA!+@-TxUbq6T;ZHv-7=&-MI(&sq>=< zLe(2^f~J1Vp7{xKe~hj|X|03t?%Ii!JD+V$-+hdI0%0ep`eUV+#`6x_FwSu^-Nfhz3;ohw#`I+Fbv zJk$eXrk$XtJjyK{!7Qy+iSGLY)nXr)3p6g5g1dCkqqNnSdKy1$=_i<};S^oMF`Cij zDnWFMIs0yj(pzK0krJg#N1sle8KS-S&6ImGP8j0u0TUui>v1*n1dT3*KFc~mxuu{z z?*tW=Dv7pfC+TV_IE_wgyJp?Z+z#E7e}X>Pj!wuk+wCnl zK|gKBz1iHR}=oqdJq5qLlgF_lcCoB6U9P3bPKqJ5vB z!*Z+W^e0f+tE#Bkr|95Us;JwiN_*?VQVem;Nb$oFsh{#fXBEBpDSEh|inasLR#-(p zf2#DTS8L4ws&#M`#q36{2~{+1x8h7l^zoo1$BzfzF=hte^!PfmiowA8PeEH`mF|WU zlTt;0Fy^!>a_m8Srd3g|Jxb?xehm-txk(xeW>iu79>{Qd6>Zsr7G+e?FMHTes-kOq zfO0F+_bM%0m?%KW@M$2Drww<12D!o@cG&7Q{T<>A2OuG63{S(2zaC5o>fL5e8?`v)Z+hf_@*-DKcK|*%n6ctZWq!wW({HdI zri#y*twl6DaL<*-O`<+uDeV*T4LESK53Yt*tydZG2qUisPATilCj*wO)kZt6@MlHj z%AU6;z#W3zZ(Lq@+^fD)`gf@|FaYJ(t-TRxSHmm-{2W@>F+n$9}D>WHz1nS_#tT9GAZ+xRH?QT{B<<+dgek|lz>!2@l z%M4{GoyQZCF|aW^{8a0R>-BsED@oi$i)*WV2+A`o1go^JV;r>bdsKJeZ_583 zj{Kp&>Fe)dI+1>b(~ta3;fJv(fxibH#^1;OrtycBNgNgS99E(n0U6~8*)aoSPSHt* z%%4P!e^C0gHlvExF{Phyj7H^Mt7Cq^%2@-WpbLl4DEkMDBouu62Q1ph8e#)sZ93Ns z;4Q4dy8N{tpmD=XDd9&r298pi_M;Nh-&~&5&a3iz5-;gu`e%4Fo@7u5R7v3osTFFJ za?DS|ckD-HoWo%(h`CBmme9zbly-I$rH6h(<4hddwS+JKgvMc=vl!ocEC11mKXSOA z>yE*1m$lC6nzB?|E5|ZjEHWB#?H=_MH9G=-4}vu02sn!7ryhYnA5cy1BTB2bnWyz} zRFe=C+yVbXAd4?=R=ruLX(t2Z`2nS)u*ZYXC=1pVG{rdbaK)e z!OluE9R+XI3ubBs!MX{*Kxpw@_6vMQd_VmKY60IXzbKE}%I4BzN0nk0*60eQbF;F! zy0AhaJsGVQJ3pdH70PY~i~JQ471;9fU*SH-?V*t06sJ9|ab?l1zoBbF%8Z3K*OW;P zm%HB@wPe;QdiofmWPqXkV@jyg1j$KuAlf$Q?gl|i9%u;ar_4vsa1{H$$C$Ek3jTvP zO@!01Ka^**D$4$V9pse1|5D~?OGOV>ViAlnzgel|*l}QrVvh3`Qlvb1T=~T@&s4P~ z8K)@u1okJ#h5v_bHJFK%g(sBZLrr>Ax68>>he~w4>fRK2h&hKv3wP{$nN7_N3Cq8oAoLLO`P34SM6q-Ov~#SK$XVwNSn`a@{ADTici;pC5t?;PUvV zk9_*&q>{|t9`iR83%*Hz!)3ttp}&=w&T+ktXv}iiFJVpHkcs%ws@KQY%u}@eZzZj@ zc}7*W232T zEkUEXYxVa&lH3b(H7=B$q8-)n%>Z}|fW!R(W6*p6=4*h(zRvn&G9Udjm(Ug!AHotP z4#d3xa7}T$&OmVon;M_DJUn|wSKRB)D1Ac8eVAG@Cat?F&M5bBj}NX`eR@`_-_XWgwam>mO0@O^#ahwn8fAF9;A+FKfO<`5N-#B_hObN8uLW!!wJ|g6 ztTHaa(&fdLU4rhdMm;Q``~>Jed|jR}11CSsbQmctLE3bGC)gEqP8kv+j8<|EI|#0l z@M=msr^Nae;ARH%{)@vPMVoPW4xgfr&M7lH$K9iA8Ee$xT^@ZJmFS+Cpk{xkjjub- zV|>A>n0p?Z1LJb({qu+kRGy;W&nrWss!dL^C;lR?kn)?8Psz-UMq4~<@Yl^3+!9Q5K!5~ihN9oclz)+t+bz3n|VTu(DQ-ryfnZdPm;wRYQs1(|KbesZl9(`ykS3O`NDk!g!OO(nHZmpx8X6~1lz znfS|iMmY`2rSipXTnn1r{~|)j_@-P`CTNOn+eKF7z37jN%4?d+d!>$p%-RyXO+8J! zE-BHSj+k4KtmQ;J7nsPCJ#G;!*WlB{&jnJ~%gXRjvzK{Fp2Ch+vdaDY+-2p~X1@N# zo0nSWetsDzkrJz^eVx*NQkKyG6=3$w=!&`Y_%-FeD5o(ZTo%#XYJP&$X|>Wb z^%PZKQ@XX7;cMtWq&IYRPw}WN{q)DLh|4ycGoxs&U5vAZWLl%>AK$j*293n7=5aH%b@QYiCjmPNrxwMGCi({S&nowr35Lb716_%QBCcH=wQpNE{YQZ$4jtP zcA^vsVJ1>--a2gA;I4651J#{C?U7=%E#N7-sj>LIg$b3gETmgPRx?*gT}DxZCIZtk z;A!dro?3&r`gm$HXu1P<$}`C85WQ`wAjcs(*=AK2g)|j&0&RKKlzWpn!B#UPNJMJ! zp4Wp!TU+oR+Kz;daZee}vbWr5REZOc38Sy$A6Ib!PyT4K1&a|8<|Uo#l;wO$r$&aF z{ppCl7cfc7q6dP-(6O0IbzI(HO@IBS?@syfFuG%By*yw)f|caNDf^O^>`x53^9yyS zj}8+uF&j%H`PFnW7!5-e-I|FGUCa%Suw>T8BfgCr-mJpcMeu+-R83Db6C-R#s;Rh{ z=;SE8V9o-MRnuS1g#YfvE!sw1@5yTF+FXP)n?^PlJ#BEq<}?@YIeb)|tEQeUM5}vE zOEl;?E;*}}&o*7q?#YC0YCaxRl%&nmnc>=DUf1h#y940q#P85_vtNtx)WXXx#H|ji zNGbMR{oF!?hx-~i2d^u@$e?R9v*D?&rj{XM2&?HaA;Q@)ZNAZuet0(+SGKDjCV>{V z6)k9Eh=}NB0=rO=!5bjYV9YQb?E7TmH@5BIh1C!dW)o+qNlU%5Q7wftD(zXLZ6&_8 zExW!|o4%*zEd^fsG=aHQ@Zxpb-}(1x8M5vrpd8OmrE{2N+$Q2yevRYTj7)`yA+ zhgE`ce;HbzW0A};-t(t(Ecy&JY^B$h(28rz{I}Ww>t7q5Q&`Yew50r2T$@>fYx}%a z{o10rHuh-s+G5X;EljU1K8$NyXw+ssg@H-A{#1xh$ciseR+zZOVF7Cug^6&Vg-^$G z2Z)tIj`VueGZffbuP3fG*Yn)JwF(2nzg2kML2K13twqFes{|_Z2}2laFonU1-;i@- zz~!XlorlHR{7xq!J@@ST*M?$P032_DqRMAGX zvQ0Zf7u)EKj%~{|F8;R~p%DCQ#Cr^AH003)X6Aa^)?+T7U%((kU3$wh&d~X`dOiKZ zxt=BeR*$G(Pqopqyl@s7vjkUG5?)VaX5&EwY_G9u%Q{0f;d*U-+KCuPnW48>*U_Wx zU|rUnp}cm0$Uj40w-cQ@l^YP+g*W=n+7MQOm|JN(Ydr;L^bJ?tBSaG$u1}7Q5b?Ic zGxSV^xY?FJnLdbs?J7P)KSzkcwjMuH+xDWpz3j{cS}q)+4)%^_r?B=;TTGMNi&%?y zs(0TRTHjuD?_ynxU(ZN$!{Si9qo$C{&(OK{qN^=!3AJ}J7K16c^Y9E!aRQ5V8~zPg z(kv_$XK1UFu`Hsa8WuxnRBz=O>eK;PtV{53z>;ZUsXjxqIxv>!==Bavi!okQZ|xcS zov~P#-`{{G-@+15L;X53mIXAqBV#c%7aZ6cTHg^^tUK>-z*1&m39g}Y8kS6I--)pp z`bG7I)zFkqz+zo>e*=~Z3yZUcwssP!w&)sa9*Hv61@38v0$s z@+{rdg|Qg+LiMK9&^=v5cSqVveXhceAZ2$E5w^4%+So-z^)ho*_r^+CEom|XhD=n zvSrlJS5cy?R^(EYNN(jUeZ>e%Z*xLbxE6g@;o9jerTe2r3w~c@PPCZ9-=Cr}YC-hX zXb_!QLlIrYbX!&pWp{;>mRCdXcNOFO>ksFFqe7vu>cb13HPoS-7|$5yb%Vjouc4Q^ ziTnJlfmR?`nj4!7YN$zfal5UshDLYCkS?yF<=w@7jNtF?Afc>=n)Wc--lvCX)ymvs zm4vT0(VCG057eidVFqI6YzYN@dFZcn%8FJ!Z1%t*D_fy+kiAzql7zcC3bu_Y!zA zu7+Cn7Bl=<^MsRGQ{C8XX>|>~+FLxqGzP~AoYkz+HJuuR9tb!~6Jx|}jP}(Su%p3Q z`XffDHpf}&-ACNh!fZ9R#w8<6>MBlqzGzh+ksjFEG;*r7lO_ij;(3D4QoFvQim^wq>T!;=ZD*|DMc9)#E%%JNlx(k^5U;fskM>we2UmG>txM%4%iu1UeWm zLZj6km8R0aVZ@|Cm|@Z&7BOcjx1Si$JToO!+Y6YpF*%628GDw#?F z)e*cFivrWm(r2+E!IplO90Np@E#oZpA0TeAWuB!+2Z&XS$Uaa!*VF8&oY~mLwrQ{oAb36!FBZ9P5kxqOuQ@X1UMoh6;QP8&FL2%E3c=jkYXACxf7Mpm3 zw&6*>^7Fb-1#O$x_}ZXsvUwUmPsA_g0mEL8@w!&DAikjmLB93lMGn> zv-H+&up1%&Q2A}*W*u**75HCiwF|owwJQ3|Le8K0)K1@7d3pqy*4-@xutzQlkakj8?6f|7iWpkdR zwBaJ!7JZJE4Tns}oTE>Mi#4`btf?o!GC)xGCO}W&+iZl0cBH*YnmlSA;D`~Jm<~Qi zvqnIdAZP6eFaV6$HUjD|;T#A; zu2TH^^mcKJUl+{OCK$08o4O53JVz}@VnB~MN0Ua1#~AZhBcW4KbEt~(ntG0IQ;|RQ z94+O)XW$7~ReWsGu6j@+-34=k@0%{P8YO>p0df|ebsvS2^Ul#7qcGAJqiv&*pL?!o z(th-0)Fu$PEm8M;2n{bDK-v<)A%=jg66Vn|R~)*|#P zoF4lw)f;f0){TL)8DOYGxbo-en=z2b;PcdYtcdOuW;6{Fbq$Z*$6xz0o*yk(>V#Du zk&W9n3#w`CSkcjv*PPk?{8{HbPkCb@ujrrRv0{QP@;tS?SKy9;wKVEpDAOM2>6v@= z&d$FVO0M5|`q}u~HV%IeK2Jl(>G@On?}YR8hVl1j{CDDc91RrF|Eu~j=P4lt!wl6u znu7U4%6a-CMGWM>mGLN^hWzn5`sw`lwDa_~@%I<}clvn}_ZhNypBQvYhL0Uj-OU5c z`O!MfDPB~Z2E&KN#x59Md|ha;DG46b_1b;nq5nl<=6Q;kpp!Uq0!UnZp5B@Ot%hPh zO#p{-&yyn++6{kqPsQJ>&QogY|8DUs=jqi{c&7mOriyf1{&|X-C~&96T6%aQT2yeJ z-kB&m`Kj|K>vdS+c{(;x{L;c~SWY%KOw~3`d^brfWJD>G#Si@Ln+CI(zqV*{nh3UY z!V^=(4*teW6}R^^1r-h_@62E;@6^1Xd}B8o^s}}hV<#`6te+}y%497$9}rJ;HX*QE zB-jzH=4>@e#`u_uBHJDiE4cbm4~jATjffC0#ISk^hWfWCT`b& z=S~x~t$e^M7}#Y$;L%8LZToqe z_b}3TpQjBE!v{YACO#~>Hg%fxGW&|!JR(FOuWm=q5Gx#U=9t5tA^K#7xH;4}Y?mdQ z!?qIdKtBp+W2y=5tv*Nd)--C}`~;pB_8VzCXZ%1@9~Db@he*|<;v-xBKa~F%M?C(a zLyw73wjQako9!Gj?EtNkmI@<=+YBABBq2p&`{; zs!kWXV%}?%W!u|uBmR#|)2{7pxE{Y)i&Q13IU}m~@=t6#98s(01gkj>=QpBXpMasB z)`+e?A)?x()lT5tO4U8KPR;&G&E8wg1u9?vq-f}qViR~W8fTc;P>iO2v&2^B?}=HW zeY2@3$p7*3PqNFCHl5nc#!#41O9N&@H=@ZCXNwV`=4PUtB5f~``}V6YK4DQjTMW~B z`pRrf1Ch{fj_4F^ZWv0)&dO>Z7%<%30B42G#O&vCk%seFfSxu-sM?a|M{_Xja2og6 z9Mp-gn9H-u4=H-C7~(K5ym6Hx<%zj)62dN$XRdh1;k>95uQ@U^GBB0RsHNvK0FhZs zUuB3WM-Kx6drE34@G0n}yjmLa6hdgh=B+!PHMR86Q{r)3ek~p5oLIArr=XT>Pm70b zg|+n9)5tOJ@_|vTr8Q4u3SU-Be?2YQ``zKQuT~Qt>i!JKDX*mw&xkILjQ*(_mtmD^ zY3?&(j;*4WPCo;bsoz8F@t^9ctfkiTkW*bt%jY5Iuyun;Z7r3~6G z^oS00;ayfUYVuOP#z_;xwF9w|vW4OWj+rDsCqlYfIe1B7aF0L^uIOd8&1tw;zD$6M zov6zF93;_MTD0Xk(K*m>W?#tR4AldO*0Gfvz1skj(+C#I3G`qiTc;lH8e@SiNdI9Z<#w1W*Y%VuHiUfVdXDmm?ZuZ{;35WiMJ&d9JwPCq~->R*lEuRjU9R5C=?P zlQ}Rd_7q)qi?M-LZQMwn$%75#-6)*2=tYrX<)Q`Jw4ND#Qyz3a&k^#(AZw_(FbSaj zc@Ru|$1fLsTKL@mb(t6{S~Ff<4h;f;qUGXP8`FvgMK9vXb5Qj9V0KMeR6kxJJZ#s~ zSBjCWjEhQEiUENRvjnW|TDrDMeAnMJwunP$mwACRMhijktZ17GM@kQg!l5V|YLFpc z665-t$hc@{WcZ5mAui27<5thQ9LPnx7)4jVBo?>uRZ3(91B_P=8{b*c4(`05$tAg+ko7PP}oa znS%kTX}Ik^HO1TD>ygI#4Fz?6f&@(iLZ)@%LB&ccdPNj)?f1Wm*$DoA`c(v?@OSWQ zaD1S$qF+Po5`Vj2({vGSd5z=D5p;mR$p7m#L_46Pn!hgE2R1cPt6o+&x8;k(P+vf@ zIGGi&y-w3y`T3%!f1aj#c{l?1@A;yKe;x-ip{2CE&Tn9u2cvP?8=}|$9I~6=5R(|F z>6>Dv)%VP>F&O(CmRtEIG?+=OW-QE{Yi|k{w{O&XOkV)GV7;!%-dxYq@f7-ey;yvG zy{kCd$b>(zK}=+z-5W%jZ=h{QO3YiLRexWFn>F?1^{K6Gnnr`-Fy$&U;1DY?6rJ~$ zC<`?+RQFm#foY2Cp0~wVYsj-OawLxxLdn~hfa^-?7mEWJ76Gd~IB|rGxB}=W3oC+t zMeh_KFkvC%k>sacUI(%wD6Wt|zg8GZ%fbQ~U-eX=T0hpvtU=j=_h7?VlYG62Cu9-i z*bKqJ-?wfS3!{9hXGtcHJcMq86LUCJVac-=3#8Cd$ZnQLD7qwRP?ojcz4r@BfteQEx8%nDfMJIkJ?!CpA0Z1sKQnOz0Q&U>j=;NXxb^7ryI{u+} z&N9r2cm*Cx%9WGwJ&p!LQ!V;P*HqoNLPLOcledarER&`+2&x4m-wdg-08IE;thb~F zjWzWfJ5C|n#OW4=Mt~Mh*|YB{(Dvn{DYQuRyxA|~HY1}%^Bi&HcmLU-;C(^&?ZU}l zzRw{0!oBLw!lg93NDQzYzDR{d2&7hAq*FzRiB(=CXR&xV!WV9t*`Ow-`*QC@E^ZDWeRV;O+a- zJZU30rf{@Q^MyDOIIC4LPiJs1!)Ut00|zDULeW}}m>AeDFML4v0Q{$x1Y9zvAlaMK z`GUaWvgy>KRCKmG15#;FskphXxjP0I08Dz0_v5&*hs3-fXi@{$&a&xC7#Ez6yTbU4 zvbaR~r4ZNH-{|X7gr9;h@iI;b1#TB@tV_o5be#SaOxPvrwH9 zeTh~f#~uqxc5cUfE5<-ny&aRJ*h>_&1LfkZa&ebv_zo;Qsh4Ob=crar@+Eo)Ic-vm z#v;7sUY&t!3@iKp$g@n$$4>7MUBb+!j_A8zos!MlQv3b{7G0yBUeV4`cvWYW$~WfR z>xBbawv=A;B20+ycV02hUM3Dw@0}2dvRrz2rxj5-Gzy6#wGgiF44g;#>&aOMD|ZGtgEr*EPA zJ^^3z3?{oj5p9CYjE=_LG$B~xxI{%xgseF7_p`Dvq1#cg3G`M2kcSyq>`q!P0u z*AC`I>Zd&Ey+G>$b$hB&HQs;L-<>l9ka$$FmhT|JmIz&}5^|nt;41Nn)v_!wBq94M zBCeMxYPaaFF?#H7MBO2+cSp)Lw05^>YTI;)3U+gMOsAu};k$0VL__utp3HJ@{hO-;I7o0tS-q|an?1%K!P>R?u8qrgGK`AOP+^ZAw^Iq`k z@Fj}ehed;mOZ4JC1fPywqMzer6#ceObh4lHD?cgP?3yG#+?gGY1+!X1x^bbH@yk_Q zeTg>g7d@lRyu|DfD3=}4tQOoKYZoSa0xla9`Qo}ubaB7v-NuAgtvs$l!#3>m|05se zbN;V4f9LCiPPQHoW9fPm#%R7lH#+h@T1Li z9EXX8lG;(o$)1>N^lQ0j**exQyBo4M=W%vv+%*b1C|V|{W_D08UwiXvCURA8vM)6h zsjD+Mb!Un%H3+HhbjDPS3(2M()W^c>2gQ@VtF^#4{SXME3?CImeIteia&+;LZ^e_= zXmynYgwyeFF`?C++PC-B|Hsb{CbZ|JsSJF8onM`>ldKiG8t4 z((vL&8wT|(He`g(#LE`s_(`<1&Jd|n?ha9>IPx@W)&D2n`mqPc&BSD8%)Xz*SpK#@ zf+;;1H1CMW;%}p$#d%J@@-reL_}=!5$maC@zlgz(w8%RUCPKA1x>3~ZsA!I9k3p)| zK#HjlF|AC!lsF~DfySjk_F$+k(A)|vg5tXiK(@5bbhZLj;M?z4F^|6={wjJ|Rk(^l z?8#rTkcgcAzlm-w&B~MPU*LdwaDzVRyFE1PH}UOtM2z`e405J*Hkj$P*lMXVO^to< zJ4_v@`RaGky@ikDWQS|YE*0+`kmHz6?!Cvvrw*%`=q2j>hnV9qGt#V#`zt}hA|{)u&$v?%)SPcgiH;mE(3BT@9`U*Zls1c$2s5(5XP z6&OvL0(lnzEBU7}%>^dazRT4>Bb$Df^6TM_K{BTZx%1^Pp19 zx1nK4$Hn^{tfpEbo3!LwP0*wz73{k3O<>_C#Gp1Nu0(epKNkVTtSOn|wBPB86Y%}= zE>r#qagS}yWjc348oHg}%f>m(_+!6gPy4uT>dr>4f%L;W8-~peT zq7mcTUKabXq1(&mQT0WEgOrA8!`$OSQHM)nRA6XX*&Gu*ctWZdv&XeksfJL7+1IYB+}J7at6vs%K)iU)Q}vn}?ApF8>o1M9RS&|j8(NtoKCG1)XnmlZ$n3G%WMZ$fjXJQuLv5;DU6dBL z&_zj8vh!@Rt0TrwQfP}!I&H~y^sPsEHyu`fFC3*4Tvzz zwEGT$8yEPcC+(Rzcdhp1SiWDe-dt>RH9xabTwtc-^s|%G->TJ~Un%rUZ_Vki2A~>O z$%10PjOLuNT5v{bnO}MnPIm`$`i^~m=_3L-V@Viic+1TUXuJqkcupj%I}e-5`aO$p zMKf@5u$dYKXk7T#Y;z2!d&10gBo9FH4t`d{RZ?+{k{imFt(|_^-MJJ$LE*wYh@NdI zLnl`HWosm)AY0uTV`k0A)kF_N^T@W;qK{^{u<=RaQ?^nTCI?m%to?f zdrMxX+!vza71{9#Ih%PR&L=3nWuMcoMv@$5D=T$9R9rTLrYbV7eoCPt;Xm)8hC<>| zD1;jZ3)vq!uxO@`?KOvbmBc_pmHVW0A!J|FqOokM*^G`&N_1zkyN;iH=Z2v+feLpVG?&Yw%%TPuG7KFHMQH*T1NgQU zvXmQsxrM~`s|cDHBIB9l{1DkwJ0w~jBGWsYIvZD924vzM-|SCa*@xnTqW9t7u2JrO zzhiE;lpbg)yV}6;yq5A!#?dcSB20m1hsr*jzC2Ve;<`dx$=RImZY4)>{-IXT4ag4* zlO1g6o$iBCK5(3&mdffa%TuR`qR7AC=@t`d!`&`#tceu9?Eao>| zO3OyXY7xIX+*=263RJ$~JSMtdJqba(6{W`$D$d+GL zj%~|k1RKb<_l@0k_1ZmvLP;xT zEVu5hHnLOiIKwdUMMr*@AA_q_pmSL|`a;`xHm{EUX(Mru%XDhjR)*U$>u6A0If#2U zqb+)LaUE@MD|^~<>!_-&>|)ES)9>l&8ZN^e38t~&5K>aO?0?%Tqd{Q7wPu*~`*rYO zJi&YbVM5iq$Jn~^WF}T4HGj4&Tt-E&GKQbadUxBpMPW%LUQ6Xk90X!I1+|mCLSFIJ zxS=n$d}*iY?`|g_iau6i^hk+$-ks;3a2G)YJbrgH&s$6L!l|O2Y|}QyXbaEzkdc`E z)-=xF!RPK)V>-#mHl}D{mXi*EB=-x^+|{1L zb+n?BoW#hqIHx00HnmmMQQJt_&q$22Ro2ndk+Nq`vsoA7;vg&G4gXbE`gJs95Zm8n5j@$u29eJdS&Cgqq5{H^jvq@-IiwH-_u<>{kmU8 z_fNri_!m{tq22#$_w##;R`=iE1KmIMimniN4i#Ci`%8MrZ7@`rs zUBm7tYd}O-ddf~sfS@O8#sbw_RNV!80f+XIt#2{38-y$qEe(J|XL9kg-qeJ(OP(c5 zv#!t!z2sotz;~dRT)-Wd)EoVP@6)~IZLIZ6dc#G^x|U*X>X(S_3n$DfVa#Ds z;uXDw_NiZj>Xk6&x+qZqzM&57Q@=!`e*SgLOV_+ol-Q(~&_4A`%v-k@rh8fSF5Mrl2V)8OuYcIIn3^`b`@X*%(>cawEWMSQ z_63LGI1Z2n=0LGxgA4jB`4$<~z1TNc8rSkx>KiU9OAEtL)bAQ;V*>{EJ#>x&&Gus*p+Ea)@^H!`JW#51wH4K9a+YXSp$?ysd z9-z-qXAO{13)1{vYxA4QXTO?-Dzf$I3A_wrt;{-Z01KB10O(vkjG}s~eSCWT9QdTK z?LcgzH80h4x^vd*w>s3C;M8WI?CkI-g6{a!iNUSI0oD}m@UInIyqSO<-a=& z;rYtaqT7dn@3w%els{Asw27;9WT=d>1z*KMCYfjpyGp5XP!@PE2#a}hZP8ch-gx;R zTg+7|iAPVyUZoT9m}oJ=VRDph@Kt(r7$y-3S82^K;7PnnpAVC_+s0g_=ELQSw$!V% zemEuxQ?Jrz!{r^e8CR)A0?hBMtGeZRCIJ>CL;K?nruJ%rPA#t3YDww{+5G?X5Cfn~ zEw%sL2&nxHKC9oSNjE}*+y6Im@N3|2#dC*SU`z?a`H`(mG>KA0fd zg)G|IP}r!-a5{IpY|iWuBW0Aslw)>hY0ya7hI?e(NDx-*BP>33l_)`uRj^eSfl!ES%*r#vW3-)~Rc%5`= zv)XBQU|7SHW#0i)g|Je=9rBU-4sH8HI3Jl;X+$C>u~}E?@kE5U%ps|{yc-hX^5$K| zLv?b1ZOv5*PLj9yj)KfgQLTZmdh)N*Ir%bdZU!})2DaJE}cwr5^^#*e1CjuB^U-ZX_!cjb&k8_KekK+ z&XVPTW&wu(fgntCoCt#6yenCDYHmg?5MgPC-O<5FUyO8HS~zV?mQx*OFHLaoFt;J*iRh_dFT>kD)lW+K#dVS>DY`5x}b(vngACv!im+8R$GA7z= zXb_%?-f4E@u%NCD_PQ38+3@^&qj_gIgChSU7c9uP`nk~PzY=UjuYXPy*WD*gHhoaVcx$VSnAWeVARTSTc|hgBpEMJlGtkY4ZMe!_b7lwDUQ%qnA6 z(`)+mW%gZHCekW14fO<0m2H||$C;Q$sniqcx0n;+y>XE@av@UVg4F!X1?jQ>owqnfOrVZsTBv`ySk7XL48dPPJH{ zZb)c+F$-h7N1zS}YEbTV9X<{1@yC#EVc3e!OPde5di+7zDApumQ8AYY2y!pvTYUYh z&Q%P7EU}35WEgVR{h1uD*2-{?7XC%om_naXwAPBkJF1!vHEay zjDPv9Ru5!dSKjEu!0W4z^)G(Jsy+{`#pny^{z>+A^rrY1sI&?c8|>+R_WBy){0p43 z3YaxKoN#>&s(*n1Vd|=a>so}vGR)A1*B4LqFCJ_aw+OuDdIHn@3xwAz@Wyo6#$T4w z{XwHG(98y!POJwy%OAAg^`If$pSqsz4F3Xg^$JXSxIP*4{6UlJfpVwyx|~(N(^h7J zSN>&EtuiKCdU>udv-r9))2uQ)x;s1qHR>A$E3>YHp5+gXJl{Yt`mO(U^^KnDPvBy! zI0&p)+z{joORrpus;3sF`IpbL$}_PrarOFUhCk>l7AW&VvxfaKH>5|0v>E_m5~CN{>A%+cdM-lrue6 z^{xWs+DBzn(*m<%?<+|9@=+NbY(nNtPf@)aklOGu%yG6_fSZsM`M>s!9XOv1xP=Xskp}-sXsHyatrVPl7b(X(JidHH)aBd>OF*vJ01t@5exPR zlAf&(t4WFX7&1JMWBPE?f<4)Q7WQb;nv`@I>QAn!dd~s6SGw%hw9bOAL(&83SU9(+ zn4YG38^C6*PX}6u$$PJ(A*C;9+?vh+tsn4I)f)ofR!;yp%mNN?NWb<34G%m~4|tmD zjRbHG14mlGJsPe)1K1zx!_H8>{Q!Fnuyzc1>i#5r-oXv2*|8>}l=h@->qkVo>P=w0 zPhwUUW8qCi(yk}#HEova9m7Z&aIgiKilqKC>jC2G{i#TuITMs7T7WYe(wS$#gIzQ0 zHEW*godw{(0Nl~Es1Qxu&k_tX-k3X;@0nx&(lf6i#S2GUN}44@yP7=5Ms}QYNweN- z89yQh(@dm{I~#m`#o+6svt`?E{`mOB%nkU2hHnGN`fxT}ooNm2xUO7j$tyjvamDQ8ghG?&%8ngPW3BfQFFLYr<37sJ`sj#3lxMCVQEJZk7}a|W zIR2O`qkEdJ72N!^5H36hy2;P8iu_Iwc;Z|&8|Zm($?nXMZ5$b!!I>S<}LMfMfOn9AtsWtwkXy7w4%CG7TR%Qn1(){S$ zXJiXMh)os*yQLb$=k*~>;Zi;D1nFG;3d*eF?1kMtPj>Rdz0b;IkO+)UIN1C`?EEV@TrX3rpx1mE*2c&C3IifB`;zK0<`Qvikl&my@p>z| zd%kQLY{6%rR=wzP3Vl{~YFcSwx5&TmS=p><^^NJd&&t~!8O8|FA{xIu3w3k|s4hM$ zVIoZ{Yj@)2sEKv*{M6YJt4TUWfd;1qh*m;+~oE zW_y4=mHv~7{Wk(X)@I6Bhp)WiyeVz8It=TKQQ>n!g5mbSDGk;jiBqV2lN@21(H9Vbo^{0P^wIm?a3s zfUnD!$UB=_lAwvf$tAL#pS0FjfzIb;FUJ`30tnWA_dO5oR{)etp9k(j{CM|y*}iF- zRe3Rz{`-YU zhw5vJsBGEIZ=g&wiOfFXDrR|qBpaI~ee9?|(BIC+l8G}9XTkL@|~=B7Rw34jN9IR{7tehkR1*ZsjrO3y`J7=FCZdGo9aoJjhE^P=&i zlN)`x7*;*m4XYm0KzGxYxaIBkSY&_YMqnDFgKl~O*>PHSTT)+u1yGUw&#UXbubAJSW3;bIga|a!6r2?nyDQ*S;XT+tX00%Znh)H(;hA>9r9M>e(;Cs;1+w zbuY@dSZ0Rh$vbi6`4VoJdGPk4c%Vlhcc@IsZ``ws3xP3U|OJaqLim7O4tRMQXi-xgZ zSqA--%!GRm8?8aq_i>uM0;}Y}yK;pb-P|ngMWhdwytqj!)vl0f!<|kOYry0BGGdZ@ zcnG@=n)idZmn=oq6&I|kJIw-KZLzbY42agP#5#!6N!wP+N5ai~es3U|U!+zI$lZV( zvPzEXe4vRjJMvB5W@CL9S45&6+_|n2P`_yvCVf2`>!NdXmF!~g*BIk|wVY{-i=g?d zLg!Z&N6Z3d&(25aRV_5}R6XRRC*WZxUVtod>IqyyjP%(e6MJhH>MZYW5)3{C;Oh# zJlvSBaPm#BBKf3+q@pps_D7S};`E2$1HTwD_Ep(ECf#TXW(5NCo7~81biZ1X;Y-#Z zj;chm3lG{+;j7r41J)dQ6~a&nS{uFwHB*frH@_zD4Yo!O-%3}D)HSccIS7E%d0yk~ zqD$%6Yp^U0kf6LSC)yoNQi~pXU8=Y?WeIJ`hXn`7!F<`0zm@q|^u@RT8}c!GCXl`T z23F|+c;F4$J1ijG94c{f{O(L1L;zz5k0Y=S%6wG0&*bsJVb? zd%PuM?J4;2&|A8bv-B<5&Yp&ZcZ`I+oG=Xuf4!y4f0MVd7!F>=y)C=+uP`3WNiuF~ z^Y{|b6b+ZC|4(7=gP!`h8&Rst$v6fRUk zU%Z0_C#VKTfpj@)4PnHIwp_Zu0Qw4}WnKaJ83MxJDnMt1UQ90aHYg4vW;>A)Y3ai@5(@XH4>8FlaJdX zowVyc88grCpL{VbhTO%0Et|^ zNiJp@+isSfTbro)p`vn-l;|EdSS@J)u<@ID@i&*|Z{nlFee!|qX=yE2Df;2^2Z-vh)`}{;L2HdJyg_Sab5eb+wUd+lwN@&f=OllPg`m+E zB>8KrRJxy&{54f7(H7aKK7EI_!1GFncr^JC?!Ql~W*}+chw!s}S~atYJ~3JTAvBbRVBeL&yhRS%QAjU(z&gA=+Gy z*}lrx%RTlHW;N*B*FS>%vPRtd5je>j@$5%(Z2j(HjhM34(1=fM)ivUVt+Ior5%+DC zshUQN`dGR&jrim?*{8LsQ`ISXtil$}4`?|%UQ^-Qw_z6zkB}lcx5Jw2=`IG%#ZWB) z>b1{R-m#xwB*!rLg(CTkr5H!#Wcd{1l42R(*}Ow9$(_X`9j8#6B^<29CJ5uHPgU2U z#kziRdgO$@eyUUTSDCCw1K4yF`?R$hrz_I;J#cE4_ z{@`}-VGVxRc7PB0_;LG=|G)T9fFf_~(Cxx5eC>ru_-%(A?o+t9$b|ZMp;nn3uc2^f zc@2g83groE7W`hf;u}y>fsZ4Ji6{FOKwt1N&{C%ZId-g3skNTYd*>{)K#=zb}6wUA;_fd~&;Azo6)^U||eGgT~xc6O?kG zCTKkVO**KS7Ivg&2PE!c?Leyz$Z`Dr+xR>EOP#;Fq|g&a2W1{}sbkn-iV_Z5#Uk=F2)*LEeX`Ib(e>5NN@fzwHTCVArM~-ry=X2z-eqJw4ZZt7r`MeJ8aC~32r_8!E0m`@kbE;E^Yi7-;SMhRnEtC*mUD6*pqqY0KW)a3kAFqTpzE^O zQlJdd0KfRF*J!s={K)*xD`kkT3=N?i@I}9Q#SGDvqQNTw->$%4RF$Q{D*->Lz_qH; z;8lPde`h*cm1yv4z{?c)4{B9u@RfkC{T&@W8}?4^NAr>2y)s?hieZhBXEEfc15)u> zuQdA|dIgr3R>ncC)_Tr<*2_4kLa!TZ13>Sc^%~v}`C0V~s*Omw0D2WYS}hf|_USGI zZq>Cg{)hZ!doFm#c@@q6$XuINgl4CaMc?bgw>_*icAz?oFh8L=OMIoVrl+XpP!V4) zuEgGjmUd=`z5S0g*ZtwO(v=-Y$a$~DqboIHMfS$d8;_%1wKmxWET4ya z1iYq)>eS7(ZHnFC0|hoVtX3e5{S$pq3kvtdpI%e#hEe495hkht?fnyW(}^FU7raK> zlg)1X;D`ae;Q}<=jUSI)@LDpeT9@&X&6Vi9vJ`$fzG^csYAyG{#dH@DlA$$JT*Qn) z!;@5Z(JO9AgZ=*04Glm>Lk{(h+Xp}Jsp?zR7=z;sU&LBIL+oCgH9XCTquevTLPYl? z3TMwzb^_3oNFQNtuhyXEnv9~>2JK~X4bEDb(bz2OGDLqj2)AyE7%CL_DigHpmWn|_ zvG2MKVwAToMzIC=-A?mew+}F#kpe68U3X42dh2Wy*c@LP+BTZTwj{wDqfMT zQ-pYCeA_}N#upsnp2F`S`S(b=(Z_7nX_{-M@Lso1>6EKD;!m! z2eFYr!HQ`(N&r>g7G&O`>%v?!iI>?wrDr_E;Re_R%$R$pNirfbp7T5u{_6Y)bqxuc&U8Oo0q^EOC zgxf5OtmXZngMX$U28urHqkbPK?pEd-c6f>gLxbMP95&mom$|4V@6+aUp5iD$$jha8 zNqj-xsr%p7`M&hEBu*9<`BH)`4wH}AQIH$Ua(~m|N?8 z$$OA^bC|>1HL2ciyRnBWsEvKj6NAJng$7^xVUSqnt@o1npn}=j=u0Jo#U!E0mzE9| zv+aqBuWLaY84Qs-eCdzDVx(`L7U^AXDLakWv(uMGdPBIp+~z88aqB&FC8E1Eb%c&>^`J9BuK=a#~q8*t4533xD&lBSUfB=4rz`J z5oZfR-4I$8CXR||(CgfL#)ojokh5BYKT4S9pNtC)A~*YmY@>%ZD;pV6veV!RONN3Fxee8J>L=ZA^7aMX`NqeY{T=STU` zVhZzK5G^JP1%A{REv5^_esnlm3^Up(IjHj(jN>t$m^Gs<`%$D(oWvd2T%$NjG5)8G z;)6n&AB7Evg?7xNyy0T1z0Qpp2G+ay&=K4|7aB-A9(d_mmc6IsDj{pjb{3tv| zObfK>tFzYr;?ONI;#I-bQ#)>&yw3)GRvE4)H z{#bFW+@~o=nKj)LD@F-*esnZe93#~GkueU+YVe~g;>1{?(U0zr6P4xfcjBOwt$uVi zPRtOt`%%gWanwk=G>G9lp%D*mURZvrV;gRD_fx$j_9pt#)c3Gj^V|qALfGR+?~V}T zWqW4pen0wS1lS$)qv(+;yW2;KX+pOjJv$QYdi?0Ckx&N;AjXSfvaSw%SJaP|)PwM( zcobgtqg&#|NTJtHUCP@OFGdS};1(~=vtPPbV43b-fT1fdd=%GgF&Y$6d4M-P0qCf! z`xC^O9xncnolZB1L+O_UG0$E-O5J(-)A&R*DIb5jCs9nZCn|LpZ1Eo_q*rxv?7g4ftnn zP4K73lf)6xE*qvK0D$n*W%yWibi-n#>CC{1{+lEw#GD`cPei#Z3{!|^`ctAwOin8g zx?VZAjhJtHzsi#KOajLvxLq- z`XCt^^6QIo}?LRb(TO@VC| z_^YN9o{E=Zf67gTq3+DmbZnjGPxDjJ4$A!L6{JkmNnvpV^K<$#3v-;~;0QjC{o#ch zKa25Ux7xMFgIE_gx7#buTH#OOX<&y^E;Z`bI_j}GsI}QM6(>T^^iY(ks(fT&Xb&pJlp+gQYP~3^rzZP zc5G8St;x03?(5N@-B7&iG{)u zf7+PEQNecV%@T7b>dgtnJ+UOA5Z7TvyX@xd_8xO8ej1$ci3QcN|B3iG`XgKPV-DV9 z#KbYWs16Z9DuRWcQk(WXZiKox+3nYV5c%FQV&32*nwed3HEw?Or}xH)D`E$(57y{_=u^~66sh7?*;*W+db9fq;Y6ne(9?xzL=JCO z7C`$8;RxmgkjG_Wba+2T_{fy*%@me%1E}yaF_+7^{4(*n;T3w#Ub?ZS9z&rZrDpa7 zko!b&v#>va8YhYcLYzPSG!fiDBG#-v%gy4Xf2kV2Ge@oEg8}r3SR(nU01UnKgt%68-7nd}EHhn|lF(1y#!eEZg9bNoK@?r+`A){_Br z>T>aq1bY@Idt-I-UNDD7aDiX|1r&>;h4TS4xmd)a&~|#d7^4+DPZlH6!qaOq_qlra z=tNQ9fW$MNh>`+n)?_hPUaqUuDhJYwlR@1-kPc5qjjgh$gay*zDPl&rQR^bmwd^m# zP8WM+nfb$NH%<}LW7cY!ILOnxd%l?w)2S#7_H2W7LLlv$f(DlsNav=&3pCgnJ+8nbll?NWGf@gPE&ax`Fj@6|ho& z;|^VgS?a4UcX3(vy{q>MPt>r|(?44x-e<3y$+gy1d2p!Kjz~(pLd>w&JbwjSN!f{% zsw>0^EY+?n5Xj^G`zyp|e&2Yd8Wilh5-tGm(p9ST8CQwr{Ql%sBKAbBqIa(n(=v4R z6j~M*AcWF_gW}rD%N=Sh;0s8L9ZJ99D=+fBObl?xB<^oCahh1@xSWm;3r%+Wv$$pv zuL1U>S7mOU7D#VS6LCYSc2{L_?Na5gN^4mlotmaLin!@wg1??^a_uVhbH=T60;yuU zh)-~8D#RBTSgV-R2&AW`i?I=U0>))E)ktwnFJe3aOPa2d54u{_+03iO5kmRhwDM~4 zF=0_41zjV~?B{A8J)&61sz6$K4I*zSt>qf{yShL+a}5a92U23Gm}+d$b@Ct7`^Ud~ zxty4b&z)59GF7D#hv4V{EiV-lhB-=PQ9^FJ$tqvVGq*0s2&Pn=C~OI&&>3PwppIW$ zYpF(vuGGNxK>B2cm}bX**IA3G~!zER-q-3)?SNIAKt&X76YA* zK>Fia_^~~K>Y!=rbz;&;U2~RK_7q^DWv(#}9l2%)lWQOC)Y)$hq{i#S4_O)W%g|!y z>#dtz%&s!H?#clAq6`D(ssPHF3AI%R(A=5g$bR2xSQ(&hjNUO54XZAIKA$O;$o5#U zwLX9zyFo0b#k0hn%qQo1@d^RJ$FCQMMe1_?JRX5pPYW7O{<0rjkDd9S7AtpMFXkq1 z=_i!l?$t2A7d1Lksnv}EYAlG$?Q6Eu6%U9(bagr6tStdlUk)V<(C zZ-Cf411RN&OT=!5*hiF+{ruS&)k5^mv(cwDY;Qg|TO5Yj>u~CuBcAl>3RhWUl^Cs~ zJDkqkBwj5v1yS+M;_R3nE%mQXD-%7N8oF!`qR(!I)7lwCez%}q?+LDNc)M7^>KZUtEdLjY8tf7k1kFZ=L zCBBKi(RdHq!WjsB4?HJ%T~$%UeULG<`N2s+$D=(T&Cgp)()n|mOfe@OGt`QqcQ z{~}c-JddiUun^k60Cr^zA)keiDj|gO7dq)FEkxZtSGN#cb3&+Tp_8%-Lg?^9a4im@ zpnIL{NP{2G16J;-6~8$q?#WBp!_J&s_JZ97|#5U#*@qA$00N%%7bPp@hYd#XXc}E_N~+oV-*H$G*B4 zh4K%jeVpu2M_4EcOTf_>N?A*g;*cdFl(+e%3u&P*zPLpEH*4WVY*n0`Q2MAEBZz`f z3RsHjDGsFxOP$1-7OI>*TC)_=l!emkNbP6Bb3)a_My?M*J##}T>LDlf%n!vEKq1ni zP#Yr?0n5eMeyw~X%Do(l+Y(B*Ef?eZX=ZCE zZQ_*eq4Y7QI5e{}l-yPzWlv~x+6wV~;a@CwCHhKLl>MP(SP82+7)on%>^k`qQBS-`I#j0UW7YCXka*adepPR~Csh7GmvQS_dX&nJoT9b~h$B8<8p7Y(w%PRUvsM(%ZD^0f|h zY$rGG(&HO!8{$KySnw?PnzusY$fwy_r3r~Rvx)EA;z@W#k(h4g01>+;?DVU{=AC{F^cpIr?qRvn^@d)Yta>9!(i4rag3~21yAfy^*YR) z_=FR!6SMwa??K^obe)sl4dLXsUQE93|Iqt*DE?2o;^VaF35suU)fDdqiFux1RlMVr zqA8r7Sr5gRE4%(fgv@X{u^ui4CGjG)A`(a}`IjlGPEc0cM_xE>CbU#@IQ@^%E*w)- zCE*lMkIBZ;a4M-sN|~-~Yk4>?2P857rh1G4E5hj$1;akDtqfoPB*rmS;gs{FxQ12x z$&;wgIGqG8Y9!C6u&!DkMmIf$VP!)YS)PL5Nz*Z`jj*bxz@#aRdY?i>)e=Tw8$ct+ zj?n@8*CA?FTsI15n5UqPD?kU z;#}-U-s-~XRZgi7r>>1E4WFkGm~9NFf~VmJwuIAz{Ju4uUVj?XQCNrVep(!xpwBOw zTbuM4xVFyh=j+PH#u0z(b38?@rSob0Cdh#2UHohbr;VGSV@UhOCLS$sBiCodJDKvl zXT;`_x=M7W6k<#`m+eCk1c?2aq3qQCjf@VJ}4wnlw3|uXMuV+7t4sK%vz4I(OmX0Xu zdsYmO=!{ZnU@Ytk2cjN6DaKKA1J6rP>Sl3Ybg|ColJ$@RV-(OW6!jd&id!S7 z{5hCeiJk5C2(I6BVP^!r{~T(*)Sj{@f{!I73;QF;v;`^U_LPGWs+*|Zf~fIO1a08t zIriiuIA|6PFLGiF-1f-`lAZ_0N_+B|2z3GNs^`TB@AI01xkdi$ijfXb{38>HIb^F2v#C)Q)JmdW6$zjHI!ziPbU7bzS{scB~t^;*)`x z9gCzRuVGZ27D+KpsEt+j+Q^B76A7j}n^0Ha{8$t3Ux}nw80*k|%IY3eS{zAVHKB1% zizL6-k^Z6G;!fF5b>GPQ5OghHcj|{?gZ~7CASP``UD2KBm6jfP-k! z@vVy@Y0DeXA}H;D0|vG{l8!QlqfcA~Dc*!@UK>dh-W2Bsb~~Dgy3Mt+sI5AR-g^^u zgXi%#AvvDW+r^ZjJq}##3z^Z)`>+^!?sl}j>ZsZZ@8aVp#{YljWml8lhV`w#x|)Vab~RzNxea}OBN|H^l<4p` zO_5}46Gskh(Fy>WFCK!-v)yqj3yx*P4)G3lne{uw+l0Kg$$h7o<(v1m+Vkhvl$|(V zw4>*3nz9pf#z@|@Q+$e_*}Jg%gy+&-=+lv2ze_Ah=+G+HF&9#3xf}cZij8qR8D@97 z=2pyn`deWZosl^9Am+$ALvssgMZE*>-4#i@tl-%lNrT!^XFZWLrd^y8+@pzRDR}+- z828t`SG>THR9oKL&70fBgRXLSKN;wB`G5$)7D=0TgI8}PeYyK@dG$excfiZVKpWlx zFMQGc1Lb`f1-~mU6ndlRiFZ-Xz9?#ZS2XzQGF(2p>`i=A8*2CxXfby8{FfHmh}NmL z2Ok41*nH7E3LgNf%cn_%#FKsfgH%%5Y4fN1^PF_0CK&|h= zpO_8wBd7G*TUd!f-D!~fK1h}tXy*GMX?M90l2*MBn<+QY3-60lVjT`vIeJ)RKjBkc zbD+5PVa4ge z9=)dp-t3+9;lfG0v9vgXy|hn3Dl*u;H&e(}2o>aF84Cn9bc)sNbi+STy>sCQqU^?$ zui6jqjOTaz;Q{a*_>tJlh-W`iy>rw7Oi2QM_yA^9P~34J!#nGZSM|;nsE?0T@4V?_ zsHxIGR_*=h$6}&TWuU+=m?OMYUKbW^stq)wOMG~^?u{{m+ywX5l zKPW|0>?fdGXP}9nK>ZGnTyLO-pTJ{*@@t=9(?f%S_A!RT8#lrtK1K)Y_a6{wGEnM& zknC{DEe5*rKXAz%2HN}|@vVP$#d)gfbsFftPcfwJ!ddiBom_FZfxi0`u}F`Bf({~Z z?6aGY%|OKmkSewg=rAl$+-B)bs1xhwI*Sv7vZ!tAbwD9ZVQ*Vv<| z?hADDc>eT-8b5ee}dt(&s{|2KI7|ro-Q0Rmx@;VGS z-jfdFJuQl+92STFO)%lZWwho*QSD(K)kIO#Ve!i7f2tykmDM^bh~nW|IF0W?z)+0H zsYeW#Wvybdkt~W<_Q1D-e|rxi;<70EzDJxFt9OXz*{iujG%tI{&D^2`Elo;?$R{5k z_!c7@!!T<8R*W7Wr`ZdKK~Q8OeXX* zdx|=Rexo#+O*WjSpTf|fJeqDlh4FT=U5ORZwCR)>7hI`9&_z#b^m56!qRP;4l}#N7E_o-S;$)W*khm zwek1b`9kiANMQ=MpB6JmJJYXr;P*VO;VXNhEO~J!CVQ_K%y!k*@FabCnpZRz(Z$o^ z^biM)c_sb({l;jz^Jg(IPCxjGGwR$onwRx?&Bt9BEgCbl$^qtfAko&J#fi}!8u-#- zg1gb6SVC2F8SS4nFw|$maxa%%thE#C%*svU`M+QpAJNStzrcx=7-`op7zLLa=_h^% z+;~P@EMPdd<&3yd*#$Y_S1~bKKjcs}TRDPTTq}$C>Sq!5g?dh{m9H#9P45M;Jjh%rr*UIAWU=T z@1mP4OY>2m_=+OU17~5CI8M=UR-ET5S7^kQVP^O_G)P-CWuFtnP32B(%ib|B-D3me zcT=(5K;N!sp8dA6Ij@DMj2=0M0W*Z&eh#w%&_~ZXF>R3EJ|PrPqRxvyF>l{L#bvIF zG;~3n8>|;@YM&DBm#~`kn%u+2{inW~;Q)Pp+*| zN{?I7^h?y*c|R=Q(niZJidO_~)Jnv+r+KpOO3l13d;FppDcjRpd!yF{NE3y==ykKC z;j$ionXN8H@QTwAAB*Zv{2Q;f5wl%K=zk2`49yt00o5+zE9K4@X{Ngr=50StcdKl+o;T7;cgduDF<^(g zG>ik8!|q@y57)Za*7619=>f$? z+x4c8q<1!+ySS{+b-``Q;yMUu1>Mo03wlYU>~0*Uu~A3R#kG^mXvqL6KyV*UfdeJO z65X0H(o!ZsF&CpANGhz^#yw4O&9C^1OO7(&(s2tcO>Fsv@xSI@eBWGiyrAX{<@hH$ zAT_V$B_5HbUaT!n#Ga28A1oecp8bKjO;2|8T*dU(KnZt>=vU=D#2p!igthaL@#2c# zI3vb6{WFrcr!;+>9bz99POdr68)#XOqFuVAlWUdh3yQHjs%Bqt?c01b)6AFdJm)Dr z#*H!6ONwCwGV2m@OMzFbH0b0Lg5Gsa~L6U-p=$xQB*0r^A zI8BnIDEXjn=GLm=R3%9<{V+%N_=ACu(6mASK&pO_ zH2-f&MGlq_>Wgu7mvyhK0bK75R|hJKyrl@&H)w;mWEy7s$5v+2 zS{eQ5Ekz3E;WXGsinU9nX%kZu&1CkGMjCXEZcCLa#3x;A(^l|S&my;9(ad+yY9DD> zkuI`jwbIY9SZUznQebK2LLOVlyWi}mtu3!|n=5M9Y2);u+GQe_TOf`?D)U1HZa5hOw~tzN_Ffol*p>DcYq6;2q{I6-97|#Rc4h0mxz`Sz5) z7;5DdmsmO#AjQdx>?xkH6di~ZpIDj^C`Aj)V`y<8I+CDR^%TdpKq*$X_wH6hEPWd& zr5fyz+D7j0v9(W`xrUWsV-F7q(uRU4PBh#$RGJvpG4JbHY(eJQCKp)xv1p6{3oUoR z(V>_h4VC8h>z{XQfx6%;=TUKxbTh|x+k+&NtZz8Qw%b_h4U(=BOtDlLEXB*K>}AP} zWAv@Tc*7xH3hJ14KTC!1qw$D~yvTJXu#UD~J!d;Yj05#jBkGJ}*XRL9Zd29!4_j@t#43nk_^|5qWv{Rio#PVd^ z7@;wi+M=cCe$~|!t1fE@Mub%@u{6>s;mg{wbfZz)!W#1&4o%~kF z!XoQ5;k-w9;=C&M?d>-2&G!K+j~6k(7(Nnqf|8Vugq7D>>E4lO`gp!NQkuZCzofomc~;@0xZIDSABUr{SLf-YL)#4fsjd zxq8r@Nlq*)F+vARkdYl%$9Kfj2T4waz|HZU;AR4vGC; zJ;-r8yi0<1tM8#?$?1~$zrP-yr&967t^^8Ak)oA5;qy}43Q_o$ z_*+sTib)YAkT#}Dp-!SGx5Qscq*M_lGl683zM>0vqI*kMZ-P7gztlWzKdOz05xU;`Ew}4qehBRELPoUc~q{&Mf964qnN72Ri zCyzO^_#vL_QD-}fYg?4LlbXn5hq>`N))4M+XL4Gx>bY2dmkZnw_6JxYWCv%o0Xo+m0}#;?YgR>R+OMSSNho}FHNW!ndBY`rn zk_!BEE#qEQ#eA%t3H10?(zVLa^5j+06aHPAc^2cIq8@Cz#nxq_$1Q1*MLn>BAvYEQZ|M}N-qVQxJ0_C6l^?4 zXda@~lt_n4rRhRuBBjod?os%>Gy_o>_#2Jb1lkP zl1Rg^lM0*@OB1Q;IwY1S(o5G#InId{$ax(ksZ1nenNwmXI`u@ls|<W=fTcm_N;g^MsfKW=S)JdX#LIs*cWCP)9=|{WuHiXiUWC054TX zQzBh|J@~XF;$Vd|C2&uos*a1>mBUfRHK#E;Or&3~mx|>$T?Y1;lgcs2*_lYyN-@oMtzCT@Yl2*$9^V5^3aYl+R@pT{Zhs3ZA2A(`-=i8AS(XOP2*V zRHIC21EcU=J=ho;^!;-rEUi_M*G*D}U-?3P!mwr!2G-_Q1DM<- zP4m&4gSoa8P6ti3`6ekk+wOeY?ddh&up3f+l5I5{pk<@68@*%E>^Bz9mHb@& z?R4S!_<9=LIh;mM-yt>sV@hcAvOA@4#RG1>OL~hv;EYPCPw`1t-6LHcqIVd@wT;|i z9P|0$;zbO-dEWiqdoUEjGkCtV=-NY?1LDrmv%eR*&7Jps#*I?V51ry5H;f2)UpZ_@ zncpohYAw(#Q0-Nfg>yMH!Z;U*F1_oTOe(e zi*+k*Eg3}*E|jJUrLc{KJR>uT0`8Trkjv~C6{G0xd!@^S%2CvIFHEg!6n%FuMzYnT z$h%7F5$2Ad(^Z(ASgCQ3x=#uWTka&JvhSOP%rv*wX=u0JhtQ^e6s^1u9cY6h=LUV~c|N2~(FO-lBlD^dt&jj57Ho(L{a*CDFXa(!vCtM1KR| z4Lz%j9bDP{HuU5rk>3)`vze19e+isfNfO=6&(b8aERo9P{*>tVC5RdfNi?(?IpUJ2 zuo{@AB)W~CnMw3`wN%MV6Rt~9XWK_m=u%$FNWuq3FgC78qWMc9b7c~3Uy3D-swDb# zDUW=UDDokxH#%peYMRb6J2qdp_9fAn6;e1&T_*XNT}*aEe$C&@1(OrF@F2%%uAPP- zR!>Lj6G&wuC78B?nFFQuq^@AAkBMGhCSCo1^Ioa(&RY)NbxC&KR=>aSu6Lw1AXVY5 z)SAM3kDYfz5^Y!xTW?IF&gBSwo090naw+lumZe3J#Uykj(H$!gR(GPR@RYmlq`Q*n z%@tDO$Zm%y$wRc(4lk>z!LebbCyB&|r4+%IL}MRDmGmZ2#lzA=vb$a-Ja6~g!x-iV znJD2A2yHOYj7L!EK_hALBbd3ygpe!`rR|SMp?_I8Fq!DvM=(w_YShfuOcM=VDJAv8 zR2L5NOjNoOi*sfZJ+)GrER>k&*h(}XOe%QRNNM&MDZi!=Gpt{m+t8g%t&x)C>b+V} z+E(2|kJd<4&XB>6va?!6^B#r$VlL*1N4e)uqur09*F=s;3&N7>p5}!XNp_XXbvav0 zP4w6*Da@^>Y6ZQv8{@=1t1$IZZld2;!GJ4Flv#^*TnSy&N*U2r4x@Ya9ZyW3qmxEQ zRDjO930gN>t4;J~t#mnef){HM;)C-ok4Z&|)jg{8m)E-2qIOU{?kyJ!2AnUtxO?)L z&P6r^&|8#?zsxPj^7Ug_oWyha6WF7);Bl$QUe+Q`{_t_>z95~VdG`FazT#UCuy;c* zxR_|GW8j9$tqEoAR z*2_fRYhWCmCQ4fab1E1~v(`u1z8b#u{>^@`(u+Uv1gFCc1tt#%$pE@LHAUi)+z(R+{L;wcuH2qEk$xK&wQik=LI_ zCZ=CZl)esfG??gyb*dbeb L@%y`98D%V$Z%siU04V6YcWyydTAE(d~iK@qnumT zgQqE(_O6EvnaN~Zk9;U+0AZ~yFPSn3Llbi{T}O}!<*a6SNivQZ0A89*@ADJ%k2AbH zndEx#1O3tUD*c)DpxUz+3Nv3D(Rr&|&f$y12z4gfNlT7|ks`Rs-1Uv}*o&?;G zOiS=II`p_)xsA=ChPBGNKCbjhruUvioLMrG{&*4`QL^x-VB!_YRPq#p#>!+``V>OH zs$_bZ->Z}H2@mwsE0f7>gY*Qqsr4J!g3y*W@aVjUdN&|M#&haM>07q+l&7Ud{ND7m zRLsu{Peb!~j@%^O#m^0!c-Fax4s2pNd+F>ZsfgbTo{`M_eC!z&zwH?nKfXc5pVa`3 z0e@wKlqZ+zj%o)=aIgX0bzL&~JqyX}lPTv}=(Hgj8~Pz(V=}FN7OlT28Qb`g-jYlw zo|Ot^Q-2CMn}N}nOmjCwCoU=U_-0K1=@y0FC56hrN7!?CGgm?ixjlzDn2rgm(IVDO zp_J#OEWwaMcW{bc4N!LqZG2A3bY|A`9GG<^lg}0~>rAH9El$k3lIgZBn9=S|rWdz3 z@##sX&$ob&Et!0ucjD8VOnJ{sGo1N6|2+6qq|j&2LmibVbpClKK2<4{`ht`#RHx8g zFF5g8nSy=z7!KB@P&cPI%2S_017EzfJd<7oA5#iF@FMtRrqJdWo%rOX(3dZQk2!?` z8lCu*r0{9DV4B$on=ehF#hmP5R-VEK--7A=Mkz!t>0ezZ8$qujh2ma9g*K+p6)(X= zn^NdLezv60vkdP@p$}ie#B`;W)7%DAx$0$UywI6K^fH?Fs*&{j%Tksfyghqi+?utr z8iSXjmhKdq@CsT!^!^(duUDkfg*Tk4t}>O+DdVhD zD&6{~Q>|5}QvI7S5hp%}-UOeZRFbztGD9k*Zg(<~xKz4rJNTGVY4dg`KAEZX$#(F` zOQk_?Iq@;4@=@ntn*Nq_le}Fo)J~}X{kNdFm8m4Xjjp$ABwh2iG|XPdm__U2sg#|K zskG{C=%*o-tZz$888N>ZhE|uVH*1i(rCF*H>Qm|4X6bV0I?ia3vIjS5xENgdEcB#r zpOgXy<3=T|H&bk3Gw_5K$l3zw59wmEl?-Y{N=GUcwMq%{89jwJdoOKe$B;@}TH*0v z2?tswYygc{<A`FO{$l*G%4ow6b8AQi?KnBLrl!5Kt5{h{PPP`O9CH`7lbuhcSK8s`UD9aVZYhG!v?GjiPowk>$S9}LZ5@!w zM@xL8LrM(MCqA&>kS9K{+EK!>Kntd#&UQd>|1>JtjX_0N8ZF<=>QORZD=c43;yZXZ zrcv5E($zvj8m)Q<4aJnkmvLCRXAUxw}?jA|xm#RI|5+F67-6KuF zDU-c4_IQuhvzqs zFZJ-V_2g*PoN7Llq$FJc-U!8aq4gK3*8DU8?M@=S`ys}Qs<{OEH7r)$EB1&5DW-E~ zG==QLUZzEM88D~6Tv^sq#!dX`C`E=oO@{mSNs@qT0UzFnJudwjsah+lu|aWZSMe?( zOpA2w!(bH8+`fYUI@0l;SnZ=A_ryCpZleWeuhkYZG7}0 zYJ-Vh^O2M+`)8{Om{!T8wI4}^vD54oVbU_;igsfh$F2s*WYn^+KY;a-xjJrZW+o*c zfVa=fq?QBHFnPW`#hgk1J0MMVtchEmY=OJNd{QP|_A#91avjxLn#qR_lKP`IDX8U{ z)Xu2&cGQYY`t1_b4h6L`lQO$7QG)_5=|a=2&Z3SkX_is9SKLm=yFbkK3zzU^UDIV; z-N-Ti6Id|T8Xo#Yx)P_;^<%KDRhiWDsZ=6VXHv>R$g#yP$I48)^&oa!)@9P>g9vdz z}>!SkM#=#sJ2!CqToJM1%Q?SOhk+vNK{zMS+kgv1S*^!sNZ4U(qM zF>c+GNjHCviJPsN^z`QlM7L+s35M^?q^K`ooO?29>K6#H_h-@~ejd!Em%fll>i%CzR8OgarT?yp0-F;X~^Nm<<>cQTW1=?3{TV8_q%ne-_?-LuH+ zOKC$t?Z{cw^d%gSe-;h+3Vnh@J7HPe*9FrQfCXa~-SZVj#3;`@UrEMUx{C01F{MVZ zA62bwM}k^2_N}|-8q7Ge(rRlI-JzpUObIiH`c~f6^>DF8yj{28zX-Myvgj>-re#sg zArvYni>4idP7AWAmY>C0^!_1K+q5il`x?3}%cAVBF-Vw`MYn$qhdwup8orkHvQ6Cj zjpV7UKizW}mH-Ct9YzK`&mWc|3+SJ!chpe9O^z2E~1g zxW+SsZuu7as?+kCtv(sF{#%F@ltCYJicZyRHDvG&V>v=x2IYK*SftUO$CN?U-yzb- z%%GO zO&NTH3+~A|iupTGi#rOv_NCJeM?tMcqgIcXe$+ZL=-s2B)|o-)jyh56%Ak=p4z0FR znGL;v+Gu*j210!rAuC?`5pv0-V>S@-MAJOxM93$TN{&fah6iaLMCq(>V?z*Y8(YoG z@u|=Zb(;FKV^VNGnmrlx$1#Xt%bgHB)=iRZ)C&(X{v^)|7D8ef>$5-g_r`?MOeAR^(pP-ZX{=|0o&5b>hXfyOhoLHOGo- zUs60{8@9Di{SiCqLBIY-={!Hz|0HGDFE}-~=4I2DKOxdFXH&*0sMB!;Ye}~H`L-pe z5So-`(=(@}=R*Y`?8L-oENenjc|fl$rMEj!R5KLG@xF}?Za zUaX$R^uPOA?_rD$n))j| znk}0i{#AM`x;jS_4gp0qtdnmR=TEmOexbF5DXuw%J28uYgH4r=p^D$&H_OM+lfQxN zMm@8&VhnZuhL(aRc8V$t_KX(>wxNZy`I15(; z4B-z9TJ-yX5hNSaYHgc3TbBwYl$!Eg`PnXMU!(N4U)v)Y|^Dsb2|MGdKlIM+~ z|2k7Jk5TT%i{AH?8qn!oVBOZS*xgzXG9MP|Qgd91_2&Nx;{wq){*;!94AJX;5BZ14^WwifsbgR3ZES$-qjqY-g zU>m3Ut^Mw@e^^7lYCY=Lo6DmVQMv^N@!K#$1vIAjL;bSu<{d2TdFx2g*U?^#&J| zr#g;@1_0lbOY0fmpi%mGysJmrIo!x-#(7bQgn%Q*2*c5GtJ~MQ0LLC|#l7%Y0}-^w zuD8O23xAn{2SWqhk-Flqdh{cd-0juNLFJY--W!#Oyn#|D2bN9#a& z=&<=t^0=V74H_~Z1cuwxkUc%2t@`m4<%u$y^C;g_P89Z!r%HY=(U4wXq_SLUXJDxY z{FQ-ob7`;_faMBsC>46ifkJgI&17JOhPlQIn02|dm4THS@H+->%%yV-tkQtVB7j?S z>2eXkY7L0JTSJANx%4ChS8BkI8Q7IeHU`$Iz%{34cu=&2N;{NG84?oeHS9YiVE5+I z!whWDfI9&k=03K6HFQBY-kP4e6+Ja-$P$)+hWR@o_W)tAhHO)in>6HW8QJKB+zSLr zL+(?MTQuaC7}?~6%xCfk>D7hb*dU-G_W@bR8%w@}P>{|%N*;vjDj7@V{NAM@tsexW zIb-QH26k(}9~d})EL~t=j{?L+VS}Np>ajF=Fn~4cTpbnWwg2sAMb`5Yq$IU)A|L9bUn`G@s>4f%3kAjdf&_X0uJ zexHJzsUbhf$eB*ae5?E7a_hTTXlUCvfkp(&rNW6&S{5uP4b*|H!SZjy%rJUAL=KWB zFd@r>Hd}TW9So622upT0Uks5~yBU6I@k<2xKJ&5;?5FcM>3GGNO^+X1==BIWV9AFi zSuV@^+!uK5Ej-VXortk`ysf}I6&Oa7E+>XqJT_~vfxu%YZ5dPth-LbDt4qf>v)aP| zvb7{KybAC(4%e+6?;-^s!*#K3;uMcrNU4=w+F&9sR+ly;4qd{jOO@1oq}F)6Ygs+Z z;*pNuELFN?&`2f+X904%kb|QvTM06>8t|*F{6F8!vhpVBb{MIP?e$TR_8yDJuTwd{ zC+9zopKYAjFTamH|B{|hL4ci-N?;xnNVN=li*sGV^}qOr#ouzxK_-jG!y3;UEQ20! z@Z?g4vS?2ux5eWIq_7HjABi=u{hKAT+F4b$Y9+M*sWl!#-RfDbQGm8xBHU*X`xx7g z#Un&v=CfdyWso;BhUqaB&HDX>);(OukhRQkqu{lleXwG?Nyfy4Y^?!^d*u=%n8Ou>`!b zW%VqxCD-&`79x`sCO+m>(_yyM_TgNd)vG|yR1!p1qr6OLO`{3JSHZ55SkJJ=) z9*c$@?e(}4KsG3~p-X0qN7-w1ZJcZh9lsl5TBdhfgY1&s<$vblUXNe5(erWgaN)o< z+8-xpgnrQR7sTKE7;(`yiWnh}5yow6zHWrv;yQ3CD7770Od;`r;(1NH9BT)zh?f)X zFRk%%E@Sld$B0RgV;ML#LC)rCgjlI7eh&R}}lIuPJ?c15ZM-3)82DLjLU zJ@~vvuz$uCF1DcGE?$HqKQ;^1$d1*L7lv9+vv}-yp8QheTLbFc*%!m(TB@r-*IcvL z_MkgGks>b)On(>_S+mz{S8fDtdYS6OCQX?qI4J>yP?)8%L(zmVKV%XtC$02bBk z&R0~_3JOZ@8KY6ZKw1CKC?`hC@dI^k;TiI{S=!4Amv$zj>b&###fuh?51&{)Yek3m ziXDJkJX-K(p50}x=`y=^m|eTfyL;TA$WQ+K1#)_1kM~d9>rsOLxh>g}hH+JR7{^mv z2Kv6U`Se4EoE|g`G_u}V)M)Vt!mk>SwtUXDJ)crC<>ayXi&xLGRYxH^ZFP0AeTC4) zmW@l#t@nat`=aDx7uyARDr*(Lj!whtDSo|=U*AciXESC0GVf=&bM^4VV{e}6S|ph* z>3?kUOKj)gwx~=+u44e~%?o2u+v^x!eO@WWZorYdjUOvI7NB;#fn%>7-z+(D$#Tx? z@|smRzBi9MVP;SXSo?KM=*0|$!S#%kXJ!!SU7@_iF*~y*U;^G?jUDEi@dk^>E_h-@ zD9|te@Q8VkJ!E(!a4Zk*v`w6jEHx8MAR;T-N|*3&SLJt=$##D-8i$hn)6+~9pt`FS zF5f8c9$zSrJQJ8@B4eliiU#73_ZH>7#AF%ov#i6lX1ovN+oI-tT1CyY8wa<`i0b}hw{=UFq}6XdT2U~gU!%FSvhR^B)w;kU8M+Z?W2yqT1@ za!#`<)xvPweTX2C=%*wWEBd?E;^CpZR;cRC{e7cfqV4)AjF@|Ze_!#8z?lx?w|Q?~ z36n%p7hV<0wvpE>MBA10YMvG1Kh}wbG;oZ(xhw;49J(I2*CSc|1wS5-Ul#yui#vLK zqV)=(tF`?m*tR7w>?%9#8HSk{hPu=6EPz=&j%^^19N8f4-Qb5_7FcOV$&@4Kq?rM* z9lcRE#?yUjlL8dwNacrWj0;d?yD>K8z!?90>aWIl46t?{jo5;4HU$*A87a@qAiRC3 zylKW5zLSwzleVu=EX~wj1kh|LWlP(r{DfHz8l_uWjRMLu?ah-pN@SY%Y9OKzyJ!a^sstsPQziKv^t-L$iV2qkGQbl#x;9wO#P=%YO_Orn=Pb%vAQF-K< z>^67^0N5Z$nvnE>@)oAObtrGT4ZiUt$z$cvCF>NZYHk*fN0c|++U~=f?aRxVR_-1A zd*24d+HSz_e%5v+UR7(`0v`ar`AWLO+OCEJS1akRQiYg-i8Ys_g6V~^vUh0dUii1b zb9lcAlB*#v*HioV2dHbTJoM%}KL^5*KY(zHGs4T?AYmUTR5&NReH00AaKf$52|t}i z!jqhEn{&e6-)ZtVd1%;P*U2unTNq)kGeX0_$y7Z~4h*&W10$U=?sCQ;T)_?!!sulB zaGd;@FsG2N&6BeSWD5;kfwUt}P7v-aq+@wvmSt&+6ezC zQGvN;ml@3v#}!xbv;9>hv?#{=`RQLST0dl+e$6n5;9`3RF!E~M{v3v0 zX7MnrpkW1a+K~0Vzo5UZQ{nIL^|)~jRTRi6f_OJ=ERchSxUAuLX*8@>ZT{mfqxTEs zw}k1d>A^y|Fgj#QmW%EA-+#Hdtnj?6rO=jDcz)3*s*CzB+{#{$AD(Fbp-_I%_3H6; ziZ_|>4VxUzztM%SKgEjjxIV?79nJjUy&h%wsWp)zh5~6GkZilJQjC4wJSAwdebuDA z{&Xj`Oq8#8`}OBvD8wvJ_x-36V;All+qfdEhAyf$!(z+#W6Z^4LfZ%=wt6xGbFnsj zfdn3d*nI2>oZZ@R5DAQD`yBycDNfST`r_@(BvX}?;TzB<5c_yqZ6&i6F3wKA=?o)0POa`|qd`~!OR za=Ae0>7rkh=lhg33GapvX$hX82Jp1 zTv_t%G-!(4C>WMd^AveJKhI5(hXv3|4$sf$w;;xHX`POi(uSmzsTeGz-$L`I%IU+e z1q!;d==O4MN1exEcQ80{uSXUV)N-b-q9G>I+VoQd z2FNRN&>eHg;&B+S7LPCSf36DzTnuWRcwuUmb&N%>CHP|K6<5edgL=>{bF^Q(&VU57 z<*htCY~LKAk}Kut06o?6mYKni+J3os0{bVflyO<>QF`V|In~p}vm65i;|lufN;!0d znqjv^5yrAE2)Qh8h2j7FnvV85djU*66mgY2GiB*=CFT#na~#`kq|B_i7!XCLs)VAbfF0SB-9ep*7;3I>q!? zqn;)dbV4J)qZ&q(Av({Iha(Sfe8B)2N7^g+p#e}XJbxJ=htaeCK)HGA9g77)8t{v(qaE39-J&seS=q#8jzsG% zo;*x5Vb6M=nB)bjzAe7;}GyfJ{+E*gPn5igh*SL={~E zTs7QYRECKhM>$?#LhvOIGkjE+wi66<=#fxd;+D7_1zLY2 zlnOud4+qJ0q;dE8ra|(1?G?QkFJsb)0yKg3jiaP4KY~`$S%Qipw0`#l@nb=P_<SZVv}IjdRN9L&L`Tns=y zy@sJk&?e})a*zuZ%%%rbFg4rlWVXrBZ8)f?hNA#u*|~HP1fv>^I_0@)YCh@Md1n}2 z%SHaAJOI=axA3bSBDtEPG}W+fA)h=%4v+@S;YmZ}8m&j8Tzwqb{5@1X*r+B_J{`I3 zLPslU)+G+i+28Y9L*zzn4H%)b#CT3W)=>OtNo4Pt4ELt58F}Tx03+@ZLt`UCb7a4* zVm`b)okt9nYe`3@^ASVk7^%f{{>@N%qr|828N=iyQmtv`--pQ~iR6%ix*CnE4vB}NHTh_pCMQCNOHj!Cc3%Xm=g`d1#0_ga(zF6YS3u8 zZIi-VwOBMw_7lXnO_M`f3*>}sZ^$k{rD32Eej%gULPotbh)KUT)9M;y@6pCfy!>>z zw)`ElH|iC}n@yLy39=tehkm(CDl4T|6G^{{BQxD0RT9)!)8&Q&`LF5nfX3sIT&j!% z1v2cJMmjR=nJ^TsZOkkwdAyis&ycHEhIq>jpr#*f;#+6Pom$m45$_G~3*Ir)YijH* z>u?9h(HDL%u?-suPq8Tzm#-S0%Dn1KIY_Y4b|%K;UCa64nR54lc~qL~RZ@tjrl=c* zU7_>m3Mw^DAnX(^TcI@)Fj}ZN7-CvWEKlnuO1ttFu zA)(}7A+Q)TH;n0pz0d`h&7;$!rJ`PnqZ*V?_%pygF_6)?^JtkzlgAgqBg*)384sK-hlwmB zXUl;j1P*m)Q?z?wf3Vl?L!CWul&25t6F(R1ReZlJdw-25_EtLBi*&FTeuCC(20xU_ ztutir@SH_d2nk6wR034*mjepO&>8J0B{aKC!$qLsa>4EwiM+)exkC-1yEJ9hK$pde zv$Ur!pCiv=%NkW8_*jMz2i7c}O&N%;It_dmPx)b9s7< z+>y`6b>1{qZX=&@fVbrES+VjQDXfq`#8dg=2@OspN<-!oK4C8A z$kZp?G#8dsZY)1NS8nY7YG^pdanFVy<3k(#(bm6UG@Ahw@0NKv1ev^Syl9l7E8+J3q=s^OcRJG*3R~z#H(L9q+3d=&`C!%*ZoKy>?~dD#p6Gg`$?P3{F{$uv-B#K zuUvptJ!oYukXuWY=29)|)C~T{Ugr9twldAOGMmQ9TP5$g{Hr)Q!2Qw?TWYQ?wIoh{ zmoB2{xe%${?Wxyosc{SC^;DK;ygWo&HW)Wr$-^lAWjy2(k;I!U!W!xQK{nPSNgBK6 zVoX8O_(kwW!jmt;athBMf!fkM(1vO(gNoCRzjhJ(_aAQsnA#IpO4D_*I11h}kT+W_ zSNEK1&)KU?PCjC>jCTl6@KuZDSrv{`$z^U@>3~y zE>B6olJC7j-fpS9vqC)Fw{j#tP;r!D!!%c4Da)nA8Yaonp6zMC-`0f*XO8&Jo7-dAtJ$2A*>{FzmpcT<#^+`jr39 zr}}J1}bl_A|Z_{cslb&yoI|a0-LLBQ>Vo;0BtW9W6^FdagZ|=7d!)|=@_BPGLw!s@~Fw%6bJi6Yd zar)rXf>Qf9Qr97sAQK&sB{qCI=!;-%^^Lw8Dsoc5p3OmZ;hWwXLWpB6Fcf+t) zjM56>R^Zv=uDM#eyw$nFYZRQ7k;G4JL(Ad$Y8zV44h-5Zw{t|^-!8WgkT;07%Ec&< z>qO&DTtQ^!6lyDx$K@k_Zo7O>nzWXGwnOe6Fn_f`&j)(G16_5Xqr7T6zC*e2UV`;us@arxTC)x5`Nav$P;(`WD>uycRyGubm> zd9vU@6T_CB;caC(Fzk{G9eG~w!Y*ZY5}&eL#ub)HJY%UWAJFlfun*{V;JSTsfFp8dAGVHw@mE=l z2KzBq0620#CS*KU??>J2*)Q#v=Q$!>4_F2&6bfK&Su#g53-6Y`u2U8yveRs7)zl!j;ENzk$b`<_H;_Q?E`C=D2yWicKiLO?e& z>_t4gn^BrQ$H!(gxjpiySx%NFeq>fNm=m+*h2?z}$&q1~J7sv{$PoN7_s>Cw;*ZVSa^$TQe6Rnp+D?43E8&hO zD@qVA`j`*D2He~Q=G)ig>8`$)iX6BjOR56*wgWeF0iT!;+_X6UrzVd!zw^DE=PJ!f z;{I-(b=ylvU4=m%PtCxutK?LkHn{FNtt3EOm4ymdEk* zdmub>p*i4ZxxK;nc!2}A*KdS-z=1n@A)l`SHzM8)CW>8MH^x!9F+M!to?NZwLJ=b_ zVh}3jV&DUvi{m#-DL){}KG^uuxd@Br^PhvV`yvi5rkW@GE?;x;{V~sxA?7Y+5QjZN zcCL$fzhY$27Lhy}n*T1w8}Y6`P8M>|`H`%X`85}%W`!LB8pHkX$(}Vo(NVx;973f` zj{2I{HYhE9&t7npIq+*L^NEAW{6&1GL5Y{L7n`3M6o~5nSqE<1Rl@Cob+}%kjK%z& z%E~4wZHf6|Wo21K-<`)CxZ&ps_pt+a`4VpOR8~lX6Zpx-$~E(SFD0u|kJ-B&87iNo z3?s4YY-_V6Sgw=CYQ4&%p&R8GqDqnPoFL@!WstcR08WCJa&VGw9ucTyxcZjc;-J2H zKV`^wWbj_f8#V?z+EO#vQ7iYjmg2yj_$lGOi^Dpd6Yr&VPWJuwkKkl`DRO&(+_wxe zSHqN^p0~GF)h(L{>Na~4(a)-_;!ner9-a&BNnOh(z1vo)>GuJW?52Acgd;QGDT|ZP zR%uvn)Zfo}Mq8y*P~2b7%Pe8Y+CR31ve0Fxr;bpGS&7`Eof1QBx+}Vy`AF28@E?Hs)(eQj->NXd6o7`E&fY8g~?*@5D3o8cw&2{ zt&XiMvlHr4itWlGGj|Y60>9?6^&ONBI(o1J{ZgTWxGSI8L8+i~`7)6&?4X3}*lirx z-3obfd&QqO0iv6KWacdg^{8cB3Rha{x%)5U;o(XLJu-3`Ulgvi)gx1v@$7IVOpnAb zGna%bA4rlhnNN&RI?!`h1W=cwz$`=_Mkp`Jt@-^qza5E=CZK7{_&+Te_B}n=M_HtL3G8kNL`Xm0FHmhu&4{1*JU}4QDf#8XMKKu5==o`2`I^ zHu~n`ca;ZHdn7T7$gF7Pw&Zu8 zR~e*u$b+d{iAZ(2}w`;Da*@AX$5@lKqW|;UBEXFROUz{ z?hvZC11ofpGD?!-Zu8Yclo`^9WG)R=n$fe>P&)%7lFgHbDzBZTNy&WdaIlBx+Tr$8 zL~@2J_W~|_C+c4S>#IPlz2m$iGq2H5A$UEwjDJ2tX%jHzztFxfgJ!NXQW@IL zj#WAZ?EU&b$abeLZzF5IKUT?b4#>~@54_1`@lK3aUOG!-^Z0=Y$|5N?nK%6aHN|tx z2ll$eCYw_~P&{3I&tDSM_MkF*P?^X~SDeV}C7xPl&Y7Wfc9F_m;FV*PXx}R5{s%X; zEbhD*#m7)#-r46Rj{_ynrYJkjojy`L$_3QS6xq^HM4Cfhv)~V)7n8HhJSR@MR$lu2 zDDS#d2@NRD_z!$HyzS(`PhF~*4N~?2-g|`-7VvQ2f8ZY~i@$q?(xZIETD!p7OeiUT zxiaIy zQL<6TY$3+oWl+o&IQm$%$6G)~jmy>w^gzD$-4@NDvFIK8=7w!G_!G=5+zlRUn>eI z(&nz^X*-m|QgSkXXQ$GJo=bKr4fJk_$kCn3EJvi_XUb&X{N(?s;x`WRz(4YtGFTdy zjE^kaN2}yybK_l#x3hFLz}#)Ove?=8vz3B^UE?A%Llk>GK;ix#rJ*!(C3oMeRI`_C zZnRgq>snb#6kMlke=Ie79#uM&lTI(@{ZA-?-s=}X$NBqlr&tf1S9`36+ipxn)v(;d zyy}E<&RHk3F_{lEE4_lQ#EIf;o-Q`<_t(gNo+~I(d*aj>t;s)W9)-osK!}j8bT*Y>E+#=V|y%_^R{D=ibNX5Wnbe z;5Wly=XaNZ&%B^K)Y;8Q<|8gDW2J*L`MHZqfCKpWqOx8}n#C7iQX-|^<@m)*m{q3E z;F(`5KJxu4_Og+^;bo<#^DD02RD9*aG70AN%gQiGnl+XGoolZY&}&~or5a8Zl}bmY z()CJZ!xE0nY={>_^h#wUnOLzE=Lkn-XLAt#6euY49kW@keFo^Nu%_p3=RMeC5qD`HtUIj=Of; zIf#l#orofEk{w2mqz+hy3Gms$RPthk6VApU=oIUv8a{fQLh2x^hMAA~uDeQ6g=aLI zT}j$I6J%MITme(yVFAv6l^T2sve@Ag@j)#}ETZ z&v$wcn2=+bf#6`L%=DSO__5+MB)I4E64QB$|0i&1D7bTHq`vjFz1MT>pcXqhML1dO zAxVpj782BlrWhGRQ<3J|2U)Q5-J1`NV zSHECik}KLNq){md{!_qj6)OEJ#oYxtoMp}YgtvXFgf^N}fcPRAZyjPc%eIdNR~-TM z{z)}K|MRO-L&{ywYy76vk+$9D z;lC-q5=tHZoAR#oY&qZio8r$r-YqQ{NBG|XH?RvT53ka8U^dUFhFS%OU-c+O3^tj4 zij*qO(%~O@$TI~Ot(*7<&y+gx{eMJ#lhUf80kqv+At!uY?+0s&$-cGPY=^UxHO~>P zgD9%xD{^H}F01znJFbCnjgIImI0{*pJED&$n(K(>Q`9|PwP(J9_~T?!7S&+=p_C~P z2UF1w+%1&1l&MvSB9}?z`d_AI5pF3{V<}q7RKxxhFXgH$Mco|*wL+A1#L2osk^4y@K zb^d|({2eCrnD2Sq?@CQ6>U+N7cco(+gGi>~tSUBvBcR+`OfhyrFN5^sd=gTok$^$? zVroL%8>bjgB;@6D_Cjgxz8sQE%%1ON0Z%2?Z7P57g;GC$jL4QTSacN6{uEOUZ}6a7 z2-A#{vZ-^cJ>6XZen8-8mv>A>mTbtx+5t&=-=DdSMDBZ0&#n-j(0fpTL*uzeE}AKV z#-T3$+C4b5;EgHxqEW5+pDz@5X-PhpUn-$ebUyF+QVEdazT;zFDt^+4@AzVRhJVMm zy;MBg*ZU5H;kM%7tE;<9+jBAfz}smg;k3wKPd-=Rf~#_+94A<;qzhZVKi8gHwCPth{C%%1u5YsANESAoTk>4Y! z&;S5bydzr@TNTOmDYl4;!fa9qmqvr91CpRQ+ozb6iB+5AM7;nNr@|Ox650>mXn(b% zLHG@6>HH8aDe`#<(RGPY=J)4~UJhPS z9oUku6;JN}TB*c+|5PeCPjmnb25OvlDDLfgd%8exz-PTy0^$dKU8)){+>*ya#6W#U zP!gTn()Bv^y99AXQauW!I8l>nZ&!EBCX7EMd+i=1WQxkup2AfI;`>HKZy@sq${dEX zxIEoe+q)5=FGSx&Vw_0SE{9Qtb-{m*Fa%H1a$Chi{!;wo8?S272RQHmQqT!71iC7!%=t0-(jU*R=&K?-|Xv4@G=lur@+Zzp2OlwO)Gs zvX1ZG0_Se7T1=4;(@`DCU2C8tZP(*rS`85oUxT=&wCB!m6b~skk9)mQT6(U@qmnbD zY3zIU8_@}m&*P)sD0SlpBT3iM@Dg<8g>DvdCbAAj^|b4=sZyS;2xSSWF`b}^00`9n zrHzxe51OJSb|Q(rNQiskw9rpgCX7e#9(Nt?P9~SsY}jf^kXbh*dtqzg$f)Y{ET>lSq`#FK!IWsBp-wx1Zj~_YjWhaHNo;62aqYT(OoVf@joTFW{LKndwbMr6hCj5+%59{@bMPttWxUVsUrb8)nZ;^bBo& zd;Wfj(kh@%De>wy;${9z1Ui=dY6RbbBk$ zEtzH-K!<+`DD-vV2bPqS)Noe4)k}x-5WEX3{;qEDC<`w@TS`@Ze zBmpf=NsO1;f-d?`(=yqS_9z7^SrKKv4KBC~>+*SX``pprnDErw6nEbgTYy4`QlX~v z@X2({i8SvSajJ{d4oKxYo!JQK$Ip2=i3Lh0Kj*;`Yb3S(f)9|`!0=gZND{@gA2Gj* z_6=gN79SHM2c|kZO~{Etr=amCUV8+l;n<@Z%730R(#>3~J;c@K5~~{@FB0^DyoyEy zLBe@8Nb~>+=%Gst`W*hO{se3VAc-d}R9GWbv`%`CPL$}4L|td~U#-$;XD5?Eo{rwM zdksc3lfe~XRPFm%JSJsFbZ+kEWTZAQ8D3ATYFjoL6D}?S&O-o5&Vky+QO@{wd&6A3 zn`IchkXyFnfo(lGL(P(v)CJ?H!kyJRcz z;PeB^hygV~d%X=E38>Z}0sYlvczzjLrWy+A0eRY*Go4!O3|>9dx}cn#sQc7Kw6=e) z0yFDzWdd?kq8$2a05sVVGjD^ajB|BA*jMLlw%`4U8cKM_p+^#XH2FB`6dicLJF@2N%|XnOaD~ zX`f1U>k@2K@sC>JDw7vfgTm@Szv6s}U#h@D>OXp$jix#qU+V?fCE>J&*K%dS9vcA8 z5wjz41TxDn@`0|bZoM%`pjGG+7xX7E)mOw{n3e=##u5W(u_bH`-{#7y1=qLXO0Zv| z!H?c|u&3#y-Gb0y#}PDbI=}78`~uE311RYPfpk+wAZ`L;VHGfN{sQ-@$YN^tydb3T z5)T#MNmD)B>wxZXcC;^q5O1up?1CP_F%*5<@u4-JSwx>S2UED1#9Z$4ep2PAK4Kfs+ z5-mqiwA?6}1x;$(RJ0s{%CwvZA*#Mlv%x_@0j8%eE}G>L`qhdCR0$M*9Z6V(S?aBQ zt1f?{k{a2qS|To-(BkeQFD(n7TlrI&d3)qr(Ga%fp~p@bcum&w>I!QVvaueOXjqGM zkVVKOFqcy|MeJh{vrTJ;X;wZ_7CPFMwjBptg1o(J@QPaDrkt5m~ZXTX!ao7E?E zdmISDrCRs{qUdhl5MI;`(-NlwH%#EsM1B4zX!OjrJkXtWlWwl%Q`}idd}km!j21&n z5u4|&4FkKhCLVxeoAa)g0%Du=SZ8}|BK3k;q+O=f%Ri@yMy43n>mXy9q@P6+2v!ns z!#B3LC4xBG{98TInJoeu?QL;i_f|Q7Efu}()6=|D71mZ6FVJRU;P)JIn%Az%YI#gW zQx;-Mi^X{j@#5J?PpH^Yw6SLe5v}Q_mv`n@D$esx*7~YYU27K6s3-9(-n}a8D;*Ew z8>_O`lAOiAuFArs@O51FV4bAMEM64Ls_`)%td8HLAhb@RJGuLb--P0-G4ARK0$4|{ z}OK_@7NRh*;c36PH+ zgljKyv@-(M*a4FP+v6#K{tlo!fa~xbY)|G>^D>kY0>+uu<-x`zy?~^7^Rz>C`DmoO zr-*cN^mak6=JokXPuASs&rCWI?fs4^*YwO%ypDh6$^1ipJxS`T+vnIb`I^SaI;n3} z-5(>c6{jIi+O~S!*NX*9{3P%0#ah+Lt}Qx71mTws;q3yRmt;}YVoc>*y;#j^J+s8N zb4`p1d81)F`!ib|?EN83*sr*KDOh;bpd2w~ty_VN{ zFzJsUy~C_rfUbu+p(n2AL%mtU8fi6!U5Ag737W>F6uNQSGIKrO;?3$yu73QoHw%n! z0W>x7mR{;BV$+au6f#WIbkNTNlz1GVaE=7+2YAhB$~U1EWbIa`!iZ7{Z567TDvQ3CV}+SXB< zjBHm7?n!6!(Braby{+}A1CurJ8gkH}??bF5Wr|Hly96?_aZ;%@M|-NC>7*#iA?HC{ ztHE%k?0W7|lQr$R=~^2*Rmr^PCMYK1q?)iY zE2AZiNhb(YW)gnj3npT-;mWEFB|F8CJ+LYk-?45vi9W!jmVDd{36`tW&ZYgf`Ny=j z$=05u_F8ByNba2Kxd?eJ_Xx36Yj^q(-@YS)sm=O+Ev9*+s%$+%EoA6UO)RXmBpuND zC?8x4R@yi{Ivfv&mDcA7Pp*a8^}a}p@TV;%DYg;Fd@Uf+{s>m59O$5(X}r#|!PTg2 zQ)idL8364rlet`MH$YNtkQ{0~YOVTA#1Ju1FDZK?C3sr z!lBk8YOtXG(9b6|AejqV>`mZ(kGam9za^Yu5S*r6Ln7HPBQp46A68AO@6Wjpd$(RC z607KYRrS8-kKEe)exN(Xp6BLa?&{0B)LnfT^2Fgy!r7J2N=lp;Vx*>kbueYAh%7mV zdhhX>zN}g!rwr1_0WdOS&shCYWo~W8zFG zG}${j+Cx8{?~CbPbR_}TCv}y2M`8-_G$(HabF}#a0#^WGFHRA$orpmX$&5!QBC5uu zd0|-*#E1#nh!Hr~xcIWL$sFnP^z=!TZU=f~=Aj#9xg(?H7*(^U?{j|Fm(}1`{V+gf zb6(_!p>NJ1?ok`@K8JXl+K6kM53P;G<7F@Ee%}4iy?f>3o&XC=d#i0)qYBzBtkR7p z!;^zh-p6&&KjJQGbAsp|v;y+#p;@cI>W_@tfj%@6d1eDsjk*XKqH~n1h9Y1v|K&k! zr>3Am9DUTyNjrfO&`Al5PU_;%f+nuRCP;+x(h{Q46badD=M8*A;g0-;f%{=h0x=q5=W))MQkw1;~N34*S5R%``q6T?Pjz?mEgjLLuun z;_c*TU=|d%BiUgfhrW&SRkihjtcA2HjkgM9{kld~MHQUTGO&;Lyj_K4?9Xb~2ixuA zVUPxCPM|wIv$b0E9B{*um&U&cgdq3!;=cq!sUN5DDnTrwn$rfTV6As);wHNYUC-pf z-w$HVI}adjiK==!XVVja>3i;z?JwVc|(@6^L;`r8fsA40iPMMvq^ z1AWc2Cw?t=Ht_iRKzXx)e_EdnS2hf$+-vb`DZi1s2BV+)Zsd)E*(imHBv(qRzmaoF z>bQ|-QPN=}5!{PkOV^FOUIQcz+{oW)fTR}#iQupJwT#@zPf*g7jXWPo4O6>Qu4MdL zW^aTZ(S9$K0u5d;h5B$@k)<8QlHB;1hAg6<3MB2O8yXvO6#h&x3|Ph5QAVP@g*$Bx zUkuUuR-L}q%cz1LGL{Anc1-^lYCu@0@=i9xb;vdJkRDx0>3 z!PX>zb+*28K=t%cYvoNmvN3D!ySE~d)weojt+FG>RV=f2@RY`^-e@n^=Oq(NQTH&9 z6bL$DVl39aLIp~*W`IAvuA z#G5o>En1zCZFR{Mb;-2V#nVBa>Y_ubE}3emb?_#h+5~kO07gq0GJ}xL5bCmZJHOwA z)s-%k=ari>Ut^4DQacX=9jI^d{vq*RJ5unTsq^09EphqDg75uNGy9{^AU8TpDIl^vLADSMC&d`# zoGi~b@m3+ML%sdwsj5%u1{gH7;>dkXHMsO`L+1zB+f3&?gw^p_D>SEwE=-|$tjJn< zGrt=AglAT> z7H#IAHeMT3Cav2gycTKWKfU|u>xa`lRr`mYuX}2)q>(mtlnGr@6E98HE!Yc znzQz9Q>4-^V4bmr&uq>bHeXVK+CV>OkhS}WIrJY#50G@Rb>0@#?{EhU*8_?zXTY1BlP@Z!Co3M5(AKsEp_j%X>$JlgF)NKURB)XkRO_lL$$=J#twq)(y z8#$2xvdJ|T$~(fqo3&ydee=Pb7Tk`u39_BsOgL7<)*9N4gjzYKmKN*?yJqT?gRc+EXDtlo?8kP;G+Hpf0*1k&^(5&N7h)&@iD5!=h0`)$j-ZvRWAeIn! z%PGcN#ia;mNq`nwvFJIzFz^Hrl5QG#b{otO^FHN|+ptz08AM{M7KAz)3aeM?s$S8J zRM8$bXyusdT1Q8?vesPU!^1E({k@K_4rA4tJX%LxFdbRYU)yQ<&LHMa_iChrd!3D{ zI3K|tl{N2E{&g5OAPPR^Z^GC>uO4AGaYrH`cEitDywmx_wyg1>G@rE$bLRZY`N-GchN?eyubE zgXV0EQM~|l)MSd*k*J_1SIg979=4&#y3eBqlv*KU7dU-%Yva^5s(@Ogz+|X^7y`~X zD~u{=pbxtX;YeG-&cr{h`JQ&nw{F4Ptvg~EweDA~NfF)RFzC6|D3Sq>YnlGE zj7}epuZVo7w{lyOm*+P?Btyuu6=`~cKP4ExB08Sg^s89tw^auH_6y&I$G=HulqG!^ zH4@fUYml-X>YL*5B5-UG+HD&M2#W@8j;iOTmV8(^Yfvs9HUA_W!YfMW894QBmr6v) zT2tvx7(5%mIl8#RLUKFUn=GcQn7RmWp|H)3gZ#&mlDTt7R$KAkX0OopKc4X>9a+mR zwO$F5x_hqMQeT=5AVzqrVBEmDw6T%6er9|N$V41V8-^gL8iwIl414|X?b41cq}-`L zpYfX=nXz2c;%7Xd6Z3I%`->=G2LOKd7yf$2dv;Nu}hS~NEcooBz;n(7Sm$1%}A=I7$8$7lQ2mT|&AxDN^ zp9_`(0w(_lTCM}_fR0w3XGO3|0m1))d*6XO*^c{$a38+@H@{BX?F|)d$L$yi+@1e{ z>*K(E`0N><6v-x)tMuv_|27iaZeiQkcV?}m(c5{O&MauKGqS^G%d;**9<2nMx0nNI zqd^#QNw_u;E7k?i1yX@EfslRxl1*I`o<>`j3mB1sCzEv(#jd`7#*cMot%qe$ETOS5 z3o~h4%A!`(8biY{QbS)e^@DNS;WN&*owWCWE_MV&W9zeXp~yf(gfJ`aTWjy&UAwUQ z<;I|X)4Q<9_%B~NL>BXob`AJ6vd)O{)-Kq>E-|uZwuLLldckmXiFZ$kdg`pZVs&`& ztfcS&`Kfi-0WM}Y!Z5f+*Zb?gfm+;seIqPLk7#G`bV$LUH|@&2>bUBPxwzLGC+HI0 zxfu7f%*6E=-C#mj7Aiei!PC039+ieZ1JiK(g1DY`WzEW6L(BR{v5%!iKkzM4Y!yA5 zbVH*p`-Kng##(g^AvuU$TXf;h%j{+%Xn2Yg+Cf&&=Hgd#5r85D;k2Jma5_Es_2n~u zy&LNyjo!h%y0bbXE~471;m#*wbNoXRV&V%zHVj)TK;s4IsQ`tdK+xzbK*fTxVSFOS zl_cHMG)dgnL>{+|$tM#)YeqT+jrpFJ8_$_STm&CeR^LOw* z@384@TzA6Q7z&-!2krNJ3OoK(0u6@bR!WzX*}X5t9&2X^c4Gps(t~+a!qK+aTa6R( zKzh}+bq|caAqjj`59T9P5%5|)k>%Nve^K^fivmE2Fx{~O&! zf(aG2li%;bn#KQCkESmiLWMs-$U@$#T8m#GKGkp)0CIyMpO0)xoJdt14J%%+;)18o zY+G*x)2aqRkL|u4z|@=pPz?=RwSi?bud*8MkB~MK*Eyt8UF>C(#)MapcnJ1V5kqyb3fx9dtv)T`;3nv;3cY# z`!2q)7YnWuwhPSmMV+l3D3tS*n|rYao<1Z6`1jNOjyBrSyZFmqtah(b{tiK?THixx z|EPV0rZ{naksr4MV-uG>aCdMJbz4JT7A z0nK3xTb@vHO9&wD5`@_SB)H3HBMW&(A2d)ds`h$qpy%rR#o>4s!rmISH5h}Dr5IsR*qk5K7w5*5xz4usH^-0Kt5t6jR2)CtR zV@}r~|Crr;$9pWa%{U~!W6C=;7kftrBE@2=+4&S&^=Txj$myvPemPVVargYY480EKxsA5E?FfgMmuwd zZy&%$NyF~&as$~A$>|OsIS`_pxrfgm2xUm$!#53NwL-epf>D-eM7>OgI}Jz?QbVcR zkoz~(Cmt|}%?tFnL#e4Lf7r>0Gu^)- z3EJET^qZml%pj1tbx)8XkWNM*o-E+SgP5O3Yg84tO(w)$Es3o`OYOWpyun}=-1ILr z6ZY-k=aXwGf(**ICtM20$em+Itf6mx(;gso9#lqDIkrp&o8Wo9ef%?p%Vhd zfZK4Z4rP&2+d0C^K*m&5F19v#bNlb*u|v_S-Dl%4hcyhSH5(HOZn+zmM>jMsT^&RR zySOO(5Xhm}H?#P?p{#+oaWAA-dTda=Jvv1*%e=ija2TuGCcRGP(?4rf9AX1<;J5vA%yY8ympWs1jr_|%xhkKQn1MmqZA zvy#WdaD;1A2jp4O_whf6vkp?mULH0A>+`&QeC!C;Ai5cdnWEBZkz7v%cdAjF(Udhr zBttzbgQ`|p#P*nIP?GyAH~`PXH*j_;9Y zP{}kf;DoQ$sRJ@CqYv=Squ>v$eoM6%TSj*O$TZYYOT))%XnSRTq_*b=-R78Bk*+-c14a#PpX3smzB(CiyHjy70XqPwMx{XZ2c5s; zk)v6SF#=xI0Z;gjxH^uvkjux`gk_R~gCy~}IqeFhW7{2$x6mGOJgx*@?qMr?3d%+% zL+mL1LjTItnWIuHp$GZt(NL9n2l<21EMRDPPyt@Km;g2QxHaRTeU3WQf=-#=RJD=2 zOYQ_wX<+okO(JwgjarAu9udKWPujIfM6%VWbVOF&Sghor-*d;ZHe>f3dW%>r zctmSnnQZUg7j0_h3cN>S1$muX|HjY&f ztb6#a@_uNJtyJielQs-$W|QWWad1mF9_DG|SfKKZG&U!(2+Kzt0_TonL4J9V3JuBt z>HSy?F8hF(WAJw8mBzC^((=Q6*mxLso|E|e@yvHry?i2%{<~DGC4xKExaFF=2%^6l zZcYUA!f)w~P>(Z!op-=Af|2oXSO=-SmaDN##qLkG>A5BIFn>9ooshgU_<{FXXsrU# zY-SlsDw98ed=s)Gj9C1F>aY)ZiwSIy6qdnPPGH?CKeKXlfYUK)Z?cx#c{;f*r8)fa!)^mv5+b3iL>8 z5xI||-i))eTt~o&Bh3M00`^AFJZ%~ai}yj2=%^C5L<@WBi52X?as+In1D}JzQp`F!@U|T&Fon;?%%jk-9?mpQ z+jmdW2-F53>}qIn{!l)Q?P^qpDJNdrO!bC#L}{jIS&cdl-b`gcL$)=30eLXYv8wU~YgBx{M_Sl_t6gfqpG*!ucc zKP=J5c)%>2UE;^$uiNUGnQ>WOmu>4RJr@|=ciagvtHkaLoh;V5y zKJF3g2b9OdXsf}KXU$@jrRu|Y&Melw%EDn{%$bQ+%LUGiVZ8QiwpB_y#;vp2Bq{S4 zZ#ak5uYKzn1UJ+nxa)OD1#XEAv|H9!$N0=Scz4M4I8U9!A}T)us~D)ft#yy{f;nuu z6nUIS$H4ER<`5ne!=j}A$NA|PR=3Zj<8L=d0D8|4ahN1>v~xLBT}|(+$3>)AkL(ta zBC@$Qi{O2IUoSa_hs5HvbO8#BWquv~2BT)QZ@(36qiT%eYJ|Ult4%^^Ktuxh@DJfu{O15YWzUDKiWE42pZ<`I7_zL5brgo+#Z7s|6Rg#BX(`lLWATfKhNkyXqw|cgP|)62#jQxLcz}}KEtV7fr+Ca_$-VgNct~e@FGu~WNZmxF zp;WUMpSpP4_)|DBw`zXwe$8fk3 z)9pOK^a*p}aXvEvTJL7&`x2OsQV;c~c^Dgep}0>zfdw{wbxa3|N$#>ubv%WOXPJ5m_4Al!U{4Yh1Nip-4OIcvGJhQ#R0r*Y@ znFX~D^9xHc{(oxZkCw99UfsaA?UXL2s_<{>ugyNeeG-{>k7C?`L)(&$n;AM8Y21#6 zv2ayL4zImoe>+TzKSY%;!o2l38}blj-q&iN8N@5|u13BP^rT!{-;zRZhsyjPG8WgGCA5WN4WDcyc6^0moojsEinmQ+0LA; zJN{tDFuKr)mIk6_#@=&c(W%$QmSHbtz-p?q3*A}J+2w!et+3OhHyhi>#{N(8R9kWm z4arW01L;UU*HPa$_#{DLXYz8v#~Gh2V*%CtPuXR032EdU6@7}={)COI{sY8kq@$4} zGF8=7;V!Z06yNX(YacN0APEBlMlN}p>^o{7LN4nQ^Q05}7xxos-6m?M4TRKfHc???^#rkEEpYH$ycat|EeIvl z>YByJn$S;!v-lCvVt6qPB{B^fahiv=dxY2J4wYw0U!e}n5pL2zOz?a>HMIL)`OWL*?naYlfq zpXSe3vQCXEJgU-!z;=ZE^vau6?+@|kJw?#28LxG>Z>o8A|XKt&hqhTp1KOQ!K zcNJ?Vmtth^;Vc=}!m6P>G#TUM#G!m(GK-KGIcPNA!?!21jve2?hgSOp8mgu)fbBzt zk=av9>WkfI)T9#xrPXkv*xL)gMA@Pb;sXaPpw8c<4vsmp(>@R*dno2>_3S*dui|#_ zF)6H;d)`ia%Tq^?_VJY|tj@57BDa39ENgjGH<9}W-XN_?#cTlrxnsqz)jLcRka=5g zrV>**i5m90Z4Fs~py@QPxSB}zaR>*t4tw}=dK5JAy!9<&ijcMv&1M7%)c z$^|}VEo%^Qej71icVK;iit9`uKW?81w4S33;g0;5DSGTjoPA(ybJDtQd4e@zP zOnXp91atJD=4LirY{@6D!>;=@JJ}bICdhudLy)a!Bm1j^?0b87);i|jN$^(wZQhuj zNUBa`x=tkLJ)O5wA}@FI8mX*Rl*<`Aw=cGc^5TH1xq@@3wDgQ2&bqVhLa}~$k4EVAXyh!k3ZGl#}m1si> z!Y#?u=V#t|JsaYFoxmo;=TL6Q=fXX{e?3mE_T1x_*R%EQ+ySN&4GCPi*uwZgcobdQ z;QRYelz2lo!Z7y#Of@leaRr_HpZI!?&Cx=QTRCgmBp#@+JiNg`KEB`-4RTWjh@zdn zandTL+cwDh-cP({8XGP(*Z90N7TR)MDBK%R3SOovsDkgUlb)dPgNT$Ze9LA>;cIZ; zT^e?QCKqs*4J_C_g7QVcfTE0^%?o&k4e%+v9l~dAfH|ENLPn9{$Gd#(1~yjmKf_;d zV1Zrhpn+6Re~}peS)VpeJ=Mev40uL0DU(LSKt}`SZYRNpF9we0qqln^h23}XksGm= z2gL+DM-WAfrL?OGA~bm7XgZf@ML1|lB*G-I8H+~oxeLY(k2M|LPVYlaAx_m$a9d=;da2cy2#R#Azpk)n0MK@$w`)IuJFQPMCnsMNV9@#@ zgwJd0Sq<6-oOcn|w|UVf?4$*ubZz)>f`z+NI9h}aje#%jCc3auh|`D2n-O+Yg8Uw! z_TU@jYv|;uI*qA1u4r9bb(a2DbvB9W+^W)=gdpm3%TXWF95wt50NQSJH87iwFkG_f zitsLouD-=@Z)OqkQvjxIkZr_*r>7_w2B^K@4gXQ_0@Oe?G!+?Rrb3Rj5Y*+k4LqUT zN&sjt(WeW0p{S=nk{BYqjhIym@lih9Bc(=!8R?x6C%$U9 z;s0RZ3sMO~oSum)J{dusfg)YfLjcgaf&pA{|Na5QwXJ;FR@ONFCL%iPOB)jF^-9U-{|D=ZX~feOJrl9M0zsX1cb)otqCQ>> zY=#jC>uu(iXuHd>2S5&C);IEIpJFs{128c={#xMxh`am`Rrqh8yVd6@pW@Z<%g}dM4s@34%JOt`HGgc@_ZLaP*vw@Uo9 z11su=7V|$lST*Mvb8iUwPEtLCf?(M6g5TU8_)WM+B0o7$xTb=d8s#n=Yp+C_icUoU zU>S`c!K~i(W7be*>glwoG9C!(mD#XXR7L_o`-Phqf5z52OD`?lYd32cZ~WTcx~~FA zW(!biWF{4PY8w@E_U&Ru;2lHN;HGDyV%}W07qeuwD5ekq?Pe;4$tzL#eUk+|J~A^7 zv`-P~tS^alK7Kluse&YEA4h&$Z{OyiU0yQ<#Fy41!1C{UOiiYG_~~Wg%F-eKRY**D|wK1E~u82W*#(8~>f4UcYci&&* zXZNz;M#KIm{nKmcBD4$Oikq;?W?XiSyYFMqr3edm+0W{^uV{?!Mc?$EO`@M`;qCS_ z{|d_?kW;x9K4w1)aBpr$`AA1Gui4KUI?Jm+@2xx6XqIz4_W+w9t^V8`dXV`zOa7nm z-iH`=gO_u5m`#>mB=V0Av!R2JU$F~mYz-39C?O;#D++VRk0k^@Wt#iSC)5NV>zPPK z6A{#9^y_lb1cLz3Iw$eQ87y6jSZ4kzgBhgqGeM@Lfd74rRp&)V*>6?94WwIxXA%1m zNXv@0@@L1`Fllf$?|qzIth&7prSEm5hi3Dj6KsvNES_hcU?aUwwrJy&RTas)ldShS z7`IE7@x~|FVXtS+|DAR=kv}^LTLhmhsb^+Wz1sZ;PWeQ>$IMzv8D@UR%(i+(H~Tjo zpQU_FCVS!4E%e`MRTKE;Q}C%ZTf0_rEX}>9Pqu#~WE#c-5W)?hG41htE65KeDiGQs#NHua!-4 zsoJ<2WnADuK*o(1*wjvkJP1yUM?K@j^JrP}an_2){f*V#3#XX+_;rp6Kp$ExkXJa6 zQ_l0Ki!7$@q6>CAy5bxtL9cXmz)qOE*_P6TqS^e;Mb=+l?m)f%ArHUATDrMkEb~gi zst@_1ODt3_%~*9dKXZxIm*)XZ9~x_a$bY-U8V=2NKpxM1RwB|IW(s}FRWstsIMvgs z9GRAJn#JRiox&;L{~u%D0T$Kqy$!1?sJOEti=YTtu%lQ|5m8W)wWG#_STXh#JH{Aw zv5<(Xg0YOf_il_*qJR~9EW}>0L@#2&me``-duDd;E=Yd=&*zan_sp4d-Z^uo-8*+K zy0dKB${`N5U_a9dl{78JCw(K2^3g|iLChEO>Xx={_*&&rU7*)=Rf#K<xOQx=+;kl#5-ZoWqOAPOyscYnNLgfsN;p;MW^PSFuqkK1phaJPQ#;@t3+Zd>A zXvDqC)beT!mpzJk~Uq< zzIzn!c|upS=|9^``==$U>~SzSHT)&ES-!->iwS?AfBO>>vg(7s75&>`Fq9pmc=`#Q zZ-sszgoVGo8#{!>pT*L6p{ffZ{r&_te_YArKBsiSHOE257_Tjj>^ZT*fBRo!&up3> zXyqF~r-eonv*EXY`RtQA_sHsGZq|MW-1GQQ-U~FQ`ri~lb8d)0b8zb? zXp&^gMx+42D*CaY`PWOJnGKdYBU1_SX4KC}62y3W8FP4PyfrmKW#MtiR$lY8t`*CM zV{q)QjEP@{^9iSQ9otktX4j+}4Yyy{hz^0hXM^B)>_(_}m+r!;+_?h$(aM zCv64(*v4m*;sba%O>L9NMFVsiNI5{arZ6#wYy(4CNL6gLG#Y)qQXdEGnzc)1M$E?B z33D_+Tg>hF)SR+wFj?!bTJsEyRLxzaI53B_Aagapts555M@X3%W9zLF;+I1x$pE>v<>o z#TR+MrC*ozd=@&csm*IuCdzCOAS925I7+PngWHJR57rC#3ogR2hHuw+E7&h_1C{IFL_Z{-sEm& z7Kz6ur@+3*WDeN`W>^Y2FD$uyR%eG4!l3NCLmd^Jei2Qfp>062csfvo&C6hWGvc*1 z!N9gK%9j(1zmQ=h<4iYG?3PAvSIfeg9fJ6}ix5pJ$4gnud^d}1X(1!2=p zRoI2%~l~}!Fm{JO$kLku((|!yK{l;9jrpeg4I*Mw>2r0>SwH3d-`eq zLY1}(d{Slqtgsf7sw@~^c`A_g;tHN|1&{0Zt>9O$=)ByQTQX!iP){RktNv5&a#h!` zS}&ww9)yn)hbx((-y$7u$bFI@OWhTG@KwAobbUEby{a3anY5hyUekGc^jvO1g4@d+ zdGOUxhF6%M@GjSM<;v8src&D+Q_`%Be!?eR!y{Oqetg9>Y%^NEY{7MC`Ei*|OVVSZ z1;X1N^Lp2H?qxb!Q>|L2J?1^GL(Ax%q@}8tW!+`gK3obtn3Q0XVaQF;N|zpd|8?Cz z&NFipa4_LdxqROZY^_*$oL|17t5>rzxXKSYZ0({WA^dQasXc_huDK1H;r22p_@z9) z^_a^W+|*TUIu9J`e=@a`K+&@$e9H(_ymmbP;#8GmDFIjT(5edlq}RqD#qTINGdh>g zzNu?ie)H!vQqdF9EQd*MSS8?-eAp_KmHzrTzj0GHrtH%kVX~t2p@s%WHit}l$cNn0 z`8DeMknpv|Uuii4RJ=AEe_=VJpyj;7WVzNue(092TdmK#3(FXhE=$XK!t&+xfD@R& zhu~f*Y9HT;(0;dwhh<~u%iJFP$84RS%SuHc@-&Au&EZ?Kb(I=<17oJv8Gj{=VxZ!+ zMe!Ffk_*Dvafo0%RCv+bxap($jDLGuSIH1fR&Qdb2du6%V#im!w#Y)h=C*D|pIe^+ zDZazROZ!tKqL$oduWgF!%Yn$8g>l1%I_{tY<^hR`IBJEyE552<8 zcXUl^OuP@Uc#7mXZwx`;IHeUhO!;t}kAnDd;V@u;@mKdm z8E0srwW_Lh3&aGxP-og*gy{}8qeDe!$|3EiHgDTzgK(}4fWey*xARX7s6y0vkfJELk zN0*_ge~Y_4)U~YJ=dm6)ntp>nQ-;Gp>Nxz7H=0(4{0o;%e4Uy>5NOkhl4Im?57F5{ z+2x12{$w;HS68J@x7i52Ozp~>34I`j+v(b6AS2PxL5{a^zXyrD3As8?_pDCv2R&{T z_XM!?#jIs#$E37eT}#K}8-W6kag$y6wuhxE^V*Mf4NJLn5Kr()Zr87TN1o2R%4TXMAU#u0oael=}|l z?$HLho8`;B5V;c_PVrt(@PfC?DZclKZh@c2DG0X@hp<2Gj7vUuB2<-9{llLj;Fn16Kr)^!c-$+zHn6xwQr0V-r=!Ny zJn8A*x=hD%pEsBBkD9T3p7I9jTv3`g=VxE*UTE5e@FQ<@U$_iW_1B{pr({h z`y4#H+i}`1#Yd)OBgMLb6Au*)$IkHBcRGU>&IOu2+VX|M9@ZXt9(n?T4ah=;>BK}+QWr1H~XD9NFG?|WU1i21mnQ7F`G_#FCS zdn!2a0Y0tJnoOmTDHI|_dj{5A@kd6{OR86MNOw|Z4CPh-)dhcE#-=Rmq)_JgAIkjm zD;p)1UHB2oj)KNl9RWtnW(J?)N&n)worOpPPWYh>REMQ}Z}USaDJ$TIZ3sfMwy(@Y zKJEZgy5T&i^gHH$tG%4VK%Z&-Z3@J?x_#UKmz`wu-0E56# zx`fQHz^a>CNjVhn*)b{}JyfuS{JcV{I!Y)nVN?FkIIHrBQu%!_lyf5bwdX-w0)8&E z!*m8XC@%t@i-7n0kBF})o6j$#EUwx792RVfl*-sNY7=HdDXFk&0fkn51%iGHnkvk@ zkiL(gbH`dMx@62ZX00>$GT`@Sk{9TCfyE0uEnYC$2U&$iMEcR zX)Vs_g;boG&@PMfBnHkfiT-fu5pv=0Hj`0>m@Fbp?x9?A&(La+GCHbDlXJjOCWxNu z7byl`g$mvKZMtLab$7CCu-edoobaVhc^g&vDcFUx0!g_qlv|fSA=jW;*~KP#l$B(7KmORo#SLV~$M&RPNBD69NLeV2uuIRa zLI#7W=nv2qwUC~mQ<|bUsYgiPS^Sa1*?HMSf=RY2^%`G^Hv}=?pQxlYK?Y^bpQJ(S z3JK~zTBETl=1>=`V-AUK3O7hB6JF^xM=SSEpOUw|;_JjF}vI1_cCzbsIQ6;V+&v9m-o7&<6^^SP_CmsF@ zD8yf1*CBs-NkQ^gagfqq33VybaTbd?Y0APwdl7;fIugWzjSAkHjbMO4S_2xMRsfyfGLrLaUA~GwV5UUgt4O~<4?#4oQ-fP zaqdGAVAl@}MGw35usJ+0GgLe;Fq^cVBBR)PnMT%9sZNrGwdsXet0Sys4->AVZuB%r zX{npEv=0nrgXjmy4&D@|8P0uhMLBPPunkQnqZpg!gDHo`RssawFR*~vSO|#CwW-Lx zWF`X97Ni962k@b|O~6npi{2ziilHliu%8Ne!lwJ|S<|Qf>?D*s$XwKyKmN|De6&=4 z9SkKC?Zw)cuTP}DTr0BB(3A-QS)3SQ^0dw5>-@!`XCwHWS1l^fY?+J7^P_6gQJVak zOm>G!Y^PO~dkW=eY|4GleOjDYp}W3Kw~wlOUF~Nj$yqv5{w9FOxUddRVbGEKGJzj+ zVZj>wYD=+dDziZ;=C581F2zDfV!gdY7A}bSZzgq1|(f0hE?yQdM#SGFj z)Q+E&=gtN=8luw)Dn9=C1Eq~8O!!{sP!HBuGi_(m2@h7@(eTH9i_B7cnYW(orsmu2 z{AxKi$Iy14MdXORNNjni8MrO!c6rvo(XcYrBJ;prrbY!8W@u+kqBFNu6s8KSr_(fo zR3(w$u7LQ#ueUd%!^-U7&Bm%1$GurU^`f2+i?LoLZS!IN8rQ3P$OqHOcmgSHn3(ja zBJ1v`DT$NRDzjah$aP77S7wT%>&0Cp`OZ#~=lQY5uCoN6-WjlJ;6YVcXV=<-A4c+v zNONc+UtATzjNdC&nU^7bCzTw#9rKBlsK(3&A8S%K``nwWv39N*I|xMp@z;{p$V6VO zItytKnHcAghFfnA>GT9fPM-d%M)Cg$EwdYPi3-h^acp%~UGpI_X=Qa*RO71OMw$hf zUrBRxBHvpRnxhNR9O!4&yk@IKbH0pKYq5xK5!R##LMwD;K(S(e{~oXo=aeZ*y@qz< zER6rSvsC^RhF*GwMm)DbmmN|J3c`{_{96zuWz=F`MO`m%pai<*l9EMGV-xw4y66h< zTQdNz9fow_+I%8C16VghF>4ZD8E{CsL%9DuI{}_M@LwfUi(Aop( zLTf&e;Yf0=A+q9q9h=H!^_aJJY4B->KTf3!dAyF)i`Hp1d!-)x+I6Jh_oTc}2+qnx z-n~BjzOoR%FQ`C%r`dB+h(XwR!^sVInx>$EAf0 zABd&Xwlx_w^S!J#idYqWZLjEP1JN}TIS&3_?c=!&Btr(3OvfivB_-bG3 zwuY~6$ZFKfSq&ymgl-v)iTq`p!A-B)DGp<*K+$PRqmt36s=DNTL)O9dTO@@gHzt0O z^KjK~iM&T3Y6!oJ0#QRBS5elN1w>8+vdV@`Yf`X%ZcQWhg`uZ4iPjS-rATo`A|KX> z`MFj^QdqLcV&$)Egg`_3?M5(|w9;ZQpNMN?wn1|iA2@CdksB*W>{lAsDvK6bSUL0$M_<)2{YdeX+)+~^i-?qj~ zL(SHjRZ=gGw`KvFZr|{CtyyoUD6*E9$oq!F8h)3CLzk6#A{;ub7azi54H6-3>?FQv z18ZQeZo}%hL_=3fqDA3#aMZk|BUnxKqGbfDtzN`LFhBKTRRo)=Ueq#TK-){&-Y4=6 zM!>`GJtM1D*TTds=-o}~Zg=Jc(Jf1QN<_WU#JsR%(JfmuH*E{#$oxZFAh$9%v;}f7 zZ?-}v3q0WPyLuXJE_h*`WNYe~NyJ0d)+iIY3B zHJWp$dDTvAFn%{AbYhOKe$%OS6m>+SK1P}^pXaMOv(Gio7x+0T2JaWiDrxHd zoD>(ywiMMgxxnjphk_zil9qI5DMcH5_+mXBf6iC~-;?c*!9mN2Q3Gu^Cl6;ayysgt>%91e9?Y}xR*0z@jzPm3Vh9cKg=z4z*AV+9E8P?`WnntB zpr*#ErV52=+IgGz>B-tvZaBMWJ1i3-9%CVngi z4p-pYd$A@pr+{TK@FNLH13RUG?`(;KDsX*o)=CrfHSgY=C2Ok8;j9m4A81%S07f{GjE)p zK%IpH{vr}fRBRI0UKz(9_hDv&F}^SQes83Sv2dWBExx5M`?^7uIT&YXnwXBqua;52 zOddga3A>ZOw`3eZad8-CpDbIgTF$H!tc1R(4wr&^Juq^(Dej~DYmv8XfIg4+i->59^ z_$_{WXYnuTcVHIIpu_KXS-kjn_#L0c`_b>DES^HY)3SK!VfdY$#fJ`K-874{c-k=5 z#(jO3wWB!Yhb;@JEr;}DS-jkER;6aAKA5bdHZA9hzlNwnGZy%{0g}w20b)QMuM&L8a2Dd;@JOEJ!10=(GPMqnaCG1mM>99g=3~6*2v(y?!UMil$@8PcN8g z1)vt}S=8F?IgbSTT)hnBi@}i?yz@X{me#?m*B3)FC((kCXK9k^l zD4Pyt=8)O=`|+&x*4k1e*=`r&ebh|tzfN*9Xq z?-6d8%~DVqX~T@%XtH;5XRaT?Dww)tisBWBxmuJ7lO}vwKpZHSUY!(Q6=%NFrkmG} zliZV`!@(F7{(21Ual|$X?VrWqPw7h8d}xD{a_g`FAl}NC0Enc_IINpL9L;=8yWvcW zk7eMCjI$`C1q3l9h-Uu>h!zrrFGV)hb2{A}P{Je#;##~wqy({%Pagvi1_^@r5`@V3 z^pFh%F(ioPI3h+A`ae9eNh>@Ni}P3{2-?o2tRY?IIO1AB5Gg@8^Qtk-r-rDlS$l{t zL5Pf9>_89$Ao#Et=Bx2O#1ms!UCqCT`GFYb*7Fj@Vy5@kom8D)7|VP*ogjD2+CzK^g2r=YEoHQTAcm}|ZvO{}u|;_3 z_sq>BN}6@BnI#g%{`PwmySB7QENKzbRtKe+oke0ui+k|Zf&W$PY>jX`orffgjr&0k zN@|8EHgPS*CQ=q#;cOi9(ab)`1I9780YgaCQc%h(&6fQ?%(jzeGjLRoG+T+1w`KmmMpf&b0XblpBU2q5BPq;|0?!xj-uGUs(spX$V1@2=i5o+1Mta$C>QTq^&>1+crVW7Q(&r8#A0Sx`z`};f?$-Tebw88TQSGtL zppI&9M~a_v-Nesa5@$nD+OSeeg z`A618^UWo``bSpZv=Pdw0RS0x=Vdqxbl0&t!G|hNGChb%U$R$9*qGIcnN5MqtYzR zRTT2|(O9E&g5h8%es2OZYO?q9Iulu0O|^YIVj`=e3F^eZqwDvb`A-wExnS%io;HyU zDCK(%9{3Y*k7~Dd;&mtC4*i5nyw4=|bt&-$mR=!Tr#QSBV98?j;@-3+|?5lI_-spCs;*OZ+2oHG4{U3o^*-toXR4rB({}tl2Z+%gs3VrYCshU`l?(-Dh}qV4(EX&B%# zFY$%b*ms)qm$*|LTcNpjiEoT!Bb;I}0akA9;XXeB-h)fL+fOLT_Ft)dk6QDiKVeLK zc8UM<6Z^7sfKk?YIwFAW)lO{9`~A$ymJvQT{YF^3gd?((eXnuz&#cyGl#uCAXT)HK zv^Qv?=|XVVHqk6CcjIhMJMEy>b z#}!b!hzccY15qQ0T1M1PqUI8nM^yY46UgdUL7IpeMN|w?SQFxQ8Bv%cepJqZ68riE zp8%!Jglzg8{E@fHpKbzg6Y-9!ylcd}NxXY1FB81<_qbF_k@gN{u7NB(&D`XW>MMnbn7Y|%K;|2o8YrAJ@m+3~EsCTt7$pTWX4Z#VMx?~< zSYr%NchI9CeK$%&0oQrGSum8dK^XEpu|XP=1~62_)8-6Aw-($y3k|423%+F*^RHEu zRN^aZbUv%uB`z9wG>rWZVvllBqhp>?8wp$SMs&Z1Yt1P`dG0-%88kz#^T656$7yf;J~ZRm33$#Nd4oGAGXH?s8;FeR zs7e_@kF{w3G{VJT!+^GF2(3v2Z0qa&H~7Fr)=YEx249oN>N`2#M3C^C+%bW<@w`M< zQgb_40&|@MCpNjs8`1ByV8T+alzb~7rVjA*4(5~Ruuhs%LLOf!zR91@!Bg}@O?mlW zP|!6^dGIf+UzO0NVh{+TAAw`YGmS&~MzWiForY+wchjT{_|38M;MG)B7$hcVMnMRN z0Gx!FEZ4O)SZQBe&tK2Qlk;w?c=>s(x=)Q&6nwZRgV8NM(iw-o;bFq8W^wR_gW|G^ ze>IN{a7ztN=%ZG?SR8H)=2z#ju9~%Ld7b%eyoXrxUsg@cUq&#r-i>+2d{(R34N9Ql zNBIH?xh(yzD%pl4@rff^0h;$$r#609lp$naH{2bF*ubkTU_CW&*YRl!*pHgYLEMou zgS4lpTB=Az^~~;h>918J#jrTympR7M>bLj@4o^qj;uRON`sGcx ztQCPnz+n&v2JbA2Hj%#g79X~dmG#=A3bYpj_yXAEqi!T|_7-0S2~F-Tp1P3v`0Z{e z5M|?(ti~2ZbV$0=9?leqveTWj`G`s3Y;fhmV58ADL#MT-lg5ePt1? z>Y%iuRSR)P6ipweYVcRN9_h2Q`R|LEzbW*#xVMA%M%O|vcQ|hT3=PlyU3>NQafh$;bnxiyHYK$Q4`Q$0}WJ2BSDHZhXI4+6w#M|GlhqIB#W zidP)PGh*gt*1kg+1fhs^m2HS2;pW%r3!zSGYGhe;qwt4s^IeOXkAA1fagQZTheOk^ z-R9YgSuc%pn>Sy=Mrxez@LfxoulEkrLQr@XOIQu5%hU)vSZYzZUPe z8ef1PagU!_&Dv?k)a2#XurE!XHL0bCVhKR!ZE8!Xm-t`Dff8Yb!@rD<1hZlI@VAVUyWnN zLKF2DuegC#^M83Yklw}|3f)0f(ZSIBidvH&bv4asTsv3eK>RVe7i_2>kJ-Sg1m<4A zgBP5n2qEEyc{vDV=zHK6y@fVNiV^*6C?nr)S-W!BUj`-_*_jNx6m&+Bbwt^Hp^ z-tM6CZMhUKTF1%+N_-4FPobp*I@L7a`+W6gR<(TSePrnYgt8;)fh@V+=9qiwL+?FTtvBUL6uHC%G!ng&;hx~qd~}LTf!%u#xNbY9GCRF_o$Z(dJ1RVKI}0fN?ay}O ztZ$l^!sl#fvorxplJ5>Sy{INy;hT4}T2758W9DvB`1RdvZD|)z>r@O?b1!`*GX2Iv z>SrkV{P)>y+A@0E9j_>3(9vEO#67e2k{}eb_PqOoJhVVl$$A3HLuh3 zIegh3R;%SpgIu09z>+Xidk0rEi^n=J>2-1 zBvg2?8|O*P*Hj!bI8K578U$VHV;Rtaujp?`F8;R0*BoE35~lbZ_|KW6+@qdUGJhWqJm3E6tNWnvltC z%M`0-8cUffs+k5;CWSJUNFqZ$ZFwTqJZ&h?;|5kkjVX`sL*%)L&-3C{c3U1_Yo7KM z+$mFvn#qYWMNy{JBGWrpwM2O!Wr^;CQsUG+mvEUr{o#TXJZc;3%5|x%ypx{pb2P~1 z0jaE(&VbJt(-)dzx|_8=Qb6N&4JYYg;-6evWY9QYT1o9|6! zl{F9a{8}nYtakfHF*KZ2@Gh>N zG*teB3i9H_eniVWanW8AVPSrq#wI!*;Uo96){e3Ck8iet^|?T)@vAK>ys`ga2>{ zGEN>+Car7|Wc-Sly8Wv6rw-a|aMYk29WUcd13GiG9rd(y=ID31bmr(;+nJ-jV5Bv{ zAG;m)T}IFytRhkuZO_ib22Hu$eC$YaTF5OhloK(a#TG;ZeKTniGxFau*|exgBmx9p zzWLxl8_@g1cvv!7P8mnm=H<6Ga5z~jD>IR`qN=qS00L_-u*p-oIff@5W1byIPwHCI zvopV*5<<^D$ig`3*#uIet}FE{Bt28dj=LfT_R8Vm)TGl)ya5hWQQI zDu8*+Fv46_+M;7d%c!;%0R}K@$kyZ0Sm)rFQAa5VkL>t38y=zk0v3w&f=n~NI|GS|AEv`wW(*1KGh!d9f8l?u4=ywQflu5 z!vLQNhVm;8Ts(nbkKN9noM6VNt}+9xI0!5Bl-5#+>V;St{4H7Wv{@;pTA2v|u<{Dq zXqD`dI6aQdj2?yydJ&{8x^lC@<6kPr7Mq38LM)iRAq({^8R_BrBi96tvpIP5{-S!R(|Ld`%)9Rm3yCNU-fWCvW(ol?+Cy}8-O8&0I2Xa z0r+E!>d1W{rSX=)2IE`6P!^!dcvu~MCBFY8tI4aL!PbqETlj~wxY;u43>(=Y5xP^C zkb#}}BNWI<$KfGlVC-hqK!1?ZK+aHMpeqBq@UQhnMVY^qfM zrV#a`29x?Kn^g6sK}z);mVw^^3?&ziRMpQq%ZAfulz~1}YA*TsQ{XX!NOQG~s%AHk zQuAUMh2|n)C{N%eRr8E<>^yxHyU%&HI(j;`15-HQ1Dyzm`hQbxEElyw&Pwqmo8kCl z=d48o$Yy&>#W3I z`vU9HqY08_INX0p01nsyL=^&{V}Anh5nF*Rt@aT}X?zs0q0raBP>#a2{M|pSB0rhM ze4>_1c{HmI!bo{xBo_KpW|5IK`AfO`D>5?BW~8fXQjG$8onuYf{bl3p34Zh43rH%)DqSL>rr#2CA79AV481 zk(p93L#y9(99i=9B{n>22R2B{()4~trTN@uCb$qYhx?M5u`&~trawqonj9d2nXX_c zAp?26%dCc}j;j579%-isgJH>$P@K|}w2#6cky~~wt%UYh*pqDu)jJ@i_90UHIWUxc zqDh?>Vr&|nl!znPh5nW{{nMe^RM5cPJ_LWhG=Q~e;1tz>votW04D^D5+z=d=AOvGd zn6*=+V|~m9HyA=O+ak%_ac$a8n33Q!5sC5@Y3D<gv9Ht6-Zj!U+NxY4lE_gXZRbq><%jshkqMhJrPWCSW!lr z@6&vhH=sB9*4+lOhzc?u_yO`cwyG;P5cHYheEwA|@XSwm(p9Wzb}Z+06=pC+O8xPl zVL9T0<;Vd#em)Xr;@_r?$Zu^|FS6Dj8sU=OsL4o>(j^xG1RD!8U@@31e*2iJBcv{9 zaY%S7#b4SM=#v$hNE?xWayb{$E$ zFF>bzEPE{C;RHDHu!C=?E>p+`y{P;f%%mMqZ3WA=xRg{U2-Uqa6vZ8DxTEH9{^S~~ zZR%muU0&)o{)g`C`E{qr2rfsuO9?w#olVytj|9&5*y1m7irJouGsmjSO*-W}sq75I zl>^ay+jZ75A`TapQn!)XM*oMlr&euJ**zJg;iRldG;ef+b?#dP7w{mOz^+_s0(2kQ z(lxxI+y%M@j~-OtC-6#vr3oZ~l=U6bQ$*K#FqApMlA-G(?)VBToaMQA$N%dLe}Jc#b990Qof;{3 zfjX`J1^M#oLe-atZHFP+I;qbLD)@J7&MsR8m% zV}LRKfH^P}2;e1JUG7E>BRnnJ~q4>*@mT=isc|+q7G^i7WZC! ziNC(4W=40O>8}sx$8WQ;r3O48$KjUp_c%rSlJ606p zj==5&;lTpc9a$iyJ9+>eARGci*)GD&F#G`zy^9e4@D3rKcLyOJB4CJn$Mzv!^#Rx4 zMTqy3iYdf#79DQO8veddDn1GE-k%W;X2bD*z7@w5Km?9lFqDgE2|W8f^XHj&S$9oj z7w&zJwJ?=MnkCdP-xC3)1;-qI4;Ao;9H4eN1wwtStny3qp!)>Tc+vuSOqZ!hUh&$% zzbM>&@K=WWJrVBZagA`#vxIwTyyzj1Ry>+c;qLese|(Qs{k$L4T3}U@usVZN7_0(u zo*`?$BEfPK5OF&@Gj=7Aef5+N{R=Dgr*p0KyzDO3^GBpv!hUZd^<1!@{O*6wa<2Sh^~=vt z7nUNw6hy5srLbAflSqQSQf4B*%mOL>Qbxj_0ERMHv;~7NT=B^)$K|e^|{_z1`FX}l*&UfBt3rqh$^PS$EMWd8e}8t9Zp2$h2Kovzfr?dLnXzeI*Dlz9OX?dCh4Vk)GajwDO#X$#CKCU_*biYg}Tz7%_&OoXAD5RAX zL2FY%TX6m;b!}92MdZU-aK7Uym5nE5-{FJW4>8}VXVdolras*IC@2Fm#aOgdqr|#E=enh=S^1 zC}q0vo{w0d>E2A$`RlF=cbx}EP9=WG=llXae=7=LbI4jqkKfXFpa@bDs>{UCPK_Qs@%Ck`Xg=nhd{Ndpn`4sp8G71c( z6{fIFS{(X8smT#gHY2glNzyvf+7UGZah%DxzR^+jmIN@5V zm#;udk14H%2fBcvG=t8RbYxAA;;r>NG>fRrWq3_wtl{a`2?(K@KJC(mH5SH(!5nx#!8k^JW0%+Tk` z^FW8RNa&Z9R)WN3I(8a_{7$LSYU(320xZ^$J7g77lnxg^d`uaKWYit}fpN-#_E$S7 z{Z>;D2TkE!{$Z7(PM^atgtg>(B+*(@hU&St@yD>*#By>YG}r}B%}^@Y^~q|x@FfcrqBuns9#dAJ(l@J6wokxxzs3t&dp~-v2eLWBMnS6j=M|RcFbk_kjQh$RK1> zsGlxagU&6;a;nHUhPvquAf;u0fP&=(U?`IjzSK?67lPDHZ;;i1$$t>+KyFy9iTdd* zyMFo+LO}M@{%b@({SKlS;VtV;d})NvQx&&3LnH!(Lhc^~`^J*a#94LVOoB>;y~dMXL;Hi=Gm-!{xpfnlRi7p9x0+nYDps6=}?IN zXfVbET%(1SKg-L!WtB_?dgtjOgsrKRCT!I}N@&{v8_?Vdnj@g$iLn$zxsRNoa=)^b zI~y@lu)1ms-8UzxfpT(^zL7yg6f$oASHypKnDoNz)*IJmNxkmKk%NdDKbbG$p`<-YLwo2a(18i@MGrio$=wP z@G-BGeAU00RGs`$sKY4v0|ZjaQ_%~Il8=JO?s6$eqof(6v|AdGETiODFclwsnHe~~ z2A$Fz4lOuJ-j)^Ej${KVh|7$$7UvAD9e88}{1{W4(1EjJ!;1s5;z_6&1%KDSC&zp0Pk2opRhzsg{<^!$S z@ccN%O{6Vu3hRBoY(mZ}DKgSF`oPyeSe-Wseuc9hfT5g64CRL5I6_=tdmVb8*C;dE zVr3CI#vx|I)Z>@|LOvNHc0EuKa>^cP{8G^a8AUNE+=dkFg;wH=9>M@k78?rqJ#Fv{ z>xGsyCiop?rpgreO+m}b&p^?Drv?~`n`i@u)5quc(;GBU~XSXpLmlmRyb zdcsmR*{Wn$Ayx9MK2^yYnTe_-5u}U>chnZD#6-Hk7Iwn%(IOh{9E`j+)7RmlTD{J+ z7-sOv0{kryCNo!4n6PP$#eBAmitE53!u7iiS78mIy1;dHv?vVW$^(vCVL64$&-_Ud^Z*Ul(}gptS;Wgn_yM1#7T%zE;Xi&7FVn$N6({x9;~fZyp_$YCuEgQq9+^xQpSrb^n|6*h+vt`6c#T(1W>%x zl9{MpJV45NSzb@nixcU7F6>ae@TyE-kMD8P`wrYa(rT*T0Wx*YX6jTSp?Rz>nHn!M zk*UETrK!h2fy(_H3?)pMGH8Ss!WEvF%gXXD&iXQ*XJJKFR=(*|$^kynS?}fcbcBFI z(j&5n-rKn<<%h|tED&rNdPH<7$lHMU?@Wya&2*axM@muzBSZt5w79c zSYy!|Y5=9$)==|BDt+xUq#aU}SJ?27qQDyk*H9%AX$^H}xYdP;>EyzPHW!w9CFh>` zPTc>;pP+A&xd{3KkP^BV+zYF5U??Mi0wcT>t4+r=i;x-$Mw%b=%GKaQv`MV@2y17` z)eczA+5nIuva5kWfVQtqzqW3>y4i3)O{gn_1Vre|d~Gp+VA}%pXJI2m83z5=>hrlJ z^leQGagkqPSEjLOeQVT9;ZdV`b^UlMAttg!ho(&9t=_2;PSwaGbE zWG?byX^_%~9PWiT97uU?JwCOh{>vU)zq6|Ev7gktp!>5ls8NNeKTwObkCvH8do)O? z{XPtnufb57q8NPSJ3OUq=b|59oOwmcO5wiQ%(Ew6lUnhu)ojOoWH!KNc2*%~f2&Dm z2gyuiwi`%k_6iWeY)dc{{{S9VO7GvN&-HvUogiX|ZXt-64y9CEOpg?)c#X~+QWYu6 zxpc(zPJk#F)A!93KxyCbQ>FBkqPqT$UKaNSngRL$$9;hvHK+vrz7fTy5_AG7OOS=a z!FUK5O7*(DjZWXK)E{44!t^KzKGIR|L64WaYwD$P1JieQ-&pO_yU{QGPeP>*-yJtSS=8Cp=;P<(|2#(vb^FsC4vPZ|<+xH#AinYOSe1 zlc}Z}L1S2QHvE&4OEp#G?|k<^ngN(u`(%h(&TAlLIr~)?9>7u%(AKI1sE-X$ zdlk?*V1?tG5+FYS%8Z);K=dys1Fv$MwY7)nO_s)YHHiw}+-57J5L;ow){DVv;j=-? z!Vi+R{s2Qs6^$qxj-^k~tT-rU=;v@b?fe+elx|R*I-Inlr8}79J*lHVQ|v95no;x) z0*=uJXF?%xcKcD$2io9tRpDF)QWQOm;M5i^Nb3)p`Yqh0eX>{dfaSq4p~s?AbHh@o z%EOY$J1L9F*c$wiJ?%^xz>fz(SvJv`aVyhNv-p>(Z4aaYp+p6OqU@R42B0VvNB59m z!3oK=IWz}xhmf&9zwWB9`o&EEijUg|S#;S0VTXXWG7FB`;49&F1V2Ao+)+-;5A^_= zA2I|XaOQWB^Sh$A+ALN+0;7{%BE$ASE18GRK~br{e0K2FM2SjPr9 z1wTT+#oLw1esgI*683AU_ICjq?3c5%-x^1Vf#n2NN)!r~O4XQF^&e~9O_GiB!2m4) zp0CcAy6M+w+794h27TH7bs?5p64ez zt1aOQNa>`$zz;;nz)*HqLLGvQLv#?rE3Lss=WS)ac_Krtm@_{NqIsHuX^z@2i0f1WhZ7x*Wu z2ArgU5oDkz4CIF5O>-eg_W>u%8mVM9lz|}tF(S!4Yb|vyp|B)fdWCvCr6bzIN3j1J7|IF}m4+xl zGRF}X>#aud;33S$=6_evm(f(-%1e3!j5`v6|3sq2PsgCk>z!M?O%D2b~k9iaEOIwprfk&XBf5&X)u!WC5)8YBu17Spi}65 zzSL}rw+3&mRrOf~p6a77@3eu0f2qK)`{=8B|AY%DA89ntPbP2962qZ!ma(=uu+k>( z>#KJQCq38#E_Z8&LZ7G>S^pEt62v-E3pJ1OAZ4|!0(xL8LFQh0@jlh`)mBqHfkr)+m8i_uaMIi({=Y4HNU*qjmwzA|Wo za7qo(DcuWk%F6P5SVevL&tH52;hQ4Rw6{RZ`HW%Z1`+zF!I9yC`Ha}+kRqLuk+KIM z(+=W~^hdCa)up5^p*+7;5ev``Hhra}zNY`J??!%o8)Xy~Cw=cl6LAHJ8biCvSWoS$ zm#qWHqr3$=m}&;G0J!qG$VD}D6r}W52e=Y7vp3~B!$+KHPGv-V$U>j?e`8~j51FF#@6Qg;ErFW?^r zDdD%126ls?EQbN=zkU^h)PEh8enS8CISiqgW+Z*me-%SM*?&chll_-rz3jg}6Pn1+ z8Ua*njF65ftp6HXh8$5|W+FE`gOoUDqX@A7&u74SM>Gn<5~$4I--!NenvE^{uR-f% z|MevjME?~@650h&g&08p6^d*0Uo~tQ<(_(a0PJiw_^q|tDZKxh<4UMoNp*xe0Hj2{ z7X<=pA407u;UW94d26Wus=+V$>8oqvu{)1Cv5IT{S0`pFO(yDf6Nst3dV`cE*2222 zy%qysX^dz6u==(u+UwFn+UrPnzN{)bvBMBhcDVEOs`~1tRk--CPAp_KdEhtnZFF;B zB~;SQf&bN|aXkTUD|M1j8iAC6_n|C6=SRl%)DH>8d{8yLuZu=zK-c!Ni|E>1MAtS% zY+ksqpsLKY zXO306i*eR|vHBUg4!NT6g)36=M#QD|ZKT@W{k~GP6a^f$yW0lkSZs4 zYpD}`-pkG+7ggbHkkXZd;bm0eSum8}%TS+JmY=Ahui1B=ltz1RDQsleYy=e2@og$a zz<%)H4v;X!h9{1 z_%?|I98nlKkif$TfdZQOtE=$Tp`{pRg%h( zE;CUXwt|$}>%%b0umlX{C$t0JKAWD13&+{LhB8p*&G#CL*m@Ky-$MC!iCSEG`QiU6 zZjTZK$i)WeO?xXK6R?&5A_W}f0+X+yL=>mjQ1)n!E#{Nz=>7ZNXlE_Ic`=p0n7B(U zhSBAPl>fOinOiF}QTgY9l;tlAB(N3>hVqSwEJN)ja+im9UA>p*Tj-}dist;gJnk-* zyF5IlPI^pXdD&$5V#-}HD1Q`{69`VVRqHneq(so7xInNG3}r6rn%*_Rfk6TKP8ts_ z|2#n7K!dM0ngehXcJ4xX6P8{uu({Z_Ijg|zF|QaEd$mzDngCK7H2@EaJqZkDs0-KD z(+3n?7aZxp+xK+wc^xv3?})fGxsw)77Xu z6QZU>$AxmA`uYl{AtRu?!q@_&$tX zV#Sw2#%YJHn$(cWIyxj_|iBdoo`rEB_zLe4xip*-TmSkHeuX zyEvcSKwmB@8yB)Go()}LDf9`cuw>zi0Lop!6|ahrD>leX6xj1XN>`MFmr$^&qT7#_O?qjPHNwS=Z+!^N;y^}iWE= zY%E$pq?|P~R`I{i<%xlMgU@u;Xm2ODEWggH;ruYHcHatLNvm!JB!01`gz7OHoQuA$ zBfdZ~G27hxdb}52;C+TzNjs+6xPUO68vYXAc=HPnZ={cPeY%q2gCgxwn<*b5mYO(+ zUuvWe)!^5&vEIvILb^F7xqwK!#`=zi3f819_PMt<)(5+uUO`%;?D=mR>j!FX#`5SU zsJ=!b{VTilq{JrrW{yQxzI)352-15OF@eYn(l>FPxr|ha&nOCjCI3xo+Eib>xOaw` zK4BB#Y;N>1vU+@xo`<>_<6jv2I~mQ*j%1j5zgGHCcXN>5A4VLeHg%Zf`#Vwirf5)7 zQY-!SB6UcCvi8x_kMTvOY7+wW{zZk33#twz`#qJ(BLew>2)%Dv%42plnj0x2pCBdN z!Cb^q+cc2Bj?k~G;QKE=fr583Rs~vCg?(N=wX8Bedz6%F)K_)vNeaaHom&qRd*jrD z&Qo8z#rWY(*z+p_9a6mkQEq{ahB9UObE|@v51)SQ5Y#m0gmGbPa`en-|L<8`NJ;b{ z<}p<~I>dieiag}O?euj`_4A&7%z057De*Un{}l=dQ0?ncJNn4tR>DH-_y@)V4>Za} zC`D7NE7CFqipqpSr=rk*0E(y2tSG{fp>=%rb0e#n@tl3B?YPdx;W?}Q+Svc2P#E{n zBs880AZt?N`Q@K#T&`+-nM3e8RPiu7#%os~Th3k>7o{>63)ap8hs~xNjjiMF8xP#q zC{vLYm?zj-7-46@gx$jebTie&FEC*bdhuETI?veuZ_)_o_(GXdODcA=Q|w`<*sAv) z{44vSrqJ7gpobCmdv#G{vsUwGU7pik-%~RkPY^rk1Kszpad8M|)la-&djBJ!pEJGR zvNcI_I_OI{He0mX#bK201^cqd3l^nKz*Vk`yy~T$jH?%|nZCkI5Utr~m&2LcwQ$zs z+Mw2~PK7U4^GhA|>EY}Df;(o7E=pCsSklf!&ldF3D)iZ5OCnJLxNED&w%(&iF05iZ|`7U!i$Xi(l)k@2GLR$7@FFn`%NoBn^txpL6t#s{yy| z!YNtic~z#NiV%u8Ofh6w4IbGQyYjxM!3THM`)UGfB*k~t&v0zEsT$<}d;K;c-PB#uSn`dYKSr38vREdL=?=iozZSi8$ z)S{bkx%v1NNo(k5B_u7pBL5AG{_P+cw;iMG3RH`>-uxrR(Bv4o z+^?%EyPWTJ)#XN4koeAjNNnSjXywLgF4q>tD!W{LU@E(uH;TgLqzX0YE7ah0x$iNk zRM%+q52ahEN>^~XeSzriLK=lu{Z_z^Xt8tYzZ+wkQ|@;^S9G~)R1PlpZXg>tNDPWO z`qP8*n5?8g?hO024EKhu$i!xCJe&S8t#WmN{1+@EdB&U1{LzAJwloXfmN8U0<7`5-)*>htX&(7e(NH9}@cKf@q-c z!rV(d(*u!CBkT>^@?+=&O%`!{h{}pBZ z6EFTIk6|Ih#aLn7D>h>|?r-v0G2k95=TmBCd6HL7F($2pxL<*9^n{q7J4fH1a;0Tq&e#XMz}f(n*50F?bso?HBHlaZlnV(ZH4k;%jm0^q<;>e`S%hH z#-b`eQ?-!e!fGXmwZ>*Is3g};a^G{xJ@UhY@=B9EEZqBfR-H0r{4o7ZEQ(`=M`i4Np`vBC$uNgT16Pmm& znfH>L6!1s2dV%;upKwMcT3%tNRX0)5S7D^1UUa_Boph&#m&tjvOyWEe3fFbBooqv=+ zc~MHByS>&A?D%%PaqqwE&GlYoTO6hqRuTNLoNDdaBZr z>f$+dN!1dZ!oOEsZg53fkVzoB|C{Uu`-uEUZFJke$?njM$mc;;OQ%+<{PJa$zSl{w zM1J>smAvA=$u-a*B>m(6t~^h(Y!Jv;OD@LTW65H-Mv41{<8_(m80<1XJP!$n@opVU zmb|7eu8E(VC#3(WOYM!fxChz;FTi!k&+J11J;ExhW+449M3^}FDAFL+3{=Ts8X0ke z=qEuxO!TP0DrLd;EVPlMm_8y4Q3j+cfssW~tmA00ZRFEBxIAjeSc-~xwW&}_mAelR zE$(UtYD>HkCu6fhH~WYoe?Ww%%Cz`A+cjFO>#>Z)a2fjHKJ3b9v9&O;4mY!vj#@%9 zvoY8lW)7$NAhFaN;cN$(REfWyW8&MQSv&^x9|p-?#pa*GR|G{l<}(%B&%LaLoqE8b zntqp!rb0Vk$#bXD1BEPcIwA@vuk6-K9TK#g7xz_7L8tr zK36Mz3g}kTT?_usSk$HMvxeopJxd9l@F#YVbp<}pUB(<-j`weiW|`iJr1 zp%7?)4klG#^Y6LwsUp?mG`_l~3woGTI{62B7)F%U;!F|L7K1PdoNXa|qPE3pFvMns zA4L*V)YI7(J<%3dYdhOwFBdaB!X2woceK@T!yoL6x5XynBD61p7KSHU#`w(xW=NHr zGWU1Hd4fMaw)l?N*l+~hX0aZ(Sg*G!v`2r(PQ8O4ILpp6(>QU3aA6+XHcmVw1bxrO zj~B-Y2j{Z$>YL9Iu}RHsPPUM5UYpUmmFh%CyPOKH&8LX zS}Zv*=GnCkW&l4%`?e$O<`gl?)!QXOX*v~gUGOYqY174Q*8m}bHJBleaLsl} zUJ7xMhNI-$gde!5A1F;#WSv;vkNoDR4(AnS>ju+ zF1iE-0;W|iJKTt}^_^w=q=}n(+2?8Ed|ozvw%FV?QJ28>&K7t1SFE;959W&QIzhje z{W(u;?U|jG7?PhR@@`u373(-(tX-RMFk8aT8Oy``fmIW*oIe80$gkMM`C?k_pXE@O zSX<^)EHq;AIVt3#Y;kE(R*wsKZL}3fCV{(@SgY92)w5+nsLKidsqgCcj7@CY0Hf@PmH}%*(D3EoiCAMPuQ`I)A zw+S1ZLr?Ld|3ZkgAK5}4duaE4TpY+O%zy7Pn#vIUFgFdA+Jm+^iTTY5QH8jnTDubz zhWAk^E=F~scAz>G9ZH<3R{f(cs-}j_PH1c_jGI7Ph%jV?B40}XY>RKw2WxB|29T_B zW70YERFVnrIkywRD!;mG2;r>o{S740HlHYbp_;417`HF@0@dLJ(JG%K-f9rL81wbY zrJ^lxEeyB2jdG#y?RXaCQ0{pm<&xYY`Qo;qSY*-5#0CRzR>wdvD38d*4rtk8dy8+8Gq-cWZq1FK`e{JrEVPy95dvGDhiH>ORbSGr-}HI^iEuQ&p+n zPO1AU6I9D*N=fe7fghT8-S>O@@C7#-K@8fO#hi$T4 zW(dhVmt4I_UlkC=I-9IlaUF~F&+e!=Yg~4Lotoy(mk-KqaoMD-FMi=_8jn6f)>@Q5 zeB=lhd)en8yTxS}>2vR}yyaqGi@O7H^;^}2T+AOGUn-+d5CTuejlS2**7jaD#(b3Z z4^Rs>FvAM*ee-UJiM2)N#M(S=l^R0g(BD&-^o87rDJw)ni}k?8T2I7UPuqLHUEPH~ z;~M^nii5@W_P_x%6&R>Et&}}kAzH*q14dA=n-9^zjw)qwE5-it56P&@G(2EY6Q>Z7xIa+_6GK7rPw8v zJ5Uc2opor^tN(JKts9+0)q&=t22wRpdbjhax|yiZs-@ zv6idET8ST{!AtS*Zn=FpUJi?XiT{l}7>_yGU%ZR28x-jyfFM7L2C_Q;%(gueg$jbH zi8tdi4m`6~K?lmMR&(xA)@Szj*FGq>kNcEuS|xT!RbzxaQBUTdOR8zHt)duEs($!2 z+(7D83=8y(iTzJV!1r`Yy`XZGZHp$O=|5#i+@kO^?JLW`khLqC1eUN`oZsV}Dykb( zXdVQZ{u~`PA)9wt6%sUp+gfubtpQUIIVcN zvYn$xjcRD_@2U+g4+_onuYgp_rB%26Czx0I25`=BT_5aLx${jmT%$vF^A356nlT9S zLjT#AckEl%P|YVw&x3_c`cyn6JX(XV=!hMJLWw1>73T&H(Qy@$J3)fJ?ZOfEh}Bdi z;bEIX^dp@#Wbb5k*NI-PzPeD>ah(|U76q3WYf+^2QjGN*_~}WPP?zYeT}Pm_CG#nd z<9mRr*j2}Qr|L(v(HbD52{9MxmuP(U4A|M`X>^;y=rHQ9OY6j;9#juM6ue_UypDyh z7aPaiE^q6M>X!gltmDq7q_{r4wWALDP890cukqP&U#{FaTYt44QJt0ss38~2CVcU8 z9m?Ah9#$9-i%DeGp?*;A-yZ&mY*LA;ho7Uyemx+lRD}Ui1+p9iB3M;5^>8c4fEdmD zF!cE=vhS%OV+8W0^iLg`?y4fiK>T}TPEZx$L_RWmRaS%u6%c>Od}bj|+tDg#fU{UvoJ20V%{`mPQK~Sj7+HMyhv3XfD&zlD#w4Ee*uisI zDLm)DiRad3@LYH{&mCHTT&zvM2Dw;k=JI>x^^x^h&a+u-IZTeub$P`S%_jGoMEvgJHn989}!rnz}*jKoGZ(FAt zroZ;PI*Mp}^etfSoZ9B}*DT&8GXk}q$oPS_0Y&;<8lV01hw4ZQTcz%f7T|0MABGk! zD|DyK+q})W$O|MYLySyxJs5tt4mRgCIvyvBTCA}P#;B6w#;mT_7#E*UT&!L~O zGR9!a&BWwt-?Na#?G%HA_b#)fo%q=W>FS;0ujc+}49vMFu?OHY{U7#;S!~fR4CT)s zyf%9Y;EHB{$w!2~OMQpgJ=Oi&WR1^01{MF)fwmJ*Wz$Ov{;{pwF`vTNZ~56-?upnA z{bB!R0q+e@Lefs1a9?no=4>vc3N|CC5F=mpH|K7CH1)qqR96VyJ^|wO3V}*haJD2+ z9gV(>e@{?Rj==Q?^u?Un-A@g43+`&2;FStQsTck^1KniMtg`K_8t9yx zCgMSat3jgv72%$wQ$0sZ@wQs6HwRG7|0j#~zcx2jHE9L<;Qwq=5vUH6%81jd=t zsfXH~2g@{*B9Skpe@=_CL9)uZ-~7WO;<2RS!DABjFOvc_ll&@58pI_{)+FJ$iSJ)5 z*3ce6mDc576%D`R{||Y5UwL5;>5X6RLVbz%(Vx_uvRedK`&?2rZF5?N+j8Ms{~-bA z7b|)3qvRmusffiqEKQux7JV%aNxgZetxGg*KW@^5g0TqMKLSS+3UW4&^T=Q}Ay|Kg z;OI249Oo?Y88}Hh!oj!&*bWtNFnKvN$>ZS6wU|B*0F1RpZ^YEGpM!BbVr}x*9IP6@ z7qiChJM4qKVx52zG#yr0eAbAyzEWq6gK7L+I)!G8>w7U{#L$cZC_JGAu2K3NF*(Y1 zNY!2MU#j{c%^4nmEBax*Og;i~YilDQqplh}@7Yy8d&zIAFGhv&Q_X#1?U)?UXnc{p zEgGL=MCE00dGStp-Bfw=9r9*q^7vue&-*YvB%b2a!)|ni+7AyhnPERJAYxkRxL<5Y z>8Smp-76hd$MRFHTl~oARjl<_`}z!)sKE7$Z@*Tm5O75!)#0Ll-^XEOlB!g;#%Eu4 z>vh0!EU|w4zs?Y`*1xdQx|;f+JZ>~;Qy;Sg#iC#B&(UFJCKapA62IDS0Ldh)*oZ~t zh@DgU%Iw)}G!+hq|8ue!N*<#URj2Mq+^=Iam8uY@CV=Ybf)dzcP)@FV{zG>5s zyv19{kTIT57UO~C66_OIMRxyZz&uC3f&*%2z}$mgsGtZDDkyRTREHu}RYkJB9Ezx4 zch>))yU9`yh#mUNvoKb()XBnnQ|&*RET#dj*ne46rB&Zh6NGoM73mW+9(%tVultU< z=T$B-R zMwGgOsh}{Gmi_TmGrEnU*S{73IjX%xR83;&hzceVp@K=LKy_Gjk2tORxw69|A9ZjY z`c<>Y{4y0LnJWhR4WL=&yrcgxiFjaAXA<= zSs?sws`(!R`IK>K8Yxawh95C2>XhNm)y9mWwR2e=Xtezw0-~&c*%^u>3{#WB^C%GW zDPt0v8IK5|z0#k*lToIT1We@Dfv@%Vh!DL~ffY>vNkxb%BCNkPj#NCR zGLwpjRc5GIP{Ky$i~UCUQyEo7Uw~XiAHtD}maLC?OWO3;3JoD!T`>72)x&EYkiki{ zS3xsuZ1`_gh}av?)1cl~ z1Q6f&LKayF36mhf(1la5%}J__*SQq3%mOjA{a*zY6#E^Se<@aouaDz4J?GODJ6k}C z`Ly50k(y3h9fd3EG>I3U!}&CwG7Cs2pAkbjQd4J?T39Lwu3%6A3S-na1`RLI!++2) zztisr$Gh?KnCd`MJhtiE;z}58=^r6iq_1C>3 zF^wL4y~Dsx9u`~F2m*fQP%M?q2G;#3Cd0-@#QzDu&tUbAi5*$3qhkFUH$A{I3@qn8 zh~+2Y&~&0k8~4|&EOS#$HuV^uoSdtrZ`Wiw$HYc8!ofGQ8P;IUYO*`W#I?fP)7bpu zVv4tSjfEo&S+!ssh_1+3Mi=6S*kSg|+hQZ7`w4LxZdB}N`6os1>eu!gLafon1rdE% z$w_=6r=$<7c?x%OMqE@PPKlRvbrSm~xRjR^e1c}c-G@T^$3+NwG|u3H)AzErXT(5Z z;9fTL46aTE?KOmy>znRni_YL?@T;%c?lWS0;rQ3A6nU?WUsG5tOzNdwZ7hp~h(%ws zy5HbIvtjSEkG{bMd2k=L>KifMRPaAyrk)wwj`z&A|E)ZW6R~~o;Y94=+xWt!xKZ%# zryM&g9u|b`zHDBxIHT77T~MIVs^5haZ)us?7B#HgMe2C7mfwq2P01jT4plWB$nIX> zi%s3zQ%QZe%3H1KVHzlUahJ0Gd+~4;Vdn@o>XIne@3Pep;-M3CE>?XTfd7bZHhrC~ zY}F;PvG8aMJ9>xW_=azx%K~rDAQlq|a-1gGxHvhy7A2){5Ilr1V1;RHidz ztOBu;E^4ia$Ixp9G1)UJ6iDh3X{%+Gf4QACBGwvy?lz0MBi5_gb%okUMfz4N*yKC# zKB*5|cL!c@H%>WnN7PjjUVgxi--j=iOjK^)7e94v@bUv3sipr_p42+VHq)h7R+U?* z(j}(pF+2z5YOyUSPMfT3{6p-eqoNNV;X;1eWM+6QcJpr9gXZ-UGy-}>8}hr*Po$A{ zxhEU{Sgb7scV}}Si&51AKH~k~ySsA!v3OZ01b)PJJ;ec*aj#DJ=@tw9OH8R1@kL??e(#P+FCTAMu!fYg&9!{NivAKe)+8D> z{4ut9z)X38t6qQJV86T&e-_d{Vudfo(^Subzr}t!0PNZ;aS{|z`jv|fbiQM+Yw_9| z{bT89{yS>);utGr@5_?b2~@I~tMrCW=(2{TRgt`epfzlD73nyckf@g$lEnFX=@Ky} zx=Ho8Dd|*Lf?`dc%AJ`k`7Et`wAJpaRT>$42Hyx?I! zOv6g5Ki30XEO>kAouwQ?UaA1h! z&U^q9JMG0I4N>3m7CMl<29?w}jC!_!6Y|fc^W;ULR!uHFB!Ze+H+s;3SCvBuRI@FW z*A_MlwVi0J))t+{3Ps5~HEo?kYP~cr?w~3)&nXp0$t3kmLskDA%0p;_Ib7&ol(LV6 zQ1op9p)w0|NOkC4g!uOmOxM81CqB;gJrb3%yX=m)9;Gu;p0C* zd^BX%Ms!IJPgFCWJkwLn(8Z+m@GfeG_5cN)(aQEK!O##Z`OI>9`D*~k)M;fC+4aB7 zjo2@e)J!<~J~J4lTE1VsZwM)fr9yF)3bFTDSECf)FamVy^fEWAz60?l67Mdr&!h=jksb0e)?;*J3N6Gz2sbIk*DloiKf%WfEfqvEdX}nSIu}@6WDZynLi#JQN zgv8UzMYA+l2Y@Bil9p5YsFu{Qcj7e28qt6%KU$<;cTx=u`1u0|Sy9%I3?M9-R{_le zgk%O1L&jM&j3M8Z7Ipk5d+YW5Nz-Tw4&jf9;%Fm3NzJp9UXn*=ulmk_89~Gps&zvE zY7P6*kRhSX37bLK1sXP!K9^9W-&({ZZ^@_Ck$MgZhKyshWCH&J;*W7i*{ETc6ShqN z8|E#2B)F8ZVsELnSMCV|E*Dzm{YVQEA-T;{tGx3B^YM|IyH5s^x|6l^L9Bb@1RLig zHEZTlmKcKXp97Cy7cg06Rglj@)p$+ekh^R zncYXKFYG$bYWhlb{Z<^;%0X`B@~OvJPhY81r(WQ|;QRp1QLvV*xKo#mK31d;0R`r{ zw7H6&vHCYb7MGx`{{8^0{&kPDgT9iFuv_bbBnY5i8}@4XW?DW+&5yhZQA7`eMEeJI zs1E)sbUYyosp3EVaA+1*V#qkJ6+K4S8xHJN4SSNXXEkhQO^7Yh3&&V-ZOOZ56{lQ7 zMuNs)O#C*7lyD7ufw0LAtiOi+k+38ESPMUCn&5JeZS#{_4}X3H_R9D1pLe2FE(6Hd z(wmZLCv*W>EJ@=mqvh|3atgPOW(7orwas$5ml%@b$)Wq`*M$i*TFMVw!cM1@*!0<8 zW?{7?pXN6I*?`Mh73q_a($eQ3{VNM7(vJax0@OkJS7s>E4>-b7{iV2Ql5JQZ@P4nk z(*^Rap$`uufR`VK$J__R@8xu}f(TZga;7s*rc;NRR7Wz4swlc;qH?j&I#RP*6T#=K zxpW++ts?!f!)!_&sn#h$XlpgD&u?iyJA&jPUepTU1a z#y}K{G~`z$Pqy%uFL!#fpyeH&Jgu(ek@~hTAChzhFM_V=a!>xi={$TToasQ{d&(a889pT*XbV#liy0pCPLclzz5h+&n@ zU{*(lC!o^04k8Z=4yFf(989HvO8>%uz;E7*OHa|r2m==nP6zoop)yQYAE9Q&Atk83 zBzCo@0l7gllGpG1&Iq1TuN#AA6ZaHAbrgzvLGM}AUzltS&&9x z9tR^90^GyFYyvlN&}9+8PgN{|i&gAmfSDXjByc(h3jvmW2>C;o0C*SDDSZp+Qlvvc zScdeC$bu3|dqoyREJxZcvLKt%PEdCR($>(G(k9?viL^dn#pGj1xa5i{%8*cLY?TO) zP4I(g+h%W|ah!(#Y7E`vLId_V+~G^j9Zt2mYW4UHxwy=GR*x$HtR6po$zFA=5JG40 z@%F$GLfOntlDnYAPqw#{B)%D3ejitE@$IANLfQon!L=MUc7FBueK>>NkntC;!V{Lq z&eaZVv4-WbGhM@Gw&1ZdXCw0slj^#D{pvpJ942{3@POF{OsI*=9DveRz!1yBrdI`8 z$ZHx8o-bbBXUoH+*%Up!I>SwDUr_X%jsIHooCT0a&yH`xO+4N~7Ne|*Wwd?_QO?1z zS$u33@v+kaSb%1xU`doWV8Y>Jcuu^aX-q)&WPftfu>do$4$_ z2vg^<8sSoN!7qh{g-fB7eu%t#%B%Zyo;-^MbdmfCTNEyZQ|i`5YENm`E|NtEOkvh8 zQY59{bdhXA_8c~(E2KpL-xbo37Iu|dQTj+r8%9V&gxC}|I|6c$=0-@}g@GxoJOc8D zYx0mTh?IH?V^Y|~NZ==>u)5vAk92T1$U|!DCPll({&}CB?lVFfsMVj+Qr9Oc1yhof;Z2Q!($Zg16hnJe z=^g-KkiqAt&I8)GtoK*@>h=NT_Iwu1d2pYWHMlFkywWUKf-Ii}uL7|8UtZ4c^^&{=$LjSF zdXeTC$DB8zx8xC#bRPo~mwync$<=!h1E2R^+$C4XyjKg?atO;;u(vd9raO0PVL8j` zE!7Q`D@ieA6!1XcnCKjmKGU%3Ja?DXjFr-8o?93T2Y$I!o#&n-b*^F`AY(rg4F~ow z0hoD}JMd*A?P5z(|wWTBI;got|k9vjG7ETga(BAncr?bR3DP4Fuo$mmcv5yoj{HSI;x1%%;lBn$p zN;KTq3b@Bwp_hH6n!IMGfOv;aw=2}I>BPPw28S|tD5ELkX|BMnX~Pl+fI(BEX41zF z#Z+CIZY~}m>EwqPtQeef2GNYaljXT1(Yvg66~5w00Lb)GUdYsyWoG zv6@#5szb+qZ-T1nSi|I?IvTBzsG5Q`uJGnUSw~wnA0$H>BPwV04zHOmAw<0&(|L#k<$8O5D2vH=o^{O*B?Dm zAFVsOM&|SNM|%jObw>*bqO=kCY5h^R^7`ZBp;D1f$eyos9WEVpO?8>e&CGjkX5MQv z^In^o_u9<7*JkFuHZ$+FnR(exGxJ`XnWveVrd<=fTo z&I3y)2^Y08e93jdfpt{Fmt0?H*i1Zkid_j}!e3(~f6K)SPQiwZ!I~Jp{2JyE(?P@X zg;%r#OA`+%!k1hv&a;tYrBqsRm5fEeK7wOBtDJ-X+KOu*K)&Ld)QqlqhOR?C8pp`A z=2}fON67xynk(87vQcURILq1==|>`^^;Tp)UvGmSF4e)5?POX{Opj?VQkb-)^a$UJfQv5+ z@OphsFiU(#YUULMURwI8nv}l7H?1wEvM=6|b~*weOC5)pRU!CQtx{5;MQQecXj4i=xT&WzLBn@&E zN>(wyBx!)Ov*&IB%snU6&`Y{$bP3j;NA5}(7lQ!efk||YZ;D8>Ym2YQCXLLdYQ$9_VI#ri?{*Nj- zMC((kWbjwYZL8!V2&;FoCpM{*kg$ujT7Xq*Tb?##X$z!_LeVZZC`&pkxPQeOF2w42 z=PovTp|o8{+|HUW!s@sdPn)m}i=_O%dE0pih_vNXC2>vvrkf6caKuLxdalX?%Y~J+ zS}2`P6X9Q5fgkOzm58w}q-V-;r4GLzP28@0wOG2SqX*UJE~PpB?keS*Ws+YlA!-@B zvsL<9ShQ66Y@1}(36~ct`?gC};DW1_gGZ%rb=A{-4IxQ5E1lxYmL11xzP>N}>A2(@ zAOKGq;ho`t)Q3F&@KJF^`kOwi)(I)FYT9aaTf&Dd@`O}Z==>pj>x48_XyU_uIwAR{ zR@d0^Q7}OCD;mAQpj`=oc?`zVVHgt zz?9p#_2lg^VuCkgMN-|=p}_oqq|fyqxIW(?A$`sxb?O6k@;I5Ra{wUh!HK&PdQ!^b zy?5-CbfPKa2;Lf$lu zX2HKmvXHh$>G}(HdP2Y&WzG%hcTb_i8YTG;X*xV{=shXRR{i*WuPia0*JiOW5 z7gAeuz*@|{w=JWy3K5SihJvsVG3W1f4O z{|LNcAgzwlzo~JQ&fn!TsQ(MrizWD73#-S|JKbFj!9U_H5wbFY&2DFGtGI?3=Ll7) z+yN9@(8Bn=PL)F0v8{~wy)x}Hwx_kxN5~z^i|lV>bQ50lc!nC^L`~URO)U|bQIq#Z zXHELI6x0-4L&(lnwnrM*2!b+9=^170qpO-$XM{@*j9D9P#PQok#k+@buHJ!FzU*!E z#ZL^I+2L5Dudt`L@^h@Qzbmy*%YMeI=%mex#~a3)f-r82QhT6L5`=!!S@SoIVZuP3 zwoul*X++p7+00H1GUf>DZzySljg4>+xkcGJ)HvNk7=KO)8fWy=(fcmCk2j7M=ALI; z#v89xuU>pleLn?bt;QJ_DBcr{u~mfZEy~*yjoV#?wC#%NBjXQxA#JO2cdD@~A21=) zjcv>>+t3cbSw^qeq7InO@fa{k(~aF}z#N!vTts7~*9>EOO4DZ;ub645&=9$(jf9#P zF;*t07z>1#`<2!+jlpi5r5lFRaboFVP--5>VEG{3h-l`rUD=yqJW!2HpSTc10;VHv zpX*3<5FWESE0!!QgSiYW)&De)cHs3(#Fw4 zb;K_4agL+Lr3wVk(uN)tS$5Qzq4O_v6w!v>SlL-bxTWec?zqubO-TGodGf6>$W^#~ zmie7CZg8jJ7kMzvUpaBkI9L!0|EC08G=^3Y0`@C|E*rbJ3KNbh_)t=R!PEP2YnRgb z=u$(zN6o|R={4hOVR9i`a^1KWHnE;1#_MEK@GnMpUBjDydxW&Ps?G`*0IM^_IY1QV zKf;szMf$?O*_*!_-|CY16+Q2HA~ymt8FxGGl^0Y;eCBJq)%*X(!?zXbUZCT%ly*Yq z(m(e5Ix&#>-Z1uqSFrbP81n>|Tz2)gv5sQ7X^g}+;(%hi1y2(S4=6xawt4NVu6ca81*$qZ)$TG1>%=(RQbK1H)PFGh^3AwSmGTTdGmjkx_ff9S|Ay63gHs{nOuAz;k0% ztx5*jy5B3WV9Dptja^8?U!EHa-CPdR5N2l{7=2lv4rV{)=Rb{&xV~;Lj04dXxytaD zMmNH+F@GB$3OViAo3D&%Lc4a#kFSi~gs`XAoT~oT23?*-yH|d_Fg^ShXu728IixB- z04vg;Cls>Q@b9m&c%7+k>P8KdG}wL*0%ELHvBOcnJ6)uor%6u_&mgws#!>jZDSBtjl1@eXj$kAxJ-i(tRoaAB z07+b9q+FaI0Elbl6xXw|xFY=@KRe_+0Hn&f1Bk@@ij<4F2moU4z$R5Imv(G~VCwM3 z94Z4XVr*UPO*)aq$8j8=lw71A2a2lSSmGgTgAxIe+`&kr@x!OSHvq^@)a2f_e;mr} zf~l(z-I_IaH8mca3rgt#tTippE3>!&5Nl0-SftK<8#%Ak1CyG42) z>F7~`SIt>r6;qUu)10~KO@X2JuQ;kK1H`NR4FFYn9Vu0L9x1A{L#VX~RhGAABlV_s zq3OV@+NJ^GMNa0Lk!2 zN-`v*kkQZ~Bb>`fvCO!P0w^2#>;zrwNE(LD2TGMTmWb4TqX3Y!AxKGD98ySotA%FF zv{vkvn<=)5trYDy3x%ounm0%LC8_QAE8q(4H@X=cR@D?O_%&l2s+xjA`~T>uvJW6$ zWiJ3!WjCZ$Wjmy(vNr_r_Is-(ds)>K82b|yE;-~eK&rf@fJojaNV&Y303dH^Gct|jZ9zNXCsW)}q&pKcOXyk> zA`m`-2u@W!3m{cocR(aA?2@l0uemC(yHnnf=1gDRw6y2!i>krV2oxAAA0n$tn@9vQ zHsoDEB<(GvV8erV0HEBvO;zRY+tWhWwd$tkZ|uIM8v6{!J_fZ&|JMbFyk~%TZ$2$+ z2BG(m#iLy~!MZ_E<~=|c0YUDaCJwnSAMRO`2G`>@Nm-$y)kLLT41=EhDMENy}FwQ8a*}q({Y?0dTpGtySpjVT}V8r zw2w7y(+Oesl^b!U_jE#d8SCHIcIPWstfnAQUB2dG`C4_2>0uS2IA8g5z3Grn za5J!+Y=oo&Po+HDbk|5brh8wT@?dO%vVET^(N&Pr6^{cZ?Ck;y*pOV)pF|mW&{WMJ zw3wufJZ%cs35zGQ^=C|<3-=}|k>8kVV7$Gr^f_z#v5N5aI3@U;$s6)4vpn6mZeYkAewv3FbpLU!aSOP`ol z>WpmBS602i05`VX zqZ0hoG_=Yd)=6iMH=ftVTW9(9Pu${V1HAr8DQSLY z>^!FUDVyt>2kC@`J}c{)8wq16@m0FlGvh{YVWCo|fq9P3H^mF1+J#J{OEqKUG zYGi(2@Z`wO-o?g$c~aRmd#;gPXk>09WExquU~_AszmbIpo4te%M)qc~8Tb8-tZ!p; z1L3Nqru(&YiI%>{Qy&)A*lZNKYDix#eIin!`s`q1^LK*FVP!!RGhX6{MqJs{jH8{1 z!wi^#>_Ia#HdO`6mm%h4?#F&D&F#@Thn4tN=2xylz!8Ss{$OQis9DkpBlJpPJM#zF zfgk4E00m3#ahyA+quEpEy6Mj{cB+&4igq^8T%Xjhz;QfKwX@mJQyBkH3F>7w>0BTG zUdFqsUQzT&{%%MWzQTFW!a}$ane~mDAr8I1$Iff#}+>z#? z6fu4sY3@&vBs9_7kMQ#n&C3bzKMMSaBqK(dtLgZO01g7o{D2979}bw58E={EdOAoo zd6Xd%MVVcb%<*p883CGLA~gYy30f-SrkEe{Ic4ZHa~GWV9#huLFk_>>^N=!mmU$iy z4vwq)N$)iCTWI8CEM>O&rSN!&@k8+vAFqZAQ$3O5c5MzN{AtPbk|qo7ZqmkF91Kj$uwP@QhGe?KF?z=q0<%uI@tG zaklNKd8G1Wzj+i#56&?+#r9r9-^|s}J@d?45W7w&zvr8`bH??B=IZcoRCLr_$T9C7 zH=nK{%>9jZ_})BFX!)D6@OyKZt6N$T_IS71?91j5<>duxC#acu$^29hB8rrmm(2>t zw7Y7Kb`=VNxn{<+2A;+x=B3q)_4<{Sm(`24KC@Ua(IQ;xr<^S{->TsjaFXvG|9)bw z!!A9+2pD{l1wS=U5c-{DB~Q(@+3u(2=Ex|>NIJ1B5^`E$d%hUI8QFi1RCLeHS6qe2 z2=?;}^Bp0+E2VzQt(WFtonYv~46oqZSHfA;D|3XfDqNZS%G_Ni4Cu_xl!H*G^Kv;t z_$iD5-D<^0@>TCy>ssPzqPY3E13d$vEqSpubUFaG-Yoko={k9+PWY-lyDrE+-WNV4 z-kIPH{~lnJEqZa3RsZd$OvDkiw}n%=q-cO#QWOAH(g9cbxX#lvl#itn%mDfi_p$|g zxvp=$)lR8(0BTZe1Ei9*S&3d=B>1;wlilPfZ?9D?aWN!%KHleP28j20LIn?L6+7!D z�vIgLpLXiHdZ^`FI*#H#WqI$=XAmRL>pswF(tqX{}TYYp2t@(pK5Zn&|Kq* zf6eoTgQx!rC99g;4nBX9%@XA|6t5aGjs+7>DT8au7_(_7$&FgEAW!)?u|<jC0t(h{4z_9Q#)B{vnkYO`lva{a1Y%za-L;4ODnWro$`GLIE; znc0weii2?wFMrFy_(I4$50HL(waMQXv8z6^Z_NbXGCT!!bC$n-Ks|QQTdu205AkKc zc+0I+>6rmsx~D3gG&ZTyNn>wSI%({$N{7a^i&$?+_ulMNR*pO3W#y6ctG2<5-Ez6= zOMKW&A2~=B{-iD!KI?>2^>hw$)#r1NtDXf=Ro!-it1fu?uxCEsbS?G2u$Fz|kMf_dWyk#Gcd87$n1DmK zWwI}esU!OY<{@jb^%kRSz45V`2l*wZ@OI)0Kt|@A#DDBzEIw>*9l39__b$n$3xUld2C1dg-V4(`^FiJgIrh3u)Zw)7kgIjJp2E(jzIVr{lUj?V~8L)wg!mIsm^ zv9@I=>BfeoC?k;Wkp^O5`pgS1h77t@j`OP0mi)XFGYIapXiV?8F{PC} zTCVn04LoL$QI&?aO%D9bI-;QD0c+7(ZY*S%u%WHx(bY>ZLs7L;*0ZA4@;j-2?5x4h zrz`~!%m4BtNbB3~ST)e;_FarAi&b9>d=+^55zi3^5BWEE{@PKACy{v8J9sv#Jl87o zq)sBH=?P zIlqt?o>t`+C%=y;bQ?l}fWP8haue|n`8vqARKeN+`>CKez}+f%cCB?pwhEpGs1^GP z;3O6MczcbIG!^_E;9`KKX@8fu!j4q`GY}Qbxj@>CSG6%@OoW2y%xMq>*4*vvr?zs7 zCXR0G2sFOhm0qY1LWoYA>7H)arJqbI$3+D!2mFqs+e1U;x)UZ*6}S*2QQy~~OqhN+ zlH>r45OqWx{}eUY5^xwuhk`SoYX^c(`j60Vjkig2WFJaxbL3Dt5>Ig(GU)wnPJzFyVF~Tz4B^wQ>_$5|rbWOSQeYVu zX{+ALmQv51B=+A5Z7ZNV*CXtW)ofUMxuI{_mI~DAq6ObEFmU=7_Gx=LU%0VK8P-Ae z*EPSuNcs$KB8r=-&Jk~=!vr^!lY4wGB7bac?~D|iPiCPhQQTDBv`cF55I*^Y{@u3#Ublz(=~H9TE; z&JwngX5wVLXM5!q>MX1IEOaOcliN9U>$-xKhshItuPrBYXK`zNHp{^!@69RGIxyD&l9*xpKr5qzlk^TS@6m!9&2C|RO66@PZBLQJP=~bp#r7xI0Ztr>xdE2a(@@O9`(}Y zE^@?+T8w)BTf z*f(9}HqLzL5>_ojo*)-5CL>gtwq&SQg*wR^A@}l{29k3~3`d4^$oP!iiI95=VV|)! zk#gr~kNNm1+rNl6h`y8gWru~<5p>lZZ<*J6vLP@BgVF4Gfg8T=3gC4-sJ@W3?Jl>Y#(%H7T*t>T?zd%e z6{vBk?i*{_7v1Hqsa@9n_srG$`JGBL*IR3$YXJ)LzkEJ(c{+HsnX5--9zJv3d8WzH zX09fcdDNNfgo7y!mLPI?R%YTe*9Mh`&s@6}z?K3{m-2i*bIsA{G;^)2Oy@J#TN<5a zuD6}^R1%`jT-{U#K68EeY2}&gUF2J;^UgZ}`>Eg_+`RU_L9eVC>s}U~OaM9>S4% zEG0(1Ae>*x-smMK5`J$lIhoR?z2$f50s-qS_cuC*)9_5|h(5N!Cm3db^_H8pTE851 z7w+_gJUa>(%&-Jpjf#{(8JtevcJ6(7ni2p1Qk-=WY%Bx&gxhE*A`pazwc|#3nRDF@k{gYk- zf)GJfkfxzf03pJWy#%H*v$ISQvUX$XopPJEvt_b#?yy?f)#uepD8~rxf$PZLDv+TsQT9w*QV;o1jC*wf3b?&~yc8 zNB*ZqtT_%IEn?+X=HU@*go8(mSnDhEs1d7^gDH(htW`g!G82zjwNxG+vFej81)45e z#Cm#*E1X0Tt9oTRk64#9Iz_B2(;ekgNr)P;_NxrZ<1n4ywyF^e;0=IUuqpwl1*@L{ zYQbtLglWNQ5kM_iB>|kIMzaIp)q>T2fQx}G&BWtwP-H_85EU%p!K%5ch#?~hx`An~ zm5GDoOktr_VS})2tgX5EFCAZ$m$^n;A3H~D>QwgKV0EeR$6z_A@y>aWjukc!BNobp z=~p8$WRAmj%vtQ!6eVJaJVNIjD=R?S+RqbBz5{VhibGUrP()K;>;v@(z-j3(8M4pp7@Z5?3p7Za-bL(32Tu^78 z`!WK#SX*cpyrUvP4v)V6(Z5~cAaK}q;=^7vs{fu-1EGm85;lNfi+%{}4RHCvkVFg@ z<|zM5kbCJu`hAFUTBKhv8!e+n`e5M8YC0Uv7dczBoy{I9`zg^QWuT%pa+s9LbnY+~jZO{|>!hc00fn?33|1Mq!wiN30qSs%2iQ^t-vHQ81^WWr zt%6k`Omi3=K+R!pk99gsQ}AjI6AX|$Oc%WL5Q>{J|a)$xEUR4jFUXM&$NKYH2 z;dhW0K+%{f?@Qh=vk+s<-u;iiUQe4(LCEUFTecv^mY+>Q68qaU$0#-QWnJgU z_)=M={cXouTM|&4RsSavr_eEd*p)e04!22Qz0>8GwmEU+Q!Ba53LD(g;k4CyjaE0f zGgM}l*j}#Zn_iKn!Y+5laORpJpB3JVW#=;Fy{T)4{kOv`++W#Y@`qOHS?)0JI(RgP z*-)8>J4_D;kLECQEAyxhQ{Ta)In2A2nYhEe+Q${*4pY7Nza8e9Mkj~)qgN$5cbI&Q zP7ZU#Nw45A>s5y2v6xoA9pZGDGXOP*ISEj6nBxF7hnWsxn!`*1s5#7VfRj{@`W(EP z!>k9m7}&C=&>{<&`v3G6A5{x{=oqdHrpZH;pm}m5o%)-nZ5GDCDXQVE)DQXHJM6q3wnU-G9Qt4O0g9fb zqTZE?{eHg$RTXe_ zX9qg#&~o;zT@GOHEyd~AJ6qVirSgEPoM+u;_RCVamYPZ1%w8>(yU7`E!nof)tJoN) zvUy{;Q$t4P1YBm5m&rlu(`vtLqAm}ucY2TBrL+fL4S){09S;dHl_WI%rv$@t*}q!N z8N3@-kQCS6u)t&^|o)loi&v6ma<9_lC$ zWh~|k40-+_UfD`z*%xvcuI(&RiZ{w$RfJVPvC=JamT>YXHg&6fL)f!~C2T{qef|?$ zxJ|w*wEUT+Y?tvqi7QIA9dd@N(6yK?`bzc{8WpqcU&;80=v9`yTmFDlxV2mUL0>nZ z4O(YH${l?AbQk$VRG2*pXJO&bTLV8JgFM_zi|ap3{EqwwW)cItrqrBEk@P0Gcug2$#Q+j3<*FEc8Y z9m>N1i@3__9+JBX`LkH!Aq=o}v)JrI7+7gj*tdt|E`m6VdFIQ}HBM2j^nsNOE4!XA zH)iwlWs@*xCR>{?`w3%avfO-_Xqm|_<;!CP^NHi7rNzxR3GKfb^3-Geh-UH$3ms_O3Qp4pxsvUDxDWn*D#mM++N;8>$f+ngZ( zgiZ&~FY8Lnce3zVI=4FkhMq=a))8UNY29PvkQO$V6H3EJ+qwAg+aYq@_ zF8QFD0tS-qFsR>e~Xg6D0WWHs77W~1Gcv$}<@%4pYW2x->hYF8jXUsn-! zpVPH*zwd=;fxlP^;|w0Rb>*xEzQ+aEZqc5-oUlLn*^>S2FSK&=z&G~1&YOQcnDAsb znMqx|AnFCG5>L?FbzbMM61JkgW=^|BT>1=tjPVFYTsDT9!{FHkoo8SZ6ejgJ&CJB_ z9v~BXdPaYQV=unpjsoR>F%+s_)Om83heErHy0+Zifw1zC?Ndq44pdt|s?t2!veHRq9%iniS7vdm3eSQCiauqBq(Zw&N)qxS^1bLLuJ~ z{DF^+;RF$FrczI2$$EwifjyUW<+(OPAP4IyKMhSca~f&a%rnhX50=I%qTb(QZE_d_ zy30Bre;zSYj}sp9l0k-HNxu@}VaG4n!;)MI~#;sKbZXo?wfV%4z~E+UN%iN=mQOI8s{wEJ`i_P7v&Vy=h?SbbEdiC_{hF2 z`o~RtX@$;liM`>Qi63k9xTR~N>*I!ge7Y;KoUs#c>BjunG{-HyWt`)V^de)x5Afxd z&RKWN6^nXV6;%~4+TgZ%a{HwR^t+8iYe7%Q%+m$h8jcxn<{58#8*jpT5~~GqrHzEV zpBQ16a!vk80f{!=R5IRt>cLnq^&l+GxheFD^>s4d1SlMzyDK1Y zG`B8{yo>J z9o+7_@#1SWa(1-h3~N_1UQ|ZVT90)}#&}WN5z-&)M(DQNA;LecEycXmrewVMxZpC2 z7Y7smLstCD7{7VgT=SQ15a~HCPjn$Zr03uVztYK|d+ct;eNJZLTn5dqb!T=YF@19 z>}Tc<=FwW-_e_VsfgSW1xEDAuRedZ+z0i5#D|&a~mzTO|PJSq-ztpYbI4z#=U!)t$ zW#GfKBHbGM#@_g|Re33V_zC7||0LK( z;g^=H&#Y0%A2t^0{Z_ZrH=2}hhyUQx#>7;x4d;l%tw>gsH&lP83$|DIpx--P1$$%8 zymvamt1n^eSquTIi6itFZ^(Y9JIVEY@PDQ337>GFw|NM)KI;~m^q%wCLhr9WqjHRT zukb}T!%FXYOr_#X$wKdQs`3Kl|EpVJR=&wX$Z4(oh<3aV_J741jTX52RaYTgu|N+S za|N}dQl5A>LGRZeHkrf{*TN(duQk0R+Rl1P0cnCpoU(@*q2&{Nm%$|FsSH(}Bm|7UVyI zCyx9!qY0p#huH>D&x5aOGQw~Vex}OArz+2vHQEaHG~h+pqv5}pG@28^^CpevxLD}= zMK2y-hSl;;rtG$nyw3mXDCw|C=|-0^8CX#|y!PVXLQhv7kNA5GGhO*Z0Vb!gv~-*u z{?7G%rZ+LT;v4Xbn}tJx8$bGgm_q?N)D<|T<3l;`zy4RYt!6pC8kg}G#FyiDnf&N~ zw7<#dZ@6*S^NH-~!+WSqqi=*SFPLV%9=^PvY4n}x%O|kW_izLb6pABXNDU>k-cd5^ z9ma{$(~sXu2j0VE)+3<@PK_5*ZD(@y$k(G}WIc?tp23d~GL5;CKkxG&V{SfFqsA|A+cUE4Iaj6aI8O%=Sx5RU(%W_fqV|P=BYeBh*zsBnj#I;Y*g?H-%yKs zf0_;h#(-mE6+YBtt@~B@dH+XqrTl+9O-=~m&C}$v5WYgg|DGn%WdCo|By}NpP=)6~ zSB?LJi!jJ9s_~+E9M;sZ7_Y0=uo&awYVaeh#yA!TM5T&R7z&st%~zp3_uWa8@L5lq zx-g4@yk!{gAE`{5N(`W2*KMBifO@3GkluQlaV}P>H_oGb!+1xWhW^*JE4ePlB3x2| zJgXkBG23fZxP`sa!}%}=CCKSv19KyJJ-n~NyT}#l^MlM~EazI8%(bfjoM-XqrC#WO z#=r(ATXSH8^Q=W+^IvnUJTsE7iobe&28SE+H@?@cwqdtw{yxL1TODYG6&XX&{6@w> z5SIwVgTEVsj%vbhGj}Uf=wCAWY|00hF)a~Hy&HzT!+_Md3Ru;Y|K2Kq1--^q!1bm$ z{AK(vY}l!mI1=_Oge9%`KfY(N+us=o(^~UYOcu*-&HsN`G&sWSwtSk|c1Bl9Eor-U zym{F+svU1$wykPsX)sF!i#5XacKlP$=DB>lJs)814$nJSbRkVgzJ@78ZQ7AfG4tg_ z^Y2YP;c_QzuCZIFI`aXh@UvQH-YdWqdRm5&=P`b4{{AF&bmMR}rZbPfJX`ZWN~YRz zyb6~hFXTGi`6}l25AI>1r@1|F-ZQSJ&i3FJnE5P+7ZS=>fR#P@e@x0M9?Jj!FvN81 z&Ex3|`7h)-efamP#&$dG@re{yFb<#T;EQQ5wzK2_Oe!aBRC|906E>sVG5a5ueTbZ{ zQ;^Pz+}RFi`|;(uwC!N%$A5O&xQ#*-IwJpqZBP`?*W(6mgOL8b$hF%BnbUaDCD?+; zVH*tZ&wt{QUMeE_ZMG6gqa^=BB%WnkiG+__sYv@aF_E%2nnl{Xk%^SLk%=^HBNM5$ z1yALT(0&mAp7VYsw;0S{Rk;mX$3(iwn%rp}1P|o{x%TVu2nK9=<#jM_C|{d9yB1E& z=F3CQQ2vd}={4p`_pO1PVSG1k@ET}v5cSYu4YZxhi<%G%9w*p4lrIaZ%Xuf5J)FSgK@%V{MB}ul^-=D!(F7X#E$5~d| z3VF{czLv^mz;d%potMMg1img;eK}Mg%}bnaIkX}YRu7RK9R)0~_#GVE` zoNzQBhGF?@MYe5VCEG$^vaL|aifq%AZjmN3~KEM~IdZ(ONt8x~v3 zwnIr1*_JMruTJ3cckebua;ZuDMU~s+G$vakrnmlS@Mtn0@H1JBqRqdw* za#SMUQsr`dzFCm1^I>fg->Bn6h1NFZsS3kdT;tk|a#a-6Q^^a(45D_Dk$|tps~ad8 zMol)e01llm*G}f~h`cpL@}w#J2Ni!Nl~zkX3sta)IokeIIdv+=9NgJi%v*QPqTcE? zWtLgS&g}jWd9YJh5$o+V3%;cBVO;rHP;ELtfs1&fQ~@(eR*^D8sbcALR>h?0tcniP z%~e!n_vR}6r?Vhdmc zlg+SalVRv^-qTinD4Fz+x^R6t@6UBkh7WW36fWs+dD1+pnaLJngQA(qa_RzH7joH? znAqDUF|iXTnejWad$ZUbCNZ(gO@h#cD7N=or3x5dvWk@PN)?O8u`0%oV^y>rXRe|G zyEj+iJC0RRI2QT%KMKiyT zvd~P&QSf;=KauPCP9C>{f2|6-Hk=m0R}OONU5nDpeX^L64w$m{4VM?K!l1ZD?l5DC zEA*~K>B3$ZP*R8X{HMud)hGcyXuFAP>oB;qnwOd+m?$HS<#fnc-$bsbkeMc`V_pqY zUNt2TR10|zh+4zDI=>xil;h1%=(~o`;%xp=8dYJiG0TVE6>F58DVrOtG|Fd?v4j`B z`+lQ74>WRp9LO4#ZK7n+J1j>UHP=L*t&q))nqtaJQt~Vs)!3BTa3HvEyZhh9jj@F3pE zbD!QRGH)}Hx5UG$t$aD>g(k{^c*x$$cXqCBqJ+dlrEMr)#Cy458(&}L)~g>yEttR@ zr$s;bVF&NUw`XLl$u+DW48}a}d0&{jga71W)0Y;d^ZoNauw*A+&+~L2MvG|vK8w0m z8R}YOI@#U_UhPD0|5afjLwDakBm^i6m3Q&?xO}5bk-e;B3hc#Xs?Nw(GU<9TnJ)HZ zGUfkdmg(Y8Or}i=?K?81{={VZ*`iqEpO{R|_u*8W^g*t;pZ8R`HR;Y|QevN~-68q_ z1_FWIA?X0lp{2URiUWKNZd;t3bAWeMxoqiX7GzO3c=O{@%}1jhb{!9uym^Wb7u%S!q?$0bcTUPcqw#uXPOg&JGOM{(&A=Tf;LsXkkJLQ zx8<0sp3jm9Fr_mbI>O)QGK>QDiM9~1Lo^ex86z?QYq7+41S}n`2w2d;C}3U(CgAoC zOu%_8r-Xnb8Qm!0FcU!U4sh!P|0mb;lYB6p_fu&*w4(w(pel*P$Br3%Wo;lMZly%A z9r$GOe%$@Ga2W&+d$e$zG2&*jM5T6kZGF#QCE3HIgMFfh;jNSbfUum zMn@4To4*NEXag0p__v(RXIVUj{oeg~3sN5&x>K+RrmF9>ko#uyWmM(+cTJJW81*dtz_W)x+)6AlGY^d@}Rj1M~< z3{Kf=jN$$THVfrP$J(tiBZeQYia5v)N$IJX@Va->3hJPjHo2Pchx&VCB6Q5ky`#1lK-0})` zR4)Htc||U-D~Ew%5Bb1z{+P-I?`#ftVZR*k-T>*(d7ZrQCI6eFi_N$0Hg#`J)@V<- zw^n_FIv;V2wD~4?`^dlIxE5cb)ffI}TW^~lAb;V1wC$kmM!Vr~hM5!L~6O}L=@9cZPUOOQSpPmW!@)BD?ud?+n-9tuN zZ_K+(&3y)N``Pv?`vx~417mlv-eyuPMj}3Bz z#$|=Z+y-y__@)rY-MayXvVs@q?mfX`VnOdXnSK_u}}AFHKeJ`|(?$7rqd?#p1gwJv||%s!)N$>z1m*@8tSZ2sRI|Ng<5B zF+^BP(oxkI{g-NtKDU}MozVH}j9$AsqsLVjA_#pIp(mA*)2jcPK4@eQA5$X=F9UEcM(95J~D!1g4e73PbPDJy88cl`O;fC)5&w;{kT;~gnzuN*KV96li8u#OQMi`qW zG=qABh1XpES%x1w1o2m%h2cZ6|93e{+2or;1l*jfeufqLcc=i|*wZX=aF~$BoyrEE z-vobL)(FkHXqqSww}uPV92##fMSfb@dNa6<5JI`A&2rNbLQfSJpG8WvgAXHx0o=Be zBvBqd|0V>$woyVyuE7TQMy@S3Ky-r8h09ErcP60WxyL6UaIEl{`+6K)#|cZhzQ^Tl z}$!XI4SgK#Yg6{$H0s$?9QvVWJGCky!e;n)G_Fa^gA?*s613iiUK z`(bnn_LO@2;cALd$D!w9+U{Q#lXeF|#8ja)*J`ocVyb{gbEWKs)M>(c?%p0;!wZAB zEorc7y6}iwo(3s1gjjC=PWj#pbZ_qC4yI)ElB4i?7Fwp1LP1y2LhV#xA{V=z@u0uB z$d6Km0V*ziD>41Js%?_?DYG(@H;DcXbD(pc8eQ z3Br0De5cHWHS^Jlu5DyR(TP5D2RG1!j1GhcBz9jiRmy-*u6Y z&s|;15^;;scSI$jTY|pRZ;i=!yx_$WA&`5wnz=)55PZr{onj%LHXtW70}mT(i$pE2 zihww-YXsLIk@-~DL^!ofh~}b~$=&_XJ^#{!OB1WVST zhc#aW+3SSbuf0#THwWZ>^&V~cq(EWZ-h1l)FNX{@AE^im6 za(4zp^bTPs7djYhcVee2^Q#=UQ@{glZDzpGBRE)9-6P!K9Hz5`|6Uwd+fO42ANk&1 zVT_8CQ)revX1_3o)+8S@{$;}<3R!6i`kpVQj=tonlYJ`-*QN(r{V%8krF}R zb_CV%*F>m%1jnG&6J@NTvx;jrftG})M^P!Mc!(qsY5g%F&Hm;%qL~%YepVR~Ivp3< z+4r}g$l1q*c`ExuV=S0Pr3>rq{~2vTsg)s&=4QvryE244jvM(5QnQ3}+}lpj`INAq z3+)6>*+L(eC98sLZb!P4^{{5vDtThI5XEszJIJTc2xDzsT-uhhxoda!UMA*V1!#3a z2+-eZLuhC2W!}ZpuhS3kZ!$r2b=pTzDh4-alb^sgWJMBZfgTrd`IZzy6e{!fr z0Q{+Gss_IRS1L~!uIAqT2;=f`fXudLA4HYYK$Q+|luHp+G3FJF4W4Z)g1W5Nxa0b3gTzo7w8CbKHjR@_4nr6zAD)u^OK{owC6$9W}@E zO_@?QQQ9WA3hgnmwhXK(t@ndWd;Ni+E{iPrKDsGOVv?v z`&{Ke9rSsnxqj{B!)5d@OS$!H5rjsa?e`i#Pk}A@+5!%V`X*eZ7Vu5fS9Gb3*^TgX zDsI?#r{)kU>05GtL_w0IudMmpJjf<8<)IhqH>Wuql=MChVMvNf4f~_HoGO<@uPX9>qgJ;x{?F03mDs9dWn6FLm>sAU+Z7KXg4h_;L+B-C?PPoGR zREMKA^hpjyAtb4J69SDx^}V@2LSRd%z9QGO8svnc{^CO5S*SjWOREMoYwCT}U zvjFYZpK_~9V=l3qy319N7ogp4UzP7J(5D4C+}KFa!&hyR#~#@jh3(1zRAq5ktt8SA~Rp9o7>)u>T?n`otOj-9_d?DO2M+ISwG}0 zY>e0=G2L97J}L@--G6``jF=i$Dp}t1NUy2M-E0Zzg4mc_&{9_GMO%)mxm|XY#4WZC zX-z0jx2Ez@Pcew|d^XVz2Or(SPj)yIou*wt+a!nhzOL>ku=N&iIJ})eSyq082i~GL z*X*Ng>m%ZlbmRxQuCM6t?6xC}U@r2DpsuNIQVWJx6`QyYK{C4LYI+GDTN6%K6?-~V ztx1(ICJaJC#Fn0pnA+nN4lGOcJ4*qQ> z_HrnXNp#KK<)D9*_yf05g0)d%T?YdthyPLz{)!UobH7R8-&|~1W}`$3Ynoy%9a0uc zFs`}ihljt)tDB40LLILDRhghKe*zOGiEX(WE#TrLF^(Jb7X(fgJMv!Hc4R*D?1I;L zZI>71vty02Vc}%4YuTa4FfUT;>fA0~+xbSTIqDuemn4eS92cFUWr8Q3f;x$!kJO8% zV{gCF#%3bML%bHoYvcS2vLGQ*+`u(D3gwbSj~|=hF8CX5Owk){9P-3`!@7chp|rSs zOn=3+nx%U@C31AaJgoKQb{Li-0mt=8R zVEL0&JpZP6MY?A0Hjg@LPXgRq!#XFFNAMZuaEM^rLE#Gd0oE@GQ+w zt!5S(X6<=`zb!nCj^}!xSg{(OkYPyJ|KwX#GM$?vyGQnj{3$DHPI%H;JNzJ(;qU=c zAiLGf;GqcFJmueS2|F-%-(XuC!%obLnp3WxAN~^M2JrBwGyQ!h?!yGpGU4tFy|oG5RzcTJtM?6mY(g;D9HmRXK)R&nA%33(%h%nBHQ?Ux zJ(M1qumy%K`;c@-eY~qZJ$CJkx=(pj1O8WFPoZGybWzV$yN3%sv69%Oa}{j2``K>~ zo2S}GW#;>k_e%R1_Uwmy$QH2#b#cbV7fVN_oQgnI5H92hF-$C;V;DdwK4xA+%zmgf zL#&+mZ!2RZ2Hz4)t|cg6u?1&rEP0SO1W;NDN>T~R z5CzDL6IX(#MG49eMoOZo`ie%9iQ-;@!WE~Wee35{CMPG`YeQ^CYcPHA4o%yT7+JlQ zB_izpj?}u@8&2*mX0oWnRCQD2!OmqLZ$xN~h)7@v5iAi=8V4gpNG^_$7lPIFL5RG7 z`$czejns@MA#oWjl@~yl06_C1^BNeM6fbKC-J4k4oIaGv(!ko{6vV%FyRuEHYvfG9 zP=wvo$_p`f4pteJ>e?K$uNKcXWKfE+g0`d!Hj(;rHE;Z`D1KGFC& znr+b&F#BJuo#k%-PT~8e+&SOLZI8K3?L)tV>_i1awI>ROcHhZ=Yr?KkJlEh|yf%YN z3CfpU#TsjPR)TViP%2mr5T}bXp%S=T@Mo$hb_SYft)Oc+SqIXF*pGHW-j*zlD_Y{& z;Yu#+P+h)LKJO1`Ia~Bd^#4xY)<0O+!oJ49_aGehHDx8aj-|-NkA3Yi4&YX?4?~bkT1+iZv6n*Hht0V;&UGHC!n{ zIbMRYeaDkTYuy=sE5S6Q1SO#ar4OZ8Hotvw3W_`DhOw=oCWJ&~Ucv04n2kd;e&$-S z`jhLFpt#g6ma<_k^=5l9br|v~#ZrdL#VJ@x2Siacx|?JtT29TFABy>8RF5}F@gs_5 z_PrpMQhaZ42`Rc2uhY=HI0b77##)#wB?c9Th@@`lOgT($*qSD(8}2ieeZ8%?4(=7F zU|s938MU5KJlC*m8=YA!`T!PaffXn!npdL4q~a7T;A4{Lr{cMW)|6sl736m#60xbE zYGR2J9^WZ(2um0T`!8FIxB3~SSl3Y=OE3*zEY6?&kyqh6mopA@#Rq&o=Wwxhq)ruF z&)A)h{Fa}pO|2D~+F)iYKi6p)m}Q?1-{yJMD8Uo`jc-@tk1|p2=q@+(JaR5>&UkSdp_>#Bg#8xNZ`C zIhPagdV%Q4-OL4TnplzBoeQg}#yscZv#uZTMCZ+tfx zx9Olon&`$Q;Ukv?qF5K>dz}hdj3y+3czS0dM5EUz#N3UF5O*(I@i-YqZG>bX&A1>s z`Z^pXYGIO)_eZNEB9TPf^(+4p~~C!B^*Rk4ViJraxGpOr#du7m)E1i*Es~QZipfLZ6v4sV3XjOK7y#H&P!C! zwDq9cfKAYF7irO>^n&MXwes`EqQ_*Y17dZ)m#OG*QuHJ_{zJ2#=ueUgE}(>!^CtRL z%NyiZ4X3WWki4q6Uvc;Xq+-AlcK-s&5$&7| zpVrc5mC=kjPnxA7-wh${IeQS&FNy)RzarVnVTKSfBJj{aL+V<^T6e5@oD9R)(gt&_ z&*NE0qIVl6m(Js$*>#B7znm+EJ(yr0EJSRT5&M=kkCWl)8dKvvNWG~VHR{0J_|?C& z#`ilrXiEL@lP`10Pm3CLL=5aD) zt!C|BeU`RcRNCG7fOWguoCWXY=#)%KoB ztT}rY9%P6W%ePGrB%&o3plBCYp=duK&+;>*Rhp@kCxA;^MTQaFL_tn8?yvi-5!*3D zABG6sR*24S{zj;`rzzB3$Xza0<_cF*BuGDklHJ~G#%YVSZl$%V9nXO4F)+q4LNyY6)tQg8&rlNljvIv=nbt?-SIR9@*v-+Gz@==4Lr6&LF>b$ zvQy9|uV852XGKSkK6}h!HN~3iBJb^ncIYZT*^s$k^!IL;j_*;~7_y!qqzi%k5!qU2 zWA_Y1u0Kx^u1efBe5{UEsGw-=@NR3^1<21}$It@);IUeCcJI4f!CQYy!K6Fp3^lQUE{e@C)TZX8_vg5KSPjAW-zzthwW!YNA z5UVo8Z&?%(Can>j%h(#BuAZb&M`>mNckVX})6Upw7LAy82CY_YILR#HNz_;BF$&iH zH^m|!7}{?qnSIOtW?|o)9oA?$lviC{L6Znt+ee7DY^j14$(& zjaZ5djmy)a`Dv8mp7{=5lh%tE)iwgH`rNIo9Z{cyv)@r9!LB{mf zM84d6D4)l7uzMCO^06^;|Gki5SK7)V%hJc;9!8k_f`ui8yKxNMHe-nQ^FkU-a`U!8 z+s&e`Md@SKvWN(r-ipX_;x5YK@I9!b)e=NJy9|T^xmul8yIL*#Vp(%%p6??BMt{_2?^-v zTC=bLQr@$|$`)Z^6-(}{BbMBF*rHn4%SZ~l5^>Bv3f1q3o~n(|?vN-Y`XUc5EG^_& zv&k$^7-o^p#>~;=mkw=M^(4Y1yB^xYMo9e8D3ggAY$`-rahp{@_awE2M6NT85=BTF zH*HiZ{)U9aLNP~R?p6%e@6V@!Y9dYmM-OAqu8c~$vr(}ERT{NIP+kaKnG(oUguHEo zfgG+|3+o_ZJRwBbDU<*U)&R_*Ycj%$m8&;6r5?CCltAeE4Fy*}HUb+lg#mNvn zA9`)WB}tP_bS$a82N^7ej*#>Q#KETAK?l1uPwC#Xt$Cab`{%LY<=93#ywGJp=AmLh z^$CzI0cv9eT4~MWWWW!9&}9G}ae_9o5$B>@3@Mx-O}~vuxpNhfwpjBx8RWU<@TSb~ z8_dIQ9ehC9`Vctm5JQ}zN%1O3D0>KA-^5wC;#~9mRK~-iR0J}$l402nG0f@s94u8G z3HW*AJ3DX{Fl&x^=HSPyH`j&HH+HD7gSckOKZ~(e^iEMI<3oxE6MN9D2wlK zucK?tC}dIRgeauv(RI|518h-u3`?^`U0y0#)bZf;7$c@gi?VI7lHX`0>Q4vY`7W`Z z&^Hw;uZwgiR{4$tL*K@Pin1vwgxyQ&!gfOn>79C*gIebBouIKQ_ zeYl?UDd%WWt_fB&0L$Ixu=BLvZ=8cW5Lfv7I=VvrStt&Pv0m&~=1gbGRY_$FKIx*c znj~y5GfCziW|B5D zm`So$n57^lal&%cByV;bP12T_WKk}fo!J8~hOh4q58w%h{@I-)^=fO-F-kv!sG(m4#_eGns25kAm_GQRpey2HO16K4XGei^ABFk zO&q(FnpV{r+8@L%oN|XmN02IA9@%Eq!u!As8);p0NO}*_#FUHJ z#LLNwbe`5cPKE=?rY6qc#+q0gtee;Xf7gE)o7mV0QV#34(4~_hFxk|^pGaP+CJO5@ zH?b5j@7;nY1>lB?_zMwi$ORPaaFRtsN6~EJ!t;{Y;PC1y4o~BjnB^g>ywd<7Oa0y-?#SMU!i_8*{ zRbm3IP5sAavPuju(}19mwf_@Zn`XvlwwhDn+1O-5k*wCmCkw4Hm}F`%iV+H*j^QT4 zuagv@5C)X9i2=2kM1Ul&;wILo9W1a&pT4otO8SuW?nFcL&Y*_wOjKI9*P6%4kTH>Z z1N1zHdkO2(%+irX;p9y?2-3ZTzIY}B?j=CT30xGmCun4Xn~!L86TypSSK|1I=2*w$ z!vbrx>&VT}j%;9Pw+Y(j2@2Yi4GLNU&91}^!n3={yytpRwBs=oU3C^>m|eBr0_LjE zHZWK1Pa3=@8yoz2Jc1hgB);~pYyjqtw{X?n^Ud-RSDlAdpf~o!JzU&s0*?%I)xHFW zxN7Knn(09^1GvEP7Oq;l7?6SS9$rs@&{efWt`VnDuH)kryxHq0UIOI_;8MmZu4+T+ zrg@;#Jm#u1*1=Di=&J6dJQ?)+AfJ`1ej01xs@LY4n?_t!rl@NDI<}$VM&zlLh4Lhi zMLgoP0Zg8AYniV#8f)RS9>vg@jOt!%85+LWX=6w{iD>7?C}_ji($d}7?_{I@ZW@I+#D5c3(##$-Gk_a3%EEu^7Xu1pK%Z9{{g)n4e&7Vk z^=+hrcX(0b=5**JFf+o3Y>d3`TIosiqBHa zwUTK3MJ`#-o=IFEF_=Okod7{zbBd*M_9y#+Qwu!68)Ttig)4Z(H*?8HNpJ zF4SrXaUs(KqQYcz8;K`Ofhwa67MgZ4`~a$Z7>NzNBHFvGN+jE7 zjr~faYjj-%Q?KBL$<9S|&y71hfDDm(H{Iuj)KfWp$pqJA{)td@MJ(&ulTnpdO*$-O z4jh2Bv6XH!TAYXOIT+b*oNTUVZyF@zV8@7B2&-~%2kpu)#9sxn=g~5a?(cErEhenW z&sYl0K(^B8TT>0NUh~T()fa*$7el$@Y0UXsFfg9(()b}t`g5kNM&g+i_ z#POBgwo+DmX%{dHlFy4ed$yH!5gz1=LO4a+Oo|1PT|C5+CMgXvibguu4GK&jE7u`a z2Vj$87tqe7YD=- zCiMQ+mu!LiqBj{|&wWvXl2?Lqt~dpCq@GK3t{aUPi_Reo0$+=CZtZUD z++F+9aY5AFoK1_)pF=LDmjT9&WiJENG8GLYMS*;w<6$4#`=t|D@_R1>%$?0%2Dmdu z*$=mP8Q=s~!$he`@Vp4#fIf7%b4RxmFuQT8ePbL3$lDC~<)Jn=@uwPP`QpRfoH#$MGHq`Df;f8=^Z#t5iOwWjX4%(xR5~15Cm0ki_TmJ3W6_T%wW79 z@M6K+D**=I7K6DrJ&9wAiIp%LeNd%zZPbZ6LD4GiuLsfB+=wu%8K3b12kx7yXET!W z6r{3=HEt$_G_n#;Z_Y@JRe9XuPl`j$J2;@#r^4{WKogL&RjR%59gB9OVEi31gnRUp zxhI$ZGSaMGG9X0#O4X>MMU?@;B@eAM;wNQ5$o!QJ2n{S@Vv50Rm_cE>Q#1xszGHd_ zaif&2=th@&AQ}lB6IgxxuPoS`--F5)ie@8cE5;xUdq2)>x3|*?v~DM@!PEz)j39j= z*hQC4em`Ky*n*Ydksf5ZUVa8E5n)|IH^Pog({>O6VkMk>w{)k|3C8gnKP-S#g@qvx z4mTUJ276(VNBKG;Bw9Se&h%J_JirQ{0g-n_N%w%k&__V{9Elk3@^lz>S9IrkPG?Vn zp2pEi9mqtX**Xn}I(#_b;ibIE@y9Uoy9xS^4w;F+xzWcov*Sn?WN&MfTZJsf4n{`e z4v0ZV;_B1rNL;>gdUc|ZYnxCZ<>OH676bCjscb-g)y)D?RSfa>sS4tvscdZjb`OK$ zg5eBfc3YZViEB#1c<6Cov=44Za>Ow-|CxMxVF!=t1s`qJH<7&_{d?~+VwaHSQQ z`a|v@YwsQ#W1jzfCt;@1X^2;bVOh(`6Dy-H)eTQ(ljqki)Juse?j(KR6x))RDJ~Bz zZi*FMs50=Gi<3WQ8tWzVZdVjtnRf-erSopBBsT9>VZ~VB<7iQkEVQ4Y6ga>gO){>J z{fLi`T8loO-WeT}ObBxmDbE(y#_(wKXzo$p0)wnQt0FI3d%ELMkYxKX@dMT51WhwBqV^3Pw|g@pK%+=+(}`ikt-o(Rz90Wm>nx(>93} z=RHHM7qwNiUTvJB_4KjKiU-?TSuv)MStf!v3i%mcS6aXyek?@1W=6c}V--A|g6B-} z_~@uP2P&e8a7tD?AO=F69D|o>-=egQKSa%}gTFmIsO0A&2IfoT^1b}Sq#*7bjfLlh zVtAj>vEQ55`RES?sa+eKK6E0@=2S~9^?m|`B5nk z8{jo~4~byssDDA1h{}9eD$4dG0wPFU7G5<|#=wx5qNiOzSo<-s^SRj0rv)2zO1Iq`}{bsvlsg;2KWIe`_auUc>|vZ{crNvq_$W2OVQ8!GKmo9sAr(bza#aU1R`}Su3-Wk zdns0OaX@aP%r8a}nbWwvqhQ)A{E2DuDEOUR+mC|3$kk^Q=w9QsXe2awE%x9RjD(FO z-D@P=crDK5az{YFBC)ljG|`T01G~dZzecNX;pl8Fv=%!SiqN2ixWo&ARF^f#~X_ z3ufwOQKPwr&6+^;!QfLQE5o<4567!X@8H0yw9k#Of(freg}iy zl7jy^o(N8r<^j7uh}zO|m$Bc4H5||M$6MI>(>NI$g8F+n7M#C|6~m+{b~gStHs2EQ zXTH^{;Y&N&uG$%uu~!+Dc^LQNSf{V!bdL75o5|4stLW)H7IRRj1!&~&s532@`JI1q zalgyK4KAZ%4U%1DJjufE@L?kLyYdzez)e#8?%4!rY$sKAY=(Qd#C#!BVT_$r zPuhyxve@OwSQx&O-Di^gy(w_XPV(Z0O@Nnn(jM;X6j-B{PI5J-K;KeQbMAgJ>@Fn@ z;rb_om%TKOo0<&E?4@prUR3QdBi_k zcku?dho;BjI6g;xpRu{(pmF|<*3H=u5nTP-WC(VYD!I+aIx_P`RM>mO3mZ2X`Z-EX0(;Y{V{al&*T#JRj-+du z(>mYDaNSYL<5o_By-rdn_wyup;v|J}y(WRDGhU@h5bZ40=5i;(9A_z7I*P3)U9J&Y z4X-*S?U|JXpPi-pE(>XX>}|{oh9s!!E|pW`kqNaYLVt}E;4+71=Y5UW4hUC zL(UTeUX4_qdyy!sTqLzh-6g&L zX=WZgC*quuFAwSQ+R6Fo=GTwB##avGwWrS8wwmL4WD+UZ5K6g8hq#xsSxsB;L1FQl zR?KEKjh@PCajKvrt)9sFqOB% zT&D8+bC}9Y&w+RzJF|Wz{J~3uxMwS1r674hA3^$o>qQf@x&6x_NH5Le`u`@&da06% zyZoD+FG^KZ;-00Xx)?GoaCm)yRiBEQ6Sj3J_?MGvaw$upTREv2e;(Piv)-W#Xs7&v z|Hf;psy8i_50#UCRN;3KNajA8xsd!@RXrBuQl3&7m{(QZZVB`#FWq#Uv6!f! zs(RjHXy7GXu)Vs-4u<(idhqv_8ahtHx4uxjo^w{faBoR0KLyh$)FtBH)9F3wT4f4Q z$nZ8RkC0clPwfv7iX(6nlEnKq-+El_8-dxFAcz-2?1W32Ee_bKzkvZka zta0`V@Rg-B-Kd>pjPbmL7xe6BD3Jf~op8LeBy#aP;Z|krJzaLf`^r*XZv17a87Te4 z&0G$P1Et!IdoHmC9=Zg71WKO-XPO?7!)ZHaU_F03BUqUICD>a<3I(Z(lq58~NJ zB2hgg3w{ohhLmrL>PJ<)Li$^z;~_6G&+zXf%A*^w7T$(Qm4x=lg8+Gr2^0PmVW!4; zE!3zbRp9!cgf6wDid^lJkXTDf^qHJVm?ytM(08b6E$gtJV~~vay2MP`tG2X3 z;VYwa`vjMMkb;GVIVcFuH}#TmXRl0^B-;!eG&N_z?MJCWTX$r}()Ur(H!H?#v(I4B zX#eRSF`oH`j-QgD^8bo)43_YH$6!2?2$%x%;yKn8sg0?bgs0~CH~0vvf0R7JwqkLV z@&QT_zL7#91ck!KRS1>*m_b#cQ0_@c8gdO@|0uQP{69iu9jP~$`2jZ8k$&JqJ`ku_ z?8U)kNkSDA^a1{^BQ*(i#yngu;5-!PX~XXEn4P#R+YI(U!e$<)@&(YfR9K_;FtDx^ z%+-7k%j)6~W%nMA)J0Ex^beG)Cv7iV>mLF*GPh>D_R|Fv-gDYNaJ!yV)$uQEJ5lMS zciCG?1b=yT9RC1Ib65`-X7a zkpZiW=k+%rMc{PN^$lE)z&I$q2)rUCUv7C3G>Via)}2;lXEXDU78a_p0z_tH1lxrwOl8?XiXebFP?#wF~(?|-{&U%Gnz`x(Vp&06fS8%wI zbnVC2YBhnzp_i-*19Ukw&6c?b=zSbn(FFfcN^L`yxRvdZJ-~ma8nPQp-Zi@*OO$PH zROSN?FW4Onn45!3+*4R6>;cKmd}xQ^*pQc`3DLp4S`GJ`qo%4ik*?Y1+o>V9h13Q* zHI-`p)ZR`_9480=?%*GWZ84f)Mx*y`7WeOLDKDkpX7?A6KeON$W))9A#*7Q&7`iZa zwu5I)rKYy6I5l0{mBPrYlS&P3--;m+8zn7qTB%YKdxql`yxxfc;M-gZvJJshyXKOv ziallGme3F;_ zaai7;*$)amn-Jp109`P-7IeNw6yn2Z8V7PMian3{{x3*1w__hFZ4bUw7F1o)OtZ^E zjjmE){dOcjH|{yM6ZI7kT#bqqcRo8euQ2;#OlEfOt6&ex^9s!qn}Ix@ab>U!HlcAD zSk_gFsPhKhkm%_SxmxJyh(cdij6Ox74{!tbZc@14c9JHxp)P=RPew=v=d$- zIY!dgX`qy>M6MP!*(>x{=?r9B(=y-^YNk1}-qKx8&2! zSte=hbtmOqnyE+*_Ep%)ci#Pn(Eqo|{wX_>sc*$+;7PQZIrc2V91 z0@{{joXdNceePsf2N!VaBUR$CkJjuXwQ`|AnR)+aXPyk-t%13HqzW#}X{C&RvIf$T z#vO5n$9*LK=)VOjC~1)TCcD3tof#c|l-&ofXV*NZ1?b*a3Uz$WQ{=GgJS^)gNp-f6G&XfUrN^Ga=D)?}s)s4`m}2xF6uLJL zFZ)Uz0w>bCDo-T6Eg<{^$<2)ZguO1>&pW#yS~roFN7of#KtHLf>Mg|emHgpEKgqw# zVUqVC_KvZixn@83>bZy#^E{P;>b?}9a~8USnh6u2i6AsGW}yH0k^9@R`Pd(GF&%8j zL!Ef+Z&^AR7%v6#GsyU0>~BSJIL_pG_R+!icvQwJ)_=pMyEA2UC23SfLrOO*!&jj@ z7Nb8S{S^7HmL8m6Y{o+Vj20m9|9!=?H@XiXoeldD^g^?k(T+urs@TzzRQB+Z$<}2xBxv_@q zqIgXiS7>cYu?ClLRMoL;v??R2<@K4gWER9|elbih@+VcRRe+(e~ z^)s2@7LoJ=rLEY^Q6!Cx8>E4-VF;QwR2mmp@DXR91$_y*RADU)cBj`^^%rHFe9W%l7wI)deSoFW$>P*o z$i3oDgUG$&PDxJ8on|{ScZzewJfpUZ?o^u)t=!3_*p?tJ(r>m3@pgH2m z+-V|77k8&Fl$ht)fHA8p(JOPOa*U?2C0E6ro;u*Yg*%;buyCj84$Pfe5T@_AlP{rL zxD!Xx#DnLGK7l12sgB}9utjY-;~ke^b>gB40d-r$NvV#TE#$-UwV zFR5V66|Rzdg?`Y2zRZF?*@E8Ng5K1E9%w;#aZm>#XiV5YL@4TWW|z83X=az&SDLs~ zL8tc^t>8*6I0OeUykc}qS8o1VnvM-t@^4_CXCCE^)@0FZ9Sk&a>7(07QztdE@mdNA z!K2E+`Oz3?Hli7^xL+1`3jfHn&R{P}i^J1*13Yc%eSoIW4fZa^KyyEaDOkN`Ilac- zBCAnMdrna3eTvZ=DfA#We55RiFnf#?61$fYqhDhM;frYn7;WOts%9)r#N!S#i8kZ4 z?eMr<5^W-*rXE8s7HwL}?TEqHSd2EGG0uDO+9!Er^XJcaZJ}p2B?jnr(rf2ySWvf? z(KItz0rFJDe=xg$i1|bT!L8k3?O2@soZaB+Sd2DvaOlUp=h$en$r!wcrLk-t9#b5H zWnKlZptM)Gx~69dd;W>TG-<*qEz}u@(dG!6j?r|bSF;l9D0JUqbWWi^b0JFP;ruwM zl3x%d5+R8*kcwWBT|rM9Fyu_fJLJ-ArdM-yGZgyJVssLB5&qgrb(6+R zlQ>^H`OA0`caFhv59`tWM6U!5<4z>_*Jl};iW>Nkh;ipr9Q)$6Ur{p{cM>~c+}X$x z>L&d^#GMITRMp$ZFC&8>pt$P{qqw7n;zGGmu8BMDXqvc&rbUHmrjF)@TViI{7ENt4 zZJ`zSa9=9Jr7~Aska5Q>HMjfz?s=XAGbbm=`uFnr$oD?$dCs}#-g#zZX3%%O7o_)@ zgue6nAic=j=sQ~p-#ABY!9I9RqK~;1v7_U*;a}-OLHfE$Xy+;f>6w$zclO35g)yVP z3DoOOM&CIH_e=OWk_7u;XNh>cZX34)|Drky{rT^3yd5@d*>HzT=nfwpR&2B57LI*z z3h|;;c+?MM_#K9*eS!L_$>=-V2kHkVqwl0dhws1^T{lp#^Ah?_yPN;rBKiR6EKQ2& zQ(r>g`5c-hw+Ps`hS7 zBOj929dg`tloHCr4vw^*78!cs?Dn%#N#ViiRGN8Ks=Z6cnXsELaYalmsUMn(>#tQw zJ#ZSj&%(kkufKkXIqW!9LhmpQOHzSuR#AQ>Xi3axbLQFKOxJYo)Xiserhb;9AHn)= z#myzL>DQ*A`_zlmdUq4X@TexmDS1(Lz9ugAy!HM`$Z=5{75x&{PY!LJ1C zmr~GuE(y{n%|ZA1l(?jB;y?B7;-ztPpXQ3S6H0WS&|Eb&(GLlY>^?E9)hd!6#-G8U z`-DsB)50Y@_`4u|>U?ycFAKSk=lLEkl!qN%$=TXikj{4m>4HlOimR~NAL8OMr$OiY zmq7D;hv_w5LHD^RP@MFZ{e+YINsq(k=;3H6^x@`Jdkb=KDIv?b9(MeOM|N{^7mDal ze2k=9KSKBUj8NtUbro`6P(2Q+DS~P`>rl10;+Xc-1vDdaPiX;SPx%Dt#aG!X z_Bc}5G|3g?EtMve?px|Tp)t3V*@2o{>N%0qZA*0%itykj{N=+L=Fe@?3v9E?jy9NmJ5B}?s!Sa za;}FRgM@rIy01R$V_PMC>nmtLPm7n{^J2CNIWOj2As>!@%PO{^6H5{CJ{2i;)hs#| zyXUD~eOy#GH~oWddYha6uBgY{9C1-6=~(31?xtVqrhnNF4XEk-e6B;@uIeUqdAq8< zpI&E=t)AZhRom*mPly{>cH}5`{A*CGoBiY z{?i=cxnJ>Tcl{!+pk2}TK5r{mYny0XJX_IaxlK;E!+bW3@q$McqgFIZ$Z^3Aio*sr zPk)@_4!18^bSur(Z)5$(qOEDtr=EzTF0`7ck-JcTA>6wQ{f?6UMHl);QR+g+QCXf{ zXiuRGFC8sNT`1KN9$cOR&@Y8oqdD}+?Lvg_dQ#LASo#GLF;jFL*~F4Hx{n?Ip{{K56q`$Kz%7!onKv_<`b` zN*sp=e=FFp^cO|+*-LB>lo>5#&qj1_Vg36hXha(n(a$eIBkEg(8qvLUS9GkQJ0bFV zHlp(b^iF74EuREXBbqI)7`#>)K#gc9$NN%z4#(#d8qr>bMBhY>XtwB+dPM&~{Zd34 z_f2mL##v{Oj8oCi`l2`<7Cl(dvlV@$1hqytxivb;tx#`n%kqtVaIbJ)P;UR*Lc)x#i&snA!OXm>H5y? zLhG~Mrs!{q>lSzOqHbMijbgOaCxtOQYIjjezJ#5p3+65LFxGcg^mSNYhLd-D56|r` z7E0Uup6v(U&fn2h6=A+Cg`I1Ci{z-O#ORTNan>FriwzPZj;Ut|qGOlYhlT}GANoKM z>O*gf%`6`jxoP-WDBYWeZ9?PThb|D8uUpgbEMMn+@f^$SLqmnEG!573+Ho8g47ctO zq%*#bbiwZkQqwSlj&slW69H~bL-hdaL(ho|Ox||$nug8P#^m;)%Y`iGdf4$2U6|41 z{N4IcLp+M-bq&XboEJpx4qg{7YzEbIuBQq`$FP)+#UuUHTzylhNqnA?ZO_~-Va+d4O+8Aep4e#II@a@22h z(~Les?S^$L$znUL7RR)m#?!IbPAPu0ozO#xr!^xzdMw^fZH3Z(J4FeNdk6n^IH~mUC{mX9pXWjI@-1JS{^c8%$3H8f$$lFpUMWK03=;lJy zgkJm{P3U!MVoEV$Z^5r=pLTi{q<#iOGRIq^+k%f zHK84Z^3P3Z6`>Cg4g{$QrALFfV0tvjJ6#`$dtzMhJi0gLmhm*}dk4^Pi__4AMjL6B zR+~+zE^dC|jsbLI6ip~S#SIUBOz8TRZsku+s8z_GP3S-?HKAJyQxp1XVQNALiu+`o zqaEEh|I~z5Dy$#ffF|_e!mn+_i=ku0MHC)&+>d(DkHj4=&haYUKL6B%jud*&9@Jk9 zMLnn;$GJV|Wx8F8p7K{e>Osf(Q4dOqs0ZzWr;6r_p-tWVEBH|l+81|LycpVRf#{m3 z2c>6=J)-&9Vgx-~43DB`i*eSn*zyRn~yjF;_->Z$3r*jk}tOxc4zE~4Cf&`_ZX4?bOtdeB$#7ZACx zg!Z99J$ulGLV4K1kyc+J(?iG&x_t#_QdOb{-Ac!~4FVzuBJKS`U{Up zqU+MykL0M<#OMbElD!YYAox z`Ec|UKi%Z)6t@N;ha5Er%1P5-u=eu|r(nkZVd4sQCo z;usxf+1$Xq$zBzAx4d>>zppvjhxFy&*(!9tEds(L=oPp=*6&D;qCbWW$185)YPU`$ zz1SaP#W8i5{peV9n9Z$v(eLr+;QrKMIuDA0`DO##@H^aMhO#91CKT;gWMN!vh#^tSGB-wJe zONeNwqAgbn>7(}8Ce}Y8>^&Uq1oO5_O(Dw#IP3@z^5JNF3bNQP+bp8T#aQ7{AJVZX zer~QlF6tRKeNQ)iV>i9SP4DBT&k}#bOKY%;jz#{D#c^EqsD-%>d6RvHjJcETsz>ax zHPpj0@Hg)(@i>%Wt6AK@S;xCq%s*eoJ6B3;e)`4?TczUPd#d+X^_&b_Xz_SYH6FAb zdu<;#>V{K?|Iu89g3muN<*v`@GA+axo#Opq50NRwG!<{tU>{WGiH7%4{3)?zpUr7m zRY;$*&sN(qu~1s-K3g##%LGf>rv0{LpO8Xg0(C1tUkau9`B>BX{AhdFr|MAr5i`Bx z=J9mA@2qvz8(TWzQ%*whCg@tl#P@vHE|#|LC!5VDpm0$k`xn)Z{cNin@I$Z=GlKOp z2W=HTtXgZbtDNqkNWNTSzmJowy1GLI<95XWm@9-`?`?eWXPM>|qHZve+m-+p~!B=+a zj>EQl|{ZCG>p{6_A%uJ>I zwx^Xlj`uwUq;C~Lo3`qmGV#W!=&kxMnYLCIZL414gsoh_Pg}%yCm-LUKXSr$z;br8 zUizf%^TOrvP6k(O4}H%`TeZR$@#X{9>7M${leSug*PB9vu6pfLwn5IqaO*g;6yEn3 z^)B>SrsB}x?T>z@VXpN$l-Nct0N;dLyhoN1Go?*P+}W@2*NAxQVTOotYy!F?cAyZ0 z_W&)rA==a<`f~u$3%Z!mTk**qJ^f>5_6%9=qv=7XZDk8*T}4H|b<=B|w%P1kO<_x~ zETf~((2(=BQP}6EW?AnnL-#q2|4eh9wv7*-+${^=5xlkI%xqVgZn}S#?V(aN;TALZ z)vB$-@J|1kzhJ-@yXoDtY!3z<$6G7f%{&OrAD#4Dr))L!^;x!{?xiML%(CuuMOky# zS8ZJhpW@IQLc5tegp53{NFi^JnLEB}n5&8qwwcDT5Ex4e8J99-)45Pr-G0W_rpl2V z%pdFVYSkXd88dfI)gCa53Odg1ST)ksp{qXSjIC3Jk#IE4=FlDIP8FrM6QwWjD5qOA z+ZJmnGeM8fwpDOm>YiobTjQo~*UV2dSYNfdS;kqcE536X--z6C=1JFMI7hD6I@6ru zVaE!V#9KpfdVI}yjcmesxr%Wyb1l~N!Z+1jTdSFgQaZRtHLOb7zL@2d8%D9IgM9FD zCAp&}VN{#}Gv1XTD#%6!t_|JvN-kSu=kuN1b44YHnU?MYhzeq;f}ZAMMDL0)-9Vx6VhoxaZnQrsC>BZ04$`!d-jMB~RrayDm_Ck$U zFrBVg@fr`%ud9#B)_?)d_`}f33 zkFOez%B$f`FE}}60I@U<9N^@X5DQ2y4;RP8KQq^6=C+t=7mH2(-aP*^FT0M$>LyThPeMus*I*?e!7oZDE$>o%K0L(4-L@@Fm`;{lSa4H2au4VeUqA zWudBKsvb2}lecTw_T(6BY9qVonOE?4M8BQ4#fOz^mp7eeSeuyHUsjEcH2t$1>~pn` z(UUIN>Xn^=RhV+aC#ke~rPnfd3kvMkUSEF!Z$Q*z^q()_y}WDM>eh?4I+gyw#7yUk zrt@3oB>?BG<~Xy>%Xe)%y~jn{gQdE$Wn8W$v7Nr;qHRs&+;gZh6i_7z+4$cCn-w?}9t zQ)Q0o5gKS}>xj^Z$e+(ZxEbR<;8s(WZK~V{{KVAmjnOl&;^DLBueN4EZJ}8!J`MIt zjK26+TiwWVrcYCkWL8rZt|q&j1#JnDEHv^vQ@GsLy@WNU>WHawFX0_iyS=ShLTKb9 zQ+UTCYLux;QKNdB+HoA!&=h)mL{&0Xt<|VNQ(K3lTzE^PE6^kAM^km z&9hQgZ}pq4hI5>$`M9OLR-S|yGjp@6b{pJ0vTvC;k8D?GWHxu{^KheGIub``jca7C z%jVvu@*I@r15tR4k&X+kZ6S-9&wNxftz$UkHVQK{R*f^YY0$dbw}46n&W+lAO5APM z0pf165(h|;W;#d1IVA<}NGgB98iAIHJ~gLB?-sqfcfw=h%x}#*tK5-si?WmI2Fev5j0}}Osd5W|>i0+V zH8*T^i}i51Ek@y1Y1ePqj#w-M6Z9W%*&?c}jLtIp` zkf-B_QHtZHC-l*`ZKEu+9@J0YwtZ?@HcVf5$JWpCVwB#@*S=g|co);3JXHVcuI*vH zK~eiCJ>IaDv}_upk2Y+XmJgaP>}{`GY~$?W>*sf{D zJuUU?nnj(p{QRI^!wRRb>$*AZeOw=Eh12H|`g$vzdPnIW_?emNxH)z0uV?w$ms-X& z)Mpfiu~&qf@tJ=5w!+BJt+pQOj||uA>xBd0^iyp&rz?H+HUY>`w!WTi8n4xIGZycw zS1V#4ZP^#Dzg5J($6^WBM+Vw|wA8LatC0Wlmq*OkY!fsDzomvRmY)uKMT_X8JHa zs-&4dT+c3vWxp1dR<4x&icdg;dE!|^!+B{X%GgW#SZchi*C=amW?8mLA6nKPXVEvM zeN)zM_pywaqaP_}uMyB!Utb#6!p_gJ;82I4R`=5Jku#XGp$mny^)V){|x;xhrN?!>I{9Q!@k^- zIbH8u!QQmms_DY-Bf#4z_~tQ;QYr0+3ifk80aK=mfT>e?USp=vysmsr^UD63=e2e+ z&1?Q-5%9)jp4aP6k^yfc4*P=URg-+oc~y7Pyv9!y(L*QlysAu~c^w!}^NJi#^Sbq- z@VgCo8)e0(VqShJxWqndk~XcTeQ}|H_G3j{_pxcUBg}OxK1Lr}$KJ+rZlzwQp1rD` zQOAD7k}+EUq^`Yoz&oQ&XUDsv^^H1Yyb|?>(@GRs?6wj)PtZzic|xqj)+cBs(%+<& zSUrqZBKZwkiA6)*R$~6^yb=cn(@G={rjBA(rDaovw9#$s6N-i1iL0eqicPzUj~k4+ zzRCP*kYdx`$Kzb5db?WB4*qU_T8WYNrnz<#Kx<>Di0zE9c9`B~DR)hi^~cZU>iYzDr(6NwRc=9#n`N%rA|owaJ> zn{$)e?yy!c5AcKc#OwXhHC%J$Y&eZx!`gTR9^1rI#BX8VVYRYjyTMyy@Fr{ADUJ^} zrxX-&K6G~U`S4m=o@E+9NFOkB(|LS2dT@(Q`q}aJ zHpO2aYCe$eaa}WwbFI#y`XdwUVNS>MKANkXSr490qB~mC5#13!w6j>7oVDS|=Ni9} zY#ZyC(&OtP;Wd1#w>Md9j~h?u-p!#p1A-=g1^DbZmycnwRL2lzqyxsQqT zXV!`Ib!FD6Kf=7jdaF7+4&KIV_@mh4Si=gfXInF2j>$ExB~#guHu$7ne86w?$tQRj zXP$FaPt#o!?J>?dyl}sznX?O?0CCDKpJG$rHOra#1De2_UznEY{aeK>E|`+rEMN&p z!Xo>c1=AwG*IrrV1hI0>s?s92UkgvU$WB`29nZ;Co?u$%MnBqDEW_KTG}G!D#;bfB z2eitm<|^+pR~cWOe7XkqQ4^ehlpAE8?b)RlvTkmaoeKZWEW)F3vys*7OtKfZd={a% zm}IYDIXX}uILTh!vTdO5oN9ku&z@u-YDrn6$G>i`S@cJI({6)()6yp<&JONBRDW@r zy?^k}ZHl$M*)-$Xw`K-k9im^JY(HcvIYiHR&0a>o`i8xDk=>PRX-ylhDK>SN?xWkQ zS=J5Kqu#^-^9JjQ3+y(_m^A%`H|>@5j|$2=^F!yVQWgY-L7?A6PChpWj*s@8gT)Tc1EnRanJKD#{Pok4ojsmPn%pC~pp(o6+M_KS0*>BIVR}UyVz^pU6YIS|j4Agn)aTHfr)OpH0yp5Bx z!+PLxy-2dXR=suA%sMO2w!Q?f-82hKl^S7!U^ z$7W&0SKD;I+4ee?6_4o;&bHUKjCqXCz<^J6=WI;wfyeZ(XWOe3EA*H(Vz$)>zi}#x zRMuUy?KR5n?JK8f-EJPz6w~_ZHRjlBR9{xfoMKs=im5QRo|%KGrC@4uUe_?M-971q zeBP6G*3CKCSra}s&q|b~eIGg@nQ^-1WtxwpQ49?r_mUSi&bE9mFv;jHY1$Y*7{dB`RA(rcvH!>cTHm?f8*ZB2%a%k?-9 z-*t664_|i8Jr8a48!7f-mWdylCnL(zq355@!-*cc&nq|&emz7zx4P4LxZYhq|BAhO z;Hna}w3bbqO)oa}j9zRuE{Qk0>#Y{xgpBA;C#2g4`kVzwU9r2qWdTmewQlAK`3=8u zLhh8;e_vpaEVmBg*}K?iGp(uS;Z?EcmUYvkU&XoprITCnn>umvubP|aJ-z!vdsN}L zuGWaT4d?2UrrDe8KPczf{x`9U&bG%~=pJ;; zJfwTj5AF40Z`nTy7`(LxUZAelZ>zrjEu6vq3-zmS+4}?^+=AON9{7{WuS-bO`z*Fs zwRBmU=3H#g3euBS+T$uG4Sg=je7LKVIAoAXAZ*z1kweYnfr*JjpBj?3d!_v~AN@e4 zy_BB#k$sLH^szlw&pBuh(R1Fx9bxW8dog{^7qGfcL6`b5PUC??_DKER@X!$btv&W4 zdYw;U`1*pqL?Jxj>W^4M%cec`v3-%B-g#0rf4%6~Dn&jB`OducuFroFg+^gVlFJ!geINFV<*n&(VwsCD7A(3<)u*3hDQMw;C>t>f4B zSw0Jn_|O=7W}5wLeaSkzzy8{4v)f){_fOli+FsvBFSo{CR3En19+Fmct$nqRUSVvN z0R8wn`wV^KYPf&08ubrbZ(oTICBjcy@CN%*|Fpf^?1rzoF8E0Lx9v6bU~6bGJ@q?O z=CFoV)H9dZOXzn$vKLKD_}2cGUs|bscKj`Q*b#fYzS<|WTw2Nzdt9L^pF9~Oa@HL| z?D>Qzmko*0EBazqIUm{m(wb!2@0Rk9ZQZh4n@3Scqu=fEerZc@*&}_bWf*ux0zbW( zd+(B5m?>m^;LrAGz35SUg|yf^_GJx18q^D`7ulp?qb5;}!|F%YtDojmFZ6>(&L4J| zU-_+R-M%AM{GRyr9^#3e#PPd{&wlG^KgsfSw%^%B_Bf7{dA!i6c`a)6{lt|Ah%J61j?5qy-bcJ6=)_|oJB;GMm)IeBFFBs##M1BI;@BUN zALDB`xiWJm-FtS@vbdn<4pRZYGF?YVzWOuq)nAAU4-qFEBU;(t!TJF98_99f&!joN z=B~Jx{N+*40aX8IxUh)_$)Wv33QjEj3d-(hWPYbS@Z^VF;aV=toKeRXopWat;UfQ+E)n})CT_Yy zTy~at?mY3)i=Ot=EH83iAVUpy%;v;0(Z9t9b7-6)=ZJn>sMDNTOShSw|CJoxxPEV5 zze@Uq--*4h5hK}u3(LpZe(^W5Kf`fKUZbN{P>6*aRmO&fia20YHP zI8~U@RtwpmD|~Ne6e4{KE3vL0F@XKwVtF;};`-a}OAhTh&|Bhvs_($#Jj@fogq#C9 z#$ihQQ`VmfX&6F`D^8qWiuii~F*As`q^PHTcrlW{2_|L-68$(%=E^i0jzJ{9;4=)Us0{<3foiD-l;#B_47RXN7s{+3wV&33f=ufM+=7*>}G_^k#}oRW5(b5F^x zdZaJkfH=7ku|reh3k``iAL8p@8vY+B?mt>qW2$Ix6!9ik+N~wYeyxelw#4Sq#B+}j z8?^AWOTYXXd%uC6ahuwZyzt?)lS!d_8aume?rdHi+7#wm=3?e>W)!o$7fQSImw7|C zP<}6Ls;Pzp$^feU65Fj?^bwzi)^V=j0S+*=tu){{mNznAVJ5O&rL;?bnYRo3dtp;e zHI!5aQ0+C@-fXMhEj9FI=kaf;;2GZ$k1;=EHek+Xzbm`RZy?Kd=2y)A>>t2f@;&)` zVJl5F_;WxTPN>>@DG8&#*Oz}5+RIrog9d7~mnXuU$6Uqs7k}Uh>>>Wa{Fu3e?bq3V z0JH9X^7q14nrf)Ej{@3oLe;*F?Na~3zO=QUhyG;goAx6GN*XLT|B3WcF3Pf`v`cno zyCawSxvxSe_N{Y}@^oM(Fl+om`e`g*Wd^fe+9j8>{Tt>l2h%ox9XdU*Z}fmJ;;LS( z(1<6!q|YFdpC3Z}Sz*#J(o0T$B5nER&|wy5p~+Q!!6>^Ih=jr%EdSn(z&YQ~C< zF}@Ztg}K@b-(~%~wbE>^P`|?U&rG4fP0SaV1DGwDj$G>9E{DF{;o&(HSBV+OJT;s2 zTbT2hJ7$qy(k*VmUZ2t(rq3h$aAsF#HKxYg^)mV0o$E=rIFawm`DE9bFEghq9LMtY z6!MpJixc@CUP$&z%)-o{UM2m<%tYoJ3rH{N7H8&5i@y@Ov{1mfTbEGB%B^3uv%F-@*l?Qr_>15iE(SR98 ziLWsIkBSMWEq%l>sbZnjUwY}!#5+yoq88c+=UX>i*f$Efr38H9dZiBi>mwsH@2DjlOK3Qk488z4@#9B^)QI#5*;qxL>LRN?8sl zDfN=1$I}MYL6v1depKV+Ubq%YD|b(tPg^dq!%_!1O5QU7{;GZ*$4RR3Qoa|()24eF z%<83H9Z<@0KuM{WlzK^351F2}Nke{A6PZPtKhN7}<-Bnu+r#YSC;7b1`PYFmVN(v2 z%uC>zQ0fazCnuHzsgwgs7S!&|UyXOF3N?@xSks!6r9b^ybfcXKrGH10`i5 znLx_-OPR-$*ne_BNlypwc5nV_yefOdE!giFM2ip-ZIX_y$%17-nVWf4x_J&Brdsk<4zzcj6-)xR<$|DQ^SLMl|sDhQyDU3z^fI(mqC!6BM}* z%LxtnPAmi4D~?j%RM9`cvP01qRb-<96`aGA`Eu!00&)%iBfYv3&in>E!Ikf0ZehNy z%qWHB$;@Y&!6CM{Vg^R@FNY9S9*|M@_m+jGyRz& z7{AW;A^(q<8{$Zw#c~3(6|(|Z)(rlG9S<-OD4v-u8%_y6^upH_{d`4M<7RrXPrkR_ zsl9M-gQg~a#*5!@FM2ip-ZIY3y$%17-rO5%0R#W$jQX&DS7tlr!v)A=j-OjV0}crH z66o-vmlG(Fk6rpn79P%z4Yw2cDqx;rn#Gwvg%Zgg=!LhQ_OxGBWHs)5uALtnq{FFP zgJ;6SUi30fa=#b9Z@uUPonB@n6aKI1yx#$~c$<-z39V!QFPN){PHpMmOtg^wXL*Tp zdeO^?CVAMg|E0kjhjYN=%CT&qoTQiFG%$v+v%<;_U6#EEMHm^S!@VMg8lVw%k zQSp=U%@ut;mMbgzl5VoN{(V{T`$*3j$i)9cit%$-Kn|qNSn9p;EEj&1xtIBcGNE@_ zUdWt2lCS@9tay^ykJ*X&FwU?(smD`bdX*|g(%<;@W*zS$-Z12zha#Z}G zt@z1&p}(N{R&etN@7XnfifAr2Sj|@=A9`)P!@qtGtMF&f;s;+DD}HXU-k)We+jpGa zWW1wJrE=qF4EpO>%#;1Xq+Sf6FP-WLaI%WakmYC(uwEUyp`w@eW~`Ufl0&ySp$_4=~q)hg5ua)fx+%axQXJW}zO z(@awIa+>4E_1BNTQ>{GJXD)2L33Lu*q#SW7XTWDjh#xs(@;Ke*bku2(qKqKp7O`ID zlW|LVTc=F!fh(T6uota6`Nd_a&8CKm7it=Une)yq&BMR+i3CbaTYfY}3+^D~CfYi&Ka6{3{^L5+fOq&Zn-1kK~)iQ-#Lw`jt?Ln-UCCE9I zQuMO+P^s5Ho8WlJS(Qa;mcu>3dN~6*TqD-Y8OXTStd~nC%ju~2OM4vYZJJCZ2O7cw zfqEsUqekOI7S$~^p7kVA3O!_Q zokSeTl=29+cVT^fW?|;QITSZyHqmFUryR<1F}8m>i|ieUPVK?hNMY0eKZri=|7Tzx z*X$$nD`5Al_qQ^yEyzEvn7Qj4%qPd=FN@b!^sr<{*x<_hNhw)|WywI6C5?ULFDdnE zywt+SX^D4oi<3iLtazftpw9@+Ha~v(5HCt2h_sf@>Q+$3L%zg@;nxgoV{K3OCfTZ-l z)0p&s8W6LHMYJDSu|}^n&r#M{s5{v! z|Nqdb{nLRjbSu~djsREg7B+lFB<0wl}=UhAIr`^RiqT) zwQ|L;pm}7zV2XF4+Z3V zIzpe{0BW9s$m04dh$F`TQ=u|W7A7h6D(^9|NHg!B65qp7_+bF?AiAy}?U9wt*O@bz zW0=F3otReUw@jHw#>+VAF9y{zTe)!nj`fPVqmt7)f{Kv5JDg<6Yb;A%WLZ+`)p)6w ze(wyXyst1P6N9uwRty+yu1aa=V|+7PJcR=OSB&Lx9%cFvo!X9x|L(vmIUg;*rahTV z`6YX^OvJOJ)~t|>WLZ+`)dW%xKe4|K&7y(MdEr9V4`eoEUYJR7lAC6e{5R>;{${|R z{NLw7)I4%R-t@H6Lp3e(RjORl!Lno^%aS)1kiVqVtMO6~KXauAzw#2rNls*0@=2B@ z<5-rIdNp3^;b)GcH-FO+=3Kdt2D@Lrv4`UG;{^6o=+qR&pXB~KX#h#-|NLgsOR9P) z|21*1_vYX&vD8b-!X#zB{A3YtKGw(pmA`JH8K!R|e#m@lq{;XYOKt&YZ@4klB>uWF8qWwOh0DM z0kZ$X{D|3~If(sa9vLs=q<^jTl&3iJ#yTMfX~*e6+rG{$sI+rICONMD|DjX+rvr~G zVBy2r&p1vKo+XG)s1|VOnCFU2V0oybSL5zWHZOwv3j7;!P5z>AbNqk3fWI108BdJ= zuNR=MsP}@nXHf(GsVoOHXKVgEo<)1At4gP;_>X1hpDI!c@LIXzSI|5%UlodX<}M8@ zv@54+sib2z%l{TV3&sBPRQ!E;Hy0@BE#FY~^RS!y-$McUo{rGxH-MU_AhNjr3gU?I z|5T`qlZ8o2y~=w`jGpinz|kDvTmgM@&k7Zs=V*_VKmY$j=RX}dqkt1w!+yh6^_nM*EQNZtC&3%S=uYIUS;3kD83AH#Z^yP+Mi;*LZ_DF(_KvP3ub>smi8K~ zR~cx~fIIFI>oTP*?Z4k4yC-pShXqjqHJLvJddkwil=UhP1dx9Uvyvi9d$vFMc~GCQ z(ow}Z^D#0>PGwng63db=vMed}YP{4-Ke5o_mx158|MZHh9Fwa|A4WxtW)5L?XSN*H zT|BF4{Q~(Ja1XtCs`bo|9g&rv|AdkxF#9q) zG9Ug#um6doj@~g!BVV9>Y?TcBjvfM55uYZ$x;}1_++P$!~_KnsJXX_F z{+i`(Og}~bup#-kZ9q(`?$Iuejd~}q&fx`M1_*ym1uUODdEzQVY0; zUffQ@zQhaBT~eruAm*5Pp0cz*%zBm6QpvwDbLpp^vb6VPy+WrJxPt<|*-os^l(MuR z-bQwnH+Pf%6J}{emiFDd$j_5F;c-8Zp%nAQJ)W|(N3mXI#RKHOe?PGaQ_9kQc^}z5 zXl}T+8^~~LJ#i3I%FvbMV9tWF7i`ZFN^dSPZN7Gr7Z2mSg)|DmU)Q+-(vbIvb1ly$OYt5Z<6My zUi%?yPjkLn8D=Q+hA-*e?E369N0jqd2N~8dM<}wiH)6fYFgwK`wGlfor7Z0MtXJ4n z`z4G5Ut{_zvb1lmNPc-t8@JjqEW|nWEiy=UWLdH}%fIGBHD0VEejQsy`QK#vddkjR zhs`UwLWS#oCcWeYmL;39T=yXPd7~On1>%2V)7MepBIZnHCxz1Q9uyDF4bmx331*NY zfBOyD*LdNK46^(FK>YT5PkHcnBzqzLJnaNI_#L`8eenKwCbpTdi5xA9)v&2qXzkrcm*U#0w#zx+xvXwDxWh{XygJ9?vS#Dx@;GVY%d`|qD6xc~Ss%n6(O zUl#BQuRuYGZwxYjoZ>frIeh42`6?-#ZS~Yk*`)X|QK^uDQvSO{ym(Cco(J5kuql7G zq0~!RWqx+mUy&`^UfpNEqoQ*hk2g_aP%&B%sh6_K{Oqc~B3rbH`ZBYQPCQ;$g-NBT z4yl*2%KYrAzasl;NFYwk1|D#e!qerc5UH24%KYrAzasl;NFWNS#UDCZN8!sAsSv4` zvdaAIs=p%pYDhp^l?U9b@J>}KMCzriGC#ZOugJa{5{N>gtI>ch6prH0^^|%ktIW@? z`YW=ph6JLJl|0}l3QI&%fl@DJmHF9Ke?|7ykU$hNkOv&9uxS((BK1;MnV((tS7eJe zRQEZEt9L$+w@~4eO{fm3m$J(I?5e*a`)Wua7Gxt2xLM)hN2m~~m$J(I?5e*a`)Wua z3aQte28>de*2YsWWtB2c)fZ6q)sR3G;`1mCXjM3aKlE1WrK~bPyXvpVz8VsULiX^0 z`xJiBl?s%4DXYxSuKFvouZ9GokUKn}Pd6G^^0i*~mZe@&^_Thr(pN(QQOHFe@QT9e z{CUPwFJ+ba*;Ri<_SKL;6mp0MJf`rIcv=yum$J(I?5e*a`)Wua3h^0816mb6JIqrr zWtB2c)fZ64W*ta{Y~lg8DXh;Q87=ivR+*n&^;cwH4GF}GOymJyQaIsRS`n$2vdaAI zs=p%pYDgdo*~9=<9Mj~Yz&R}mBPg@dg`UDQpTzJ z0?HQcE4@-C>UeoPjrWSe-IF}^QdTMBRDA(ui}s57f#MaNA5W(7K2!MVbWgpMRmwP3 zUqIPcLjtiu?(l#3n=?)NI<8C2i&GGZaJSC)=OEXj8pXmlyPd7@TuVee^;3N zKA#%aOIf9iQ}qRuacY+Hsri6Ufx?ojJoQpmS()QheF0^hnh&Uu4Lsl`g;PGILZn{G zD)Y0e{)&tz;H#*RQK>ZGGYT7g>8Y2pN*Sl>3n=?)NFX+=?JF9vg2F~?JoQpmDdSXq z0cBqe2}B_gYiYoG3I}cQ)Js{Vj8pXmlzlZM5QTKwNCS3N_|hg%y_8kTI8|Rj8Lt*? zq(Vk)rU9Q)cyODiUdk$EoT@LN?5iPxSdk6eX~0bi7w`7eOIf9iQ}qRuan)?6LXPr) zClqerFGP`gDXYxSuKFvoMLVHa8i2m&CXaVlVY&UZAW|=7mHF9Ke?|7ykU*T7{ygA7 zg%y6HLZn{GD)Y0e{)+6YA%Q4lF%P&*;p6;eHc~HTmHF9Ke?|7ykU$i2hzC5T@cI#2 z5viB5%KYrAzar!5<}Xx8NDd8n?K1HsvmDd^66t@xK)iFFXk|v9BiWZZ-$in5=BjLx z>oQwrk$mK|;7ILxI?&FZB7>7zp84rX(l=!Oc!Fd-lX&YmaUAoHVzhYT(AM#8iIyFV%Rh9|!V?pzgAO6?STfk_Z zsif-XO)veVU9unk8XLz_?nwSBq*r7mmi2dvF{X()h%aT|4 z6i6Om`GAuTx_U{V21vjArOYSklm{yBcK|gmjVCCn*qxde1OBI#PK~rn>dK0#vW$~_ zf&Hfyr+kt_g^X`(rUOlTlpQ2%u`DU|o(b~e;Ah@Z2d9S6K=YUh%(l!*OdsYUp6D8; zw97m)Uh3g5?%ZMgSd||?;m5Xr%Ht(yUQDN^CX^1UUdqxgxr7r+yOhN(0KcB)!tYJo zIix`*_@{i32b5IvdFahMmp2FL_&c8>=_h45!vm#hN%NzOcc-{>x+~12`N=vDD5?5; z(@Q^T2kFk;mlH|{Da#p_38NW~Dtm9*?WAwae8@ra>GH&n%MoQB$x&tb&YfRkbpUSz zsRPP^pXb6=j;iHlM)xR4T&}%&CX$s{mb9=ed5ZH(rg({0{iUBmr=}>p$}(YoENDLR z!*=l&AZIA4`gzk!KWUfT$}1=BLN>RnRH%HP177A$C)tx_$p=}MEX%Uw4lnVhzqnjf zM;Y*UDGSJtZh7f)byJ8dw4kyqTvCnmrk8$Y%k$+b9dGe&mJX^c^^!-~f18c&2ahWLK8EI{Dx(Q%#@-NWc4~%qQuT2P*G(05vXmrpiRwfVHBidZE?Hkmq{=c* zvK0HL@-19)ijdK0(SfE7X9vkHEK5qgXM(&q_=!gA>rmPY)0xrCVCF%7Oj*aA&K%B^ zc9}=UOFjI>oqJnF-gEiT;Bo&c6L=h^Q&SU42URa+X_vfSfhHjBQkDZ)UD!zSD~IPcVx!rQX$2*qs_5{E6$xLCcTR*v}iw|79NBs|z}S>L&-3 zdT+9-m;Qq0V}*y}9`dagw3Pn~ipQ$|MPhTq7a%V^Ru;g~%vX?vf76d2EC1I89N|;A zfw_d~ti%WL%ofbb%t9^F`dTXtD^z<@=;LB)Duoii(%BB;x~^td{oG{px-aSGFH%6( z@pVMV1?^eXYUboxwK?H z_TSQ}-S2=k{*^*;Mcr=!^|*k4MDvQ43GTNL2NzOMx{o97DDDb#GyR7$-O>K;fMu0) zAIx$=DXtjt3*VmP=WI8Mu7QTkPX8^P+Wih#{$D8+=iq(|sKN#OBbs|aCb-{1ig6(Y zrTaKy54tPNo$(*abPfF70RxnCZ;Yf(Tu_SbFMiRN_f70tA!NpxvK7+Kl&$Eu%Nf!y z(^wR)Y4Mg-HoY;D*VL;nCi`(ZuwV0>n#u{r{IE1xh@ z>Ok&u!icR4`(r1J8=yAkgb`L>)53N@2AA$RVJvC@ze6XCxQ2LSu5{8U7ll_Pn)f(q zq()(&Q74VW2l4-glTI3q9@4bt^G_ODBTY+Oe$rS3hJ1R`h-nP{-jhab6D0WUq~QW% zZ=Ez&G=*PY!0S_abW5u6bQy{&N5bmAzQMH3@~yl1_m>C zA|IaihJBl5OaenP;E(SHO*x2}GQPYny+pQ=3^p&FZN&6Lfz7gwgJA5#*+x9R0xokvwh@jFvH8GkBLfT@2KxXM zK0DiJG!Wy?b!Ho>I9Qqj$3aL4HV2!}&o;(_ksoFoVS|xq75u=Iud|J~AvkyIvkeU& z{hgkkZA=0)w;-{}Z&4sVaVq5(B=@%fML$_aKOPN z&;>TX2E%X!I$XxV5jZ7bE+hU)3{=@=j0Iz>xQrAqth&oc7zr7i1g3+Fz>FF$qxmQ- zZMe&b0~2eyjIgJWzn06`3Fd&|Ps6Xa%SZ&BIS;sua*3!g0)gP9*)AjGS$XR3c zIM|Ot{~~6Vb=C-(0KIn37&{Rw;B(GM0TaO$rffN9q=TC8IpZLhVm)W%OvLq{?sv{e z1ecaRXGBf{%bYV7f$^c|jOLSJfAE~4y@XTI1Twg^**PN)4C{E#C^rR#y>!k9pANrO z=Zw{}G2ohWMx(hHXu~-p6HEkefMI)Ke;I}TgaYS5|112QDVWh+R04(+K5rz?$0_hX zZ-l*qB?~-nGy=8a=Z&3UO6Yl``Kz#3I&ZkZu=?kX6$=s9`n(aYqp&vTjin~rB7PAD zib1@|`QYnVsn^dNnPAS_80QTX{_c6hne!$RXPq}v--6-{5`(ctE*L8oBa!2Rk+1~k zu+jx1{B0D{;DRv;j0Bg0i@%nyJyGNWCj2s*sfx`bCO?#~+W(rnrb-{=MzifjQ0E60IFp|Oe z7_1B!*WrS((`4rhh6@}6`oD`60mH$EkpR37y1=S0 zAby3W4Fto%6<`cle;ne$Hzy(8`pNjn|e#xH$z0z(xxY50-ir@hdg0+(N{I)pW#z*Iq|FSo0k^v@I52|Gr?qV>ib9lZUc9Mzkx2$e+A+{(zFM_ za4=^j;=$yP5D&ibG2+2OpCBF_4(@c~puwj|0KN(Of2?WUQjq}s1B?MfKSKgA^9v*Z zSA2;C;Lxv-0Q~Z6#DnY85dVp$U0#EDu;g0AgVom|9&EcF@!)N61z3N>1;e=$2lH{@ z0)GMhKgAM$g9PBttw;a{ZASvIDwquR16P1!cc1{!cQ@j}`0o*)imM<4@nGbB#DlAU zL_FB)DB{6Arx6bpxrpn3Cl1P7Ljtg~cG2+vOw(%kT{OZ?hFmmaK&{M0BLS>X<)V=c zUJt)$tN>qo=;K5J96Z+t z3Bb=m|1UJ{3K$MX_C*3P5ljG6!DR3fGaGQ zjGf>v&;=d?{lCTy$nTO74!#Y>fE&RCFat~m`xU-qtN@*ZaIg~x6G0dF7U-X*X`col z0eBva0WC$404xV4gSEjGU<+_3I0kfqzk~j(HLYeK;=yM_5D&ftCV*SPWUy#)#IMHn z-xmivp-2Z^V6hTNum&?Og#_RjFa|7VLjrIwm<-0-kpS#n0r6l+MZ|-1K>xKUAPn)K z0mgvVN{9!Wg2`aHDu`c;>;G_7B!FUaH6#EtYaqcobUG1804|9{0&qt?Bmi$WKmstM z2@-&dTOb~6)(Y|9PSAfnRwxGX;FNZV2Y+ghcrdOb;=z@j5bwmnTV0R6-T7G{l2Prz0L*Jp=LJwONPoFU>;&@Z@|X z*o4dK6(j%)EkFWr9he9%){y`lxCjM+p>H4_{BQ~4!H~BRzgg2>0VBZ^U@REF6!Bo< za>Rps-*qB^Y4`vMz~-MK0oXVd3ASKGK0^ZVEie{*=5r(fGr$yZ$QOtQ)4>d|)R%|{ zJEtLjD^AfG#DllMSTJTi;=%L{hzIvMagd6G+h7J*eIpWp@nFa{oa=9p0Q_hZ5`Zsm zMgnlob|e5h??gP7)u&0r3Ad^h5^qwsGL56=1y@!&o%5$yRr;z8$IdyoJJpZjs)QH8%O}Y^atX>RyPq34g+(*x51F_a7(|1cre>QJXlq`Y$SpW!4xMB zwplJ4so>H=myHatneSyI2W)D+Y=nHTX%+o08uIuwek=!9*|v zbQD26*s&zy_u%2V6ym`yU@Z7pX~cs$pfd#r5oM47Oae2&D_{=zQduPU0S_u*B-p$h z5`gQ$M6hpp6adEC5f7$RL_GLwCB%avl@XtT$Al_~2leWR2d9N29t^E@*=eNUV0{D< zfN}MZ0K5R^fUD~x!CsuphDZQ5YKjEl$Y>-0Z?r-IU_u+jgQa2+55{&vJXp0e;`ia| z>4JE0eK*8|-*rbkc(8{P32=}cj|AYCgOC8s8Hxm;HXI4|W7B|<;K(PD0BkW53BZ@0 zK|EMw4C2A9UA8pp%cLOwC&Yu1;7uLzV9+AOgU$`_ zAps5^cpnMC<6s6D{{a$!GglzN&zkn`he!b0S0Vuzx(W%v1TY2M4W@#FKSDfM{S(B4 z?|q8+gJ|Va5f85T4Dn$6=ZHUu>whE;QlOX!rh?yofdt@>U=G;$D@1AUDIU}72) zfWfPg02~0OfQ8o}-ju-%@PW062d9A{hwxyu5%J)GZx9c5*^Kx@xc--KMFJ>}fvKRr z4GF;0+mQh5v;zqaW8hs#0M^@$1mNRfA~*+30n@=$@VRdh4{ic;K>K%yKY|qjBf&{v zELh`v#5-|N4+kk=Q!o`w1T(--!5r`~7;+RX*d8PRSAntM4lofc`vc;^c3>*FC7{Q$PY$>uY$2)%YC^16LHXeKN5hS{e%Qy+h33Xd=1P2v%rw!_==N5NC0jC zW5I?;Pyo2cJc^6AU?_X&;|P0&q$;5`b@=MFHT>^N0sC!BjBpBI3apFCiYBdKvL2HEnth z;=#mU5f9G$4VPUa4i5f~1mKyQNC0lWg9PAt0|~&gg>sCLQ@FSL=NOUTXfPI>8JJ@v zf=j^^Fexa(x)u_ES06xvEZk@!kO0gCW5KPB5f4soj(BibTf~Fb_J{}TbVNLu)fw?;&}V>= zU`7|jgU*vUNW{TIU6BBM7fb~gbwdJhC71)==#B*0_}a*RNC3`$90|Y;@rVZxf+^r{ zU@BO2AmYLK;0>_Npd6!-3-<>w7K|R8VHW zv0(fY@B<$h2fuSD1Z)Ie0^`7t7vTrSjL$JvgTI2A;OYrEhW~j@n=uiEgYSc};5IPv zyc5?s4pN{fINpf)+jSOliOlw-KS_^BxD zBJ|TRLoftP1yiOY?)t|Rdh zINrd+?=n>U2NJ)Bgf}6l!v7WqNXs$OO|HSp+{Qp_5eMdgE--Q9F60490-5 zn{y0j1`Z}|#S9D#yc09he6;wz7{JFzi#>z^EIwKam;g>X3_mdO2u=kUdlcu^6w@qsGYT+0t_<=xd!W)# z91KH(a4hxnSBw=k;rIdys*M>;x?-$;00m7(Km-b!hJwMwWF)Qw{Ve#^#Q^_D-1)#o zRi*#`@bB^`(9uW{6)!Vrh-0CmDWZ;ui8#)ThDC@vDH$nSXlxl#gGObBg{B=+veB%} zsK{u?h-xyn$gohU%&4fPGNU3zC8Xx}Irlu{A9j7!w!6RYyvon>e$I2A^XH!XXJ+nP z9{kzU26b=v*>fZw9Vo*9MxcBr;znWQ&;9I)NI?GQF(9aYAKIPb!yM{A5HJl5LTykR zvV;<8I9|y~ud<@;z~5ob_|~p!QXkz<9-SCe?8`juL65e4_Xv_ z+>`e*26)MFd~V>t$fAyWY}IIZ&~Z;GRHq*Iuvfv+$32zMJZKxV*#Q4*s5k_EsQc36 zo}Aawz|iBKj5iP$ciiKI#v8$IGz47@Ersrex>JvPVm|=iblfwx5o_a~hJHeVAYXjp(C%T8zN~FxyCHJT4 z91*#`tN}&?lm(pd=>CF=&^jnnPI!WDLOIk8)dimLu$$2#Xb!Y8_=IN%)P2zj&k?98 z>;%3;LVS-Co-$~&?u6$Mw5|6EPsB3Bha+w|>i0R}$-Bjusr^nkJgNB@Nxu`G6;L(u zgr^P4`lErrqQU@l4C;(J;c33rmzBnx@T9IlgQF0CCpZBex(oG2BO$aBS_y4{Hbb3b zPT(sR)COfmn8~q@6Zp=@7hgP_@YtcH&{Ak6)D3Nbwn5vV>Pk%AI7}V1 z4O#@%C89xSJhT~VgX&fx4r+pyLi3=y@h3b^!O#X#J`?44gB?~(wJ>HQaWNXc=7eWA zR5uqLyT_NA7J;EQ=n-hq4XAi8W*{G%=05oEM+Y9lNH(M4O_+%%F!j)e?Z^vdyRgm6 zk?#!*(1{s2jhU@N2ZBy|cE9Y)97QmWz$k@A{0$v|rb6A&70?Fg4rnv<5VQ>%a2()?ZNsk*^IuQ-MfeubV0%%(*I_yS03+lazO_6>Q zp9!IUCOWzYY{$UfLIY)3+nA<2jt@}q)=5th)Lw@K4M<#n(!=&6paB)2ZH*{DfS!MXoL7Sm!I}*m9^4Oq7Q%-pzenEpNr#y$Cwp5g#M#IoNsBJp@f}ycp z;0&k-GdcH^rvaKb56t|qDZtRmgkobpr# z_~DibbWHJMtNN(ecf{cvv*z%75|1L)}|{@nk^bxBcSDgEm8*g17(T(dp5l z;7$*ljCLF_B489jL8zLH4np&$bb895MJb4b+NUD!N_6l_Gzhg_jRv7? zAsU3X-G~lP!;CCLho|{Dm}xm0f};VtLKHyDpr%`p5Nd-Sf#yMVsfdG)g*HR&P?nDw zg4&^#&^+iNXepFUNBLhnJ+aU{s154874guv+cA^V9Y}Z=dX$DKFG7!?&Xt{>9H@I0 zrVtu`FM1AT4`5_7koX}ag6cM4gizB)Boh8IbQGHR7&>UiOl`poK}}8!2x{MoJkW;i z=-5oO^9=GhV8lOWypr+T*A!x(vot`>q+Z#w^MdG)x zcA)Wf$OCoPBM;QHztht!$`2rq4f#LBrh}FqMu(scpLXKI7S9-YsXYVbtlm=;Xj3$fW~)Vq)_!Vrv57Uf5oPSwt3)( z;`4CaKZJVhv;#K~VOzqn0$S;R+LL+>8iLxP4bTKB%_;-Sq@+x4hF z6nS%^B_68)l3xjq0U-=o9A5 zkxtcy64_``p#L{hWurxb=QZjI5^b#xq((~v{l}peS`TF9!U)0J{M|e*T-~Hpz&5%0 z-f*>A$-M}L^?c1Gu#KVUz{NbRkGj5BE$rs0$tc9T_I~(Bv_Fj}^i^||;xN3mU%|Atl}g4sSoz5K$QxxZiCF>I$eAF zslGl+b}zKKhaZSk*DGDH?ceYv{V|rB-uQT;)fG2D4e<%AzZf0SP2uAQs;?*|;TV0A zt8<{b%2x^Riw`HJ@>QxD*DD2f-c>1DJ8A+%n{w_Ktu9kSBk-xmeY_xA-4<2_yWtxd z*TDCOt221MUaeGWFip;5JkFqQ3(Ss0xBRB^g9i2fuy%kgbgGuvDJrD#mtxcxl`f3C ztuJ3PSly*m4S*fR^+PZY^FVAXBd;EUafC*pw_|w0rRswr+TsB>PvJXbQI?H$=AOZq z48=C}SCM`W4~bK^MODDgnPSl$Z2KY^lfwq@qVyBGLCZityHuAgA@wdQVSw$W9kp+d2F8CW>=GDX1g29C` z7(_L7mR2u5hG84vch^XN8^7)jHHANRnfgIk)nMd&Q~HZ|uQ)7{w=TybsTqRL)AsU$ zcx>dXOR);~@yHSCv9NR;Q}TvhsWslr-<*#4MI+T&N*DaL1YSK-Jr>nI6#XA3V~VJs z+QA?Wb;t9)qr|}gwmpe2Nl=rc+F>^&$#`+h5ifL?g$~1_n85cYsQNJpuuT(Wj4A@~ z5_4#V-%ft)pxP@J|7OFVH-YO%t4pF9VYg9?cY%mS-3q@tk?$OhMO|UUyiMUt#;BP} z=y3RF^1Wl!bl)k7eE)QVmEU}YdWlkx0_OvK%@wFnav3J>37$4qtsZQ?922xlcJAB; zvf+1=-!6_siM%AypyRiUQ*Tsa5UIYwzZ$15?@vo|`N?@d&DhsBJ*z&tWk$6uVFj^kO@YwyQ@wrLrD}h;~u=gm_c>f9N{YopKVL0D8L0zTfC!pTteB?wNR+B~};Vj-c z5yzeu*v+%2X|vlbR!sW3h?uZ=Qi@eaZ-Bqy5T89=)hji^{)896j%tDJZlZ<|CthMrcEPWH#;d2J zV>KpB#J8@3G&RmgX`PIQd-9z#)KwGmld-e)P8D@<`>!V2&QJosJzV-rrJFTEx5M8? z{sul-hfY6XR@aRwoPtjDmGRzAi=Ao%{B7jVqdeQ874X|5_>!48+NY;r9rlxTO%ziL z&4s_RACI$O2_@jTqU$f>xA0P{>aR4xfz$COEA}$6!b(TCLP=N zV{9Y5ig3B2_1@$fK40zY<0zSfTE}FeQ$*k;R_Av3E6HycPP~M_M#LZg=lDhuPyRMK z9f|l>_?;(Yd@1=0;rG89$ADAQ`CALr+XIUM_KxX%##q(HAGWJE28v(2n*!6g-*xJB zffWLR()iNr)UCbJGf=w+HZopz?`W>%ix%Q&AAT)-vHZY7H8Cn3w#_Kxyd4tTG8cZ+ zWjt;Xrp%a$buhtobdeh3rzFk8yx!vKT&%7MQ0f;V(_^kRxoQDsJR4(vmWSMgJ+d9P z^Ld%pyVr`eq1Pkk1-|ztu_a);_wY40!!B8j$*<#Sd9cklAf|)F!j0I+I%WO_I_ijPF*E#aT|DF#bxmLsY^U!G*XCQ)Wj|aFT99bEA3ZZCG(tuuWI+xCnO1J8^AXZXMx8KHnRo9h2S#q zVB&JH8$6r11{^-b%*GHmfz9V|7q}RlPUYfy?-1BQoCMCe)Xe%5XMyv<1(M?m!ENBn z#YXiWxTf9hUxJh70JzFguEv?!D5_rrP6x*jH-T4xR}goJeBdRLhYUd8tYKzmN3JvZ zg^d?3yI9oOb_(gOSKVSL*v^)jUab$0m3Im8F)Y{hUhes3nZ3A_hPeG0~> zv+M-;F#qgswQmSM;$$Dhn^`_}AqhNXgqigbZF+|&Y`jDl8gEAzNAUG`t8r2O1=tl4 zD_%Xcf8{i6M~=b~{P5jsRKS=7Gu}@8dXE|r4DTM$dS4!OkJ>lDA9HgBPb^lWl!~!t zHp_?4zgO)W3^z+OvkqT=7e#d>@lA;G?U=-?MRZ^M1TI?Zt`f>ZHo6PvcVzqBj0B)0-g3ZY1-K$0ffYwjp1qceLf%kp>*lOu5Nf8b77XcM1{4fH1 z>#pLRBJi4YGn>f=ieV@?u!7K}$Q=L-zlLYtizZ92F|%?%t=~a#8|RwYS28)MM;5OZ zK_T@L}R5wsdOTALq{$vuxp-iM&(`Pv54Ivr%+|B6RKnY#rLr!1vbj%m>hJ!b7|Os`#%nvt+pi0#KZ~o>vQZ)&?`% zOp^omsSUhSxa}LwY^#sV9f~>Q+JQ$~M8G1>GfU9Tm@=$eIXm!P54v2gA<%|0UX2)K z$D`h3O5b3(>w$059sn#Y=aFlXDRzsP=LuL4L1EaZ9>>OzYY6njlR$J8K2ocm1N4N^?>)IFs zK;J&cGao{0<;bXT`pcAf+Aiqv-^Fu9W4Ov?XU7`xP93h{IJlmdw8b^Yp6A| z`{>}-3n!9yc;Y&^|M9+=JrF1lZgAi9k(nh0$%7kk=jVKri2B>NW;QlNo19>{fBf0Z zJ_(S^6Zp<4-YKHq@tG;yVs?UYb?pqC$)dvK4hDQZoM)~_6px(A9`B~Nn8}{# zBfAf5n#QX|RQU9n>`^)p!CeV7$^(%In$9C1Mo^!$nQRix&&BuvOQ4(|(1tW#fS`bb z@S5Zzg7@MXB1St7fo9L(hegaf%`46WLGU(!OmY$70#j$^i5n1OGkdcK!TXR#+F=Ov zzL{?lF+FB_vj@X#1HD6gF|elR1wRY_6hVGJ23YuMk+ZL2VO!fd`&3U0dqqw@+?6_By$SPb>}6q(QODtaw-@h(JK*u&7CP+( z<1^hSLAXTJj=aE6d-KfA*sQz5d4bS7`tVIqCAzPLE%4QL$pC~E_2Y+yd+i_#dy$T| za8K0p$a1)EFj&|qI#u9WuzWDjgxfdI$O}aAgi#h;9?G2%#ZCAGP`GnOTi7ChZLtKy z{oXhW%b@cd&@i4yK8Eh!nq*t$U}3Z6)lvX3Z4xg)kdidn!j{XUl!$tM ziiHjElf4J-y^SwXA8FIo!aEVCh;b0EBf;zG zlGO}ee3gYwr}A8ICHQA5F9C0zV_{EYqV7@q1XO@i`E^dUe@H#dgP9h#j;gkTv*%ja zqhVrB`-Ft5STZ+SnBChn*baVx*bM$2-0W={{N54^+lL((O@)+z%Wkr;J+kSL3UJmf z7FM9`;C({s!5`g?LpW;I$45+bGiomw@#v(S3QbUIAQnw}q8Zcs;mBF~W&k!B2p9dt2Az4vc#&>?`W6 z5j^333#+AaGuUU11^2qi*~$giJYZpKwH1lcgQu5T&b%M109S%ndD{n%T!-D-+dgpzKTuxEW8Y_=S>JfIr!4 zVRhcd!CSXk=t`?kNGmw$2@Cs>%0pxDyZP3>iH-{zQ zDsZA7-%^aFPyzmNhlROlvg*N4?zFIzvVL$Yc=NLsyiL&FScMM8Z?T`VuoV<;1lwP* zu-@M0!OOvM-sZvO=Wq%5ZSXiMuK=@33oFDy1l@_N2N%AGmFfe(_l?>)??qctZhpza zKA`%cLvT}F6}AO!TO-)G8!MaoX9oZHiiI8X_6OWteRf-yfET`MVFM|?0_>=&o0at?MJ4YNDV)5%gusmkrpakv$kDz!nc=+Ejzr?xV zPVlqd_Q6lSZDHRMSAa|2v9OD%KlNZEcN;D2NtrLW1bownI1 z`76Maz^kde9$W{0gLb!8@O?j9*mx=zU!B#0aSQrx|#C``PnIF4%Me zmk{3O!A)QfaRvC(lb9ajdhi>k&|UB52Y=OpQ!H`lW%$ucq8~;@JwPexDlL0 zoD0^Swy@`jOTe#zFAt`@58Uc+J#*%%2X7CsvKbWL3jPTk>TUmW+ybOn&z!l8;L9ajOa1b=;jV_7}QH(p|8 z+wiHxMx07o!B<3B*^l1Y7=fo%L|WMf$#F(-O@AwU-0xo>+s!D?8f0aU(y1vI+@ZIg zIklC5OAJ=_9*wpFoDpMXC#b!8ux*Hy-K)sO*9ty_FDORRscR%Y3%}gT*2v-zBe-m| zmEA0>2b;k`S6JDzGCUVpHO|Ts1LTRa1l%^!$_{v22iH!rvirQPgUyqzER2qPt>CN_ zFqMan!pDkJt!Iv;M(~O&t?Y%1v}>|pGdOm(6&I-5i8dFQo^EC1XfjH`rPo+lPfA|_ zc4k`He(Fv=IDf8{l~Hr8;Lv&2v)2s?cvl3TOb22kSii{1R(lW3;GH?vGbg@WaQ2N> zb|d940q+CndWR1lx5UbdyzPTu0eg4VR`6p>t*nQ4_@nXhW3E-a?bc3gMsO>5wp@!L zX0T})HYSzlg2yhmo;@;yCxb8YwhuOgy+`7Da0XbV@>cK)a9`rkF}QjM4<$B&cZ2Ce z@DMY2?mV`etxF_{=pk*imMs4^?r|3TBU@|1_T!;GvtWtUqx*IAyby z-A4IZ!LNdSyz@U6J72l=?3oE1^cePiZ~NdSVENez#t-(}Vr6ep{Sxrok6W3;+dg>e zR_ob|X7D@Ul~mpePJQC+p)+(G`oI0`_A-J)!QL~g85{+kN%eEVOP{o|5b9qE_^;q> zZ~Nd+p0cvbCCAl+gYfjXpbH$VT2Zch#!7FaaiNrmn|i>rDZdfy0ei0`%;2YYT3LoH zkINP1;Cc+>%!TRny8}x6*ZSc-Eh|BJ{j*kfE#<2K53a!Wru9+}{^$j?FZ&zZ3eJDg z%I?s1_u$a+IFr0&Wmn0)-v~_poAu0z$_%~>{0e0k_dHzTIy+k>;Nsn9_SWDE@DE^v zOji%oy=pyk+HVE#2M?!op-GJW2EL5g2sYMO>BACSwSn#6Gm8^^@oQFQq4*N;b>K|m z3h=97@6K8ee*2vARA>Wtn7Iv&n=;oa2*5gMfr{3%5L;~X}E`!}9lZ)Wg~ z=Ws6A106E1kH^pux6+F9Cq-u6ZoKaa=_ugVawBLUEB`j z@U<~L4ZZ}t)z8LG&^1~G_>mABJ_6RRdg_5yp*FTlejE_o3SQj9MjI+b+|8QQ%f=?i z-UJ)Lw};!<3axgW8TfM_8|&f!ucs;(<(^0z9-*jh$>0)j&jB`8EE}%?J{)B`bIDK- z-U;@Otrc7}$i}{+ON7uXafvj{#$Hq8^{){eJ<`U$mK>i989EIgnt|~NruI3G5&Utojs3;DA;2k9Y^BjO>x7DVZGpe;VLoyn=5;_VPWl`8l6{zuT-Xg8d9|=>V5^*mybn9{?^u=iiuZk0 zADjR?1CL=T;(ytv_TyXLSAPpme;ZfX;?XSpvx$a*e9s5!Y$Xf6qT5}O4eD2ZVJUm@ zuF7H4x)IN3+4cRnzELd*Yk^-~DgBjv@=>*q>y1WrD(-l~1z5u>SI9@=hO^v#ICQRY z#eJ-%`6xB-V-2q51&44WYsv@cd#TI!6E)FCDQ>{KyAgKf4!*t#Jv1JKy^|kp!VPXUu;VLu!DraYU9d|lc=c!Smo%auFYvU_aWk{= zL&U$xkA99DSh8R@yvQTJP*;Vuz;1iVJGkgCaIfy?U#Ryg6(3=6f8$G;@jvBI?3s`+1_UD`2bp`H~iF-WJ&Q1ALpX2YiZ2IVj`Juq$DIE$YHyb9d>wRfYxc}KL7Kl!a{Rgyl(ydUA6qE0UC<|91r2<&p$ z>^q)$L|vkUegXd}zV`_3J}!o>>y&v)d9P&LeQf_u-Jm2jW0?5T?>kIUA#B{WmUt9( zTVYrJ=Gu8w_4NsC{}PFOXYrT5S0@K1eTDVyH;bSCUR@bh3o!Z5LYr8?VoeU@PqnI# zgcbh-!wZmp6Cd%hXzm9!A+QO47Ceh@{z07;n9za`CxU0Wj{l(E?i*J14f2M|D!7Xq z%bP#crrxQfe~Z)+uHHXmbqD4jL6xXk{Gw#EWILt~P^#c@s(kq|H7=|LcC%ilXy7YD zqOrJ+sc$Q--(fI=`Of3GuQuT*dOSqN*hSC!xO%p$gMF03?~!OIPdtHJ`tw_nXt)fj zl-;+TR2!AtA2919Tydwc!j;f=bnHsk-e1*i7ll=M02$sI(bwwx^3vew7Nv&aDFHdI zf{*en;U2G2NvrGUxW`l*#1v@Yub}0hCe?&jHR|*1);i&vjcHGXl{vg)Q(C8SY9)8_N ze9cfK=z`t$5wC_FXjJh4hL5o(TGXLR7NGQF9vO$A64=gSZwgV`XQ07Ru3dAYlLM5bS(sWI z@63!|9##e0nJ%mAcyt4LSUNYlJS=}UdY&o$wCZhH(KSjs9){62&((Wg^v)iN|3W-R z;!f9|rP0TFDqYJkkL9lFJH+xRyalkum3U|Lk{(LyN^FN$T{|C$ULO!vd>@`&@tI8A zrY%~&U|sYHrF=EU@ue$see_7*u*Nm0(JF)7au=`M5N!@ic>t~d=u=yyeDkL0l}h$HY$_esZ^m-m4%?}_N}D#F z*xUQ^qRnU_d_Bh9OZwZmPb>Uwo1;s@YTghsvdhxN;cI~Fr_SinIA0&bf{k~@bw#iD z4f8*N;Bo2N1UJZI;1|C||Dc4Q#2_ZP4tT_x303ej{ROVQJ@w0VVa0v$Tm5@vjUv8m zyx3V(eT|ac7r*Jh&!vynqej-HzIe!+D{_Rs;9{jI5sw-8!nG+yzeZ7VCnFbrDK|rZ zEG#=2RoZ1Lr`)g(&4j-Rw*3^} zWPyLcRQONv!xs1(Vdr)5^;UgiSooF5(;@Sh%GL0bRX01~FYK1i(vJ&l1r+(t;m-;%reZSu=eWL~rGM8a zuxmPUDRcO%SLs)V6{n$*pgG#iYD)|Al&-G|%$k9RD1^-6{paY129^LC05j(3mxcM8 zQF4*2(JZ>F^6%#8X9O0)?+l&8$6u|#F02J$>mh@1rWYrzH?G$IptR3Kb2`_qYxE0! zlq@SAleb_ApE#fSu|A( zyOQj7*bQXIT#GJ{oeo=Pl<~!|bGq;k_il#kPUtbii!ev&nW#HS z`tkdiW?UtY%+@bdn&6M0%r|B0S1H+ZVW)8Y_3+ojwoT`2uGcSDQnHZW%zYOlrXF^4 zI$yIGeeQzYmd>jeixoEyQ*tfueS?0FGGIQo*<#n>8}!?Pl-k7zDsZjJ(`SZ+#o$pl zY_05toBM=D_u-xb{q;%({BFEaxC2?6VCOyJ+H{8=XZ_q;uzH?w#oej*^;hz5Lq95A zBUkEu!F2;C2rK?s<*xbqwC~r#D?mEt#-3fS&WzN8GZ6!Q@Bbh*AN(?|M-rL04TJaV9R zd5BjxW7U}q~TxqbrAE7$u6*1+Qo&^(iI)JK0quBL*1?<=a{F_!nSbTR%FkDqcqVK zxm6#6jZ=oEOgwHIB5PqcOcgdo4tNx8&u|^yCL)cSP&$hreFBliu-#V++rmq?BWDvF zm2>%~?fSz?&1MAJdBKxdf-&W2Yq7BBbJJ4@EP=z8>q>h{-`hvo{uuHv=X;+q~vU*^ay?9r{Fhmk0WR$-}#I_SxIoBt$T$%QBGYU9BK(q z+=;16--^c9@q%ZOKJQtiuYtq8Q8>`EZP;>;^0?>qFLPd@e@!WW0?}J|=5r|Y-;Tg- z!k*97=TS2kj<%;*OZ6y;6T# zsd*X`UCj$##Po&lKqp@pwuP&^M0z+(dt7n5^m-p9S}Ds1sC_~Q@q>MEp9e-;ZASBh0gZ+{u-E8wX7#I@vQqz`MXz`TCu zomcG&<%PfL!xaDLFs+}t_WVu1J~FWU9dsz@8a{Wwet%$o9k$s;*SJO<(2wv@D&ED> zqYqzlP=8F>z88a4`NBpVwHjfo(bs5eJ)W=p4CjRx8b#jsP&-cnoxV!aM<|%iJHOCxQ(9m<&3s!kW+&-m>_51dwpsXL zV@+@SQuq&{pXsj7FZHYN7W@+|fD8e5`8@J9rqKK;`ZEvjhp{rNV7J-jNSo!Q=|f-Z ze+a8>Lbhz_*WN7N+qiq zvwsthJgQ%>w8OUF%y$X9Hy?V$T$`enDqa*Bw zS6xd^iY8Nj#;knc?XR|U2A$TARziu00-+sNxi+s{h7L z!vUqZ!1lZ~D=j`K$^@d1Zqc=n- zC2-^&6poQfE$kJa$U>t5gYh5fa7SF$VvrLO9~vPU5kaXS*auzXAS;6Z|WYs|L2|Bo7%3 zy9IXaDL!(rAyY}g&$D)%;_HRI9d>L7KPF=8VdKOeIRtjQi0R_V!X7XX&sF)AFA;VM z?A^b~JlkQ9^=Nql{iERboa9$sYKT^h0B27;z5*4}VaN98hc7i;#vAkooQU9P)(gkT ziM6oH;_&z|F$jP0PiUZbTZwJog7QNsFBL`f8UXEr-!_b=#Tp`&0fR8gVSGuf=pF18 z!}v~4lkgYA zK9VQfuYw($e}4P^m!i!Te0Ds>oB%uMPT77o?2MJN{c_l*RkHnh*!Fv5`vYR}%%A&Z z`{}Sd*2wk?VcSb&`&F=0*UI)=V6QGc)Be>XG5-le(dI_JYNQw^Y&(8A1v_Lr?6S>R zrU8840z9vv$0);Pj&?W?Iq~2*98tY5v8F@gP;nccbl1%v1AopFr#z-^{v`NI@!+{; zZxU#__#gg^C-Fc!oQ;@#z)4`d|JA6CU%cZ-Xs?bkjKgz2FzTmy=P0beF4zsv@U#R2 zu2hC$rB%qCrVuu(z;iyZ8n?qf@+?0lV!B}4D_m)#4SRiO*Ix#B4v)J#rx)5D3V+7G z@OQy)>*lXV-@-4)6bnCoM37||<$83i;bEV+mUv9|^OzHn{p`b9w6=0_T<{+u%PT{) zr}MbV6Ah|=RQ_lz(W>+NRD(Jt@Vl${;fV(PvU&^#_cGr!$*^-`7i<$A-RJ!Yvq&$F zCgE4$sIeQHPt3eL3W-O>h+^$QCp3x5@Hf}+oyjl^+~gaY#2-un8+l}y#?dBJ4x5AH0 z6w!8ExCzHJ#1z5L`~&e%Xke+f2=SFInoB@=5S}k7m%fSP;S9m952hP_@D0hIf*EV* zR@8GQij=A=v40{ z=<67SCsX>!!`oe%hQ&%boc3sbG}CY(B!4y%>N`Bme*DK2!%+UyT*HLm7C2Lfba-@p z-+aRuzBJ1)UCF%)dk;Pn$}+49X@{LNyu-u%Wu=?v8772e<7s^z@u=i4E)$3GFXtI< zQ9|dSsRW)mADg2Pw)+accfMg&l>gPZ<{00BCxM=QiRm2xf8}_-WPxGGBX&cn@9qk| z-i{R)k?-=o&hWgiUuoXm;?J_X>J}OD0$ipW4Fi0mMMaTFTu(*g2Q1=P5Q6^)!G+Dh zdh)eP40^tKiQ#_koITiI{AQB{Nas&kgM+#A+QH%6m^CeBM6X z-i=GU@$PQiOuTxgY%AU()tk>ooM+MIWX5B}J4vfa50Un^%KEXSi%3_K){!2u$~hil zlkuZSr;yIGiSoh2mJ@sXQ`C)}#Iduqe8XOvb$-$=k=Bv2bQvE@YD*XG4s;X|uOoeubT{eyq~39N*XG|T_n&kAxMGP{ zNOiF83E$~f;iGQc){UK4pWnbC;ttXQ*PLHIn%JzV17Ew+2yUc;Riv9pcauhB$V4Ti z-j44eZXo@wTeH8%TrZQbN)^(n``!(q36I zZg@BKc3h}vpG~I#Z^3ol*n9l(mfzN`d~G-0(Txvv+7jm&gWGQWNPdOSCP~-`nv0Gp` zo=$2b^`=?fjdOC(AK#8{e54!4{^k7mob%bicAW2EN4jzBP2Cc7XZ|la+Zrn`l%@@90)+hF7vCCygY>s<0pPkfA z%8H~vkJL%3u9W^FQa34ECH*GSBGP8k%6nwFo3vS~gSqdO1@`--=8+bWI!WE6soyTZ zZ}xCs6KMvioivA(t(N)XNlm0hq?MZDfZR-uJgT^Yw1{*yX(?$LsgraEX(j1yQnp5R zKqbv1Eh6=Zm+P^D!G69D@sJVmY9bYn7ZESt+8BH-oE)Ccd;u8+inL&U4ERch^gsjWFu!)P@66Lw}M=9SLIY2enz_gZ?@o*%f@(;03;p zTKzmNm532dDe1My(6Lrh6MIfhU`7xAUUF_+2)Vu@3U@#}7FN-LX;OCQl*9r18+%_G)3gS8%A$~Qd#UCLaM;zoY8%P9;MO#nx$5VM5aT0M% zoQ$6!w!i3rnH&>|3yCLz#jdx5*hJ-x#FME!mO7A3paSCxBv12MFNTLK+ zQa~0lepsM&FpoHu;#U(-CoU&WBd#KzL0m^{CT@}Jz>mLW1057#p#WWg9D$YCNNl6{ z6k>66rkKGB8j-lUP_UiK#T{~j8>l>;xKJy{{EM$mML;_RWDrLfWe2VWi%pP3EWBC+ z#Pf-p=|HmpEY?6VmD^#9Bjpa_>tKueM+A%QzmOd2#j?Rg#IeIAXA|3ruO}{|_{GHK zRDJ_-9dQnEGsWLXYzm(z-?J?thyP`=!KK~+;#}fF;=d3(kwCm|B4(FJzM0rgoJZ^= zUgnMOGf#{kkIW#4y^l$?56s66PME(xQEzA@%Iv&FL%fU z_mN{aRai~jNPItW8}S#OsLj ziPsYs6F*E`M!bP|2k}PY8sbNY9rfhk$H&FQ&$qrUSj>joL7bqKa5+9-Rt;9hiWcfDY1mY)%bBMPSZy*iHPD##nzx z$ni7>wUX{0y<3cqefw@w3EL#1+I%#Lp3{eP#aV1&j3`Pa}ST0*WZ0lDL`p zMPgHgOt6bMiR!;ZtQ#fEtBB21{wA@L>eqoCVwIYy!n+iZM=TCw;z-5%$%NuVZ^3qA zUt%{genp^_tC2EZ{CYsd7ZKwZ1R7^hhw#e*dH%1Y2Jw>tEg+8q#IMCgf@Vq(Osw{o z4dB-U+HO`%@fU&d-X(5AIRM9721Q zW4L=c8H@7WRQ@aR5#ry7?G!Jj zOT^23V*8V$jC$-#>?HOhjuc@q1D6x06UP%55|1F>PCSyhk$4nwmxCM$ z>{=i>q6y>%p#5_o=qH+D9f)BEcU+) za->s0A@LkyGbOm1IE(li;&O`5AkL%mYl(}AGl|QH=YqBO|2xQ$MFBO$^N8!Hf%(LT zsC)r&85dSWA0SWH|<*&lOvzlP5f8l7D{+4aToDz#BCJ6f;hZ~?BMOh5fdaA5GN5k?jT1lISPr(iSHzi zr-XMAyS)jBTZxN^brWTSD~XecR}tq+cCfq2VWtL(iF1kXAucAqm)J>sA8{Equ$tIS z<@Xae6R#oe(iq$S0dmw)f)e5m;{|R!~lVt%Ty3C$1zeBYu&% zfp`~jsAH;3@De%HL6WP8O;r9eaS@gOjkuZEMVwFZyNSz)Um>m`t|oT0lH*l!m{i$; z8e;WI$*&PRsr+?f8E{q{|C&F4SY%g zZW>_|v5m?P^)ywklhzqIwsQ3I|L;>GZKn(@761Px;KM;2j|47_M@jnrV>tus% z#IZ9a|LkQdKbA?3Byt=l&Y}wK#H)!<5LXbN^u|;DQ^XB3=kY=B_rGL{_l>^y{k`{n zz4!gR_kH~0o0l)o7a#O?d(~Lx@yS09y!heIeR}iN<%63?+V|Rriy$5T55oV!Xi~fy zo;m9m?DdmH4C_KOZ7pO50K1Gc2^B{gJ2{F;D@mJ3%cx={X&q@ZX$Pt98ksnj)I^#=nnzkfx&tcO zXSL*LB5fxP&5#LWNRvp@NpneyNy|yANb5;kNV`aN*HTBXov*deOytNQ%_Ch+T25L; zT2IZ{BcR zA$5EBrfq|VI5NIjp!w~jIiz`{D@Yqc=W22BM`Zk1QWNQF(o)jhq;AqW(gxCq@3cIj z%p?t#`rQKU(CC`~#NdTNj#(dxZxV4^$^VTy{&d61|CJ77|LQ*Sv6Ofush5XzYq0Y} zIdecz#?O@$hc`a~lx(FT`IS zxJGOLf&mw*c<{ja9qB%DFaQ1wIAo&#vl(#ENbdZH>`3naXLbCi4PO7Bb=bi!)Pbug z@l4Vb(uv&~97WvkPbt=4_lg(l(Er3Q*8l$`@gK~q?SFr^13hWV#Xc@xmEX%nT>ZyY z?*IQr1+Ag~UOespTmN{E|E&&i%Dax#%WJ&tImpN%?Kmo@@ITc*Izx``frqIB-SsW< zzuc|7d;Leh`(tb1=n*Z>!Mq6$Ne6qC)a(DFy8KVo!}`naRyH(ChbA+yDMZ;2%x+Z^p^}-`jzcf1t8P)dJKT}aG-v2^9dA#VJ==WyA8}E(t^1rWi zy!iJk%9GT8T(9>(FCuNX+|no;*ztd(_!;G&Z1|x+S?~Pzuq@7Z;O|~gVh5?0S9ELe zYT|DX${GAqDwd_UV)u^xQGS;?&|P|ht_ z0H?(3NLQ1t?AG9|#Pj}?V*Pioc%cscPyAy4`=2EKgL$?6|Ic>dDw=Y!kBisLwQ>>v zo-U#|sMbC^$Dt+q|HqE=d;Dh`9!n#NJ3F#JHV_9@xa7aAe{>*@?t%a9j{HtO2m76X z|G2#S0MBQvKW_)T1z!IDqT)N@|6xPgCEjJ7a*-GRB6VMf)IOv)pORd6Qfd@w{t3yi zwM$h<7aW(o`J(r$9815I1^d5|T0rVYy5?)?4=3GEGv`%b^0&3fxX(%J zNwY}5`iCsf6DkgI2fvbz%SktWDLI04bF<_=q>p_eIf}I6bIAtMM?aJNTa(nkl79cG zWE*Mo;cMlcD2ri;SNkVY(@33%B=;q?e=PZ#kE9MFUGbsh{f$z`kd_>j{M7-e*OG4A zFZufhI>cYsARW~oNWGYJ#ru-q-zPPObaTDrmiMF%Cw*eC31ZD zzC(xjyWf_MU;Zw2KIw5QKE_1 zivv_{#2^6+1T8RV(V$fWR7@di!~jtWM63|7!ngmtZ!+^rKk@4Ko#$yz=eO2g`~N=c zoU_l~_l5IkasK1I;rE$1Z`&Ate;nsueK-6*1?OMi6Mnx3=j-ndzn|y{=lM7{-sOKs z=4amtg75#6XXgB0JKO&EuNjyKKNA@^=gyF!p6+mdTm8E=Oug|4{(=37isv8)FK!4qW)3gH??2s^%Om`r zDxBfo!TBzn+i^73V+S6axGX=U?FbAkN>$`3pE-h;ud0N8ntD^J`xV_MZA$6#jD` zKnKoEIG>91a-4T<=znP^`nweUbB34`CY%X)Bi{gA`yII~S`?+gi2{_|b%f6`85 zc4cT2BDe$RPMmke>mZ+x^D`YG;g8Are*6w++y1`^!1ImxeHG51#`&KeCr(GW|LfWH zKPsGn07v3{@INpx2lCx;{?k`Oj{lhf%J+W;;7G<1?EguQvodVkn2+DEvHkx)qyIBv zR>i-1rae9X-GIjazpD{V4epZXTysm4B{iEMMLy7YIefQh7fBzqQ{uUH?w#Xl!0Y3}pf9G%C z|7h?yiQrKAy|?_P{*~ZNAkXBqPkZE6FZBOi1z?0(0ep$5K7Z?(5i|VX|3?2;1uF8a zhWVRvmIQw@r~J)~Fx>b#+C|Y(fd=$UInT@hfAjp0ctk~>|4{(y@%%69M@9|N;JFgs&5C#QAKT55;*OoZo(Xl{fJRoIi#0 zM{qtAXWHR;=UXA%@LQ{FeDgX|2Nh3=8t^H#TmQ^0_+?%`(;IhRa649qFB{#WfbREd z{C2wT_Zs}3ir2=XHL+cmrjD9nRG;>8ePU;JJT`F#9t$8WA4-3WvGLB0j@{qZ{u zdkgT}j0XEL``a(}ungb;D73=hf%u)q?}O}bSLd7Xy9B>CL?LRbWaBoegP{`QS>dr0vi_?^b@CHTD_`fd>dryqMM=r6_Zt&ksv z-xegjtUSG~W3@YvZ0opTAFJ2Dt>XcA?%Q_%@AoOaa`NQ#s*bbg zm43*c@4sVS>4j4#kDb}E?}E~$Gkd#34fJ-qMrF*5jz?}=xS*qHL21FPA;^Xx%T#pS zWW!8Lqm0sj>Ud&7>3e6!_D%Y*v3+CyT+uNvR(ja<`i5)#&-#XgZrpqKIUV(}(%AGS zz$U;h3#YXJwls7+5i5P~G`q)^582kxG3x;PJ9%;VoxHf?B=@_hG5juS?D(?%Ju7u- z_?^1+ARjJsY{%0F*n}n?bc_2MlX$RW)`2#m=8f=sXv{TGySRGCsRx$6Z}QMs$CnQ* zJ$mZFvu!)Q@qpcCcVsSGSkRIDQ}L9Jod=c{PCjLJ$E<@&kDmO4!j6;N`LksmUv}r# zMIBGLbKW5xvr0;j-ZOECYv{&&wd3x~Tp^Z}7VY^ISXE%XmQ6lzNyigbf6mx@gMRY# z-F!Hgs-Jf(Tv&R@wAyyp1&q1%%KKL>EIngV#ESA1e|9r73@a&@?`>E~xxB!zvU2%M z!`jN_vkWRM%I0pnEA&2Paj;m|D+={D{kpQOh+wvNl(ys!@WB`1zrm~F;DFxD!Z_AHFzS}vCAtVfLn>yMcf2K z$AI4qZoU&Do(g_9c%JaEKI{xrApBR*&&`-^QqMzBgPgfbzdPJQu`WSHVrUQRj5r<> zzAtzZcAQJ#jxz8v(O>R(WDUhduo3~*z>srR+))Q!F8ZGaPY8D_*|~%^!VXK$t^ekD zrPcRciUPOFnG;ltA-9&7j$0kc@zoRD$8Y!S2!a+E za^96YcDF;43$SJ)famkT8-*_cZ-*V{Wx2!d_8Af?`X}yY<987>iy(;r8zey&g13tP zRg748J9rQ5u(96?zCMxw_d>8icpvy?7;^5PJDvg`0C#SqJBGoxgR{H7Dmw@DSe4PGSrvvv<98WX+{ zyz}lTv_^M#<&O72(2WQ<5GBBS!QJhhJ5B`e1LuIV3VZ;Z_Rj$yzX-*H9rIZT4EpN< z;8$yaj!7Rcog1+PZ-#gbUNW0fH~3C)TR#4H2z&}U8+ku?zVK(k3v+p7c0f>^D=@p; zUg2uAM0gSS65)%%mkD11zC!p)&uy=*fPgu!Lx4&Na3OfL@DzBh@GpZWg>L|_ciiTE9^tj% zL*N*(&G~s|4CSjrqU!6JDe=js&sIJP%$jd<;Axe45RPtLjSO#g01{vRVX75ujFh0z4`F zB=CCStHB$DUjp7_xo?cj83&$}1ZY8k7U3L_+JtjJ>J-iaDLuh`{Nn>s7X)lXHzDF~ z;T))XCJgyX<3QCboC8%x?5x%@yOU4t;??QChB2`{jm%=W+P#Lj0o1o!b9UL6`I1imcjt*#Z$i$k+;UL0D5^WxAB&I~W+MW9mx(2Y$C z=f$B*I4=%8!s!_F3a4X`iA3N%1KXYYgwrwT7f#1uKsX(PA#moHj=?ba_(g!8!FDl3 z$6$wWItDw1(=nKGZx~nDczK!3&*hQfb+Ry5V0fJ@7S79LiEv&fmk8%&av3;FB3vfz zMW9>)@H&~00ByU6k*)%qZY{4em0~AcWvq`Uc6gDgaon|krifRWS~0|{Oi~Q-DzjSj zd6iisoEMqKEIYpc@ha1lC2;+ZSDCe9h*z0r;k?SUik)FU0?sgPqW{`-n}2ul=oCSo zy~S`mEqq__F5yeSdxRei-YdKsJaaFeBe0cz5`sPn&6qfLBWduYf0o^XaR4OT5L-^_FZSc$eB%_y%}SU22Tq=5j=50(5Dx5 zCixey4Ngb`hAx93Wd-i&To?qc;IWN?vyN}}+`jUI7gZC~4X>E7`*SZuykeG3@X!f) z$&613yeVEX%Z2lLkN{`n;uWw$^y&Rn34eBX8^5cY8WGU@sS{4`XSHy8KWo5wU7`2W zAo{#DZi*xTy`QxbfY*a&;k+KS3g`8pT{y1?>%skAp{}g$1;Lj@S_1HT&?TJLgC60$ z9`p+5^&peWBf|?q9|SBqUJth91~9xHY!%My!Ju$n4~B&YSKK-w+eJWEe1~wl;yZ=Y z6`#@@T01j9S3D1#*9kf;1&+J+PXWD_A_+jRB_^CsOPO#wEpc!rh)&D0EPdbq=(ViK z61X-&ucbmbot7%$bkS>s(?zcnZXMI$gsc_;9n&@7%mE$K2GOTu+9aHgX-YU9)0SRw zLihluO#;v{?F46nc)z$_^m)IyK{)RhyUD}&XLmZsbCit~xbn9L?+rEy=e@yZ;k-B4 zBAnjOR^bu7pFt7O`xzEa?`OMkdOtga)BD*e9L|s3D-hRj_5yl8`NHY_6bh&JQ!Jd` zPl@pF`HR*Jv&8cy6W#A;5G<1bd@PfCpyRx%($eTf=(RzQ@0H&KAKRSkInA(dxZE`+ zCHw&JBsj0C%fMSiAFqS$8jm92>)=k|$H7oq_$u%&;e311Biz2d@EPd!+_qSKV3m;o z^cDMr(^u>lPG50AI9ptoz#(Rf#viGM}=R29LF9FRRh1qtOaj?KC7S&yb}70 zTvxQm&6eBUooAR0sCzeM)E(UjunFA0fbz%pz&C?)+~@=E1E){;6!=bXb_z}(Mkc-HVHhd`XM$b@Z$!@cEYa=!8oa)JRR%9R;i)mL z;HkhP!>60KKwzE@Zg~#^+y|Zkr=iEF|4h&~5DbzRU*|2vVP`vd96VHwYkzji%q08t zbO?UG+gH#XH`}bcM%45D+!1g;uRp`|g0u0)!85{-1@9Anvg7XET|WeDEaxJ?R^e;G z2gJ|~;6uW11s@SR_kwS?-1k4`pbvr_V(1z0ox)!RpRy^G5baE|vmIQ?T!Fa{e6#S!z_$n=1m7zB74SiD_Oi)#g4-lx@0f-}FvkmKm|-zg z2EJYNmxGUr{z~vM;b(!H2W_u$?Vl-XgkXvj*n{2aI`DjO>fZ!jApB17La~zpFV52U z{qIQ#Vp#&0fak$W#LyeyOGH24UKCtoj0;}?zD(>KF~{cL1y~^gK7;@X2~Z1ODf;Jw zSBd_W;5EX(1YY+b?wDAl8z4wZ1owll7DGP)UnBaz25%7kQSc`6F#g##V6U65BvKI2 z!`TPCg#lc>*kciRoA4Fjo#1wa_QyxTyG1|xBm^5p@LBLp!dt*M3;#O!7UACk-zxl( zz#}sV0jppu0t^X%0en~ty$QZu^!KvwLtTlEihc}y%yV1*>}KzQz&w=O{XPty2hN0^ z3Z5_eYrqRdKLRhx=C1y~3_-CN`X+cub^xp23tlGtr{GJ(&Tqh%iTt|DO#(QX;qne6{fF!RsZ0_23P{?*eZcw}bxo z0|?eifM0?)3m*Y*75-Q7cHuMZhas*+*9$)&f?$IPmVtK*KMs7O@KxZOgkJ!@S$GOO z+9HA*A=oPXcJM*r4}cE~-vYiJoF3FlJF@w18Mu975(X&qGy?2E0CuyNz{ey4WAD+N zA@guwf{2hL}KV~yD*e*9}M_zgPbwq`QTdAi@}Rw zpH+M$I5RLlk-QQDt%6e|03-g?F7!VOu2s_vE>#nm8zIoTxfOheJlnY&oH<~EGTcpbQXxaE%? zaBYkagKO3Nd_VaAT13CzMS$&|+jq$yyv|3+SGsRQUmrrvuyX=k)u{dbz_))d3Pr&x zSTZii<;!cu=qUVf-`ZbGry|t>^YJ8lGAuLP?w3!BgPX;17Whf%ECw zufUTiIqFBRK+ppLN5I_|xT2e9HiGkVygzua@MWIcZ;P(D#wTR}3HpTR_5lU19UOY= z1CLCzTvRScfL7sO1aAlDv*BC7(-Of(@Gjv$2Ji7avU|cJrB6e!MFPA8zE!x1g~l}q z&R#GZd^qtA)qGYr)x#j|Q(3UIm^U zx8us+9%n*O4*`qrV(>M>*MT>T8wz$h!JCAC8$2a;9*Q7nmH>}~w+bHyZx{YL_%FHFo*!;w}KCY zv%B64ZXU_)1%2Ro!k+;z$l>>y_KxM?`QYQv|5rj# zC;`p_FBaYiULyQD@Fl`;0$-NPBXcJNDH4Pc%GuNMA1xWWAb?+e}p&l5hw zy1)MU{~Gbz_wxd~cmY-cnv6!Y1iTsg_Kl1`mV-~cR1W-j+QBWGz3cYJso?(Iv1|YT z0YNEL}5K?C>}(Z2?KtLT3<@W>2^;9CeVD26tH4~zaU zz(<5X3%*_K`~`f6=eGP=)Om|S(T$0r`QSUn&{FUzKMZY%c0K@}2R;>5Qv+U*&0YPU z13^)?!1Bw$W5T}xUM4&Z9vA*y@N(flvfTUs2@z~VfJ))p!K=ZUJ1%rfTmPjH z-WI1^L_UMTu!f)|Va#o#gF>l}Cfe~B3CM1Um{;M?GF;SYf?6FZNCuMquV z@Py^Q{WE8;Lr@`xrX3oJv`P%^2VO1uaquEIGQ3PzfEQ2j(EnVyx z@>m#R^B}n#Jku9AUp(C4xqVu8%k^He)p6sDFGfvfO-i)C$mBL zlZe>dnj0~l&mKuAUA?AA3_S}&DM`>6cv|?(r6B_u;fukCgdgp>^`H>%`1Ai0A*hxF z)q%GOZvY<>-VEL;yc0Z~%OlebL07K8WWalbZw2oaJ_4Q*z7xDpc)?+=v9@hE%Zmk&IRDLKe3J1wSTtit05Sb03G0C!n?tZGn8fL z5%4_WPk|R?ao_)5grF!(;4Q{OzmEx@170S4F?d|~G2rFGYvS<#6CyYl0V;)G30^Jy zM(`o2nl5nj^H4(8Y4*qc;AKCD|8IRlfBeV`=9!rE<7dFrsN+iLzXWd14Rufc7I;$l z-iM>RlKb+v#$ph}&dW7)ICuj%N3;)uw+TN9yhr$I@P6UZB@m245JS$c11~r~w^et7 z$Ay2(^SLIOy2e{zNqpaP`(-X}Ug-`0BJjwhMeutBNJ<@#c|OlnBVs0W+7Y2h8-y2w zw+UYg-s8C~e;P_a&@TZ_0v{FrDe!_dp@eAXGVnNffiDkZZU9eY>%02j06{WaVEF^! zDd9f{PYeG&ct-de;6uXqeh>Wr1}W+iD{wAUz3?MFx6d^%2sviXJ`P?0&XTwQcACV_ z)!=PEf&ZU}BI}p@N2Py1;<>%vOLu(=JSqG|*y$X%&;GY81wJVJGVoz=M*Kza zksN(n|F=Rgnj zf$x9$?+Yan7k(gkx$tt&=a~i>pgs(q5?=E@8^0^+N-=aEBB&Og0NpcW+V8h@Xg=_7v?%9Pl3mUzW`n>e9Uv}|Hsh%c$d1z zk)cRSgzp2MmLgr^xh*+F5W~aj<>2X0hlH}^P6Rg%mb>;}grYkQf{YaD1>i&Ayx3d~ zJ}Ua{;03pZDx?0lz++k5_rC`rh(i!Vf*$vLhUt)(W-?LP}cDci)o;3L8x1>Yh3 zm*AtqpYwd4>0yGx_-D-P5VTQ%D%f**D7q2J*#gh)jf!hT_K5kv#w-I*2tN)yDLgt2 zf)oTSq6@&&!Xxku_z+rqC-pB52_nCn_8W5(`lGCRdB%J; zH-ZJ8+i7{yjSmA~;<+t<*74C0#3jH=@MXf!03VgAY4qGyjU?y`;0bWwEnWTJ4ncLc z!19N|lft)wH%J7325%Gnz1|;6C=JdkF0tG`|F_fWM6lckunSsAj!ytzA^bG(F)4|Q zz|EG^eDkEAah>P$%;;^`hxQ-yV(W?_K%NBXMSvmn4vuJB!4n%oH>RCY@P5&sesm~_ zf^mKHzl9LQAz%b6Jh!VINrFxSFA`o4J3~@6*MOT#avSN*5e&t|&_?hw;XeVd#uJU1 zD7kImtHJ4%j)1Qb{<`P$OcXUgd&PdN)H3C7XA_N2H~s0+r)n4`CQW#o)bi- z3^};X3+!xoG%n`ge(*}+KLxKA{#)=`;eQ5C=J3dvJrXX*_HH*vV9b2*xKzR6;N`-P z^L(C3OG$hZJR^Lq<8H;IAt|B`AHbG`L~ti~rSKnuR}0?;UMu_+@R+@~wD~vgYk7Z6 z{Qycr0?Y?b3O^jYLHKdtEy7O+Z<^pfe)Aa!QWFB7pzFcggnt9PQ~15$Y2iNwkIUWk z?>=DT_uqcwsnzB^P!t~wCD9;lVitIl@DlKp@b`kZ2(JWhi$t&rf==OUz|+F71n&~w z2Hqq5R`6c%@%cX+A;?I8AA$D?9{}$c{zvcu;XA>Ha=E?#JvJ2eNUp%dz(<820X`07b%E!DGU21}_ud10EOt1MqUkUHfNBxzSBR0&t_7 zO5xn-rds$`L{KZ7``IM3xbJ`5(5601;Q9eKu4xeNH?FZGW|MI4Pm>bP{b^c++kI%l z_rGl-;65~+!nvSGTXMH%-eUZMV9;dKh+{wiU763E5I9s zuL4gBZvd|sel2+FXY%}iJp{cH;2!WM;g5i~2>%s$r|_4+)8icdZ@0=&L~Y}OP-*kP zdxReb-X;7);2Ghkd2Z(!;QoS*aZLn4N(5KJP@foT2k#et2l#;S4ET`nr@*5W`f(mw z=^r6zk%z`_gLerp{Kz{`-56i@3pXCLUu^ZAx7`%@^6~D+b-CIkz!C&V2|xBD9d{g6 zx_{IuoXc{hg>zZ1F5z63t4BCjON8=gbifMfkfXj0A3qJ`N8xZ~}@FC%s zS^oEvWNZVz4gq3Y!)^8+sLHQ^mw~eiZUc{tK6mUZ2cHHzcSFAdd@A_Ej=L8aRS-;v z;AaR>3vMqv{&)tw5qx*>KY*_Vp8@_l?K~bb#)zjKAKFmTa^Ha|*bjnM7@7h@OTg>J z&@tc*!cW?TowLB3CiKGq(g;CnLg1sm4!lir{8jK4(f=0pg>M2cc`B4dDKhrc<8Az| zJ9SEc-}nG?P3GivUbPDW-iD#H=+8PK*y$3!5WETz9{@Yczpd%+tdf}esn3I7eaM*I?Ziuz&vvwIFs3PnAPlyYo0D+AvSJ`FkGQjcTc z#o$~ivGA9y9`gZb3?UEg1i{Tnrrz{Z`TEdIL*D zAL|W7W|;`M;J^y-Jwtj-6(WeEfAey@iW&G$$UqF;DJ)Q6-%X4C&p|(Px6`-f&j4Jw zpjra7Bf!wc+z7a!L9OWDjf9pPv zK;KR?^hX=?%S8V+aK!5#V1?rz2oln59tCegqnixAHq;05q<;aucmE$oD0!q)$r zj93Ef^|4TwmBM4-DHxi81RVxmE&3ny+ZUCVxC6XiWGV zaD(eTz1}kLJmJg13xux(F9IKbfx(SQ+R&upGfG+^&KGmDS_W|d=a)aRP zuG~X(2pmIxG_l{D1XyJ?T*M>7&q5BigEK*&_T0XW$D^PG29_3Zb9=b#x=l{qakJ-k zRl)QpLciP@`1r!bBk}-YrM+&t1dR&kQf*_x{Q_lH-~1wsq;y!gIBuTfuKm-s`aW`& zj{xM{4xj*>bZRej&rYxa&X?LaIc9KqR+i1Dny@~ zUsMXWn_q+%7*%43n_tvO0B%}QEBf5CA}O4ER@4jUo)rzh5dWV$t29Xf?yQm$&Ye|S zz*$1vS*2C}jo^b3nVG2CA z< zH>1`w^GBoSbIp1@jw=NJ3Jf(%#9iR6;JoAcK6v{$NB{dd1nb4n@4z<*-vQn|ZYZQ^ zk57c6-YEL}f^QOjXavD#5hTF3fU~GS4&E>PQ{Y?0&ZXdk!dt34A&l-N&Hc49?5+nY-ZJKSUR~bNh#Q|Gx!>;Fyf>AJPWSqT~J{of7c{ zh$6hU^a_BU%{w8U%_mF{+_7Hhd*NDcRrm4cmydOkQ~tYRQ==e_-;rN#8)}0{|ne3 zi4cHw&v!f;;MWK+DhYb=?~Byu?G5lT=+8lp_c$eFxL_b$Eh9!e2LfFslbg^KAwU5P zU5y+MZwrPF0zU|LwDI!6WZu&``jIKO7F`uA$rTuG0a-1aH!6+7xdC>kaBlgsNqE@W z#XkQZ5&`#inet3dO2@Z`EECQxU#f)jPN+fn;l70I1n2MD8xq(4Ikq3?1#?ZJcAYQG zB#M;Ya2cv#FYs~qTsYnHO5t?RYqPlTe{`$svji>=~lN0r(4}AoL+U8aO+hE z|G!rRbgTP>)2$v5PPcjloFgW^>QUkJs>cT4|FcNxR_CErvufy8=Yul>y48iEPp`U2 z^yyW{$iw(&4BhH73Q)&%tK-7yR+ob_q1?f3h3M0(t`Hv4t*#OQ-Rc_QbgS!x)2&`D zoL==B;qa;>(*t!@@hx4Kn0z3O)1^s3i;Zp)u_Nw<1~1fW~pEu3!kM&Zt_ zb_3cb;qy3oQQ>hSIIVF9jb2XWh4g4+;N<<$v$_*ojk?TmRp>+mmnb z&5iE%BQVrE6h=Un&{ogqn)*}Md3{d9`3v-Q$+s!Bp(HY5hkirick=vyE&^;>d%BN+ z506U0`(TI;2px*db0MJ%eW-~k9hJWeoY#B$6E)xk!T&La4#jE+=A5|j)Uf1bR zY=9vab;B+a$_xxhLg{F9!w#!}enxNL6APGr84)xfrKLz|8oUhrAaL%2mq7$9YQ8b; zlML{UX+L=AHMalJlc_=k2O{8a5&>PP z9^rJMdWG}BWJdV72PK@IPe1th^M86i0}_Cq&ya9>J|n{E`HTvu=QEbeBSVkH4CY>J z=&|Gpr^8YpoDNHoa5^k8;lW|C{(qSW=&{6w^EqI-a5^jr;dEFkh11omcHFgpHVXQB zwGx27UQ#%{;dqxPh|;QE2jV7BAotzn{aMs-6@T2`UV{;qo)TxlZG9I0zwOrkSbzY7=-+&{6DEt< zdqr?2XesnZz&UWeAAAQmN6Z_oK{u|L--pJ&TNwY0>4RVj1dO-}hVsB^h*P!-!Fi3~ z6s(x=(_m)_I7=cr4}v%ZY>b?ORW1Q8g}%CIE#O1q2XG433bAvojmVaS{eVFP>tU!u zIHzV+g0l*~4gG4-{~i-+>U`BQ$B%*6czs*`bTS7ZsD&X`!3*GZ62WWWBjPu3f@1#j zp^oWKOkL%2Y$vfl6s{2o_kz;Z{{dcLFE-K(mVx&QKMp)2d=+?~@C(5Eg{Lg{&;JKR za3cZ?3BMhDMEC>Xqr$gS>TA;|l6?zLd5{pq?*f$$>mBH@RC$AljZ zUN+9r|2_smd|cq$j9Cp{F8p)g3E}?*UMc*)!K;P$xbes3tX2d+1WyWg(|eqLz3@MP zHwfPe-Xwf)+bNu#C?$deA!rf)AK-1mE5SR3p9Y>5ej#{Q;1kdPuY#aQ0ufJ5w71ZWrjd+;voP@KHoSKfeQf416y1Z};5JHGMdYfT^QH{N^zP$VeRzg7*o31-xJQLj`-lyJ7rTT;wixE2dvIc*ru5GUpb46s3{|7AY-WOMf;jIlJ3%gf z6Jr1rH52q6@B~VN{riL9mEat3J_cSRJW1XYN{;%UPTKst?za_gGTrbi5g-Xebj8k@ zA9B1}_!ppGFT57|x+~u$;GMsM|IY~4L7+v~4xU|f#va^|Yz-o2w@A=h7_#q@{lQ7;o!|?={|5b5a6agWzMTw3 z+6w`zfEyHQWBeZUwJ~yM#0=~dB4TcgxE0){!yk_&eY}y0!%bcY0XHtJ1&@J0ykAIY z{oSF2*ckaiLKF0tK%evfM-g!m_#-HZkTF~S91!{;(428oJI&cZG9*ZI_JZg3{oY&Q zDwjsYe*@2Cb65Yf&vJ(BjltWw0TzK9^IzUX+W$4?81OWB0=yP{Ncd&ou}Q%WpE!Kg za{v54CPQft0+h(u{!`EGj7hwpY(&H_dp^Td%Qa%sCqoI<3NHjt{zm-&gCVGw07rp0 z2tN_LNq7=GCHynsE#n;h?^+1j#swj3Ujy$H-VL4>{xEo#@F&1~gg+NS&?|y5@Qm>3 zXNMx~6TUxqKRA2G;ot+{9NH_uqa9-C6bN<-KM#D$Z$tN^TYUw1AvissHt=HMw}F=g zKH>l02f-2v@EG_q;e+5Sguen_A$;=cP;yl{+}8gb2x@W!cKHtQI^oN~R|{VWz6P9C za29x@@J7d-|G!oYU55b8!fyg^6@DjpyYLM7df`ukZ?N3Af2Q<#2)ZS}8{iv-=l^FY z(oMn_fNvIl1o)N-9{S&hAlM4Q6bvji-~+PVKfulVv-*9GyY^2* z$L}KI8suO|4Ap~=2w&^Dz0JnuJJk>x(HF=+6JGZ_?)%@(6buJJB^q51xOpz{mgV+E zzdbfnKlXzE%?Jj_zm&_jlTRM;`n13E9JADWKBExON6W8wMKsS8>=pu$@7Hn5aOvD= zkr&$sJ}-o8QEZYoYbwEGBZ0H?tO73qXRloYzC`$y;LC)!1s<6dBDfU+DuizYuM++v z@EYL*;B~_PX!%5w?D)=b>9puLG@}%B^FkSz*S!t|E;L0JL!nxu{m++bAW@ieiws zA>q%1k9C|i{ouKgaSwUz!F){HGX%>MUJPC!d?|R5@C0}aoZaRm@RGnMT(#8@ERg`0 zfX5|*hjtGMEf?Moo)G>lcx4W^HQo(Db&kN87DQYtdtI+}QrQlnkpZ8MGUj+Uv_!Q`KzQJZ|(Ag=n+z-_@ zL;hG|E6E9B2tarIYUDT$Zab?#I5|BboKwE5!TG3m)F;SZL-ri;;bXW|7;~PB*nVaQ z0UJvp_-1e>bRqZ_;YWFHH#|9QosXa!otA6VrKF^vn{4y%a@-<<-BA*4!smf^3O@=w zE&N3AF5wq~_e3JN3W8qYw}NMc-wWO+{1jgj_8U~;=YbD^kGpDDKrkc$+Q3JI-v&Mk zZX2^d?gJka{l~z~A9Kc=$P7Y|mn$%@fENg#d_G#Q@HyZy;bq`u!k2q)UA4FfRw6*T z@Uy@Z!W+RWgk3%bQ%!>mu?yr{xuZom~bxLWZ+=2 zQFH00JmJrW3`C|t1l@?B2yaB#s=tpqOP!9f9VN7l`eJ80cue@);ANiM@}J3~z91Co z3JI_fyh3<6c$M%Iz-z$m2L;O^8qi}wz z*(-MVsb*$eAN`M?YHl7EggWD=ntfu3pKA7tK0kEaDx4oW4v3vc`KjiR1mKsB!@~J3 z=!h8Nx1gh{^qn$_X%)5sNffq zNzt#h0o}ExHt^}=4=OIbuw&on?AnnH2yh@G<`a)miGWW$%*(-{V8nc)QUK0`@`*~3 z@DuQ)B^LO^+L71$MrXgAIwK4?NhIh_G{!U%#F36mFm!?Q7V}}~cZ)tBENsl-wnF%1 zVN;I47(Q9pESygkwg|_Q1+!H+A1n+C_YW54*t!`O!Q&Tp{N#CCHN|+3$Q%#jX+b_X zi<*<)^$jW4BU62T4ygK^ERT256IH|6^7=-Vv*q=T>a(Z zv?Yhzs^N2uwj6;md>qj!oa>LKh4VQ`H8>kD*B?y^_v??kd&Mp>^cr&3BYfJYLk@a{ z^RZt>I3N4<3Ev-f`Yrck1&i(o2!@0zu4PM{wd4ap>YVe1_6VpaKiOj6a{C@^1 zDE?%)!3KYgeCc4|B|E(S%m!Da_UYkC{r*`-YGH`sQ1Hy>0*?o5D!@m<3j&YKnGl$! z5P(lcE(MxlSAz4+=?3uC;7sU!;Aew2j9~{du#_!i++I}NL)AT0=H`ZI1s!?xLubBpY@7>3mIjEbN!G$ z;ate5UpN;s8UVMK0Do{Dqmh@RaFJjYZ~>xG1Yo1%2Mard^Mi#kaN6M)*9P5{oS*sR zfsaq?=XXB&5`bS^6bk1T7sbN)#YKs5elD~`c*O68mWhDh1+4&Q-Sa!5O3{DGce5F$ z0lWZ3J?Wx%et19Kayx#^HZktYe~cOAiVG%ueXzF`(h{Ukw-mS!IVq zKL!12*gwv5`x4;Hb)N8*(K(*mC%3`Q#6#>$AxI$t*5&8HTZHrbLCwH=uWv_(OT$1{ zh6Hgvf?R#uF~5TV{m20WJPbZ2CBchI-I;cZrad^4@|x0!gpzMT2HL=_*YA&CTRtVS zHxl?gej0Itci?o-6R+jETz^IcI%|)gi1vv7y5BTx9%dq@4`sRgb1DmUo9o^CvaWc)--y@#Co?FtNEGkzIG;~f3>_ejN$f&Ki)%rMJ}%*zcQDv zCXaIYTJn?#zCyuOxdHAXzdD!yl>C}p{(JJ~T)vZh9k_jn=#RqBBFEPTK@5Bmcq zS@+Aq+rW95t^#itemZ!k@aRG>uzowP(>?G&==G*?)#3m}@3|kG-gB$BW2cUT^YZ-- z@Im4C1Rj}T5j=_j+lA9T-vQ2u2cSO&PUrJQ@Vq~T-bDTuc#-F}{ZlaWk}zbJNq`dY za^dd-uM~bfc&+f$!RxcR>wgUpG-V4czXrTT_*cO@!CBPb0#6Iy1l}e57nb{n*gYb6 z76E#N{{=iFJnwU%+)B>X|}RNxc-e?J5*65#jXZNgsz?-ai0rJ>}~ z!i&MXa=7h(he6PjBQWNJ;Jv~>0iF?F58fyIa`1lPUv%6(m>dwnEeJ3K&ReaG;KQQ- zWAN>w|1|ih=)VL$X1Txqa{w{+8I|*f&7VW}V8pY*r+`zx6g*$_j|49e{VMRH3GU-J zXF?F05cvLgF?gBqb>MN~o#5rdzYU%c{?KJMewX7)5j>6n)xw9tYlXiKo)kXq@?gJS z_NmbX42YFbp65$!3TsN3_c|MDDV;CCwgxE|4|Vn5nxRCXTZ&l(|qG(FSr&w zPx#ls3&7c%y1@$_ckQ2ohao5uLr;Lmgg*yfCVUJ$E`0hGp+w8UUA(^k?GM2U32-=g zh42dSD&ePq*9bokyiWKPSJ?Pn_ggK3HUwBB{5J4L;rD^B75*4_v+zOi)*bR-@)Zc$ zCBWn>L(#1lJ_mdQIIFS@yi4?#gZGe!@y~wr4nZ#kzKI!g7I;Q@BY2%jYk-vmA& zJh~HtArWN2M}$8KJ}Ufq@G;?UfE(P@ve)E?k=MSM6v2WZFa^So051~$A@CSDtDqLV zMD))GU*fs_!4zEy!7>T(CGZu(H-J|NzaP9x_)oxVz-sxfWF}vW$ zqeRo;8Bt_fLk*aE2$qb6%PSk>rQmUJcGv#`FBko z-zjHLdwn|<8;??0_ru^_!e6;6ylK&=UvGGQJLCG?b-oB{P!LSdYDv#NSBIvuM)<*& zzf(HjlgrW4Z6thwa~54(D_NU0}seCvbOKZiN+h@DO-r6| zeu`2cJjq@V^6xY2|6D-91oHp8#Mk{i(<9xOL;e6FV6WkjKO~$({s?%)0PKFF65v`i zx&(L%3A+tE1J07T7ramSkHPzMd1SU(!8;8U&m%w#E3+}7TyRO3ALW8eap?197uQKD z2WLXN5 z-h==w(i-p-IIHGt@D|~GCDbO|z7h&|TAdJZ1iToAHVD58ya${m#L005Qq-IrS0tR1 z<6>_|xpm3eab*&KlilLNIcct3I48j+gmW@oB{};)r+8IUfGXfb$6Dd>Lqi=Wg}0%E z8iaF(Mw9Sp0|IPBk`14V?e4BUwGIzEfBB~abZM* z#yA@ZdI}NLOAh|X1WCjdD4`+YTy(S0+qdPY;{N?Rmn4}-S}e{*3-P+EAs9Z1~8tV{S)m4WvNKgZhlcUrw7 zxEv8@gcqYE`h>^9`-NA44+yUXA9@>iS}f`{5R6EG6!@s{cJMLbUEoIA7FP$YKo!gk z(o$0I;WJYed^p%uJ&0AL+k~c5V7k26kPS6jv&yFWBvfHGqhjdMFjg!4jD)y zLA=VX1lI&z4IXKLsq2CvP0(!cdPFb}-IDtsHG|Iu=c4;sqTIv>37VJ!&Q0b#ViTwh3+prH1%f zuvP(gf>O@K`?E^O$3MQWxfUtEBghKillpPwI3@kQZfVFso>bX6&@T{v`8pfF%W+07 z5?r-64~^wuln_^bR(@Rg_|0rb1clJQ0THYI&7Rxuqa*n9CKWx(tKg~mfv0RFzW?pf z5*mdm4uZIk-7Yx^9v6NXcv5%;cpJEH!PeHP;O*dO)8@h!8~-FT*JSXpscdS9`2c%$ zaoy6q8Qk+dkf3GYdEiht$AK3JUj<$yJOv(mGYS#V(2Wq3Nr2nIRFBkqactZF~ z;FaWI{Il8HH{`gQ0_1o$c&+eK@TBk~!Rv)rfj0<`&V-;z1Q&y+gs%f{5q>*(oA3v~ zJB4G$R%Fr;OhJx+ivSxWz^mZh!l&9h7S~ob3NHfRB>VzY!Di2G`Lpi7;9Kbovqb`= z!MB35^?nz8K=gkEJ|z0vz=yN-UHxx|V7mn1ryQf;ETQo^XTmu-ZcI3LjNU2SZ9VP% zfAiPS3mC!MNYE5;me8#0Ls91oUkF|(yd1n(_zB=8f0Z{aXF#w-0$c>XOn5W+3gI2# z6~gZTuNvp*e-A=XGcE|Nu^+rn`0v423x5rKjqpAHE0kQL@Zt!9wIVnSyjl1M!CS#u zW!2zqqJK7cr|?U_qqGRFhoDRNdhj0McY*f`{{eVL_%Ffx0-u;^H3C7u1o$iXfbbcg z4@Ej8`~dI~;mg2BbGWVl;~*Hz5!e?J;Kr?vZx8l@3&8V)r@#w@-w0kL{C3Bk{~r^< z0|-zid<%G7_;10>g}(}(5I)tu+;SskrRBc;GiOB*R7-$Ez-xsc4W1PKG4OiftHB#4 zc<6thgP;ilw(51@Yr#46c7msbe;d4cA_8A^4}rIe{^PASepeK2A{a)1b}{ri_}(LeA9y!7C%wnPGm%7aEChYRPX_N7elGZc@U`GW!fyZ{0Uw`fbt?p;5HM%= zf{zLB12=yQy@NS>2D}iQZR=(5;#_Y1zb}TOE6Ej@LhvQR4+dWb&Ngurc)94G2%Zq0 z^xQuGuN1*&5TIK4wcxeFzXqNJXM(!HSA(-e9|m9JxNH9uJOM!?0vLDA7*g`-7*mxbJ_5L(r8aaQ&tNyhr#c;Jw1n1J8gnV^@Ip32(c>`~P-PD+u=T zz142ChyX(p!F}K(!XE=46+Q?)Cj1p}^OpGkliNbk<>7e%i+T=tf$%c$BH_!yW5QR0 zmyw6@&(5fVAWi{Fq7l3toQ>-`@D-we6L^L2JHe}jM;QoeMDQedo$%+uR||gwe2wt@ zFNG3q1ZM^o1Rj|t32+1gq=bJ6yhV5|c$@I^!8?Ut37+=+po#1H^9#{!A4mR$lLf;X4vOpJCfj88P=oTa5sFB0+pO)*yzss$lCL zp@dix-15FtxZm>LUX(XvbJtP2_YNuQ$rf1tJ@8H7Gms!|dA~(Cx4hpd8Q^oyLFlsz z+;dKUqckEB^Fikh3BavuOA=Mp#l!ntHkG$@9+WX*PP7BwID z?-YG*@S2aDu_U;NM-e!ynEShy1Rfa*I3db53ST(m+^OM+Y5uK>55#UGp~ zRRzux;>xmwL?OMV%A z(A|+U?!(w5{hRwRHp>9TSHi8L&o{!ImizY4R^5+?H;AD#PzBw>N2)^AXa@LdIRkx; zuza=LH(@9AKfYTY!}dkIQQ`)W{RqI4;5+Am2}8b&xB=vlaBcuOBK)_UZagZ2?^_Sa zHM%BL0U!B}f{#I;Ipe;K1|udfT6{B`2hNiI&56N&VFUrY4PTPQz!|~IsG2h2e*=#T z=bPDbaC&@CH;0H5;1MIv|8fviiJ|%6HQ>y_i*{geC8UjXG4(O~k0r;&f|Ia=60#2p z>{ZAa($;$<3~B2<4&1d~cQ8Sp0I$!D!0>gUHpcL}(B3FzwMK7{@0PXh`EFV3p0Ac0 zq=e2!f>_inX)bH*OSEI%s)J`oYY~*~`yvEwmb@gbo9W6*TL%|D*4AnR)mCV$NhrmcG@mpjU?=4&K-P~TZu48-lG90$*njgAWRS z0(@BbbKu*BkAd$HK7F>0-__+#2zW2GzjfsuH@k(N&YT?%o+rElyg>LV;6=jE1CK=_ zxB`MQ;ceh?;kSX83%?IMA^b7$O7QWgRf7;zOMq9vYlTm?FXUYbC56ucuNPhh-jK^9 zvmAn^T!C2$o)Uf*c#H5x@HXMsfp-eO$#Xjhr$ull0(1$_fcFT061-RV^WYibZ-DnX z?%F>aSN@z(r2P_L0r-IMBfy7*e+Ya;crEy77We({dWCmytqbM!yXJXk+22>p*U4>kzr%!6*>oOrNNIN$$o5?pU(77&m9^0uq6XdPl?}jZ5Dk# zde{QayGgq3h56ooWXchBo4s9g4n=xeZp8Eb2xuq4HmviSozUmJ-4<+IzzA-Goi4G% z`Mg_^pzx5#=AS#C^hf~SjOoq`&mn?d(dSK??#RH6QZl0NZ{D2$Ka`UqJ4p<;T743L zcXhh819w*G7k%FC>6Q=Nab>{j`}$}18$-^vOM)&$f`%jlevH}x&MM%?s7=DTv&zVX zozVWcv&!g%z}GT&Rv8n{omC7H%Eri@Ri=P5W87IKU-;U+ZTzm$r6i?CrNSkNf|86EFsW z9dZ4^y=Mx=5ci%b7S88j`l(cvFHyUK@_u1Pqwz=L1OxPls`0M~J|1m#qOj17({CA0%4;e+U9TIOFD3Bf|Nm;;3+bsW>K_x7h}5 zi*1NsFivsYwSPv;-L&$hwLfH^&A3KdD7+uMSU9)wDiO}zx0Yma-~YJ%*0L;tD?Pvc zmW_CY@WnR8E$SK=%fU=5QgeSpAVt*TEMNM8l;i( z;nddY@c;S5pL<9(NdSJ6q1OWLEtL{|KIPJD0r#A0p?(bDD_dUYzw%zZYK04($oebc%fAvu^dkt;7+A=k1UCnSfrxwv zyc*oz82RHB@CM<{OGC+3XD8Iv|64wQeb@wnecx-BIm4<25{QxL9a<(w9bdG4Q3+q3$*q&$-(cyJB9xl zJS}{x9WR`V)+Kx{c#rT!o?CCYR|LxuAS3)l@IK*Zg7*u*2z)^JHI|S24Wa#qvfyNj z6pHSsfDNmrU{>x>O-CakoGTO53#UI(nZpiQU?DQV zYsxTq7?=GuC3wRH1tDi;2*8U{^xR&ik)T0Dz#)0X%#Z<&j2x0HgmXx)B4_<`Os=5-5pYPZ6V4%dwQvr} zYlL%1ZWJDIOkOJj4#~~JIV86V=aAeE&KnyJ$(^E)A=!SrB?7Lq(EJG?hsCW7%B4B@=NC>Op*N7jgjhU-pTD5y*Hx!p(Gth!UY zIxikvaVRYTxUf(PoEH_YT+}K0vpPDaeZo4oJ>Yz_!;MU~fO9&_ZkS&)0M4qnD@Xo-#X(AG{j#21XOa^}#ej95C}?XSPp} zZCv}?s_|dP;;L4nFJXj+EI36?#=WwuAIERB~aAu6dLCb``kKb@SXqyoDO5k|V zDV)PWS~!P;E^tQ7;h38-lW;!F+AN$8v$hE5er8+2nIJyP8i*tS zA7%{+=SF8E!nx7esBrE>HYS|gkeR~Jn-;O|x!+hG1mxVGtf1rYFCRR2Qc=fQ_7ufL zYvnG6w+k?TtAC#m-2v!ZoPD=*Zs7ZYbJ**HK1V%HzAG;B`jO!%z}a`3jtqu4YH*E> z9WYdm2>8NBhc~|0DG3o6&g$j+n>ct#kZm=bWmgUX$4R~}(FnM(OEq$Q5E8^GbgIAD z4&bh3E`dHv&OfDeqecZHwogC(!KaZ`IVm-U)BkHkpVR;Az*%yf<=+L)C)AwH@3`-^ z6mYgZ>SnxZINM&UhI7yB5drVJUOYMESR>xUUI6`F%S3|0sKFIwGyu0t?MKnkm*z4j z%`n80tF`7AZkZ|>8JuuZcl@=5pnu|BNB8N^f~=sSDfQ) z{tc1=&gQR)u>J`<>G?jTb}bF~fS$2&guOBKOtB|Ok&v$Arqp81`|?U6$}kFZ7?ORMZ)k7;w`OF(XNW#gM@7b zqfO0fqa7NxC2F*xtD+q&wryIYf>o;q!D>TC8@1Y0zUMyY^_t!L_0E3!etiG>eD?9E zNuSrbu5-?HexLiC`(81OyY|-$!OCHRuuxwDUnP7$oVQ#p{8Qj-gr5lBBmCX)bz-6G z){0;h6xIoE1n(Elv%KrUSp*q`ACT~ug4Y`5fY`MV42r_JyZJIUyZXV2MRpl@gYXXU znDFm`H6Fen6*s00qtQ7**>NxmpQ8*NQ zj_~8b=L$a^e7^97o(EP?FPVk)YZtxD_zXi`bZvD@)2P5nN zoO-cvo_1a$oX4z#We~9W)z4XrLj9bzaDUF)p6e1jJWjn*!t=EAD$j>rXTo#VtHlt{ zS$B&ep0h4XcpkIv5#D9T`}$5adRJo#XPv*_4ncWO->q}2g?mC3a4ufBC)6jLms_gf zoR9Ky%K$j1mpmo6(eq(f6|q(@d-(*>5Z^$k5pZ#&5xj|sFe0d5g?tT9$)fgcBjD;t zBjDmlBj8~*jev*Jq7fK-kHFV+weJ>3;UY*QSPlh^fM-E80xpg;0xpi!J`Y5N_FX5y zvf-*pBj7_OjerlCGy*QBGy_~r4YTh%A+9Yn0jH zGvn5%Mo`}xm4xzUu|~j8EieMw=S^X;AJlIOYYzCS1&x4PtQrAt3QGj_&wi_Y|EUGL z2+|1L6=GW_Gy*Q~N>~_kl5~*WUJvWgYH$wq$AGU9J_o!fc;aw@GHo+h2X5E4;qY6<%NH z3a_tog&!GUW!xiz`a)NDeW5G7zR(q3zfUZj>)c?22vr{)EzX?7aoJ*=(!DoPTtES6wdwMSJpIh4$9)&`|C|WgpwJ=wBJjNMuY-3AzZtwJd?k3-M4A8p z9D25N=YkIk z4=#hiV13WApaZ-?`1inL!hZtZEc{XMxbStJ2PPqcx1f*|{!j20;XAGHE=>uKgSQGl z6g=&?^*?ibJOpi`a5{KK_(Jdn!UOQE@Na>)59eX|-$_Gg$M$)Z`y0EL&zX@I7Oi&S zn@k(paRT9Agq_^*2&`-ac!%)Kmb>|XUIgQB^AUG~vp?7ue1(K>0beQnv*4@5&RO84 zJ!SrX2?X6@Xo=2iWV)K){spO@H&jIgIj6e_7!?@wgbAW5jQefh;n> zZT{o2+a4@|0$s;XpDqKRfXc=FyZ+1PIbttVyFZ=DiN|iwhYmsU z$fM2!{^WAdWOAtOEr|GE$XO1ph7s)aeP4N(fU|i|0$w*5_mV0lDf%%{Ou2>(3z zO5qoPuM&Pa_-f(ThdiABuMxp*Q0NhUANX3~kAklg{w#RE@HfEMTkggmy66K4Hi*LZ zKkzQyD0~m_O~MZZA3e!8KiWSUe9RCJTxos|g2o|%6MfPHt_ROa$MPX~Rl<)gde03+d0_52-3zp@=1Uug`fA_sXD;>O z%jj6_CYJl-OB?&ZIg0L6^x+LSJGvIf?fkzMO_4bf3WLJ=mPhUL`I1NN^ZAlT?eqDP zN7v;CHtp>5U%->gnxvF(f7HJHTqHD)gtBkvaZ>Ht`7+1hU3(aR{cVogxAW1f_U-)O zp!V&2aS8hN`XNf5R~?j$eYNQ3|8}MFpkJxj47tA>>_5VjcUAB-_)5o{Ot*{$k2yZp ztU%@ESnwQpQ7ZKSc-N#L+C%*df|4kVz1>%$ZsC)`%fhFF_XXW4$tlU zUjz?8Au0R?@D|~J22Tm!-Htk8f?9>o08cw^{m;?qQV7~a;XB|N;a%Vhg#QLSEBs~f z_TfA%|IHBOh6^kokMpD*!vBUM$P3@*4xi&r;mzPh;UBl$&HuYZa1<0u!aoPzEqp$B zS@>7Mdxd`!yb_oB|7{TTi9!jyD*SQq0pTCa@}8&(e;(n@KEAT=!1@0h5NH>>5xhZq zuI+#5BZvv#3%ptQq2NX7s#^mHhEf!^dSjlw)JHG|Ddj@qG#9?f)T9Tz40Z;Q>!ADl2?S$=KMLL`d>!~i;ctOY5&lo`sh$t*|L?TY=Xkm(#KC6> ze{rD?KU4Sy@Y%vQgU^X@+x}kvqBk@*A~5DqL>!m0Yz0pUp9h{4{$=nI>~omDF6522 zhiVap6@_!Yy7mrpyG|J)DeOz*E9Z!(F?mb#D$gy(w{+F*w@knd5*2zT#M zu&U zU!s%Y@$?kmJJEr>Pt+!y2UQk;PlIE5TQVcz`3P@7qJP&{(7>#K0yhu-?FB|>yoX)m zYo=a`VfVTccI^2S_c!KFA6~Bq?E${Zg|{WjUhV)0YLe0;!3Tw>!3`qb1`+=kc!Th- zfX9Y&8^8Gm1kJ+*mahPh3%?IMA^b7$r10m!TZ9i-?uO!&2>u0yR^elRwB;Q!UME_O z@GMH6E9=?U*DW?$eiEbe1$sUL z@L*~;!gD^ugQ=Qh9!%8?T!Q|f7(C+VEv-oD5(sMG>;VhtC*ogO>OxLOc;0O9LxNZY zyxCqA&YSH6;PtIIUTv?50&lht3g^vsv!5>lM$DV-4Z?Y|Jr?DG;nnu$sGxqcJuaLV z#uLKXV1@_^C!e^jwH|Qr=lqZ2V2+x4W$bJ83 zU)cviGX-eh2Y|zCYkRfGd^tP~fE{ z?o*^CJXg~h;ap8;g|82={4PN-6)ELhuZD>2+|vDVL9z+lb`S243zCNYeFp4RQ}8_I&G9`)^>E0`M{w+k3dOr|3IYVczFQfDCL&6sm- zS%;N6`Ei%fq51zJ2wJ?LJ}O=do)X>(-YWcV@U-wX;B66Z>)+E5WFi7%UISks{C)7O z@CMwZX&1f+cuu&x!V}K_J4C=cJbB@~!_z68S9prTd4;D-IIr-OEO+gXqZaS*bc+J- z@RWt~3Qwf^mI6UpVjlERYCz=O-(iSAN=~JTScTlZy)KFT>~%&O1MO;k@(H zDV*=HC<^yie(e0eO9Z_0QxeWQKi$H4=cg>3cYb<>^U6;p=) z`56$-J3lqyyz(YX!GEmp9bN)^Ai)!J3r0B`Ob^DaC_y)&;Ju5;GLhO zaNhZ85zae5DdD{H(<+=-e$ofZ{GWGz+C+hOelo&&=VyU%-ucN2=arv!a^L?M!#h7Y z3NT#q&QFJM-ucN3=bfKU;e5A6QFy>RKV2f=ou86$-udYk&R4>e!P$TCZ9P50aYx9u ze=)>MLKWe>Bh)9H4;ZS#c}HkKIPVD69Jl^w^W$BhK~dmcAahW(b?`1wgK*vjiV5dk zpyuH`EPvikiVqi9-h7SklKU|?>T{6}~HY zT6hAyP52SunL0=NI|+gXb%FQkIpA60i@@83Uk9EO{$216;r9j*h?-c$lcv1M9 z;9bH$1TP66^JDMPpj!l!ASeqz1iV-Hao`ob zDDY;C@(W-{`B%VK!wy@)qO*LC`yvUo<$oOn)ri3Eet{1NzZbkFybL}l{8?~waJ2t; zGvwj?zd-~aLLnx6%-KE%&BB)=$8q7E;0fXPfF~_?^`AZ9FCl0Vg=fH1!qI;4`WyP@JWeyPw<(;?7Q|i6@v1oeUACO?g;QHpYR-8JLWSZL~!~R zA_()aUdl&zSFw;L_NoKBbzVl_TW%XW*Kk$40Op%pM_vXGVzN zOoZ16sv|^D7{UHueRzAKODf%uMu^~}5hB>>-Yt7*BDmWBIJnP%^*?L%ry$TWn+2Xl znN9RXY1+V-4Qo!J=zcW&I@a_}N6 z7x)jswGRE%ayS217d;AvNJ_1-$_No;GFvW!R}fz9zdK~#*MAnl*I{Tia!yn;zlc{36eI@2}XM!*J zx*bI9AG`Lf<6}+GETh&n5X6Pw0-g~5WALQ#HQ+76p9W8PKD7V;8U(GP@IH82c*A|( z)osG}0M7_N2z)_=+xmA51lfqd9y$YW7k&YFPWacrJA~f=o)=yWxjX+Of}cU5DEvwA zF5xeNmxONs?-srpyllB^f1Ll1FL{^tio(9&72z%5eZoHrUKM^8_`neN?f()8YC{57 zTbFC5^2Hqw7aPX4w+2Gy6&vx9-|H~ryG8B4+F9oj%?*#7?eh+w6_%Fc+ zLLSzC*4}3zsENXQ@Im1pfSW_2tzd_rd5<;--xEAGjJx)igrIqtAZ&l129FE>0(e6B zh2Tlyi@{rj-}E!g|5GBk0}8Fe9{^7a?*(rY{t|db_`Bc>4w3!;QLDY{vZBxk-Y$GU z@SN~Zfp-W$5j;=s{BK>IfuNIu(Es-DQt+biYr(sO-wIw5z6!isc2WCJ7)1XijJ`;RU_-Wwg&}b|8Z}0};SAxeJxBh4I%R|sC z3O@vo3x5ziA^g|iN#XtAEyH^0AQ&SGTX%cc#f0w$-Y9nV2cIb6XMo2&Kc&7RIT?Z}V(47(sbc6d z@TBmL!tJly?=0~}+6gT6#`^*_{F=}V@EAD18Z;lg37j7XS`6L@&a?8f5A)p)S9&;z z6`00PdBMk^z@y`HpwJA?BjO9ex%%fjQ5J#kjPN|UwFG<|INyoV>A0=eT&wbITkde5 zAm*5-^z~FfPwB5j1iK*uUaHaXyi^kjAGRx=Yg_@>je#K^ko+I_7;F~9$#@>&xyt7` z$S&bL2e}%2EbNDG2zUKj4+J|waNR6K08bnS&V!Td5S|I;o4|V!em{igo4~6G&$`0% zk=BmyS7?X_A!{%+1%`MKQVsEgPMRQIv>HUj_F;kh<2lG7JLuQ0zS!qj4e@5ybd>R~ zFvRnYLx#NIe0x+hEE=`Lt0&E{!&8LU1lH%Ff*Ru86E(#5*w04JSm~C#R&KwCjs1%x z63SD7Wkk&Gi>CsEUJ>y4Uqv|2J@tX}+yc)%^$X|m!m8NeDZ&BIhrZ6pV}u(-fyW3p zg0pAlF~UI!&trtg!7!@pl}{LG%e9qz+;CE;PrhCo;!?*0*?hY3+J1_ z|$0+Uf57#<63i3$vl1*U}aSYWGgo(fD0=c&Lp;r>*h-Pg#7fX4zC2Q08@mOG96nHGKQ#juQUIb^)$WwtUB|J|Bt{TQ&`{S{| z)x!i~`{S{|HNtr;utzvg1+Ep&Q-SM*+f#x5Tw}iocr0+ea2^ZXAe^THHwx#ez)iw= zDsc4Svai8ofnzWMVbSndV54xJ3Y;jMrvj%4=c&M{Dgb@|!K3r~Kv!pT%Q-b49?zcJqbv^xs0^Kl958PNGXkL?_Wt+qq`g(dt_UIOB_c z{nQvvq;xLJiJE@H88=x1v!KaG%vn9(Dp^K?IMw78m$l%WM03X12hJK$pYaLjG9x!5 zjMo;Yed6W*xXxJN1okDe;9O3u24{FKC+2{2`N8GHdW`y%%=>p-rSemVKG-uu{ZLbTwuX$fHC!F^+JB0JT zW?ne&Yjz5MEwI%%Y-U9f@V;i3aNgG}3FkG-ZsELUSr*Rwok6b%c)_zGoEJR%gn!u{ z=5gyG;Y+{=gx>;Q^L*$rX%_^8qVNlFBkg!Cc!Tiuwj&7>6chdr@a71&<=J^6sjz8wcs8PT5L)BY2^wf`jjNQ*~ zm-qtQ2)?^-yN0ilEFR-Wn7zUI%E%t@1Hk!Z^4O6+{4U`5GI?NXP}mm&etmBW6l_7e zzp=K54Qo{MvEC5F^Hq{bgy+`Ux(j{y6v7`0JG{c&;=#ZW>OtQ`s5Fcca>}4++^fd+~}ixk#UR+x7@;v zH6DebiYemP_ohIBIpdaF5}bQ?;hp5rP)Z`;R$LmK3F4O9{JI_2RE^;mzZXHkh&eIK zfpc$=TW)!978$Q3uLS2#8MorrfHMPE2iS633xQ79`oQTSPRs^`bEY^5&V=$)=?zEw zBA^}4E`nwVST@{pOMo+_oFBDFc)r!U6`T=se$)oeBB*a*dmb1naDKEH3XGUL+snY| zYR)W+;N0EhYi0~i3UV8kGs^_H?+L*R)fO1V`WmlEExeetQv%K!zw168&=JhyZTQ<+_8#_0(Yzu z!nt9U6wVE+7UA5mN)2(}{J!cltEzBrSPevZV7OydiwX>PtOkYi9;?B;ft8mVRt>_rVHFeZH>~W=SF;GX zV-*+99jk^5@bHgex+-_Ly=DOHU5j^XssQv!uZU6HRKVcp9(C|01 zxNgGzXNM7Z(+GUZ2>g%{_zcg3%|lW8f3=RFaOw#B%n|s1kH9aFaNl9Nn6HWmhLUyt z2>jb4@b8Yme`NV6(`44+X_|Z36~_NF2>ON#EH95>=(i*A=bRn;4)r(v@nk+tTR%eh zzgTW3tWBmL5p%ohga3m<7=A#)e>6h)9e&|+yb<9!2ieVXyalLm&)fU$RH(eY-{@UK z%cFy(&hcC<98kFZ?fsfYO>;lN4A_ey6w}-4_ym)9E1L1sz^4kI51tf$G5B=h*I91U zTe$1({l<;@mQPaA|J?0uUoHv2o?WYRFi zY3#w^8SplQ{{(m$JOO?LxXFd(X)Adaf@2`aI>FFt@dWT9css(M2p+%6R}4mcDtHc@ z5uXlT25$-NgpKTM2+Y;qkP}#b9(YRlLPh|d4h`AAOTnw)63YMsOQ=R`^Qr+;vNRCl`mIdmt!^!cW1=;3V;B9s8<2S#DAX683L(hRP5Z>>&-9hQ_IZh(t*TIwEboHOX zQ{b!}-1)(nQ^Dilt>9;XXTg2Z*z!LI zf*b@)&;sxxcspF31#e#FBWC!oIzGXakx=$@OTl}D-x%^nJF>)Khzj2+y!VbBS?=&Z z_qw0pL0CzlTV$+j9Jl?e7}0LhV+G+%Aaz5un_IXg1sncr z2%koH?tff6Lilfuz(YH(pU#M(;s^@&S{^1ei}2hEdT503PmaL<06V!GmVU|kfwQDP zjiA7Y^N5(?|2#tYe_9?E**16iA~+dMtf4UN@AjhujlcByD2lnb6OWqeLmiG#CCTk^ zUOWflGQ_Md=X)a;`%sr7R1ZRpLAfvW;u|2YK+H+ttzP^?i2FtRJ}-V4;sJ>1mZ!Y9 z0`VZk+!^eT8XtY5uXfZPaN<$#hd+C`DQY7^F!4JSCU3MI$u90EnDi^}%{W5kki`Qn z4-26*>qJMUf*%3i5B@3e6To--j1PY__#E)h3qRNMz$_O*77EXTr(h@m-{rH>2yOyD zMR*bXX5sgNR~!$FVFbT|AU4}aK>jp%8vJM^s2}_$;TynzFLp+iy+_BMI4lE!H8#cy z{zunh`9#aZ23wY*Il%I;XwoqB6xv4%c+<%~f_u@zXM*>f<#_`2^mCR6p`i^6AV6Pt zHWV5!^8D{m_#*grU-5iA&e|*npK!V7+u->0GVr~w_xx@=T)GXs_l^_8{2TKs>gFm4 zete%-n1Kk^fWPrm&$mYezXpG|$Me^Avc~M+i)HVj${%27qQmB0%R`U0-sBri{o^|S zgy0ki*x0sx)GN#bXB!$1exdNaz%K(wddvafR|`L^6@r@}V8q9Qe;1t1`(*GEI3L-} zEj;=U+c#baaSy~?a9-xbqptElH@Kgm(*?Hgyv2~oX=xXDQTQ*wOESdvg6D)k4PFK} zh@j8&V@)~dCkgC)--1FfILG$)!7IW|;gUhylQp=XX|cz`vYKG}#A*|Gzwk++T09VX zBtowj{#nPHOa-20n!kYX0}_5d_y*yZg4e(U8oC;SjiPW9_@MCHz&8oM2i#m0b?$@U zqoX`9PdI_y=8>HJ3A|5u4ZL6YR*!rCH$)>ayMd1pKGku%DOnXmpMpY66i%=_?5_H! zpX8eJGT*4p9E6V}JnQjA2>+R*efYnP@(N24J{g9eVj1h%_aJDIi0>(k``3O=h2*CF zwms8+JP1;1^+2mtw0`e+lUXm>>qGbq!rMH#zgJu=r@sre0a4pbwQGF2GWwl+yxSLm zvp!9-JTj^jru}=rNx=~ao)t64TOK<1kej`;PWFj1p944F@;rvrUI-pr?)f?30r;%E z=NG`vw>=L`34*I3SP6xz5V0Q-jJeTC9caJlCaLeX;lo;U-bU|}m*A5p!293#d^7l;d?E+@&)@vdCjYa2 zVcJ%SrcsUVXTroML$5ZOjffFb5R-_CO@66&HX=xRBcJp?NBO`fz}6T9<{*2j7te#Z z8Db9d7kcp`h^IizF+JzS--LL&Xy4++T&<)a9*czD?#1^(I}I`Wu7|z&*AQnQ<~Hqf zUd)wMPPAY5;=e*WFLD3Ni?@BsySNB3AJLC5Ox`*%w9Z-$G2JpTDlUuhgclzM;}wXR zv17gXB#5h`eTEmG191&v&SSpl#D&t<3BUcm338U`)lNR{MyGB+p!5yjR9Xw~ZJn4@ zUpdBZ_LYW{ryo15bAAe~E@-jM{1Ut*{3-Bm;T7;QIEEe5=b{<)miw8o(S>RMlMO5f|%u(PKN!lskeZnsQuL{2cd_ee3 zp4-z~B3KE9LE#U9n{P%(uit?;2!9nkCj1}Z&5ql#q5jXW&$|Ka1_<~QC$fA8@rKWl5Bwh4vXt%F8Q@jnOqpBet=1ot!Tb5=F%?3YM_ z+8no2dPK~Gp6k>md=cV)5nt(zU*~`FKJW^}kw+Z7;@jmz-S2*ahhegOy|1T5__qgq z(X!~^+iQ7PEvB8Cb|F_I{4?NhfbRwV68P40eE2_tzv+2kUWQ;T1b>6Vk3a7fCZRX^ z2z=IQp8p(tyWjeDkU>IO17hGYWQ=9o3~n61XsAI=hM)lo^!-7O+cS3`&2>qff{0rX zJ}#a+2E1A9qzj`OY_&MU{RF)(RFhdLHGrSOC~fw!F%=br?~?G(A+`==KL$6x3f?aK zjGaSwjXl5=5dr=3<_=C^x`e;u(!2YawtTiUJN@@~g&HOAlaJI8~H@cVwZ zWjhaB9v(ic{o+iQ1176?gzzsS{9H57g=ewT&UDWMvppR0CKTGBfW~V64xR$%2}bjK zpP&Rd?d$}e180VJ2hW20g0mg=eh@5z!1~$!O$Tol{u#@+!o&a7(g6N3^_`(;xC*U~qNkq_%2-rA}M)=~mXwjsfw(*CxdIch$;1g=j zhC(+upKE>zydwNc@G0k<{7HX(0C&12Z*Z~gA7a*7e0h`}>j@!*<=}^Cd@EJ+yQt)gv0<#>v9i07y??3Eu zyIxeTJ&|K}L<7=}*`p1Da|Hb%B52;)S1wNC?+2d>PEY>|e0Ipg`p<}e2f;!pFoKuB zmx-ab!B>G}PuY9`-Yem^dBzv zv*6fuH>W>i^B*?94hUGeE`Y)ca5lfoz*mFQ)z^Ucg0mUl48C6M{{Vb&bl`K$6x|C! zY#YyM=wa}w;0*t3@R{JdqYk|Qo)J55fG-B$%lY3b{T+f85U?z_`hzdCZgBLZW*qoB zi69PM181c>7(BME&)7I5G&l-^DIz!#yak*&o(rA^kHOGI;2E*=HSk3e{u`bLW(fo= z%T6eCg71t7?gH-u=Y--x@HG;_6X0vXxm&Ofyw7p#f0o(n5Nw13UHv!k7=~TCbkwuH zXp-QJAO=1IoEew|J{x?;Fk@l)9|S=g1gz~xf@i^ZfhT5zF9BzBJrjH-I5YO&;AP>L zTW%lNk2U=eFvlHG7zAf!EPyvQ_)5gC^he-va2Cx2;M2ibhkC$gH_Qw3Zw%*j&q6RC z3cU0B8u()H?cj;`z?XoJ2RG|{(R6}y!m=}X5j?!;?*8_Ipc{f+VCX>bwczXzW`GZX zyRx)8p9S9tPLG}e9^2k~ZYS8kFn}Nq0Y|@f@TuS|%j>{f!5P6V;Pb&*WOst+gs%n< zR!9VoL(mP*_WcL&UU25%Rq#G=daee(0h|fi4BoJV^F(0SoW|O1?XWT?yufhu+Y`JM zoZ+W}F94^9jsRZ*&err9@D<>@x+1XUe>w!KAz%&`fcHiejQJ{f6}%B;c`f)xvGX19 zh8=y`GyKYshu3W;Lcr$nGbl_4XDfINe75jsz~@T@Rq%FjX6&!v%Pe>GpDy|cf|XEU zneFtvFPbtqE8Rr!esJdO9K?+T8` z??TWl{NLbH!D(pB3qAug!FNMt+yi{Bgg*d$5qLmDheNOo0+v}T_$o1U8h8&lo9p@D z>%p6lpv%BFMY$dSA!r=yJ;8STZSW*GE8QL7v%wkh{oo71n-Fmsd}kjC{J#hR zbNnYLl!dd zfG+}P#9shk4o(l92fiA-$;KVVZx%tY7J}vw+P`bS*AG(&`M1C~f$thZ`*%BdBNm-( zmL>26IEU$9_1XBt<~IWZru6qvm2b#I01scLm?x4t3UdpDTA{J#(~FokJ^cY=fD~MVDPHrHvSYM zK8l9+h)gS)`X z;B@ta;PJh@9r7o@bKoqpb(XvNe-(mMm?Hc4It@+ohN$p28Uml?gPTz=`J$yfMEFVI#W?2wblpJ^n8{I390{HQr%PvpmxZ4R9^X4^=fA;o;K%y@&lv9A_CPQh zDdpz#0C*Cdo6ODo_y}fzb1!)g_$R^uU#9Gn^WEqKraK>`XdLa+gRZ}7LkWBdAu4*-83dlXv$3f5m0bO@0_$u%?A~*+pt%ScAyavvQuLPfRz&vMRKiIkcWgCAu0htW}U41JQ z7K776cY$|-vn(F~Unk)o2R9#|=b~gQcm{lW0Kwr%(JK&Sg}(#73Y>N2U*HvRdT#qa z`HXD@XESaBZ=O2O#TZbqF9b6n*arzZ6g&gYh>rnZBK&0VF7TsaXCC+f_)(q*<{}6Z z2l^DT_FfL20jGzq1788oR`4D0Uho-+_=n)55AybD=YGfS{67T&Ywxe1uo#@4_#Jpr z!uNysgMSJU{{_7HU?1@z;Qs_qhdiwRtc}~hg31U5=Byb!2hK9y4}2v!Yx`l~ec-fz z9JopP1ce?7!bHu1UAvg_v8GJc7d%&x}*MPHiWiiY zoTFtEcm|w3=EuPE;H*QR0AEczf$@JcA?SyIWqB%i?9iby3pLIKpAF7tc?ozH{HQRn z{mWH-21?*8%HSpl`XFH6{(bP#(|yE@;9l?qIE!Wt_*`(-_TPf%!Rfg_dLEc=2-s?+U)S1@nI@Oo3n} z6qtiU!PkMa?2iTC1U?zA{v3GxaGxNSeFl6c_+;Pz8FMiN3m{+wUkA^Np&P(ggYS)q zSAh2kzXyC1I9tIl0tlvj%BPgg=GWkD;LJfE_+s!lB7O^e1vopje}MOb)6?6$?u#a< zLBKL@gka(k(FE-So(5<5L%gYPGVnzb{(Imn!1r;Uv+eJ02+9y}4)_pw4V>+`7rgmMpCCs30{9GYR^B(k7Yctr zyo(8u??BX}ygBx$Sy#HiZ8EN9Oolo2X!KN3Y;033%&@P{lrD!ogokFKV9@S z2-ZM>!|OM|H-NKFbb`l^_X(mW?gCGPv(-EZo*x$8wZA7IC_}(@ybgQ=I7hA5!5dHT z5p%Hl8+Zyljyg2zEnhSl@G0Q2w`~5y=C=fbeIb|xg;n5;_#p6gV(3WlL2!C#Hu%(5 zpHMc-Gr{M#2428n_rD?NgaY~H;A_FzPjrB90%xCJ0G~R`+h-B{2z()Ui}SzD^#c&B zgn(t=1HKNNUFoyn<}*G5M*JFh5E*!i+q3;}bzGk6J{wR$h`_28dG z1P6jo{A|>tGr-f}tSg`OJTQwPV8?O>6pF$x1n&XoDAx{N6Mh}|#MwSUjQAGtR>!UX znd3VlSPX@uk+ap{YryG=$H8mhtXzKpPn_r@X82dZ=YqSU49mX;K?el%#AfhS;Os!g zzU_;w0?xAB)AB7HOg>-)9&UQ?7B;_4FtiJDeB|5yYpMx0qMGZdUxbS~`$@8S} z&x{Z;chyq}-wgYFyw~P=Q2z!Pcf;pHVOuD08@};kpHL3R+=gF>@OvOUx8XBsAO7p$ z*S_R4(1Gw19k*q}vz=8aOxp_@zxN7yFqvmZ=Z^CcY=z)FJGv4P><0dMSCkXXfSeC@ z&m+RQ;hIQ_jJae4mj=#|{b~w4kwpZ{!K>gMqrBiw@Z2Rnq2zoJ62BC4!>wWH=OaW+ zg(+4c{2Pn#PuTF`IpA#L=NyTRh5(+Mj_}(7KjRWK!NhO!XT$rp!rbsR2+X(Y0;lpm zcy)P{Z};c0Xzcrq3Q^t+Ui@y9e;hn_Ta+L5XIuWEp-eFVOq4t7QkSjMx#$APo9 zXT(FS?OCyNicOGf#)a)qNbEczc(jJyTFUW9|1Qv`S5wzsRUGjAOpc`RLFY;@L-t<@2D4;J(@0_Qx|jQz8Ppta{PAGzGpr4Do@`Y;aay|3GN0nIm@i zJZP?jcV~RUF?zm4z(YP+a1Mz)kE07;~lPEPEceFyO4DJXX;R&Q`$7LsP)RFAKRp9%-whuVz{D@#=u| z`Fu`W1E)**oOTeL_W2xmG(0ihM;jQPv1^8awUiHt%`OmMo6mxR*bjF^{%=76)V@Xk*gI4dLX{A5BN)_=O_9aN%)qQJ{Q zi-i9R;TH?%HL3*4n4aJ@swD^?)*;va>^&;CjnV-Di+~r$@)7~BKXrmL0^WWqN_bw! z>H?=n?Fkvbe=}bU)$eJ6GeNw8Hu?(R3h3(k1vKI3z(ZreS;QBEH(r7L8w#$3V4^7O z0X_ws3ECfgDmaVsli)4jY_2?vG!uL~-~XBV36|NSa4HP73FjTX`QY^~yyA;83r<(_ zXwG7ACN$Uy5p+O6Pw-Gs9-KMgp`aDujF^Xl=59OJwQSaQo_6X&c-9r1cCxQe5&@4p zb%WCoZ!0c(^-LE5i@+U&vZH8O!t)eVFE|%AJO$O~xb;5=4<7IufC4?i^IbJ?=70yq z28Hv4nnBmj4Df(j1GvjTxKqHhwN(h1((#3>PO>jf;R>zVInQOBB{9*7r%|kPU@k*8 zK#etFKc^P7yyMr`)pb~3JKM$_4_*^~7PxsX8vYW?!&Pnyixhh8Cdci!o^JK2&cS}s zhYw7vC_L;0_SN=3S?Yo&p|BRb`99CN+4Gj=;RZqNd7mIosXqYEy%0_4&hPs0dB?3c zVu)bR!tJLdn*O67&3Ao9c7mFHF}_u+ub)S9e++6I;JLg_fpfEttNb`Pv&mh77I4<4 z!)3UNukiNls4sqyrGI1y3&OoYx=<1v9Ch-e&ILU@Izabg`b>~*x{W2 z)DWt$K_}3&I-awHbUe4;8~EQ8xLFnT^?f#}VLh$9>|IpDykY!u?~3+^qCR~D;gcJD z_%ikY>l-F9L_i-gy^Y}P+Ml+D!ZMgCW$-p4D8K3>$f85}hYN38ED}WfJNz}`>yJ)P z>=5i~S=j2^q!I7$xP6-s;!L5j90^_&{-4UMh_tZtI@zbC@WJVcakJWxh>YxyECin~ zd^|pRoU!^PDg61K=y}wyy!_AjE#Cil|ORc<$z}LwNQ>*qskdF9e+J za_@QJ^FHEjV2FFw^M%(hvz7}STgy%YCwD9n8fqN{F`i*yW7PB{Q2W&#o z&_i6f;<=^_Ete{fxZc?9w-|1x^Z&0jwh5Mnv4Uim);0WZH8z38Dh|QH7AOqm^ z1Xrcz1#f>6>~O${d%k!5wGN!%gb$R%Kf34vH(~_8!ho`%=AR6)(ys-tVxUPN9zF|8 zA%5GHyT2;Jmk^$HlKom5;de&(_k4JJ{9F|Hcrhaiqu&cXYac61cy@$YNl+8Q_eywn zs5uwj_7mJ(XV1JGhREr_F7REE1C~S?9J23@-lA=P!oJ$~vq^ zAdv6CBwzHNl0nrZgtN-T!8y63qgudOavVEb!Py;h?40ActrLuxo=ri4-4g30pF*&A z;9#!%wH#{~h#ii#kvAI7A66%`P>4yT&w+DZ%0YfEIF}rpMI_hzj-Kan23<*y+OF{G zf7z|034imwW~u7^PJitO-Yxtvr#9+X|8tW6Im7>)=YKBqKTG`2E&iv=|NPATobwp0 z7eDf``u`g9d&}K2so^x2>lnIBwo_xya-3aRKkS&z-ogNU^`?s^*a&go_Fj#d+xhQ4 zn)qZNO%iGoz-#d+-y1w9;Xe+Z7JjJZcDg>^bdQThd{W_a=MUQ!HRHXQt-Q^NN6mLX z=PdG8Onf&VYA)t7bVg=R&sjkhBYbY6=PBfBIe1z4eLl8d`k&vqpF-+0`!xiq$x+KE zSbw{flyIh`3_cDqa=DU~@ONVMkr95%EN?&O`6>1N&GRA1i^3sT9h8J~^-&c5ipyMm zheFfK{8dE_FQhd!;aql^y`z5Osw*b^Z?*)&4bRigw;P_LH~P$G5QxJ*jV48T0>a0^ zS&8YJl!WKfEG_&{Ysc-nUXU0!>iEL#7uXm6wz;362T@cLK0iznm#IZ?)-*0_^TN4& zt$;JBGY|AxE=zdqew<-SB3J>1J~8x1Bs3@deee!&W-l2;S3VnoNs9s78(n7#~04Y+0F$&zVN2e8=7f) zPwUA4?S)j^a;9hcyno044EUcJg;Cd9hurLbg4;gujjwu2boA%~kK=vDY?LMNX5kNl z7eC|e@HFTz$&UcHtt$L`%5(dj2t*ur*qGl?K@#)_@Urk1!83>;1v@W;$0VU|f>)fK zeTE)i{uP3fMDRE7uPy#;S2*T+JB4Z<^iE7kTzh%(!4Rh*W>4}-Ck|#=aX8P+EkE6* zJ&mc=X->_41q;u566iMZ2a<{Y+KQ+ zzSFi@iiR)cih>1h3kBVgQ_U- zZM_+AmKopf+a}@pHsG{`=gWHA!Pz?aa^I}yL4B8juLE8n3Vd5{H#j>SzTI~=C=r66ut(0h1lU6-#R6{dj&;!a=2dvd`)nl z7~*S%*GYK3&VuKv@de9v)@7~*@8%VKB{IbI{-`O4}6sqHz0 zuMV{i-~RaS>poF9m#!Ah*9mqG88YD?U#^{(@TbB~hw#|5HvX{P=fu#HI5plb3Vcsu z8#r6XOhk~D@Sgy0mGF4)xmgxSm&q5UF9BzJ<%`u9OL)GBF)I=9#f=LjL3~|#20UO6 z_|BVz7~)HE;$ny|)oGUSda8_Qv zpuHyH`TC*?I5X_u0B=tY_d>wIgYQ8qivnLUUz7;=O8OPxOb}mTzg)s|t0)sr$in(R z-u}=1{odzz5oT(;0CS(U1DxTx@w-C8b0@k4zANl-=eifX(Q?=R__U*66u1SxahO7A zi(A(XbNryigmTM%B6t`<_{W{-q;R{j>`xBQ6hquWZW9G=E$y7x;gar+si+UY6!}D?Q2Jj}IVZ+D4qd)KM^QLG0X)t(`^S@Q%-I0MczQhXe|SiVp=njZ^P%Y=IE(VQmwoe&oi?magynxK1c~7S%XvCH1x`aeKi?+dd44_%z6U(S z^YhEV>ACRyyr2Jz!j(u+k3_(WJpJHI(5(o+QNr`W&X~4gIkt&<0O6;!Vg64;yl68+ z6ds4d9B>xFGvEs){43x~Bs^~xt*CRfKVG(2Erxj6Vr^Z)`;>Pr)`K$xyd$v*oUMje zA!4UTEBiIUGrm$JAYi5Ab(EAS@X|(`gy*G=tc2(Fl@4$wh}Tzw6{7GCT(=s$8I_3F zSJr~F2>yle>m@ud_-q1aKfwz=u``?}g8Gy1rayU4O!0y`-xs_^_@Uslh4Us-MmTRG zEduwWs4aiqf$E3|jG2cBRtV=EtCDcuvFZ_iIqdWacQ+Qp`Tqt8=ptUcGiRRVoKMdC zb&bM#Aul1ES085x=herw<*xoSg8Pxu`A}dP{|bCDI4ddNZ@yf@^XlU&;k^3TGi1lN zKi+-p7X{vZ+z8If$h(hY=6Vk?p}#{yr+_njKlluAjuC(PlZ`)||F?+(FLPu?;qPd! z%fQ){{u2>&NqD}@vq!@7gHF`|0;ZH-bQ%O_&iF;A7$%bp-~0;t4{#O@-wK)nX9vYE zK(&DfRNx`0iP&(MjCRjMQYr8_I1fo>Bs>pEEdl>H!t;<+3H$)hcQ?Fp)GrEozdzYE z=rdTn)E70kFH7Kg;oaal;cLLN!pqeNEaB^gRYhL8smsC|gaQ-9!YYX&7FJO>3o9?2 zh1Kj5I;^lx`p{R~>J>g`E71(jBo{*&@ESN1dSTSD>1(&_*jC|mYz&;OhK@~1cskZd z__|}`C<%3Jix{F~YfGagO2<}()3FudbZncmzXiv}p`eb9OAhGRq$IRG>e&2EQODAO zX>fI5PQufHDG3h;nhc^B{{^O94AFr}F+>N(h0}pC;dEf9*k{Ge+!$uqI#wN+5kqXd z9b$-%%?iKHJN6b-=)pqNu|EJG0HB)>_5V}QmZKNTi9{oJTjCL&Lc)G!aqQQ+QD7T z20|f7Gtk)7GqgBi?Q4BEfix( z6gr)w3ca@^nuAACHp$1M&i^fV7Cer|@H}`5JOTbHcpRLC^%i*MQExwwlLvqC{8&?a zELt4@fP#?(Z3d4?1UnSQ73{g*iU0E5L`l>>4qg;~SX8YdR;gANKFh1IKd*|I{eKPI z_OkA8UR2HWMDt9w7&vP})~OX@g~aIN!cI9(Jp@>S%q7=G?R^701)fAQZW4Pr>WRJl zx-Hu)g45pjeYWWP8pKRKomdrqUsNsjTr@VS8R3t5HTpg-VmdJiPT#MKs-;FyOM^4< zZ#uPLBVF8ZwsRuuwb|tBTUGlMp`FjPNLz z&x2=T;Bfpr@GjV4d7S5YV5&z(4K0L1`4dq?i@-}_s2#j`U^IL{J`o%lzYaX@>;%T3 zyVx zC&K51-|b79>-_TRa0t@NwL(camz_oBh$eZ4x5EMJkdv78S~P6S--B*YMxUuERO zV7u!PM4S~nPk_h8&TqhDj@y!EN`DW55rsd1*AM~2KM!6N&P|+@*x@El%Wyv1x|{2` za+`PEN)!P%7%Gx8R+-k}hOEvXqa~WTWXmO*7S0k)DM$V);LJBmG%4ZhB^nn2OSD%E zu|zY-hn8qe?65@3VuvMKa(oLVS`-DAXkIu=G$))TS{2R`?HkUAmuM!thNA_)Z@UPf+2b?wac)7N>J?|Zf}~@<2VN0g1TPD}({gudZNVANP8x^uN(i63^6ZHH zhdsBi4;H}_P{;`X19)2aAHh??Uj|PKe*--3xZMR~j^BYGCJOI^8{z*3uZerNDNMe@ zu06)t9h1-@`HQ?ms+i*JYk4?rPoXX3a4hP9~n>#z=uiL>h!tVi33ttVM z68;EyQuvde+c!ZWn<-qCd>RUIQFtCaCj4b^BYZu0Er@39FW^HyA^~EEM4Lq z$VK8uP{>OJ+l}&$EeRh3UKBnKJU5)%_)U`)Y^i%&429J7(HvX@o)o?eJTANd9uxk3 z%iW<2BZ51jQ2S;y;`_j>!ha545&lc?vhW`8(l^fz^FLGszk#4A3Kj6Y@aMsE!u!Fq z!e0Z=)VYt}3_y^s3tYO)yOxJ_BD2WXi7a{<^d`nEi+X4q)RnY&l)X|-_!GfqKTcFd zz}}}K{6i$PES$YkNjQ6@qVP8mZ;%%Od!?Lk_DWgd?3FUYx7o^juJTpyIlf4O-BH=M zf~`${`@%QxP3#r0wr6jMx|FpyBm62GLD;XQg@?7;^>@NqNt41++3h4k1Z++fF0lL| zM35K$D0oizufemzp8?MZf6;Q+5v4`I{vds0v`)MVLn-0xCz8V7Lio6F_8+kur6YO= z3Pu#zvDBn!{*Lff;p}iK!aqd#a-Cn`I~;aIrMkfL%}^)`-)3uH+w;PA1kVW{S2*SY zJ0m>2aL(O!@aJr_)*1Ehtj*|^gr5vv5q=tYS@=BgqVV%PFBI;!Gs1-kl#@W0fM1`cx%+q!-Y#$+1dXSh$koFKNM~c#cb6X5x-n`CKSH`?Uab$DU7<; zirMeSMf~r=G%KD}@2f=2*=X{cJ}WvK&7fg%Hu@D;FYB|>{EBE!Z^yWr6VBOaRyb#) z8R49briIsMqh;hnXQL@m;A}K0oU_rmaLz_!!Z{l?jt4_^fwR$c-aAldqa`uK*=R*L zXQO4|oQ)QT^Ki-Vk}nAk$C$M+6 z6UxbFRX8V~72zCf%8m~!2@WbHQQ+WF6wWasFPvjRPB^>#>~L=5uTMVBw|y1R$!EMP znq#)btaym?hM0tBzhQ)j^9DB@*TfJf4prgoVJgC3wuZu~SXnr`oRVR8s{oN!J-v%*=oGs0O_ z)57a@J|zM+s+e%Lg`{v!K{HaKoPwr>a|)VrJQ(VISe4=u0jrV`&M9b3BH$FXDx5W` zGMwA^>r>G3aDnBVf|i7H3R)D-DQI3er=U6E^(kmp1nd^FcSq|4r=S_(>@Cv5IR#A# zXD^bxdxR-yTol;7#DsGSYJ{`fsY#J>3R7)^Ur!^YRCbM_? zPEco)W%2C8J`wf<3nJh+Q54R`ofppGASax|K~^}2gN)-_*da}e0*8Z?a1IAa;oB50 z=^nO2TJG`=(K%@jEr)Z`oeJ+gHf)Eq)O~hjP^!;Kg>z0?6wWzmUO4BZS>g3LX%*Sg zIcY`|I44aD=bSVpoO9BoaL!5Njt4`d0_UXFpLhrAoU|f_I47+M=bW@EoO9Cha30P{ zzwAqb<8$uuXi0ERnibCBGb5bid0IHf?UeBP_?#30$Kkke4)ihMoRb>ioRiibi)M_2 zPu1~ZCBadqA_^Q$%ECEll!S9|C<^DCG(Vi%`0I1h{QbTP=$tgw6U_l95_!pSX|wP4 zlM;hd9Z91n(iA6BK5M8K*P7tT3pOgM)vBb+sI$U5m=cEofW}K5&g>z0?5zaYjS@_X~N1w1Wgu^#u-?I{REGMbSXQF&w;r_DS zBjcPjCgPI|uUc_^PFfSI=OMO=@P&nA9<}q1OCTK1w97M{(+44)m zIaU;ha~r?mV38j#u$+TMPB;gPtnl}d!rbNJP)$~(-5YZ`Y5e&~{RAL>#$g)Iu_6t*m!Q`nMlPGR%H>r+_s2OqIcVRNFuDQs3ar?45} zoWiDsa|)Ys+!iH=O-^C6zw-{%DQry)aS9uIF6uc>VU2K3VXMRK*!a!%+x?#(@)uu; zM!-33UO0!}oN&$uvcki0-)-h)M1TR_q=j=FPYLHlAt{`5+PHAeX=AU*Ai~khhyq8c z+MlAH;Am78&OxUloP$fb&O`s(KTc{(b%E!6$RDrxI-zsgOf{MyPDDzQ0Zv5H62AVB zKP3Y8M@iwFiNuBfaWnQxgtMD6!rwsnpe8A0KUEdZeySpz{Zv^v=d}4}z2|gJ8~3dv zun+mYtH1OgT7h{j>T1^N+N)8{S#DK0XStOK4~${$Ek^{#uoaYqvzZlzvvuT!*JruH z-7GhJ^Fmex^=YnfwvM!L&h1jd*=mx)Ik$^jZu39X1F|{AM4|r3TR59pO)3}Xc2(hQ zew88a;y0Y!m4^hbQq-HJaL( zXz+Gw;5_Df9JqPYhfnyrZg{Jy6o>+^FO|WmkcOd*M0_TA`E?%w2QA)4N=tZNcZws! z0Tpq1LD& za}#)4_$`)iDM7cn@IjOL{~9|J=q8Kr?@!APrKGe_kS**yWlP(1V>9d^pk+~!MWTYT ziD6MukpvM%0SO>Hpe#XU7YwKr1R*Q}vIapGK@Dzzq6QU3;3w~$x!*zZZLyGhBv4R z$--^vf$s583*QWRkA-VbjJNO*$y+@k+ZUhy9|e+s_8&Y#T<*qwzah8lTi*`xB2@1@ z2V;Gygg*MsZl}QY%kSuGWBAQ$J`~_}974Zq?hkPNQat^xxhOc=75ZIs7r?bg^}FUG z;QBRy`jv9s&wJU@EH?DkJLTR*g(l$oopRQ14$|+G^TE|`L;mOg@SJ|@UeX0_x0Ld~ ztc17j71sq&q&;!N(gWS&F69O)N&RIa+C$dwpZOn#%>OqJnH~DQdTi3ruy(br3}>`P z;8vH`1IMkzw>($f(gE%2n6Fi##_KEnRp}ubysCLHENV^#>e@u{{!H*dW*6et=#>KZ z{Re;OKlrE^e#`$tJQq^{Yv{+z#HB%za%@l(MQ{Iyyv`p!%e>clV(RT&wyzV5|Mmjn z?@+R^Yv@c9zUAh==;d@A4?f-{b6zR<6u~g8R%K>>E6FPC_ zTewbKE(_NQYU+hS?0>CDXEeX1!eR7ylZAgy{QrsxJp@5Z2lNnxZgGsi9)eA`3fR|r z2u`74Jp|g-`z-Q$XzUjUDFs?ydo*B?U*x5EDZf~ErKLcQjU4-{mWK5Zge;!WL$J@n z^$>(DTzlGE1(xa|&|~AWa6JTFEd1Pm48bqNg}8iECnn_%`tL7r%xd_b3T~o63+kVx z%Ce%H_-I}cJg5PF{(m+MX=?w!8m|2x4LgYcUqSbtO5y1ujG@$-QNKX35T2v6SnA`G zZ$|QpszUt9-#4d+U>_7kEQ;Du!wI5_2w_KL$MUDfXPI0e^=9!j3{|Hk?$i>Z%( zL!*4>z4E_a7WL}n>CMju>#=)4EBYf=kzSkzpl5nr*Nf0#3)hR*$bUJHzh0-up+KLK z(TmfI;QITF^rA8!JefOoYY|!rUIkn)-yv}FiTb=9ruOADJ8My-zijLhxPD=wer2op zagb7=73nv#R=05dX4ZUgtw+C^wY%3+pkK}Ews8F-)N$bYjcocorPD3)`aPuq@apKX zeoyIEaIX$J{i4#{D9~f6-^g~*!u1>3j$62XBb)V$@$?(n#;5Rx1xNi}q2DbVfucfK zs^1~&`iWiL3tYeAH2~fgT))9hTw?iY;QCFg0q`;4{6bZF^RoSCuJAGn^qW&d;4W~z zMH9cUd^2$UnqUWbLva0?;J)Cs!M{AkF*iYSFG2lsI{lvDm8jrE1^qhUv*0=4`fa^w zzp_Ei!S!2tJAm84_1kv`gVUEG@%-0s-+dhgd8nY@vU?C*?~?V~bVZaK)*Nrs6;5yi zdh}cO+~BRi>0P(H|6hoLTomY++8zhb2iGsJO}fktYY*vn*Sf&z#%c9=Q5dTPj>6UXeDR{#o6WTp?DKE5z#%zfmL~+7+TIl72$D1ZtMj(idN;9IkiONyMd| zXnGeV?@|BL_*bL?J8eLG&%|0<0~Jayx<`pVj0(*pPoziThVX%eo~|u)16}V|l0hsU zcWZvBo#OVotY{E%GPIqr?_o<aPsv+xSfB9S z!Ri9jS>Ga5b6@SMKm=>Wa>$29f2kZ5Li=l^JoPWK69-CYW;68E`H7p~0do&3PI2sN z=K|s%-=RWyG`lE_(x1TnpZ8S64xW_64ZGgthW!}nJBZ5>^-Z0oMq(l4>q&VUQTJRK z0Tf3|VQD@J!fAZGq8si7-ps?kqZXRlbl@Y8yq{LOC+CjG$GZyk$#iWT-bnXzJ#ODozUpN7Hh)Snz!It zA$V-XWpGiRO^YbQ=?Y7E_EqF0%iHR4K^k+f`1D2(Md+ag^=V86(x7lX_SIvIMGGj} zbe-i>!MlOG)^=3H4&Dd+mz5kfMR3U=#UUijZD;5y@iFH5GZe@#$s+QW`)kAjOj<}> z#erpJzl$c${K-vx2dziIgURd*2l#p7vTLFRckL=BYLw+46ooj>)#F_vSvE}TWD)NQ zOQS+{#jA=xei+nL>PEbZ=EP-SdTeyR9({DfJtUXIJ!B9hJ}BVfZhI z$VB3@>!A&As*GQ#^ise}fF=2y z2DevWc@Hf9ow&5j-ut5gQqhahf1Ty&E1k!17oSBz@d{i)d=#7YQi)5a1^?mBIbcu* zxIdG#MHD;8Z1C5==8oxx+d_XtWmU=wI<59Zh0vR<$We?f8UXHE=Tabq{5%-kR*ijY zL*$Ooda#h_(H%`(dg8`ww|#lGT;05=us4CH%xSo8Dk|9D=RqBc2K>Zjd`2@k2!^wr zVj=W=F_C-xKKKT3Ph0j}MerTOyGob3u0NoV4?RAP3bx6J8Z`VRcyK?Du^mHjjd&e% z^gH#_29pz%X>E8=KSNU)#8ni$!YMiejXA`n1)^J9CFw$ZwgErZkGnDpdU{GO>Q z{hN0@WL-U2hr1dJzX(1%m2IgB9j}A;t;hTdYzcq|cJQop*WwE6z&kEw`4^yPJ9Byn zKNSsQS9lN=La%d94x)iCz?Yo0p_yv6B0v_jjXgD#2oi;y5 z8blHF1R6*|LE8OX;Tcq@3m#d+i4IN{*~Deu@-^nXr4yY?%2R?3U3hxRwxyh|4Z^!MD`uu0&YApLnVjN7xH!;3^b-vx^ncpXyV-B0IgXArGpZ zv8WC{paaX(8lgUhdF~voRjpARZrw-WU~MkG2fWc@9xD1%ecbemwD&>_9+F(fVjSe7 zjbodCPV@KK!w!t?RKjd<&D8FtmVNP5Bav> z;q|P?j^6hG4|R8ui89CH(?=FiWIHBtfcTKXhM+?9JLUm2FcRF6&Ysgfen~fw&`W7{ zz|e(SzBbGIWT`CMh=OoZ><}C@Gry%Uxgd+lF7YVBUDl(|6;vx6$sg)gVBq#ko%xF6by0D%MF=iWkb}|awXyCCBhc)|Gh!JM(@967uC!#p| z3;YGgPXQ0i;|i{FtnYQ=wQ21We@{_B2f`}ia$N{n7W*Bl8SgQuI~L$sG8EQ*3m%%w zZqa*z8&DV68@qQXQ;FSG^BwMuj<+=8a<;j0dA8|HU03m{&BVT`x2@IGcn%ar51@ZA zsH5cO<>mF$yjr4lCspQv#ABNO$a5`RnG42ip2&-W2azZBNYA+UaaZinV?zE^6YfeD zJiknFA?6%Uuz5E@=*&(Q;sZ`0c7ppY8`zWJh1EDZBWUb0ak-rHO7rhRa})C6!|Z=I zrcw3ED$HnyJckw8P|!{mkfQDlcp!7pKrgN!+$PKG9mR8yZ-}~Tu9j!Lva`WV~%&z*1 zCkcCM?Bs4OIav_EthTd>q9@B|h=~{?f#3?unT+*__EbJ$NvQX0NiEcbRNapdjQ-skk?p&ko=4}J@dbx3*7M6 z87h#|NOV+N{99iM^BcH5ho_gGz9p(iKhgo*OFS3!t*Yt7Rm`{YscF*z$F6lCZ~H@b zjMBMI&kj^@;rhTIuU1ff%S8oOtM=+|7mnE5fzKbsp4f@-d=NY|ki*lC9*+fI){?`} zkdsX=C-YDc#8FUKH6z42aMzwL+%Wh@#AVOKG~WLTyF)p9C*=Jtcp~YerZ2z?lQA?f z=rVDyblvfu+=JODC`qTcluO@ZuJ`zri7S_W8k-RtLf$ixBMl=ha>0WiFwvf91D^KJ zJv7er83EVzGDrVJ3)rJ5KTyE!_k~^0frrNMP`glV9(Xj&p0&YI0r0n9WIda}HxQR0 z)}bYbQX2RHmiG$V>%3(MpyW$cp{gkSH8z9)h6X$jatEU@=sM)>`(quIbO$@u{us-< z(XlGvzK7%G(JI*c^sB)UPbY;NFt{jY}qmXwsyGs!t&n1ymjXh9! zozsd9D^69()h50PB%XPXjcbFJ+JT3jk6il>V}Z#k&c{tftK3JSs#d9*R%#4O@6W$kpb(`O^D-U7d|n|qdt zo_zpbp*j!7i;({u{OC6Jv^?3Wj!M5mf&YFksDSYM5!{AjDIXg6OL94Q31!W5QF`{I zehs#;_%gPHS2rP=fj|5!D^|+_De0!FRUL$4>$%#IsyJba`r$Q6N)Bwqvc+7kcrsl` z;epU66F(*{_hJKTaia^+o4sglVj1Rc^yUnB$xCc}0r<~KznnCm@Br$F`Ue#X=kadH zp2{`SYOxwjp0%Rd9>V*belRUf; z!a>6TS%J1s?o*hpXka)Lt**&|a144Tf`>=*c@$f7E|>;x$7wFR#MHic3k5eR0}tLK`!B@P=)nLK zi0wQVb5LP~YJkoVrE@S&M9(G=m%;cV_VerydZQooc&_rPOr4b$DvrwP$DFScN_sa! zJlc?(ZH`dcp?G<*>0Mqmb;Z4qFDx0mE&5DyiWHBBBgKVoMYQ}`_JiIPT_H|>qo?&T z`Sct}u=4=y!8S@ynpE)Cudt%su&6%xc$|tI5AGl?2S)t(F}nsk*_M!xs7nqs1|C$n z7X>3bawyUzF!dR%6wzB?YViPQ`4NzJRbbEQBj9o1_FVQv1o|g}yTd%W1C^*iR-J}| zKyR)P#i_el#N`MFaD4}JNi5XzM(l=egL(N1?F09s*j1WG$cGXA8ocKuUS(RruAj8J zR5TCy--ydi%1}G^yE^2rf=4=VGS<8-E!ioFG@^DK3h1fOgbHMq`s;Oe)G#P&DJ#ek z`e2enq5-apD&{*t-o2Fv;(f^9172qmM}QlK_5}|J*#R0+7b-j`3n(UoQ@CdijOa*I zxYCpj`T~kx0)GK#>Ft%$23%h{$TmFq{2<<5{Fb{tuaM@Wc!QDDaf zJ|F85!@v_paKoHzg_rU5}yq$#!f8x+%_sm%P7FscX+l#qZD>n!uORL>3p^Q0hvg z)Fn5oLxKAGw;}oRH*$Tb1ZFG7yeoL%3NMvMH5R=(=(I9R38IuB`#a~0~1_LLh8>>t+B*${o9bA!E*yJ@ZD%H$pNn~JsR zyn7mqn)|`SIH0wGe@R@Xf$1GM>JYNx2dR;`3&HG${LkRg!yJtQ`Rbl}r;^}+pzctS<6oHqq`TYBXJpHYhkXg%7xA3X9G+n}#<3}NmSk6h#mU!&n? zP(j?j<)gBh;MYs?xXgw8LgF$S$6F4L-h=#$Pjkog1|p>7s|wqBd1c@G@1^}OP{H>l zAKryBxP@QA%LG`_el&a&iduU`6_hz84kzI0n~q^%dfBu7-EB{LAo_33<1TCzKBjte2e9w0i|68g@ZPA^&Ky zoIeyU>dGzs`ZE>C@MQcAYWfzIZss}Vfyrj{5;D<91NaTnqB=oi;J;JPqGl$HATQ* zChnCpy{~1aFMy(a7hC!S3|a|Z?Kn5UK}#3(z#Wd*^EgMq-*|=Pb;kGxJW{8>>_1&Z zQ2z^Y6$PQK>^i-Kl%TU;YUyr$my)#Sa)pY-rHAZiIAaK8hT2+wC(DORuzX`BPtVNZ zit<$De=2K_3ZJHMpH8s6=neke2p;3r-~+%Pet|voBs??0py9Kujl!LwH%^k&!bZ;FLmn`7!FM-*uz+a!w>gZCV`rHHVFU14i8tMjtC*hi- z4g?Rl4eP7}^5dA(w`0xbhC|q$%tVD?zg|kz1s(wR9p@{J(G1q~K6tnjCxJ+L=39x& zWRhmN26sTo!wO*@E^d_dehVG8_c)k>I2UvYI_7s|8@po}_=mWhRZ}gqs$ygIwg2S~ zZ2KT?r#5jpX0BIa&y3`-d@~VP#|?X6S34+*dbweJG}TLTmDC@Dgu4;fjKWQ$!LOC& z@hOS%oD5!AmM3r+akCKo9^AmF*R7S{5u9gx29|}GSEj_vac)DwAz7eIJBO+{*c(@a z#P8ta&$DUCn6jnl44azbTNXN{sJjeTr~qE?bZn$oAudyet$J@Is3%UA;%bYQ(*-iM zv)F;(BXd6j?n~rVO`qNONUp4(3JIHK`Yj|brDVi59T82iJ`#)}Yf*(>pJ>{jP6 zwk7Nr7l>7EtsSl$8odf=@> zY$)App*{uBGhgYc`|sn&on-}DUL&*EAUi7DYhErznPVvGy=X~9&!C-L9FX&13o#Wu zl864GgRg0^J6ya=|o=w#DQgNz?xQ}fxjwnoNPt|At(x2&Yp&)yz0siN`lTCWo-2P)bJ{>@c(R97^78a#9a=Y3g?_?7!t zER#cPq$hSAu0mY;-Pf1rORxghYXTA?)Py2JlLqb2|m6P55#-e<-H5;t0Fr_DMN3Qx1qqj z2s<9^nht=s`j{R23k*679$k){i|xY|$tk1w-{wRR#w1S3Vvl~;gCo4NjBuH<>Y|`6 z?t|Cc(PrQ;;rN-)3klJhxQy5cu8p8w(Oq%6VQeTLIqB<$y`jgA2QQ*n90n0r2kuKM za?h|K5aUoGc=G|(R~vf#Jb36W?y&S9*DeGjGMxp72-H?xj;ugslv9kW3PV&d3%pe%2;0;^+TKo z-(AE_KWO;AgIomQh>~!%FPf30IWF2t#9G)>AG|&V*h`|VhcLLAH z{n&_GaUXa?kMt4^MlDo$SS!LKdT#J>;L(A-6w%L6Od&1@Lm)>4AU_xKg(F>x1j=&3 zGH_2zPU}9ZsNHx;DrE=Nl`Sp452El@Ka7QkitPkJofJf@^QX0nACJ+23hsNCcRxPpoI_lC!TrZ@CAn`=V^#77 zi)m2g+ZKB?JD<2*OZw#T(C8Z>-v$o`IYsz!USR|HK|JKM1K|+@xBbS6 zJiv||H2VeyU}veyG%W_oJGp1yTi!IRid4hePiXM8MCwnTy=vh)gZ?DOtdd z7@frOGZ~9?@HTar(^*CJF_?SB!ed-O2g&NwnhMn2jZ-J9zq{|}f{sw+*uuFnP>1FF zgGWm8njCGw{4sD}Pv&|qJPGc8L{8?0bTkb|O+rD`&7O#W&zD>+U>`st(uZe-j*)%f zyK(cOjV%zT!Gp)xS9b6SaoI)JOx^_O+4#HSRq3rZyo1{ZdC@}omhQJfG`mqyf(wLm zCeM~Id{~*djFBdm^Mef`@7l==ULgkcPVi;e%jy-iJGkwpoOLu+bi)s!ATqa`GNrIB zTl6^i0NiJ*<;R0>ZO?`tW^pkY+*dhvYqtPA@LLBZ=}Ms=(oHL6ff|hM5b4mKo%;t= z9wsjP9yk!2Sv9#BHFxK9FsCKzB_b(Y^HBwdcPC+%B<>t88QO$Fc zS8RPkatY)krS4D}v=Q930`g)zr;QL+_|4#c%M)`U=3Y_q8h57x6rDi>r7Z?s1o!Rc zv$4}5{~H<@h>d3fc&S#>zx3(s0^uJu6sJe{-cX1zA}W+4E?4vLOUO4Ef`;Hh zJm<#|E<{V{32k@-TQ6>)1LQqbdG5ND|EZB~sIU)1P#O&n1mA60Bt1|xYd?F)g-JaH z-1P#-iXD2ULyx^I59Ou2XI05uFDi7I&B2lem#zX2ZNP>(i8XBm&)>=N^B}(yJo7F# zXc|0v5PWcB_RYs7SpcLopL$I~l{C1NmmVMTZdL-{y(2A)>sQXyU-PFMBmInwsr17A<>!c0_HhX*(& zqv1D+%fwMSj}2Ob2G&6S9o%-qn*(|SHh5$q$CkbcY7e-*RKEG?cr`wwGYM|c|kSw{Z*`qG~CJ&}x#I1Ar=#&B82*X<% zyd^Y-@lcu{!`p#)9kmtd4+-DLoIQd#OdAYt$HT04XdDIZU&G@T#JEk>dYXCy#l&ep18^;&+_q_zG74v+;a^a@=oUTw)TB|@Z+n-C2de)&k`PI zFS>FUcs`y19TAMo?I*=P>rA0aM7Tv)azqahzw$9-ge5EeZr3#3nKyao|*k`qw~ z5{tEjcReKD#a%1w5dhnWOMhG6C~<_i3_)8BzHFi=^f~A$v?PFwT0d?&tPVH-&YT|K z`;NU+3&T>3PN7NnyVt}HV{PJcxNMf&(=s8Si-(D(ql+ED?RcYr4g3M{KzF&?Q0~%O zicz}43~sm(20a6Ay%lRJaXBnOoXOA)EFmsEXH9wQAzvETWL3n=+_@7Cbigg6Ps7ra z;MKq6Ofm=jXQe2ak}eMc53LZz+Oy}@Ag&ycPbMx6yew5yA~)nsTuW^V{bRwq{DI9_Z4|tO0_*#2=70|# z#<4OC^6!8zy_XGIfo$|X_|}Ci&$)+gkS8vK*M94qj`Tl$zEuTuSIXSEJh{tr*Dgs# zbTTP=hOcgUu=4*;H6Vn)vrE<2|5fV1LlC{d@(~nN2cI~YO&Niyo#mwhHMh%;R^E9B zE^UblwtIL_6wKg(wbH^u0yzKt5`D(^V01ISZ_hwR{3Sg@f8j-}Dl0b;KmZ0Tj5a@=jk{`Yjr; zhhq);1@iaoU{5>&R||7iVUs!|nbq5b2 z>j&Xjw`wNgDfPqqDx`v^u`D7^-UgrIVk^>N+68`>BH?5z}_a{zCmo)Kk!;B za7Po?<3ls;!QCqmUf7ZJQ(TxQn;I$Jv0CUeb^@)(>N5%4X1SEGfVdnY>(iU>5SO7D zwrm;JLXRWBTZV8=*8dUnio&*qd$|Kv?^4dm`~vlw;5NubC_YbIwm-ctS33Y6Rn;CU z)tPIAfQ;_PG2{Q zC#Ys9RpA^!@$^e~$fB1ZzxVcsVTt>}TeW3#$6!d8fk$h0RGP!c@@tt_6uyofR6!I4 zAF^GaQn?%j*Y?=?cpCa5=aEpb2wVg|jx&t2(Ei^_51mO=PYu)VM>R(Obz%LdEXTj) ziPOHInXqr;Ii@dp)t40#>BUKwM+UQG1+_E0i>r$6xX_-2rXB!)9mfdDk5o1Y+&uv; z;n@Fa$!RqRZ|3ao$8s|T@}1PhvVX6Y`H7RO=*CX;WiT3EOa(H+uGZlQn+?7~RY(?L zJmaP}#aqyT@dnFRLkR7coD7Os-X3{U$wR4_^F>10A(x=SyOqP>Ba~hxE{Ag}Ze7z& zmZbYgB#&C26;2~Aw`be`Vm)PE{pOq?uuP5p4s=i%{&8$RVy;?{T;Mjau4+lgqf+Df)p&vDtkEb`OU} zH%0|(q!_C;dZ(b~h-DlSTI1{B0eIgAjc-C@#IlU8h5U<_*xdyF#u3)f{|m8KalRON z7BV)Rmv*DAZ@~p_Z;XIn0&lT|GojwbT?b!SpJVDcmbc>F*lVs(TcsgXjun+*{-CJa zk}LE^5LQQp;P<@J*wJt%c%(gtO{5eT}-})x;3@gM8s}Fuz-LgvCV?9l?Q4n3g6#}rRJ9r3( zn0mQz6PF3Z{UuKdfiZeiahj^x?4fNi^cm<0zsjdxLNW;C_IwHoY^yj1^)fvdJamb( zu>(C@s2ZRP{Fa+>S4(+HmLAKy4U3@1fyZehb=ji*#Jw_0&&T!t`mppQ8gR^>r%v_i zl=&?b4Nl~k;IjcjTm$#4LX@SjqVhd>2t+BI+b+YrGI-?L1AKU5N5f4~@XKay;5YCV zl2gxYmbXoHgZxqKf0{zRFSzXlZ^r(WcsJ_~~mIkln>IOuGM(jUP0;T0F#5sbe}t|o5T zyAgh$#hP4&xXi@91m3225SF#TLz_6)_>dwRffxOOJO~R~g9p_e&~&>AOunDFSNw#V z;I(r{qJkT@Nx4vAEO@jThp`(zc?P_|$3D@wUQY+_hWGQ~TA)}69;hgzi6YH|23Db9 zLMAKv2n}op9|@ie`6I;TV23QL*;i6t`Sv%|jCN*|gUHKQ!F`>0c*)V~lXeelj3)3& zCOcZHL0pc-FPGUeeLV+BLGF6P{CCSa}I-gFZgymj`yg} zK}r$rVR7ol4n3nGpVXWO`&BGN6Coe0Adk|?zdGx_j0(P|IX)wZ!zJLs)w~e-(D3_` z(@b@5W6${@zuVl=g7*9;QO%B?arW z)FiHgzZRe3(m|WALpQAh;X%!?C;QC6=s6*m3n6K9AddpNts9Mi^&=bDM4v!-EH-Z-o zXVZOBzsz=@LQzBw^}n|bgi)d1Ij)e15&ah2ae(uQ8$<9Dc$Ekb4IQYf&tKplOks}} z2QNd{EfRQo)u3PHC`IeA%@NpK7u<)l`3}}74B~RaUcLSG(o|Le`66VBEXa2uE`7N5 zEjD2X^xwyNykf8=3Lb%?;ChZ`I}|<56@&{5q1eft@j`z3UhaE2^l=XOG+fR~hn_`> z;}3PX;@tqz@F{ky-aTvv--}l=PlD<_;Ku)Wc(m%X#ARQt@Ar#B-u**6)vg~i{V(Rt zgzZ@@QD`8!7b~*9eX|;IHEboC>)&!Z$ls{Zb{pB4R_Iz!@bP#7h~6NK&^5h2NUXya zf(M8W;=#i&@CdlDIt7T!p--}08dwMU&+wj$VVDowAn(HnyI|SpN?z^iOI(z{%RFD= z#;xCMw8LiBQ2*AWgZzzp>8a60X|cmo-NCz{?N`yp0PxwkktPFttkyVWDkNN^*>6`M zF&{h<=&DEn=jN7zTW@;^f?vkMA6Uo&Ib{Y~<%Q5OXa7PMT@Gz#vRpQdm`Ifw9 z>%%@c@f1h;3izNpcr?8uw~g_V-)lvB=*c$AEp3fa!E=?*gScRF0daZNqrNUo8a!!xF7}jb>JSOlWH(h zmK)v(?qAHC5eI@L3?3-KJcyIa;vx!W;*}CL5bghfkHgEko@M_oelY$}!`5nRc~Gf|v{xeMHb7pR9(t&ijsGKH4=@!gQOJ;VLBBLv23J@eR4j`FPN z73Oq5rseGoZ=pf}-?m}X4g?>tibqag$J$9;hS=^qIV4|(d>HbhPOy9{Y->(K-nUHh zhID-iJv)yI6R`kn22Z%3daM#qRrwn=<-o%ojtVT2N!&(X4H&t~^BomMYvR&0>+8lk zLyz^{fW3&z=(i{Gmiu5G*3_Tnz2X{Hm`BmVXjBlE`z|M=0o%IHO0p0^H51%FD>m3x zfxC;dyguKs5j=WBu6mRJf+e`+9aJDK4I`)=FlZlf+2bmk+3Bw!I6s4Y#FF98f$y_i z<@_DobNgjlnY9x8@(^_C$GLkN8criF4f0KneHf`e1S}!~6-@Fgf zZ_;?{JyXM=qwx7&s+pit{JVz&H5rSU&|}AKZrR{VAs^YKCM{Dp9*el6u^g=}N;Es;!Zy$Q_0&&%|39I3XZ`2fc)!fmQlHM{^OwYjKP1n3- z7hZNdTk^-5Q##x1ouUGq#f`_C&?*m2iD52(nQuLclw}$2M4cq7}OuU z?r9#msu+k-#N`~>6yyO~ixGXExODh#izntvJ=Cxt7dw=>awoAA4cvpfl$)U84N&Cj zGhdyjTL``d@@_x(Sf{8X;L#h*LrDMMOD@-`cX)91M)!B(YA4~ppL?(nlO&PuYLzbC ziG9AFwQ0m<&#vN{iXNI8kavyZ9f@ur6Y^CobL39&$Yr-z?QOK8d!cCGecS_N7x5st z4d<95@WfE1h~Bkmxh?Qn$QPDlgZwzbGhOprLoEGIpE)QHL5}JPaNRO+$GdEhTS?P{ z3*fH5c~@vdOdKLEJ(OlSp7|2;;U79E4Ph8`skkhlG7t6y>>mYZ$wro{9S%AsOk1naqhW!HWxxr~M0R1Hfs)3+$N#(g=ePd)fDvquVK?^wy@Lp7OxdTqc4M7(tG4#;5#iB zX`ciS&Uip+j$rOQ%eqcDq-`9-KgHJ_w2P%0wt#+3Sgnx`>d9U!{^)=&BJVjQZoa0-< zhom+*dYw{4hjAS^3EB}FyNFA#Snsbo2>GqkxMMS*=W}qk`nE=zCw?^iH46L{c%FvP z;|t*R@8GC?9pRtw5I1ans5F_ljDFYiIE;gyO5m07NK~5K!pM1=K?O1nTsvbEK?_s} zjNuT~A=exHIc&ljq5}_u*Lj2uoeB><0bc(z=K9i%kGPtrk>Pv<<0!?NW~vH81T15= zoH*ah{t;B#v4XCE37-&`U9tD!x90{Lalt{&S8x}1<2=K0=&`-Z(d59k?mYONxac?) z`mZwgiW_(vB%)Q6c$l4Dgf|EdMup1Y?&63haD%vv8tZwNT;j3=0$&GQ8uIO+$DwWj zr%`jDpc4wtujMuVL-2dSr(I^JH$l&afiK&`VR;_%Q;5rk16a0Jg3nT%*2~+ECGx58 zZ78zt>E8zrczICO#eI_BF1gA(UqK>VfqkO8@+Ww^AKA1BOepmT`}W~2%xho*q=2WF zWWE&fRvX--o(H9)cgW{4?Y{?hWoL&BsN09eI$r^wqmK z?T48y9yfRNHPWk2Lba$sYDf8-s<`X>DE77Qq}mA}FK!3V&E(zJ+m+dj1K=W=t#D&$ zIj+=sFHo^ee7h|Nf!9$j@?saIC&Jo9NjeuP=m1E2K>N9xbuBfwkXgh(p#)l=XO%j=)K#HIHys5i(^ z^3m>p4HaB7co^*n`DK!;$SZ<`ZyRsm{T0}TJ;Y@ntyd#HhrA!p$>~V_nz%F}G-0~h z^SD+wQAS^aym0ZzhY^t_hQL=7)^n&HLgZE90`WF(lX?_BX-r(68w`BM`3hJ#An(Us zE`owQ@IW_i*nzxs5BQ5}$J0`XVW{9nfzR>`$7A3wTwK$q8YY;t`qPnyAH|W%d?;nZ zk~yR6ERt59hSiB@NjKM|F!!L473 z-GjKCR#z>@R6`W6Dpp!@#naG}`Z4S0xDa9zb2@9=gHbK?)Q<{IPhM0$fb*sE8Y``5yeld)%=_==U}7@O#owa%BVs zC5E!20(iM&NU0N|lH@Avnn2><8dk}heIf1yAGehHPh7Y2`+u(&Yd6_SSK|8@+PxHx{Fm75p4IX)+ zv(gYvXGPzD2h=q^$|?>ta18~XV%&hXwEQskVd<4@P-*zK3V6?b%=NR&^(9xnZ2<{; zDu-#n#!cO=`E@=`94W_q0Js|_`(W84;I5zfNFbcV1>=azoa6pHb{{>7v zgBC!MZ4IyNek@q4p{R@H7Mda{ue!1yHSLEARohXR_BnWDC`YOTR~IgV2fMS$`V8+s z;MU7v<%hGAm*C1Q{i!~c=@;rE?LQlC9w3QcduyPA{|7z^U`K^|;KAOU%`Stt0=MA{ z2O>&6JtPDkv8+q?frmTFBt-4&rFE2U0C&pRq39{%YA|Z@HDOVczZuL6`C^MXOm@Mb z>5wmOIqUHzmE#Kfg5X{>5Wy*G{hqKRiqnBBZgg|W z2IzA^^2Dm5p5?o4%8$UQXvcUS`$F`f3KggQd}%-~w<}!W zh4`Y=FnB-k@XZHg|LOaOQSdMdl5oA|bMR5Rg5~8LPlAW;=TzXU&lO$-7v( zC?EJU;7#zZ#8%)hYp!mRp~1ruhggh)K9*N&ybtce*8=*XXd8InF6`LT=<%oEZoGQT z4*Ac)9ZlIo5y@rnL{K0q@hQL{cU=4oZl6>rMMV$|h{xHb5j-K~Ql<3bfAH~mtOtvY zs7G85aTCiBHzm&3aVoP#KJ3t)P;>_G7CMWD?}Va=<%YZaAs_mOS7-+ea)S$em$>HR z!M%xvJbCJ%foW*K{WUKdgQ4h6#goNB%VFAjEsv9SIst!-2E^U$n?hv%-H<D)&d2xEy5R&HY=0Pfl73|?T4Jt@0Uv|NX#@F}Sx-L^v3PTqDo7SLaN!)GDON#| z^@|3!g0H}ylXC=}6Elxe=v4gz#df@oOV6=iRIyihICR239-~PxuN-l;RZbkJ$VBj( zkk2dP5bObWIl-?Xo9c&AI)U5U@S&(1Zn;nCr@hf`Ol3VahoC|Tdm8QEQQ!}L%Gop< z4ZjNRvb>OEK6uc^@)OX3^~B}+*jL@dMbo<)3bvy{w16$u$2p&Z*GXhW?I8aZxF~}? zJ@{|n_6Ky+ zoLo@^@d7M8yj9FeqiCDc6!ivl;J7Z2K`7vQ;s9>Vu8j73fDgczD830k7(85`T`3Un z$XmY}rQ}!+R>w31CH$01T!!2!%Uxy}EMHMH*~HUlCo1Hb2_Eu$ zH|Pr=;ggIZEK$9{1Gvv!r>zITqj&HEst=t;gWIs5w_`lUga7(Ik85wZ*GHWE?iH@h z4=Pa`R=K%U@PFNCxDblEzt8jnNBUc0>ldL99f z)OWA z>bvA`6l^oG9_SNjr@=)F_PI`O--3tg^1>BO;XYmhcO2t`M;BaD@+sEuu{_V8%$)A2 z!9#`9SdXYr1+t3)9G&{WvlLGj4$FzV0yNH3+jHdXrd@;$ zQRYU0_<^UrXwEG^4j$gZJetaUGI*pHHypt}Xgav#GOv(P9EHvU50v6zlZTzam9<&f!X$cLJARFXaz^gVHPkmy6tbi-Fs zVTk3bL9wT~0r$QGYHQhjRf_UmdEzpLT4!^DT?mib!Na(iq4hTg-?rm!IUVVCM^v~| z7O1f74Ut4#EW>U@JP1An?{~r&iE+eb-$Rx_pR70~rgc+POBdAt^ljc=&dK z++$K$xS%MF3Z!#|#h_;3QOl8eF1V*DAF=xev7+|iwj9nuI_!IZ2k|yfw;T%@mi6_~(2@nZ@*S)RPG zfGb2wu_hN39m4nHA?1jB!2dkS@^n>BeFlNsaaY|VFz7Mx=f|`B8SrPo!)}>Hsr?Z4 zj`L6u`G_mLfC|gNo8TM1DR$K7BXIkdY+?u(F!zJ|YVlf+4!fEHRDCLer*-8lr-z^}aXCS|Sdxx_}3!@u8s&Tj_qpr6=q$XUu8*N1-5~mIcx>k~NK!iYRl5Bb>SP!PEhJ^~i|pvQV{<{0FK z`o1iRCzrBEh_h5611>U!bNwVE)f_zC zcs!B*NLXT|+A{OSZ6djO=H)|1wS*k=CjBrQ9X86_va@fO$SN?0m&-8B#^;RW3Xa=L z@|3LRx!>nu?waopm8x@LWJ95o8smBQg<|yi7tr*el zteDPfqzJ3lWT<;SCfI8@+J zNY96yn7{sQ$BX%rU62{CA}bzc$0KJv;?{2OTEV|f-fB^H%^Ta@g804_#P!YT;J)3a z-|2`m-|2`m-|2`m-|KY5+3$43+3$43+3$43+3Iw}jh539Z+_-&=6g9^IWyz!&y2S} zGtPczX1w{C@#bg7o1dv{_1-=?oSE@m%8a)^>o)sgepbBsS@Gs)#hdTVinl*2-u|q3 z`?KPGofU6>R=oMyx0~-(tA#T=-u~=(`?KTi&yF`gJKp^4c=NN_{M+ZhGdte??0EZ~ zx7*L=JLAoF#+&bqH{a=vx8E6Wzcb!`XT1BJ@#Z_@&CiK3{|>oWJ9Fag&&e{s-eOcX z)0P-@dgSE9q=}rIm~@+y6O+?(oG}Ynjx)AymU(mvCEs~Vj0*OG7)fVA%!1;igg?DO zMzy=>T96#q7?i7$vIWUuwV)XDy>j$(RaUl$#o3>$lCniA z&iq`Jfh|&T=I5%UOj6!|H;lO|E63JUN!fy8>{sTiq->FjH(w=Xi&U;R&Oa(ETg2k+ zS4lZmN+o3rig&+C%Ku7vrTbM@{+B5fZ@)^)7O8mmtE6m^iZ@>+Whv#owO`LwSvj_* zO3D@#?|zk(EmHC3tE6m^%5CPghNsHP7O{ByRZ@?JA{Fm`m6R<~@#d?ftfahpdzh=Ta%@eNlr1RU{W)>TCpRbF{G7Ptlbb{4n{O>Q zDw%_p8+qo+6_o7fFE?u1oDMN$>?58*^*VR%O!FgCE2rIBXl*fJ`aNh^&&g45iwl8%_xe`Ta4 z?-4?dH8jsW>L-6R5`&>bk7>2ae~rOtRO4L^uKF#PRKIbt|fanh3LOi z@6y*&R$XhfRx*hN*|Mw+HPCh)HT&6GDqX$S$dGNMb#@krA*HR?MmlVdRP^)e%<6V!1sb$x~S8u@by1v@o?Vu`s9k^>XrIn{`IB#OxgNp{eB65$lY_X0atk zirHwLQ6(|kX_kJ^$TWw%XS6dvevgjgQmSR7ndjd#9OnDeN$Q>VjH)!um4n9j^y5a* z*kt~>-l)RkUT%ZY*?f2^9d_?0CKu!l#-fCrqF*-{y%Q319A<|Pj2je2Z9gd11$Y$0-Dk2Z61WA+<4bnv-% zqp?{=Vv&)0f4-VZF^7&h`D!j%n3_x$rjmh$simCm1=&RLs?5zMbJI5&L(G+%s2dN_ zERarYJB@t%zfI(ri-cvD3D80Npsz^izRgB6v&m-V1IdeLXXmQUrkM*ilXRX^Q!G2T zpy@q5pRHqlR%|A%O z+*~%Jg4u8vsVs=uuV$((XSVs^F5?07<}Sl#ZrVjtTkGPV?1Ij0z=W+-IBj(K#aX zd#T~cPpIE?c53k_MrL`vM9E;zF{|t`np7V%0D?_89r(g{pgv8fkK7#GX&0^HO*1rN%1mm5ak(qrN$8uhGCAI@Q)I*Bo@ws8K==VxIZL5en`N zM<_y<95GUB#E56dX=)9+-BX#lPP6M#nxh{bGwK&DJ8GOw zFnvcUOjaBtzo*SJ+L+N}Mw67-^P?2p=ID8}6umpoC~xLoGb$uHo#y^|M)itWju_u( zQZ{RK+{jFm**xZmGAlc`sQ+;zC&AqKxlubYufRP0xzRqsVb(ZdG%POHY;%@r*lXXm zViPcjPgabzB67-DBAeFaYsVZZ63;2 z>A&ckAB<^<=7fuM#ys1cP9bS7UqaeeUNrg>xN_0>x#;qbM&}BYr7Ik#FzR@XQsDV{ zB+}=avC3?}&Pb`19pmdv+OOW$PG+{+5Rz-vy5hP{r+mM^PRaDx6q?HeZ;;n{ufY4$ zZ&w&;DX}q6fmgKdhH)dYXi7pxP8svSE^7Vp-9~b;Ou8WPL)d6+UMZVV%dA^2qmMbK zT*lL7b&AY%7G+h)D4tMsmo1}jF>`xr#yOs+2WJ|6%s?7Vnfh~SBLBRfG;T@Duv0G& zN%|1c#H>8?;9PRZU-Rg{tF#xU$SwLQEhC(0PMdGEOvo!bl%CPNWKo5h8TTfdFUVQ( zh&|(lL>b*QorSq)tn5!CObhE`IP-l+QOb8g&6LYePQX6JGmSDcpC?myuriOTu0BwLY1O$tU zCZTMT6R{Utdy6ft+Isu2*jlRAYIuW+0`-Bfimfli2cjaP<@|qZX04NzNzU!P{ol|3 zKcAk=-rrfXW@gQr$DX~V*OVvD@7t$Oj>n;o_Z6>?+^BfT&%16)&1I=~qBo!mI#;A4 z*Lx@JV3Q-KmwcaqU`{V3f6DN_t{QKt;hAb@(yul=H*+r+D-1tQZZq+nh994~my18+ zqL0_bCFkqR^H@-7&=(CR`F!RgA7egRS`F2PCE#|8o zP9uccyT;*znBV5`&oM7zE_N$19Dg`W=XeV9S$w~b^UY#jih5CyoQ=%O9NuiW^q%Lv zXR~>04Hv6>o=E(Txzw*8%Wq~b{VM!P=F(5XUt?ax`Gp^4UhMFERE6w0!r`A`KF;AI zn3p|g5WKh5x5Z?hx+ z1(uibEA=m7`9~c2sVraN=&xk?=`1hxY+xQZyoLEJhu_TnAnPyS_}9$$IlPm3g`?+j z=Hngvzsg+ZbLq#U%oC3MKs1EL`wWK{F|TxZlzENA&u3om@R`h;9A3|SnZvJPKFHzU zV?M;;w=<78{2u1R9R4u#(GEYpzqP|0$IhoQhmlN>{yOypnt!0o2}2D>CMS$B9Dkf} zp5gfp^}I=j5A4Cu04ekirHdqGvb`AU@Ku-D8F#qDWxX2X@cNCmfu#;Fodtk!0e-sN z<>y<^n(FZTXIMQnezd&Im(>nmc@_Y|T>P}WtZxnACwbgixGp^te-PU7lfE#3|J;&= zKb(a>orNFC!hJNaw{iz(;h)dK7iZzOWZ@^m1ih7eau$AJ7QQwMPoO)=&SKwHJaJr$ zSog~#XTmTD*E_t_P|v%8_3Zkb1r0pm{uM*T=#N^y4(+7y8o1VfkojB8rOm}w+&jX0 z4vw~97t0UFkkfi*@emyA@P_8r_t*w}&EaK_TKUTy?(w*&V=ncV z5x&roUtVqXEOmGu);|i@IlLb$yx!sRz_^;Zl*?xFe(cI~zue()*)QDX@U^Bkp7%#b zzm)T5N50?_>r9Sq4(~k4DtgS(gYBpZPdV~3U;WjQkFfl!;8F)hCi*Z7ABcIiH~HAt zVqcA25}R?!#lf|2EWz9vdw@JEdN`z+($jzGk=|=FIM~(_*=HTfyO(gx{3W~{*{6d9 zsr!vcw3Dc~Yj9uRbW3v)(i15w`x>sj<|App%98}H*!Jve2YYNt%kwc|jt)KT-r8_fc)=W(01grt-Jg`}PQJ;L%oY zb?|`4?F>@wCZlO*B58E%;*3ITB-u-m`ckN)c)C*z?T30VgmRcd*dT1V?j{o}T9a7| zX184M;EeL};9@dR$p?Px*(jkm8=5BQHg%a09hFNdvyhb*X4}vP+!?cnzmCwxV@hhJ zm~jbidv719&_*<>+1$#A;+9J1&7>2RSdN$~p*jb2B`5{zD3Tz_RfwsAro13&t+nCB z+_q~;vh7Ct8=7nOxzM2QmJc?(SP*RZTV62Wpj$V=w!^}A%A=jpPSeKLPQT-fkJD-0i_#6Td&y{- zPTosu5E5Z~WEbMtJ#^Q6qhQUW(O{zImu)LYJ6a3DDDIEAJo;>TbWiMF7Aq>6 z=9T;9yOE=)XeyepIzk(svT%EuU%t1iT8VH?bn)0#r)IM{u7kpms>mc7f8lunBGXf2LNU*9WUy z#!l$y)M|q>PUJzxdZ6_;k;A~uLlOnbLt>dcD*?qg4U<#(*N}Br0g`@J{o?| z{A$0mKY&|eVy24Y_pEJ#-|<`I2%104^V>J$$}j$lU;J=9d}j(aDyi{XsNh3>!7nJT z^G`8xyty+Ej4~4AxZ;sIzXFXa_#<!EN99+At6EcaCt;q4zvbFg8kYBvJ z&OdoK*<^BRd>rFFCsDHFs*?fQw~@l2dE4N4dIR9H1Bciw#+DhAoJ}TaJCaUM33ng3 z&+a?JmdH9dSZk*mB4us2*&%Wr)MgnPvL>{c#Ra>EjEBJ?;FCwFN(fy;h6W2QM8^4~ zh_djuNGHk{_mnXJzb9;E!?Q?JbVn>z7J-AslW(BbcObd>NMFDG6{Nv{14#z4*^LCL z@?*{8Q-n_TTkp0h-=kYd{0GNB6l5oR4G*`MTnb zuIrimk#`?tk0JgLzk|3&dL`?p^g<~MGL@`b809GxX5yfE4Iw+~0(mD#?C zHNS%0*7bO~8l;xZ!;*mJDTxeqm-oS$Y&Vn3Qa$IO*2>yTsVyQ$V-TYzSWn(6$%4&|b zc3wR!NSSp8GxA#FWo2kbYS5Nlu%>Y}<6vzz)vgsM2XoVHoVgrCI7@4?qVkJ=9PdRy3^R;d5n>CxLkQ zL=e7<)tPeWHCa6>L*F=Pk-^wCcBAM%n`RA`Z`=Tdl`3&Hb_pBF1a=NLtYa>SqH{&J`3Gq~l@?-u%#g+q- z8bcZ#ZG2 zKAzqjZ~i#v>W70it1*E|mDEXPx|bVo-ZD6r-bNb^@`WJ1r7S!Wl-REJJIasIM&91B zx6%wsU0eoC{cD^68@rA#qJ=UK!aB2{4#{5l@PU5lU%I6#*!b>94c#X@%i1EX)U9X*McDVzK6rPxf1AEew)x@=OEpeE?K#AeB_- zpJRRXiW6{YX6?mGyB3=ww1R^2PU`D>fKLOaCXk3-Y&u!E%VEh~N!C;feOiP{Ngmo% z(LFm_#v5$=?vB(WExiSq=z{IgW-TX`r}Y(9gLQJZP%Uv`4_%s%^zl1xXXe(_^<{-7PmWcQZX;mF0z*JFP1-;nweQtjG>RC`gD zvk!2{PErFX7nK|9{F&Qna+{8pX*Z^O?2`7^`IXN==I_x?+`WM-QxkNLiR=7N+Iv*p z7IdiGpSc%B*&Q#|rb?{ZGmlZ*>@y_?iGOp5eB8nSG4I?KykW0JGF8BH91iyt&ZK!RdO&hKIl;!-*Yzd+` za=6W;*x|Ou6eUXoG6gCVb_P`J^Hdl;!X}Vbma{3niJXK4F+UPx&2}Jg?YS|O-}G^i z-WcveHZw>{p71-~gWp7-jp1=3jotnpzk>(&$y8cb6YYMCY?0o+YE_UjOC^%cxb}-* zz-7c#5EpmZtd;1Hna|<=Kka?t4=MJGw+87=*fwoI>SomIT1$8O#oIt10(}wmr|J(a^l3zhI02 z>v2di{yL#8_YWpz0{ZuTy7G(h95r)0Ie90X98Xm-vzx8N6UT+mpy@6b#HOm;&D4@C;tHR6U zIa|>bo8Hw6wp;uHqm0f?A!COX)r^dehYtLqnaCcWp)cSqGyj4g8YwfbKl5#fRnT-g zhU$e>YCd;A6l|m9J@n@}`m^)U`B<22*WmU^x*cS1F^}z~TidHa^CmnyZcUWD@3-HC zfo+HNVRHtGwH!m|R+zP{%^1JUG?pJmu=vxih6Xrh2fg= zC~r+S^*7HDdB|?|qlhUaQmacTj{ON!lNbJ#jy-2#5YV~k922jJ&*% z!+!fhn$1e~UR8{f+vlmoplz6qL%t9B9w*P3srN=vds<6q=7*Ep;ng*s%+R)L2~y2+ z;TQiEm%LIG{FDm8q=11WtHu_)WXN*yh+QiR2AO(5EVNn7d~6mP462Rb*D}ZaS7_g( z6hH14W3{1Wh?f?>eGyt1eKY!OW_iKek~khik4;JqdYLrf>7fP{Y}sVh$)j;Wy8wRX zEm$pppKS})yl%4;O5Q@rQz)`_dEtz9d665lyqFt2OVIERO7{Ehji@d?kK@t!bBJql zI&t-B)Om7hP)(4thu-Iysnj^VamluUR1JEtxF7L`7yAau9XJj9gx`KOxul&S!-rB6 z1~%{W`S$ExL2}PMIgn>i7xU+Dsq-5;F>0GWi&=j`ek-ZP#UQyDA{X{aq|QIOz0SWz zdl8csFS_5hc!WHN}QO=$AQ%j99ZFm`qiBM zx4%i|>^cN<4z%{SB0WeY=!ERWoM>n3vq5MkkM5D*@c|W_{7d+xd)mAwXg-RGd^D`> z7h@w^(6WIl+2f4)Cq!Xd6Z07}J!2E&xMCBwMVRAyoIPV2?0)Xt?Tq^V{sSheSNF(0A;(!k&5=u28v_t)vcxuCQ@IyMPV`s|C>G z8s4Z4*&huQwA&;54Xngb~4-#6Cyy}L>%Pf~dOX440mi1$0}P&D%uVyLZqF|tqe0EbVC#2rfrp<0JLFIc$jA5R7Ww+8#F`)9c?0@_igGaY~+d<|r2DgLkt$B>Wt?k-4 zUV&k|6EAtoZ(ohanDB)u;p?%(eet^F989h;bAV;OWob^sMLz{*HwA6R)!L4;7D&f1 z`ogEeow4w}fc$pL)TBM}Zhf<9VC;jf$mjX3&yl(Cu>@tBmRcRB*gmwBg~#aohf;bw z9njTuoDD?oH3H_ZKBz2BTIP$UKzHh|J=rj4p{e zj>McE5)F=oeIqPS9|!!70W_aBZ(gD{8;LMtZ0^SUS6~*#mkwrLaO3@d!5(B)Wed#K5c^h4IJ&XSj&Wsy8^=+2F>M*2pf-~1Bn)85iJ(cqKxQ>ETZE?bVNq9G>d2;qNN$p=~+Z8M09#abXFG8 zIU;HukebzyMRbXXT0h(WHtzpx%eO?9L#B7@$#V~qC{P{}GkMsVW9diCiyE^}9|I@HOlxKx zxGeowRrsH0-qX+x`I3$C@{I23J)NC6DE23Kn0;*% zeUsjX?!s-xy>cLCtYqKk@LL<&8#u3Z%GyTXM53tkTGOU3-?I1l7s1x{j0n4XxtRHS zw6p8|k3CXCvRv4gIml=am5?GA75i}_Yu*gW>zse-Weyr*&#$mG{g*jG(rgKW z>O&^OX=Mk63N2W_>H?FXoLYA@e9< z{JzbWqTb`&tg^W8an>L$^B#u;(X+&iK6W6fI3@=B0!UWdLirLdFBU$(|1h%AiraLk z=YY0*8O#fG0!!l`o1DdD0Xm7@huDmGN~AVWJ83HD8rfan$Wdmgmxy6xkD$WC=jn8q zF1rnKd~6cfFDdA4`#4F?l!EL8Su4DVoq48tpf}TDDbht=mSbciY>eJ(rl9vsgiWSN z@=-HtHNAIP!bXjn> zJH2?x<9^5M*rn~i14(xGmBJM-SbwG&#J&Q!0+hW7Z}kH{$X6e;OojmZl4j6s-js0} zatS%<7k^@h$?8z{D-_CUTEK22T}Tokhu;$nQ9b z+7LEHUZbzI4+dd<KxG5gK zJtf3e)o!Y-c|Ab2 zH5WvFNJU^zK`%h%B?Fo;s1xT^^w!kAQ9zbYnk<`ol=gc%wOSPq59}XDPg$wO7;9z) ziKXJ`AHRbJ;(hpe(R8?d!)vEcNHyi9cOPp#e8q87k{b>z#UJiyKJQB9o`)_U zK{DTL3A(;Iw6B2Tsqy$4UA{Q9Um9ZI*tOKQGLA!`<|F<6_D4{T3@X@@Ub>h`+%Gm! z5E`SDg&R{7`Ze$CXJ0CiS#U@Sd*d73%QoPP0=!S~huT%wADU}g{6D?Ndjq_%+PLhG z@seFvo#oVtzkdkxpCH3v&VS%cW|1-niWU$I(^x*h-Ge z9np{Fa%?ObD6dWH--l*m&y#o@|Kk8QmEb@MX$(-9!WoVp4)c%?@gt>(tc@cS8Q7? zmKGg7W050^b=kS6T()%1&-VYP_4%iAww$hZxc5DKb1dpjr+bt#9X~oW(Jbemuru(w zjAZhZ936X6$;3~@k95<0fUDl*dt)R^dMGcAd8yZbSGSZ)d0qWLnLus50HsiQQbvH* zbY17F>#jOscc0;6KWeYknbN6TI;Wt+0MYlqBzqi{C3x+^L7Btq( zonMXG7A33elZ)zcSyNQkxL{sUvT^CcCde#oYFw0TTGUuO+#5sE?7rE>H86`8B{4;;vsgZrO&B3~Bt_kF(1 z<2>v7HTPR_eEV^!+>V?Z%wVSa)9JE=Rpj6ZCgZF0n^6=! zkV=q$ci>;BzqR_ooZPEW>*h%Ar_l_u{Ewmj|Jw2gbDASvmd~Z>jdW8VG)M3!fnSa3 z5nLdw<2L2egwl~q|2&g-Lyn17^v(Scchu2Dsh3R*&zOY!6@5E$Z^$8ky<6$qB{piT zt*&XPxqSHf-f*~gQ}yMDm(DYBQ|*!_Z|?lX^|kY>yv5axRo?vSd9@3xyvEu(I~1zC zg|$tK8mheHoa(s{noFapI@yG)1&ij-ZGvpFs;;qmHsbkBjrEk(6j$X9zkK0BZ+PQ^ znraO9;pQ(Uh$>32T3Gw-s=9eiUIsvKRsGzIJk@mM@X_AIGcHQRXH1VxoLn_(jFGPU z;)trb^J{9Cbk9r5wD2gCoNRy|&Bvj73zG|KYO7586^(P7YP(gY8>w0{Z*F(BUe&0% z^&{%)7gRSjR42bx+cbRC=w8?u4|Qy+t*@%9Uf5JMuXbLtVQDX7=Xy1Bk*+H^GCE@T zh~cBi&M@nOc~!~k#-^&sgv8_sY&EaCsfx-qBC5IPj_9sqq!H***XRhZnkL99?{ZAR zRlU^ci@mUMUZx9-+T?s8Y)iccv=VzhfgMYe>QDq(SLvH^~# zS~GIY7kldJX@O|BJY&gj4k9x+XjD%WHK>QNMvcw5a%5ucqB2O0>>?LWoH*^G?uZu3 z?&PBKi@SrKjTosLF`BB<(=!)Yy<6#H$fhGR-EZ$yM~xu^ zch5og?M`~MX-tncjp@;*F+Ex^re_O!)Ytexx6;SQV=zcqrjM5E#v0L*;jwBm( z7wA4!jIz^>>eO*x>{j9^JC|sIaoq*TpxufXcW!q9vTt{RvE2p8+}#DnbQd7&cNgfk zG>jZY&fHDlT&E7!w5k>7I(6t_$#b1L^swZ)z0Ca4b9-@tu|3)7kv&SE8SP=mk%#ove)_%0D{la9jmbN6=eqc*qHn(A&76i56wgtkqXyYte|U#pMfX zmSW@7)L7e;oP#vpR3#Ty*UhabCAi|vQ$uwlGYFv_^GFr#rmm=-Jr~VckesuiW*!fLRc zqwC@G7c|ulzhLSmU%;bJ@>|%V)n2}6Hg;k2YrWyMb7)sL4_A$~_0_}8M$ukoQa*(Z zCk5JbF2}DFKMH5q$nb0E`cw-(=Wu*TYQiw)^e{@>+E4QRD2_@0)%eK+*+k|fe>`7P z6z6N=w6mA+Exs6lnDk4yjxR_*T}yqwr#Q(Azt!P*S89U!QV(gQhvXTjw>a{A>pkXh zoY(@qB+WZ}46D2yvF%T^fyCJ z?C@>HwfuFC{sN=j^W?!<>T?EjI*F!0ob=?fpFF1Y>vEq|T=&cK4wrs;+2PVJM;uOd zpwOQTi6H$b{SsB2>M3@h{}z@4Ma%J%eko@zb{>p03KdFT>!JUOn1a~hJ+A0)mAtmY zdd0P#ZOo_8bND#suR6Sx`5Vlsf2lqa4l9nc=}gGMX$A$d zPYZrx=g%`2KPe_5gt5%UAIuvP@G>P&^Qz3l^oa-skuRl!5WdZvZd>t_au+K-RDY3Q zt~k|6!VO9fb(QG(f#RfRJeT`phku#*?;ZXX<^$P?Vo#~h>55a^Bn)Rx*>!zxRPuEB z8P4z^bFq*34}C#HLF_-m-g2`={e6LZ%- zk10LW)s?KD{=r%b)UFUe(er^LUqeC&$MGaf`pM4Hk0&vA^^_=i8ZTo1Qs&a$dZbaP z&Z4I=i=HbTevs`?|DY@d>33OwL#3bUFLqevaB1%k94_^IPw6K;v+$#E%;8Hc_C8Au zMG(6M_STCkPWF-Yv4T0-ll(kbVKdyVnF^qTIByt z_))lBaT;H%4aHZU%qhxeM$uoHQ+-zAC-wPA=^_2p1_~!|W_Mf^Do(PpPK{BV_8_AF z%Zk&>S>XY5DxUmg2K&j^6qmXn*{gE+2!aT+9nQnwTi|e6r8Hy1Fc`d^7(2Q(%yVAcLb(i&Mu=#G%ju*Wi&1Wv_Q46=XNpV__ ze$9N1(z6mw?0+kBng8Xv{*Ouztw-&wXRFc^Dn0LH(et6=OCc}k3CA4$vThD!L%HkS zXPHZT*KmEl$lUdxSy}YVbM)NCdKPDq|B<6##^X9i&mFAi!7O@ScI4Nw{99S%%lSc! z>_DcH{#wl3?XML|zD>!m&%$>*dZzO@-KXTqC8gYV71#CoNOA3-`6nSW0`(U+(cGV; zIAtw1)bmC&r*`qBNtw(yWC!iHvz4AtunvmmD|zjo9g1uF(7%&Of%Ff;Pulw%=2V|0 z_<7%_Kc2T<@hdDcf8SAhXsn2yT&`S359gW2oXSlp`O6*oi+E^ZwKs z2xK?XFX1GI=W#-UIob0R{G^^U6em3|?ZY30N{7F(&tR|4(J%IFa=6R`-*ve7`fW-- zZ4IP8zfqj(^B$M`JH^|S{Jn~ky!88{%*j5wzxFCletYC5{6YAe(nFV0pZAr#_McPu z#RrXFd8b5r&SFk>)_xvUT-S3NbE>DQ&m_`<{cjfiS13JtT&z%B>%YO#zofzH|Fa{X zZ?U(<;j&NI>2R^nOG-bLEBm5@ij&>M505BL@}nNvT~rHtz+bDFRCRVc1J?<cT;y+5{07Jizgcmzzs&zXRh;T0^1oD^$`$^5#pzP`2E|E_%!fM^ zCq2SDT9nYZce~-d`xb9Oczep$IQ1{d#`xLybY8_AbRwo{xqz zCx4c2MR4hjR(uEn4pW#@tykhF{rgQN|7j6M{B0$#_sL6{Q+>4EI+UKzC_T4i(Q~__ zN9=r`(sR1fvm=Y1-HsmVmsgaYBBkg3EP5ug5v5&{WwOI%zkRX8WgWTH;j4Imo^-g> z>01t8EBkqekKhV@$KmT(zS-g4i#9`>!G z?{GO+{FB4ivHTMb7yCc&aIyc(4wv@6>2PUpe;y3v|1?j^JW%LxnXgWDxQv(2F_-y= zrzvlg!;829UvjwYf6jM!!1lbv;nSJdDt-lY=du2IiZ27JVELsEmvy$);j+%&=x|w2 zA9uL4_qiSg24?A4!b1Vz*$CDJF@@& z+c^%G^=Q1qWj%^JT-KwjnbZ7yCEI|aX2q#K5>_%N|GXYQ@wX1e^>;zPak%KY-{IoV zI~^|m^D=X?C+QdYw;axof!+rWk4V8h>5HDx%m+GL^q=N%(f>Jzi~i9L7yXkQF8V8& zlRc?jvgupwaQQB-)!})(9^dZh7k;P12ebSi9bU}5)8XTo?{v86f5zdW|5b;J{`VX% z`cL3RRQg5qPg7j4<6m>Qtjkpnmvy#Yaq?#wFHH`Y@v_X}GG02EQ-9HTk@rMD%EE6~ zoXRc3kHT*pp0L>agTse0|Fgrz54Sjc2+QwsxQx@i%*oDrT<>$ZjJwwyJ>usF9X^B0 zJ>u~3%)KIe;jU|W%%wiEKRMZvm-c>I@iU-O+7(fp+BF0}3TGFY$efE3m-k;7>WQyAv(RTg`r9exM%GKY6EPdI!p^9qL_ zWIoH`@;$~%#mP^kT|djhf2Fu?Z>Qo~&(m4>iw+n2?{~P^|NSid#4~LJ-F`X6;iCUy z<}x1Td3=Gx#m}#BxQvTy94_PNCgxNhz5lsIak7u>)9!G1k!_CmCx;g^-{f%FH$Lfb z**Cu7aM?G0=HIMBs^?Ys$$3z92Mi%}JhfBL^v+yev*Y<2toa!m- z+KmpEb?wIvmv!w$#c7PnJp2mtzF_*i@h!#ALz?va2U&Pp@lqw9#}hK?*Ln&R*Yc-k z;b&&yLz%n%J4$iWUOsP}>2Nu3T;y;$Z@kXoa^Cn0#dW`|bGY=&y$%{xZMSDo*tgJ@XZ(a)n=^xVA&9;-n`RKMESK9_O5+2P_p&p2HC;egVw?Ri9Ts*l+Dl+WS@fy!NipPVObIhvH@w)N+QmE^U*l`yCNjj=367ci%OoT&IE zN}fLzF{zbG|4IvjqtoXB8^n9%J$TK%( z8~k~jne0z~C39SaIobabD`NgenY(_KP2zb{<&P~sZjDAO3zHi zf0RYf-Ad2bmHbAf=TgNV%cAE=#r1yRZN)30N9zBXv#nCHn_hQ@Gbg*XDf!E?@b54m zzh>^VvC%M|}QbE*&RH{|=Yq~i3vBjLwNPdlLKze~v* zJ)Gf~l20l5!Y^0>DOcXte1SQ&OZ&;3Ed1L_57kr7Tb3yKDpl@vj=Ze}d`Zb`fB1JL zul?|kic>x1T&UCGaxV0w!{t2c1&7Od)Io9?FUp+yh2+JaF^9|f*kp&x82Gl*UkyD{pDPt7{qo(~HHx<>`4x(jygWzz zkU7;;_sgw{lOM`=Yj-I<+W#L=^4fo1V@~7xllVz^Q|ZzE|B>Rlo}WC&=A?R>`b@I- z%9-=}?5$FloOs(`d5NU{XbChQWwf{Ov&r@tN#eAFk`oy?ENXssXp?ILMdNhPIl9J#wh+h zr15m*ec9E|--}+X^w*MN4woxETK_i{*ZLbB{Y&_HZ>7>-C(053Ko<2ss&# zcPl-!m7Yym^gN`v_Rl>^zaB4dEB$kn{=-U-uIDkuwH=NhX)|Z~k^O%NbLz*rO8?o+ z-F}QJuJxBV`ej^PsPunR>7S+a==y(Gac!S9itF)p2XlA+S*PTeqg?Uz&5G-B_bhX= z!?#pD4=DY5JbtL;3n5?6dCnYVFUW3s+P=@(g&ELZZ{4z-H6K~Bc+ca)xaq=3Wq zN?z;vq2k|ztc<($%rpML<8Cu^s^@%Bj`(4vN9+HK;@?yHpJy)mW!$~Xob)eH`uj%h zjq7hCn3Ep8E?lBG`H8%znB{Oe=b!Cxd5>_r!$nWgXj>mDSL-jy!Y|Fj+q3YS94__z zvBRaFzskZNbhy-iyTe8QyUfYXdVGCpOjmnp9hdX}35wqcF7w_D#c96E`@YRzsW{26 zwb-jsob*?$unF~wYyC}%Q@_jp>T1QQ+yX9lh2py04#i1Nfi2eix#CnGc^=Q`Kc$Kb?iYrFesqKdLzCmvhuUV{wB(`oE2z=+9G} z>Y2-S!@vAxf{at?$MK45`>USyL!x)s|K+DCs7gv&pO zyq5C`-^J&2^#8NnO`hi?^M9bH^c>`IJHiH=>0kf*31sDxyD2HN=gu6*+RWbW{0`m?!yHISFq0H2Y9~ zxBP-hfC&32QH3IPO_z7#MriOTu0BwLY1O$tU zCZTMT6R{Utdy6ft+Isu2*jlRAYIuW+0`-Bfimfli2cjaP<@|qZX04NzNzU!P{ol|3 zKcAk=-rrfXW@gQr$DX~V*OVvD@7t$Oj>n;o_Z6>?+^BfT&%16)&1I=~qBo!mI#;A4 z*Lx@JV3Q-KmwcaqU`{V3f6DN_t{QKt;hAb@(yul=H*+r+D-1tQZZq+nh994~my18+ zqL0_bCFkqR^H@-7&=(CR`F!RgA7egRS`F2PCE#|8o zP9uccyT;*znBV5`&oM7zE_N$19Dg`W=XeV9S$w~b^UY#jih5CyoQ=%O9NuiW^q%Lv zXR~>04Hv6>o=E(Txzw*8%Wq~b{VM!P=F(5XUt?ax`Gp^4UhMFERE6w0!r`A`KF;AI zn3p|g5WKh5x5Z?hx+ z1(uibEA=m7`9~c2sVraN=&xk?=`1hxY+xQZyoLEJhu_TnAnPyS_}9$$IlPm3g`?+j z=Hngvzsg+ZbLq#U%oC3MKs1EL`wWK{F|TxZlzENA&u3om@R`h;9A3|SnZvJPKFHzU zV?M;;w=<78{2u1R9R4u#(GEYpzqP|0$IhoQhmlN>{yOypnt!0o2}2D>CMS$B9Dkf} zp5gfp^}I=j5A4Cu04ekirHdqGvb`AU@Ku-D8F#qDWxX2X@cNCmfu#;Fodtk!0e-sN z<>y<^n(FZTXIMQnezd&Im(>nmc@_Y|T>P}WtZxnACwbgixGp^te-PU7lfE#3|J;&= zKb(a>orNFC!hJNaw{iz(;h)dK7iZzOWZ@^m1ih7eau$AJ7QQwMPoO)=&SKwHJaJr$ zSog~#XTmTD*E_t_P|v%8_3Zkb1r0pm{uM*T=#N^y4(+7y8o1VfkojB8rOm}w+&jX0 z4vw~97t0UFkkfi*@emyA@P_8r_t*w}&EaK_TKUTy?(w*&V=ncV z5x&roUtVqXEOmGu);|i@IlLb$yx!sRz_^;Zl*?xFe(cI~zue()*)QDX@U^Bkp7%#b zzm)T5N50?_>r9Sq4(~k4DtgS(gYBpZPdV~3U;WjQkFfl!;8F)hCi*Z7ABcIiH~HAt zVqcA25}R?!#lf|2EWz9vdw@JEdN`z+($jzGk=|=FIM~(_*=HTfyO(gx{3W~{*{6d9 zsr!vcw3Dc~Yj9uRbW3v)(i15w`x>sj<|App%98}H*!Jve2YYNt%kwc|jt)KT-r8_fc)=W(01grt-Jg`}PQJ;L%oY zb?|`4?F>@wCZlO*B58E%;*3ITB-u-m`ckN)c)C*z?T30VgmRcd*dT1V?j{o}T9a7| zX184M;EeL};9@dR$p?Px*(jkm8=5BQHg%a09hFNdvyhb*X4}vP+!?cnzmCwxV@hhJ zm~jbidv719&_*<>+1$#A;+9J1&7>2RSdN$~p*jb2B`5{zD3Tz_RfwsAro13&t+nCB z+_q~;vh7Ct8=7nOxzM2QmJc?(SP*RZTV62Wpj$V=w!^}A%A=jpPSeKLPQT-fkJD-0i_#6Td&y{- zPTosu5E5Z~WEbMtJ#^Q6qhQUW(O{zImu)LYJ6a3DDDIEAJo;>TbWiMF7Aq>6 z=9T;9yOE=)XeyepIzk(svT%EuU%t1iT8VH?bn)0#r)IM{u7kpms>mc7f8lunBGXf2LNU*9WUy z#!l$y)M|q>PUJzxdZ6_;k;A~uLlOnbLt>dcD*?qg4U<#(*N}Br0g`@J{o?| z{A$0mKY&|eVy24Y_pEJ#-|<`I2%104^V>J$$}j$lU;J=9d}j(aDyi{XsNh3>!7nJT z^G`8xyty+Ej4~4AxZ;sIzXFXa_#<!EN99+At6EcaCt;q4zvbFg8kYBvJ z&OdoK*<^BRd>rFFCsDHFs*?fQw~@l2dE4N4dIR9H1Bciw#+DhAoJ}TaJCaUM33ng3 z&+a?JmdH9dSZk*mB4us2*&%Wr)MgnPvL>{c#Ra>EjEBJ?;FCwFN(fy;h6W2QM8^4~ zh_djuNGHk{_mnXJzb9;E!?Q?JbVn>z7J-AslW(BbcObd>NMFDG6{Nv{14#z4*^LCL z@?*{8Q-n_TTkp0h-=kYd{0GNB6l5oR4G*`MTnb zuIrimk#`?tk0JgLzk|3&dL`?p^g<~MGL@`b809GxX5yfE4Iw+~0(mD#?C zHNS%0*7bO~8l;xZ!;*mJDTxeqm-oS$Y&Vn3Qa$IO*2>yTsVyQ$V-TYzSWn(6$%4&|b zc3wR!NSSp8GxA#FWo2kbYS5Nlu%>Y}<6vzz)vgsM2XoVHoVgrCI7@4?qVkJ=9PdRy3^R;d5n>CxLkQ zL=e7<)tPeWHCa6>L*F=Pk-^wCcBAM%n`RA`Z`=Tdl`3&Hb_pBF1a=NLtYa>SqH{&J`3Gq~l@?-u%#g+q- z8bcZ#ZG2 zKAzqjZ~i#v>W70it1*E|mDEXPx|bVo-ZD6r-bNb^@`WJ1r7S!Wl-REJJIasIM&91B zx6%wsU0eoC{cD^68@rA#qJ=UK!aB2{4#{5l@PU5lU%I6#*!b>94c#X@%i1EX)U9X*McDVzK6rPxf1AEew)x@=OEpeE?K#AeB_- zpJRRXiW6{YX6?mGyB3=ww1R^2PU`D>fKLOaCXk3-Y&u!E%VEh~N!C;feOiP{Ngmo% z(LFm_#v5$=?vB(WExiSq=z{IgW-TX`r}Y(9gLQJZP%Uv`4_%s%^zl1xXXe(_^<{-7PmWcQZX;mF0z*JFP1-;nweQtjG>RC`gD zvk!2{PErFX7nK|9{F&Qna+{8pX*Z^O?2`7^`IXN==I_x?+`WM-QxkNLiR=7N+Iv*p z7IdiGpSc%B*&Q#|rb?{ZGmlZ*>@y_?iGOp5eB8nSG4I?KykW0JGF8BH91iyt&ZK!RdO&hKIl;!-*Yzd+` za=6W;*x|Ou6eUXoG6gCVb_P`J^Hdl;!X}Vbma{3niJXK4F+UPx&2}Jg?YS|O-}G^i z-WcveHZw>{p71-~gWp7-jp1=3jotnpzk>(&$y8cb6YYMCY?0o+YE_UjOC^%cxb}-* zz-7c#5EpmZtd;1Hna|<=Kka?t4=MJGw+87=*fwoI>SomIT1$8O#oIt10(}wmr|J(a^l3zhI02 z>v2di{yL#8_YWpz0{ZuTy7G(h95r)0Ie90X98Xm-vzx8N6UT+mpy@6b#HOm;&D4@C;tHR6U zIa|>bo8Hw6wp;uHqm0f?A!COX)r^dehYtLqnaCcWp)cSqGyj4g8YwfbKl5#fRnT-g zhU$e>YCd;A6l|m9J@n@}`m^)U`B<22*WmU^x*cS1F^}z~TidHa^CmnyZcUWD@3-HC zfo+HNVRHtGwH!m|R+zP{%^1JUG?pJmu=vxih6Xrh2fg= zC~r+S^*7HDdB|?|qlhUaQmacTj{ON!lNbJ#jy-2#5YV~k922jJ&*% z!+!fhn$1e~UR8{f+vlmoplz6qL%t9B9w*P3srN=vds<6q=7*Ep;ng*s%+R)L2~y2+ z;TQiEm%LIG{FDm8q=11WtHu_)WXN*yh+QiR2AO(5EVNn7d~6mP462Rb*D}ZaS7_g( z6hH14W3{1Wh?f?>eGyt1eKY!OW_iKek~khik4;JqdYLrf>7fP{Y}sVh$)j;Wy8wRX zEm$pppKS})yl%4;O5Q@rQz)`_dEtz9d665lyqFt2OVIERO7{Ehji@d?kK@t!bBJql zI&t-B)Om7hP)(4thu-Iysnj^VamluUR1JEtxF7L`7yAau9XJj9gx`KOxul&S!-rB6 z1~%{W`S$ExL2}PMIgn>i7xU+Dsq-5;F>0GWi&=j`ek-ZP#UQyDA{X{aq|QIOz0SWz zdl8csFS_5hc!WHN}QO=$AQ%j99ZFm`qiBM zx4%i|>^cN<4z%{SB0WeY=!ERWoM>n3vq5MkkM5D*@c|W_{7d+xd)mAwXg-RGd^D`> z7h@w^(6WIl+2f4)Cq!Xd6Z07}J!2E&xMCBwMVRAyoIPV2?0)Xt?Tq^V{sSheSNF(0A;(!k&5=u28v_t)vcxuCQ@IyMPV`s|C>G z8s4Z4*&huQwA&;54Xngb~4-#6Cyy}L>%Pf~dOX440mi1$0}P&D%uVyLZqF|tqe0EbVC#2rfrp<0JLFIc$jA5R7Ww+8#F`)9c?0@_igGaY~+d<|r2DgLkt$B>Wt?k-4 zUV&k|6EAtoZ(ohanDB)u;p?%(eet^F989h;bAV;OWob^sMLz{*HwA6R)!L4;7D&f1 z`ogEeow4w}fc$pL)TBM}Zhf<9VC;jf$mjX3&yl(Cu>@tBmRcRB*gmwBg~#aohf;bw z9njTuoDD?oH3H_ZKBz2BTIP$UKzHh|J=rj4p{e zj>McE5)F=oeIqPS9|!!70W_aBZ(gD{8;LMtZ0^SUS6~*#mkwrLaO3@d!5(B)Wed#K5c^h4IJ&XSj&Wsy8^=+2F>M*2pf-~1Bn)85iJ(cqKxQ>ETZE?bVNq9G>d2;qNN$p=~+Z8M09#abXFG8 zIU;HukebzyMRbXXT0h(WHtzpx%eO?9L#B7@$#V~qC{P{}GkMsVW9diCiyE^}9|I@HOlxKx zxGeowRrsH0-qX+x`I3$C@{I23J)NC6DE23Kn0;*% zeUsjX?!s-xy>cLCtYqKk@LL<&8#u3Z%GyTXM53tkTGOU3-?I1l7s1x{j0n4XxtRHS zw6p8|k3CXCvRv4gIml=am5?GA75i}_Yu*gW>zse-Weyr*&#$mG{g*jG(rgKW z>O&^OX=Mk63N2W_>H?FXoLYA@e9< z{JzbWqTb`&tg^W8an>L$^B#u;(X+&iK6W6fI3@=B0!UWdLirLdFBU$(|1h%AiraLk z=YY0*8O#fG0!!l`o1DdD0Xm7@huDmGN~AVWJ83HD8rfan$Wdmgmxy6xkD$WC=jn8q zF1rnKd~6cfFDdA4`#4F?l!EL8Su4DVoq48tpf}TDDbht=mSbciY>eJ(rl9vsgiWSN z@=-HtHNAIP!bXjn> zJH2?x<9^5M*rn~i14(xGmBJM-SbwG&#J&Q!0+hW7Z}kH{$X6e;OojmZl4j6s-js0} zatS%<7k^@h$?8z{D-_CUTEK22T}Tokhu;$nQ9b z+7LEHUZbzI4+dd<KxG5gK zJtf3e)o!Y-c|Ab2 zH5WvFNJU^zK`%h%B?Fo;s1xT^^w!kAQ9zbYnk<`ol=gc%wOSPq59}XDPg$wO7;9z) ziKXJ`AHRbJ;(hpe(R8?d!)vEcNHyi9cOPp#e8q87k{b>z#UJiyKJQB9o`)_U zK{DTL3A(;Iw6B2Tsqy$4UA{Q9Um9ZI*tOKQGLA!`<|F<6_D4{T3@X@@Ub>h`+%Gm! z5E`SDg&R{7`Ze$CXJ0CiS#U@Sd*d73%QoPP0=!S~huT%wADU}g{6D?Ndjq_%+PLhG z@seFvo#oVtzkdkxpCH3v&VS%cW|1-niWU$I(^x*h-Ge z9np{Fa%?ObD6dWH--l*m&y#o@|Kk8QmEb@MX$(-9!WoVp4)c%?@gt>(tc@cS8Q7? zmKGg7W050^b=kS6T()%1&-VYP_4%iAww$hZxc5DKb1dpjr+bt#9X~oW(Jbemuru(w zjAZhZ936X6$;3~@k95<0fUDl*dt)R^dMGcAd8yZbSGSZ)d0qWLnLus50HsiQQbvH* zbY17F>#jOscc0;6KWeYknbN6TI;Wt+0MYlqBzqi{C3x+^L7Btq( zonMXG7A33elZ)zcSyNQkxL{sUvT^CcCde#oYFw0TTGUuO+#5sE?7rE>H86`8B{4;;vsgZrO&B3~Bt_kF(1 z<2>v7HTPR_eEV^!+>V?Z%wVSa)9JE=Rpj6ZCgZF0n^6=! zkV=q$ci>;BzqR_ooZPEW>*h%Ar_l_u{Ewmj|Jw2gbDASvmd~Z>jdW8VG)M3!fnSa3 z5nLdw<2L2egwl~q|2&g-Lyn17^v(Scchu2Dsh3R*&zOY!6@5E$Z^$8ky<6$qB{piT zt*&XPxqSHf-f*~gQ}yMDm(DYBQ|*!_Z|?lX^|kY>yv5axRo?vSd9@3xyvEu(I~1zC zg|$tK8mheHoa(s{noFapI@yG)1&ij-ZGvpFs;;qmHsbkBjrEk(6j$X9zkK0BZ+PQ^ znraO9;pQ(Uh$>32T3Gw-s=9eiUIsvKRsGzIJk@mM@X_AIGcHQRXH1VxoLn_(jFGPU z;)trb^J{9Cbk9r5wD2gCoNRy|&Bvj73zG|KYO7586^(P7YP(gY8>w0{Z*F(BUe&0% z^&{%)7gRSjR42bx+cbRC=w8?u4|Qy+t*@%9Uf5JMuXbLtVQDX7=Xy1Bk*+H^GCE@T zh~cBi&M@nOc~!~k#-^&sgv8_sY&EaCsfx-qBC5IPj_9sqq!H***XRhZnkL99?{ZAR zRlU^ci@mUMUZx9-+T?s8Y)iccv=VzhfgMYe>QDq(SLvH^~# zS~GIY7kldJX@O|BJY&gj4k9x+XjD%WHK>QNMvcw5a%5ucqB2O0>>?LWoH*^G?uZu3 z?&PBKi@SrKjTosLF`BB<(=!)Yy<6#H$fhGR-EZ$yM~xu^ zch5og?M`~MX-tncjp@;*F+Ex^re_O!)Ytexx6;SQV=zcqrjM5E#v0L*;jwBm( z7wA4!jIz^>>eO*x>{j9^JC|sIaoq*TpxufXcW!q9vTt{RvE2p8+}#DnbQd7&cNgfk zG>jZY&fHDlT&E7!w5k>7I(6t_$#b1L^swZ)z0Ca4b9-@tu|3)7kv&SE8SP=mk%#ove)_%0D{la9jmbN6=eqc*qHn(A&76i56wgtkqXyYte|U#pMfX zmSW@7)L7e;oP#vpR3#Ty*UhabCAi|vQ$uwlGYFv_^GFr#rmm=-Jr~VckesuiW*!fLRc zqwC@G7c|ulzhLSmU%;bJ@>|%V)n2}6Hg;k2YrWyMb7)sL4_A$~_0_}8M$ukoQa*(Z zCk5JbF2}DFKMH5q$nb0E`cw-(=Wu*TYQiw)^e{@>+E4QRD2_@0)%eK+*+k|fe>`7P z6z6N=w6mA+Exs6lnDk4yjxR_*T}yqwr#Q(Azt!P*S89U!QV(gQhvXTjw>a{A>pkXh zoY(@qB+WZ}46D2yvF%T^fyCJ z?C@>HwfuFC{sN=j^W?!<>T?EjI*F!0ob=?fpFF1Y>vEq|T=&cK4wrs;+2PVJM;uOd zpwOQTi6H$b{SsB2>M3@h{}z@4Ma%J%eko@zb{>p03KdFT>!JUOn1a~hJ+A0)mAtmY zdd0P#ZOo_8bND#suR6Sx`5Vlsf2lqa4l9nc=}gGMX$A$d zPYZrx=g%`2KPe_5gt5%UAIuvP@G>P&^Qz3l^oa-skuRl!5WdZvZd>t_au+K-RDY3Q zt~k|6!VO9fb(QG(f#RfRJeT`phku#*?;ZXX<^$P?Vo#~h>55a^Bn)Rx*>!zxRPuEB z8P4z^bFq*34}C#HLF_-m-g2`={e6LZ%- zk10LW)s?KD{=r%b)UFUe(er^LUqeC&$MGaf`pM4Hk0&vA^^_=i8ZTo1Qs&a$dZbaP z&Z4I=i=HbTevs`?|DY@d>33OwL#3bUFLqevaB1%k94_^IPw6K;v+$#E%;8Hc_C8Au zMG(6M_STCkPWF-Yv4T0-ll(kbVKdyVnF^qTIByt z_))lBaT;H%4aHZU%qhxeM$uoHQ+-zAC-wPA=^_2p1_~!|W_Mf^Do(PpPK{BV_8_AF z%Zk&>S>XY5DxUmg2K&j^6qmXn*{gE+2!aT+9nQnwTi|e6r8Hy1Fc`d^7(2Q(%yVAcLb(i&Mu=#G%ju*Wi&1Wv_Q46=XNpV__ ze$9N1(z6mw?0+kBng8Xv{*Ouztw-&wXRFc^Dn0LH(et6=OCc}k3CA4$vThD!L%HkS zXPHZT*KmEl$lUdxSy}YVbM)NCdKPDq|B<6##^X9i&mFAi!7O@ScI4Nw{99S%%lSc! z>_DcH{#wl3?XML|zD>!m&%$>*dZzO@-KXTqC8gYV71#CoNOA3-`6nSW0`(U+(cGV; zIAtw1)bmC&r*`qBNtw(yWC!iHvz4AtunvmmD|zjo9g1uF(7%&Of%Ff;Pulw%=2V|0 z_<7%_Kc2T<@hdDcf8SAhXsn2yT&`S359gW2oXSlp`O6*oi+E^ZwKs z2xK?XFX1GI=W#-UIob0R{G^^U6em3|?ZY30N{7F(&tR|4(J%IFa=6R`-*ve7`fW-- zZ4IP8zfqj(^B$M`JH^|S{Jn~ky!88{%*j5wzxFCletYC5{6YAe(nFV0pZAr#_McPu z#RrXFd8b5r&SFk>)_xvUT-S3NbE>DQ&m_`<{cjfiS13JtT&z%B>%YO#zofzH|Fa{X zZ?U(<;j&NI>2R^nOG-bLEBm5@ij&>M505BL@}nNvT~rHtz+bDFRCRVc1J?<cT;y+5{07Jizgcmzzs&zXRh;T0^1oD^$`$^5#pzP`2E|E_%!fM^ zCq2SDT9nYZce~-d`xb9Oczep$IQ1{d#`xLybY8_AbRwo{xqz zCx4c2MR4hjR(uEn4pW#@tykhF{rgQN|7j6M{B0$#_sL6{Q+>4EI+UKzC_T4i(Q~__ zN9=r`(sR1fvm=Y1-HsmVmsgaYBBkg3EP5ug5v5&{WwOI%zkRX8WgWTH;j4Imo^-g> z>01t8EBkqekKhV@$KmT(zS-g4i#9`>!G z?{GO+{FB4ivHTMb7yCc&aIyc(4wv@6>2PUpe;y3v|1?j^JW%LxnXgWDxQv(2F_-y= zrzvlg!;829UvjwYf6jM!!1lbv;nSJdDt-lY=du2IiZ27JVELsEmvy$);j+%&=x|w2 zA9uL4_qiSg24?A4!b1Vz*$CDJF@@& z+c^%G^=Q1qWj%^JT-KwjnbZ7yCEI|aX2q#K5>_%N|GXYQ@wX1e^>;zPak%KY-{IoV zI~^|m^D=X?C+QdYw;axof!+rWk4V8h>5HDx%m+GL^q=N%(f>Jzi~i9L7yXkQF8V8& zlRc?jvgupwaQQB-)!})(9^dZh7k;P12ebSi9bU}5)8XTo?{v86f5zdW|5b;J{`VX% z`cL3RRQg5qPg7j4<6m>Qtjkpnmvy#Yaq?#wFHH`Y@v_X}GG02EQ-9HTk@rMD%EE6~ zoXRc3kHT*pp0L>agTse0|Fgrz54Sjc2+QwsxQx@i%*oDrT<>$ZjJwwyJ>usF9X^B0 zJ>u~3%)KIe;jU|W%%wiEKRMZvm-c>I@iU-O+7(fp+BF0}3TGFY$efE3m-k;7>WQyAv(RTg`r9exM%GKY6EPdI!p^9qL_ zWIoH`@;$~%#mP^kT|djhf2Fu?Z>Qo~&(m4>iw+n2?{~P^|NSid#4~LJ-F`X6;iCUy z<}x1Td3=Gx#m}#BxQvTy94_PNCgxNhz5lsIak7u>)9!G1k!_CmCx;g^-{f%FH$Lfb z**Cu7aM?G0=HIMBs^?Ys$$3z92Mi%}JhfBL^v+yev*Y<2toa!m- z+KmpEb?wIvmv!w$#c7PnJp2mtzF_*i@h!#ALz?va2U&Pp@lqw9#}hK?*Ln&R*Yc-k z;b&&yLz%n%J4$iWUOsP}>2Nu3T;y;$Z@kXoa^Cn0#dW`|bGY=&y$%{xZMSDo*tgJ@XZ(a)n=^xVA&9;-n`RKMESK9_O5+2P_p&p2HC;egVw?Ri9Ts*l+Dl+WS@fy!NipPVObIhvH@w)N+QmE^U*l`yCNjj=367ci%OoT&IE zN}fLzF{zbG|4IvjqtoXB8^n9%J$TK%( z8~k~jne0z~C39SaIobabD`NgenY(_KP2zb{<&P~sZjDAO3zHi zf0RYf-Ad2bmHbAf=TgNV%cAE=#r1yRZN)30N9zBXv#nCHn_hQ@Gbg*XDf!E?@b54m zzh>^VvC%M|}QbE*&RH{|=Yq~i3vBjLwNPdlLKze~v* zJ)Gf~l20l5!Y^0>DOcXte1SQ&OZ&;3Ed1L_57kr7Tb3yKDpl@vj=Ze}d`Zb`fB1JL zul?|kic>x1T&UCGaxV0w!{t2c1&7Od)Io9?FUp+yh2+JaF^9|f*kp&x82Gl*UkyD{pDPt7{qo(~HHx<>`4x(jygWzz zkU7;;_sgw{lOM`=Yj-I<+W#L=^4fo1V@~7xllVz^Q|ZzE|B>Rlo}WC&=A?R>`b@I- z%9-=}?5$FloOs(`d5NU{XbChQWwf{Ov&r@tN#eAFk`oy?ENXssXp?ILMdNhPIl9J#wh+h zr15m*ec9E|--}+X^w*MN4woxETK_i{*ZLbB{Y&_HZ>7>-C(053Ko<2ss&# zcPl-!m7Yym^gN`v_Rl>^zaB4dEB$kn{=-U-uIDkuwH=NhX)|Z~k^O%NbLz*rO8?o+ z-F}QJuJxBV`ej^PsPunR>7S+a==y(Gac!S9itF)p2XlA+S*PTeqg?Uz&5G-B_bhX= z!?#pD4=DY5JbtL;3n5?6dCnYVFUW3s+P=@(g&ELZZ{4z-H6K~Bc+ca)xaq=3Wq zN?z;vq2k|ztc<($%rpML<8Cu^s^@%Bj`(4vN9+HK;@?yHpJy)mW!$~Xob)eH`uj%h zjq7hCn3Ep8E?lBG`H8%znB{Oe=b!Cxd5>_r!$nWgXj>mDSL-jy!Y|Fj+q3YS94__z zvBRaFzskZNbhy-iyTe8QyUfYXdVGCpOjmnp9hdX}35wqcF7w_D#c96E`@YRzsW{26 zwb-jsob*?$unF~wYyC}%Q@_jp>T1QQ+yX9lh2py04#i1Nfi2eix#CnGc^=Q`Kc$Kb?iYrFesqKdLzCmvhuUV{wB(`oE2z=+9G} z>Y2-S!@vAxf{at?$MK45`>USyL!x)s|K+DCs7gv&pO zyq5C`-^J&2^#8NnO`hi?^M9bH^c>`IJHiH=>0kf*31sDxyD2HN=gu6*+RWbW{0`m?!yHISFq0H2Y9~ zxBP-hfC&32QH3IPO_z7#M DY(I) -C USES UNROLLED LOOPS FOR INCREMENTS EQUAL ONE. -C JACK DONGARRA, LINPACK, 3/11/78. -C - IF(N.LE.0)RETURN - IF(INCX.EQ.1.AND.INCY.EQ.1)GO TO 20 -C -C CODE FOR UNEQUAL INCREMENTS OR EQUAL INCREMENTS NOT EQUAL -C TO 1 -C - IX = 1 - IY = 1 - IF(INCX.LT.0)IX = (-N+1)*INCX + 1 - IF(INCY.LT.0)IY = (-N+1)*INCY + 1 - DO 10 I = 1,N - DTEMP = DX(IX) - DX(IX) = DY(IY) - DY(IY) = DTEMP - IX = IX + INCX - IY = IY + INCY - 10 CONTINUE - RETURN -C -C CODE FOR BOTH INCREMENTS EQUAL TO 1 -C -C -C CLEAN-UP LOOP -C - 20 M = MOD(N,3) - IF( M .EQ. 0 ) GO TO 40 - DO 30 I = 1,M - DTEMP = DX(I) - DX(I) = DY(I) - DY(I) = DTEMP - 30 CONTINUE - IF( N .LT. 3 ) RETURN - 40 MP1 = M + 1 - DO 50 I = MP1,N,3 - DTEMP = DX(I) - DX(I) = DY(I) - DY(I) = DTEMP - DTEMP = DX(I + 1) - DX(I + 1) = DY(I + 1) - DY(I + 1) = DTEMP - DTEMP = DX(I + 2) - DX(I + 2) = DY(I + 2) - DY(I + 2) = DTEMP - 50 CONTINUE - RETURN - END -C*MODULE BLAS1 *DECK IDAMAX - INTEGER FUNCTION IDAMAX(N,DX,INCX) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - DIMENSION DX(1) -C -C FINDS THE INDEX OF ELEMENT HAVING MAX. ABSOLUTE VALUE. -C JACK DONGARRA, LINPACK, 3/11/78. -C - IDAMAX = 0 - IF( N .LT. 1 ) RETURN - IDAMAX = 1 - IF(N.EQ.1)RETURN - IF(INCX.EQ.1)GO TO 20 -C -C CODE FOR INCREMENT NOT EQUAL TO 1 -C - IX = 1 - RMAX = ABS(DX(1)) - IX = IX + INCX - DO 10 I = 2,N - IF(ABS(DX(IX)).LE.RMAX) GO TO 5 - IDAMAX = I - RMAX = ABS(DX(IX)) - 5 IX = IX + INCX - 10 CONTINUE - RETURN -C -C CODE FOR INCREMENT EQUAL TO 1 -C - 20 RMAX = ABS(DX(1)) - DO 30 I = 2,N - IF(ABS(DX(I)).LE.RMAX) GO TO 30 - IDAMAX = I - RMAX = ABS(DX(I)) - 30 CONTINUE - RETURN - END -C*MODULE BLAS *DECK DGEMV - SUBROUTINE DGEMV(FORMA,M,N,ALPHA,A,LDA,X,INCX,BETA,Y,INCY) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - CHARACTER*1 FORMA - DIMENSION A(LDA,*),X(*),Y(*) - PARAMETER (ZERO=0.0D+00, ONE=1.0D+00) -C -C CLONE OF -DGEMV- WRITTEN BY MIKE SCHMIDT -C - LOCY = 1 - IF(FORMA.EQ.'T') GO TO 200 -C -C Y = ALPHA * A * X + BETA * Y -C - IF(ALPHA.EQ.ONE .AND. BETA.EQ.ZERO) THEN - DO 110 I=1,M - Y(LOCY) = DDOT(N,A(I,1),LDA,X,INCX) - LOCY = LOCY+INCY - 110 CONTINUE - ELSE - DO 120 I=1,M - Y(LOCY) = ALPHA*DDOT(N,A(I,1),LDA,X,INCX) + BETA*Y(LOCY) - LOCY = LOCY+INCY - 120 CONTINUE - END IF - RETURN -C -C Y = ALPHA * A-TRANSPOSE * X + BETA * Y -C - 200 CONTINUE - IF(ALPHA.EQ.ONE .AND. BETA.EQ.ZERO) THEN - DO 210 I=1,N - Y(LOCY) = DDOT(M,A(1,I),1,X,INCX) - LOCY = LOCY+INCY - 210 CONTINUE - ELSE - DO 220 I=1,N - Y(LOCY) = ALPHA*DDOT(M,A(1,I),1,X,INCX) + BETA*Y(LOCY) - LOCY = LOCY+INCY - 220 CONTINUE - END IF - RETURN - END diff --git a/source/unres/src_MD-restraints-PM/bond_move.f b/source/unres/src_MD-restraints-PM/bond_move.f deleted file mode 100644 index 4843f60..0000000 --- a/source/unres/src_MD-restraints-PM/bond_move.f +++ /dev/null @@ -1,124 +0,0 @@ - subroutine bond_move(nbond,nstart,psi,lprint,error) -C Move NBOND fragment starting from the CA(nstart) by angle PSI. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - integer nbond,nstart - double precision psi - logical fail,error,lprint - include 'COMMON.GEO' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.MCM' - dimension x(3),e(3,3),e1(3),e2(3),e3(3),rot(3,3),trans(3,3) - error=.false. - nend=nstart+nbond - if (print_mc.gt.2) then - write (iout,*) 'nstart=',nstart,' nend=',nend,' nbond=',nbond - write (iout,*) 'psi=',psi - write (iout,'(a)') 'Original coordinates of the fragment' - do i=nstart,nend - write (iout,'(i5,3f10.5)') i,(c(j,i),j=1,3) - enddo - endif - if (nstart.lt.1 .or. nend .gt.nres .or. nbond.lt.2 .or. - & nbond.ge.nres-1) then - write (iout,'(a)') 'Bad data in BOND_MOVE.' - error=.true. - return - endif -C Generate the reference system. - i2=nend - i3=nstart - i4=nstart+1 - call refsys(i2,i3,i4,e1,e2,e3,error) -C Return, if couldn't define the reference system. - if (error) return -C Compute the transformation matrix. - cospsi=dcos(psi) - sinpsi=dsin(psi) - rot(1,1)=1.0D0 - rot(1,2)=0.0D0 - rot(1,3)=0.0D0 - rot(2,1)=0.0D0 - rot(2,2)=cospsi - rot(2,3)=-sinpsi - rot(3,1)=0.0D0 - rot(3,2)=sinpsi - rot(3,3)=cospsi - do i=1,3 - e(1,i)=e1(i) - e(2,i)=e2(i) - e(3,i)=e3(i) - enddo - - if (print_mc.gt.2) then - write (iout,'(a)') 'Reference system and matrix r:' - do i=1,3 - write(iout,'(i5,2(3f10.5,5x))')i,(e(i,j),j=1,3),(rot(i,j),j=1,3) - enddo - endif - - call matmult(rot,e,trans) - do i=1,3 - do j=1,3 - e(i,1)=e1(i) - e(i,2)=e2(i) - e(i,3)=e3(i) - enddo - enddo - call matmult(e,trans,trans) - - if (lprint) then - write (iout,'(a)') 'The trans matrix:' - do i=1,3 - write (iout,'(i5,3f10.5)') i,(trans(i,j),j=1,3) - enddo - endif - - do i=nstart,nend - do j=1,3 - rij=c(j,nstart) - do k=1,3 - rij=rij+trans(j,k)*(c(k,i)-c(k,nstart)) - enddo - x(j)=rij - enddo - do j=1,3 - c(j,i)=x(j) - enddo - enddo - - if (lprint) then - write (iout,'(a)') 'Rotated coordinates of the fragment' - do i=nstart,nend - write (iout,'(i5,3f10.5)') i,(c(j,i),j=1,3) - enddo - endif - -c call int_from_cart(.false.,lprint) - if (nstart.gt.1) then - theta(nstart+1)=alpha(nstart-1,nstart,nstart+1) - phi(nstart+2)=beta(nstart-1,nstart,nstart+1,nstart+2) - if (nstart.gt.2) phi(nstart+1)= - & beta(nstart-2,nstart-1,nstart,nstart+1) - endif - if (nend.lt.nres) then - theta(nend+1)=alpha(nend-1,nend,nend+1) - phi(nend+1)=beta(nend-2,nend-1,nend,nend+1) - if (nend.lt.nres-1) phi(nend+2)= - & beta(nend-1,nend,nend+1,nend+2) - endif - if (print_mc.gt.2) then - write (iout,'(/a,i3,a,i3,a/)') - & 'Moved internal coordinates of the ',nstart,'-',nend, - & ' fragment:' - do i=nstart+1,nstart+2 - write (iout,'(i5,2f10.5)') i,rad2deg*theta(i),rad2deg*phi(i) - enddo - do i=nend+1,nend+2 - write (iout,'(i5,2f10.5)') i,rad2deg*theta(i),rad2deg*phi(i) - enddo - endif - return - end diff --git a/source/unres/src_MD-restraints-PM/build.txt b/source/unres/src_MD-restraints-PM/build.txt deleted file mode 100644 index a5eba7c..0000000 --- a/source/unres/src_MD-restraints-PM/build.txt +++ /dev/null @@ -1 +0,0 @@ -cmake /users/czarek/UNRES/GIT/unres/ -DMPIF_LOCAL_DIR=/users/software/mpich-1.2.7p1_intel-10.1_em64_ssh \ No newline at end of file diff --git a/source/unres/src_MD-restraints-PM/cartder.F b/source/unres/src_MD-restraints-PM/cartder.F deleted file mode 100644 index e2e8c1a..0000000 --- a/source/unres/src_MD-restraints-PM/cartder.F +++ /dev/null @@ -1,314 +0,0 @@ - subroutine cartder -*********************************************************************** -* This subroutine calculates the derivatives of the consecutive virtual -* bond vectors and the SC vectors in the virtual-bond angles theta and -* virtual-torsional angles phi, as well as the derivatives of SC vectors -* in the angles alpha and omega, describing the location of a side chain -* in its local coordinate system. -* -* The derivatives are stored in the following arrays: -* -* DDCDV - the derivatives of virtual-bond vectors DC in theta and phi. -* The structure is as follows: -* -* dDC(x,2)/dT(3),...,dDC(z,2)/dT(3),0, 0, 0 -* dDC(x,3)/dT(4),...,dDC(z,3)/dT(4),dDC(x,3)/dP(4),dDC(y,4)/dP(4),dDC(z,4)/dP(4) -* . . . . . . . . . . . . . . . . . . -* dDC(x,N-1)/dT(4),...,dDC(z,N-1)/dT(4),dDC(x,N-1)/dP(4),dDC(y,N-1)/dP(4),dDC(z,N-1)/dP(4) -* . -* . -* . -* dDC(x,N-1)/dT(N),...,dDC(z,N-1)/dT(N),dDC(x,N-1)/dP(N),dDC(y,N-1)/dP(N),dDC(z,N-1)/dP(N) -* -* DXDV - the derivatives of the side-chain vectors in theta and phi. -* The structure is same as above. -* -* DCDS - the derivatives of the side chain vectors in the local spherical -* andgles alph and omega: -* -* dX(x,2)/dA(2),dX(y,2)/dA(2),dX(z,2)/dA(2),dX(x,2)/dO(2),dX(y,2)/dO(2),dX(z,2)/dO(2) -* dX(x,3)/dA(3),dX(y,3)/dA(3),dX(z,3)/dA(3),dX(x,3)/dO(3),dX(y,3)/dO(3),dX(z,3)/dO(3) -* . -* . -* . -* dX(x,N-1)/dA(N-1),dX(y,N-1)/dA(N-1),dX(z,N-1)/dA(N-1),dX(x,N-1)/dO(N-1),dX(y,N-1)/dO(N-1),dX(z,N-1)/dO(N-1) -* -* Version of March '95, based on an early version of November '91. -* -*********************************************************************** - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - dimension drt(3,3,maxres),rdt(3,3,maxres),dp(3,3),temp(3,3), - & fromto(3,3,maxdim),prordt(3,3,maxres),prodrt(3,3,maxres) - dimension xx(3),xx1(3) -c common /przechowalnia/ fromto -* get the position of the jth ijth fragment of the chain coordinate system -* in the fromto array. - indmat(i,j)=((2*(nres-2)-i)*(i-1))/2+j-1 -* -* calculate the derivatives of transformation matrix elements in theta -* - do i=1,nres-2 - rdt(1,1,i)=-rt(1,2,i) - rdt(1,2,i)= rt(1,1,i) - rdt(1,3,i)= 0.0d0 - rdt(2,1,i)=-rt(2,2,i) - rdt(2,2,i)= rt(2,1,i) - rdt(2,3,i)= 0.0d0 - rdt(3,1,i)=-rt(3,2,i) - rdt(3,2,i)= rt(3,1,i) - rdt(3,3,i)= 0.0d0 - enddo -* -* derivatives in phi -* - do i=2,nres-2 - drt(1,1,i)= 0.0d0 - drt(1,2,i)= 0.0d0 - drt(1,3,i)= 0.0d0 - drt(2,1,i)= rt(3,1,i) - drt(2,2,i)= rt(3,2,i) - drt(2,3,i)= rt(3,3,i) - drt(3,1,i)=-rt(2,1,i) - drt(3,2,i)=-rt(2,2,i) - drt(3,3,i)=-rt(2,3,i) - enddo -* -* generate the matrix products of type r(i)t(i)...r(j)t(j) -* - do i=2,nres-2 - ind=indmat(i,i+1) - do k=1,3 - do l=1,3 - temp(k,l)=rt(k,l,i) - enddo - enddo - do k=1,3 - do l=1,3 - fromto(k,l,ind)=temp(k,l) - enddo - enddo - do j=i+1,nres-2 - ind=indmat(i,j+1) - do k=1,3 - do l=1,3 - dpkl=0.0d0 - do m=1,3 - dpkl=dpkl+temp(k,m)*rt(m,l,j) - enddo - dp(k,l)=dpkl - fromto(k,l,ind)=dpkl - enddo - enddo - do k=1,3 - do l=1,3 - temp(k,l)=dp(k,l) - enddo - enddo - enddo - enddo -* -* Calculate derivatives. -* - ind1=0 - do i=1,nres-2 - ind1=ind1+1 -* -* Derivatives of DC(i+1) in theta(i+2) -* - do j=1,3 - do k=1,2 - dpjk=0.0D0 - do l=1,3 - dpjk=dpjk+prod(j,l,i)*rdt(l,k,i) - enddo - dp(j,k)=dpjk - prordt(j,k,i)=dp(j,k) - enddo - dp(j,3)=0.0D0 - dcdv(j,ind1)=vbld(i+1)*dp(j,1) - enddo -* -* Derivatives of SC(i+1) in theta(i+2) -* - xx1(1)=-0.5D0*xloc(2,i+1) - xx1(2)= 0.5D0*xloc(1,i+1) - do j=1,3 - xj=0.0D0 - do k=1,2 - xj=xj+r(j,k,i)*xx1(k) - enddo - xx(j)=xj - enddo - do j=1,3 - rj=0.0D0 - do k=1,3 - rj=rj+prod(j,k,i)*xx(k) - enddo - dxdv(j,ind1)=rj - enddo -* -* Derivatives of SC(i+1) in theta(i+3). The have to be handled differently -* than the other off-diagonal derivatives. -* - do j=1,3 - dxoiij=0.0D0 - do k=1,3 - dxoiij=dxoiij+dp(j,k)*xrot(k,i+2) - enddo - dxdv(j,ind1+1)=dxoiij - enddo -cd print *,ind1+1,(dxdv(j,ind1+1),j=1,3) -* -* Derivatives of DC(i+1) in phi(i+2) -* - do j=1,3 - do k=1,3 - dpjk=0.0 - do l=2,3 - dpjk=dpjk+prod(j,l,i)*drt(l,k,i) - enddo - dp(j,k)=dpjk - prodrt(j,k,i)=dp(j,k) - enddo - dcdv(j+3,ind1)=vbld(i+1)*dp(j,1) - enddo -* -* Derivatives of SC(i+1) in phi(i+2) -* - xx(1)= 0.0D0 - xx(3)= xloc(2,i+1)*r(2,2,i)+xloc(3,i+1)*r(2,3,i) - xx(2)=-xloc(2,i+1)*r(3,2,i)-xloc(3,i+1)*r(3,3,i) - do j=1,3 - rj=0.0D0 - do k=2,3 - rj=rj+prod(j,k,i)*xx(k) - enddo - dxdv(j+3,ind1)=-rj - enddo -* -* Derivatives of SC(i+1) in phi(i+3). -* - do j=1,3 - dxoiij=0.0D0 - do k=1,3 - dxoiij=dxoiij+dp(j,k)*xrot(k,i+2) - enddo - dxdv(j+3,ind1+1)=dxoiij - enddo -* -* Calculate the derivatives of DC(i+1) and SC(i+1) in theta(i+3) thru -* theta(nres) and phi(i+3) thru phi(nres). -* - do j=i+1,nres-2 - ind1=ind1+1 - ind=indmat(i+1,j+1) -cd print *,'i=',i,' j=',j,' ind=',ind,' ind1=',ind1 - do k=1,3 - do l=1,3 - tempkl=0.0D0 - do m=1,2 - tempkl=tempkl+prordt(k,m,i)*fromto(m,l,ind) - enddo - temp(k,l)=tempkl - enddo - enddo -cd print '(9f8.3)',((fromto(k,l,ind),l=1,3),k=1,3) -cd print '(9f8.3)',((prod(k,l,i),l=1,3),k=1,3) -cd print '(9f8.3)',((temp(k,l),l=1,3),k=1,3) -* Derivatives of virtual-bond vectors in theta - do k=1,3 - dcdv(k,ind1)=vbld(i+1)*temp(k,1) - enddo -cd print '(3f8.3)',(dcdv(k,ind1),k=1,3) -* Derivatives of SC vectors in theta - do k=1,3 - dxoijk=0.0D0 - do l=1,3 - dxoijk=dxoijk+temp(k,l)*xrot(l,j+2) - enddo - dxdv(k,ind1+1)=dxoijk - enddo -* -*--- Calculate the derivatives in phi -* - do k=1,3 - do l=1,3 - tempkl=0.0D0 - do m=1,3 - tempkl=tempkl+prodrt(k,m,i)*fromto(m,l,ind) - enddo - temp(k,l)=tempkl - enddo - enddo - do k=1,3 - dcdv(k+3,ind1)=vbld(i+1)*temp(k,1) - enddo - do k=1,3 - dxoijk=0.0D0 - do l=1,3 - dxoijk=dxoijk+temp(k,l)*xrot(l,j+2) - enddo - dxdv(k+3,ind1+1)=dxoijk - enddo - enddo - enddo -* -* Derivatives in alpha and omega: -* - do i=2,nres-1 -c dsci=dsc(itype(i)) - dsci=vbld(i+nres) -#ifdef OSF - alphi=alph(i) - omegi=omeg(i) - if(alphi.ne.alphi) alphi=100.0 - if(omegi.ne.omegi) omegi=-100.0 -#else - alphi=alph(i) - omegi=omeg(i) -#endif -cd print *,'i=',i,' dsci=',dsci,' alphi=',alphi,' omegi=',omegi - cosalphi=dcos(alphi) - sinalphi=dsin(alphi) - cosomegi=dcos(omegi) - sinomegi=dsin(omegi) - temp(1,1)=-dsci*sinalphi - temp(2,1)= dsci*cosalphi*cosomegi - temp(3,1)=-dsci*cosalphi*sinomegi - temp(1,2)=0.0D0 - temp(2,2)=-dsci*sinalphi*sinomegi - temp(3,2)=-dsci*sinalphi*cosomegi - theta2=pi-0.5D0*theta(i+1) - cost2=dcos(theta2) - sint2=dsin(theta2) - jjj=0 -cd print *,((temp(l,k),l=1,3),k=1,2) - do j=1,2 - xp=temp(1,j) - yp=temp(2,j) - xxp= xp*cost2+yp*sint2 - yyp=-xp*sint2+yp*cost2 - zzp=temp(3,j) - xx(1)=xxp - xx(2)=yyp*r(2,2,i-1)+zzp*r(2,3,i-1) - xx(3)=yyp*r(3,2,i-1)+zzp*r(3,3,i-1) - do k=1,3 - dj=0.0D0 - do l=1,3 - dj=dj+prod(k,l,i-1)*xx(l) - enddo - dxds(jjj+k,i)=dj - enddo - jjj=jjj+3 - enddo - enddo - return - end - diff --git a/source/unres/src_MD-restraints-PM/cartprint.f b/source/unres/src_MD-restraints-PM/cartprint.f deleted file mode 100644 index d79409e..0000000 --- a/source/unres/src_MD-restraints-PM/cartprint.f +++ /dev/null @@ -1,19 +0,0 @@ - subroutine cartprint - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - write (iout,100) - do i=1,nres - write (iout,110) restyp(itype(i)),i,c(1,i),c(2,i), - & c(3,i),c(1,nres+i),c(2,nres+i),c(3,nres+i) - enddo - 100 format (//' alpha-carbon coordinates ', - & ' centroid coordinates'/ - 1 ' ', 6X,'X',11X,'Y',11X,'Z', - & 10X,'X',11X,'Y',11X,'Z') - 110 format (a,'(',i3,')',6f12.5) - return - end diff --git a/source/unres/src_MD-restraints-PM/chainbuild.F b/source/unres/src_MD-restraints-PM/chainbuild.F deleted file mode 100644 index 45a1a53..0000000 --- a/source/unres/src_MD-restraints-PM/chainbuild.F +++ /dev/null @@ -1,274 +0,0 @@ - subroutine chainbuild -C -C Build the virtual polypeptide chain. Side-chain centroids are moveable. -C As of 2/17/95. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.LOCAL' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' - logical lprn -C Set lprn=.true. for debugging - lprn = .false. -C -C Define the origin and orientation of the coordinate system and locate the -C first three CA's and SC(2). -C - call orig_frame -* -* Build the alpha-carbon chain. -* - do i=4,nres - call locate_next_res(i) - enddo -C -C First and last SC must coincide with the corresponding CA. -C - do j=1,3 - dc(j,nres+1)=0.0D0 - dc_norm(j,nres+1)=0.0D0 - dc(j,nres+nres)=0.0D0 - dc_norm(j,nres+nres)=0.0D0 - c(j,nres+1)=c(j,1) - c(j,nres+nres)=c(j,nres) - enddo -* -* Temporary diagnosis -* - if (lprn) then - - call cartprint - write (iout,'(/a)') 'Recalculated internal coordinates' - do i=2,nres-1 - do j=1,3 - c(j,maxres2)=0.5D0*(c(j,i-1)+c(j,i+1)) - enddo - be=0.0D0 - if (i.gt.3) be=rad2deg*beta(i-3,i-2,i-1,i) - be1=rad2deg*beta(nres+i,i,maxres2,i+1) - alfai=0.0D0 - if (i.gt.2) alfai=rad2deg*alpha(i-2,i-1,i) - write (iout,1212) restyp(itype(i)),i,dist(i-1,i), - & alfai,be,dist(nres+i,i),rad2deg*alpha(nres+i,i,maxres2),be1 - enddo - 1212 format (a3,'(',i3,')',2(f10.5,2f10.2)) - - endif - - return - end -c------------------------------------------------------------------------- - subroutine orig_frame -C -C Define the origin and orientation of the coordinate system and locate -C the first three atoms. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.LOCAL' - include 'COMMON.GEO' - include 'COMMON.VAR' - cost=dcos(theta(3)) - sint=dsin(theta(3)) - t(1,1,1)=-cost - t(1,2,1)=-sint - t(1,3,1)= 0.0D0 - t(2,1,1)=-sint - t(2,2,1)= cost - t(2,3,1)= 0.0D0 - t(3,1,1)= 0.0D0 - t(3,2,1)= 0.0D0 - t(3,3,1)= 1.0D0 - r(1,1,1)= 1.0D0 - r(1,2,1)= 0.0D0 - r(1,3,1)= 0.0D0 - r(2,1,1)= 0.0D0 - r(2,2,1)= 1.0D0 - r(2,3,1)= 0.0D0 - r(3,1,1)= 0.0D0 - r(3,2,1)= 0.0D0 - r(3,3,1)= 1.0D0 - do i=1,3 - do j=1,3 - rt(i,j,1)=t(i,j,1) - enddo - enddo - do i=1,3 - do j=1,3 - prod(i,j,1)=0.0D0 - prod(i,j,2)=t(i,j,1) - enddo - prod(i,i,1)=1.0D0 - enddo - c(1,1)=0.0D0 - c(2,1)=0.0D0 - c(3,1)=0.0D0 - c(1,2)=vbld(2) - c(2,2)=0.0D0 - c(3,2)=0.0D0 - dc(1,0)=0.0d0 - dc(2,0)=0.0D0 - dc(3,0)=0.0D0 - dc(1,1)=vbld(2) - dc(2,1)=0.0D0 - dc(3,1)=0.0D0 - dc_norm(1,0)=0.0D0 - dc_norm(2,0)=0.0D0 - dc_norm(3,0)=0.0D0 - dc_norm(1,1)=1.0D0 - dc_norm(2,1)=0.0D0 - dc_norm(3,1)=0.0D0 - do j=1,3 - dc_norm(j,2)=prod(j,1,2) - dc(j,2)=vbld(3)*prod(j,1,2) - c(j,3)=c(j,2)+dc(j,2) - enddo - call locate_side_chain(2) - return - end -c----------------------------------------------------------------------------- - subroutine locate_next_res(i) -C -C Locate CA(i) and SC(i-1) -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.LOCAL' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' -C -C Define the rotation matrices corresponding to CA(i) -C -#ifdef OSF - theti=theta(i) - if (theti.ne.theti) theti=100.0 - phii=phi(i) - if (phii.ne.phii) phii=180.0 -#else - theti=theta(i) - phii=phi(i) -#endif - cost=dcos(theti) - sint=dsin(theti) - cosphi=dcos(phii) - sinphi=dsin(phii) -* Define the matrices of the rotation about the virtual-bond valence angles -* theta, T(i,j,k), virtual-bond dihedral angles gamma (miscalled PHI in this -* program), R(i,j,k), and, the cumulative matrices of rotation RT - t(1,1,i-2)=-cost - t(1,2,i-2)=-sint - t(1,3,i-2)= 0.0D0 - t(2,1,i-2)=-sint - t(2,2,i-2)= cost - t(2,3,i-2)= 0.0D0 - t(3,1,i-2)= 0.0D0 - t(3,2,i-2)= 0.0D0 - t(3,3,i-2)= 1.0D0 - r(1,1,i-2)= 1.0D0 - r(1,2,i-2)= 0.0D0 - r(1,3,i-2)= 0.0D0 - r(2,1,i-2)= 0.0D0 - r(2,2,i-2)=-cosphi - r(2,3,i-2)= sinphi - r(3,1,i-2)= 0.0D0 - r(3,2,i-2)= sinphi - r(3,3,i-2)= cosphi - rt(1,1,i-2)=-cost - rt(1,2,i-2)=-sint - rt(1,3,i-2)=0.0D0 - rt(2,1,i-2)=sint*cosphi - rt(2,2,i-2)=-cost*cosphi - rt(2,3,i-2)=sinphi - rt(3,1,i-2)=-sint*sinphi - rt(3,2,i-2)=cost*sinphi - rt(3,3,i-2)=cosphi - call matmult(prod(1,1,i-2),rt(1,1,i-2),prod(1,1,i-1)) - do j=1,3 - dc_norm(j,i-1)=prod(j,1,i-1) - dc(j,i-1)=vbld(i)*prod(j,1,i-1) - c(j,i)=c(j,i-1)+dc(j,i-1) - enddo -cd print '(2i3,2(3f10.5,5x))', i-1,i,(dc(j,i-1),j=1,3),(c(j,i),j=1,3) -C -C Now calculate the coordinates of SC(i-1) -C - call locate_side_chain(i-1) - return - end -c----------------------------------------------------------------------------- - subroutine locate_side_chain(i) -C -C Locate the side-chain centroid i, 1 < i < NRES. Put in C(*,NRES+i). -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.LOCAL' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' - dimension xx(3) - -c dsci=dsc(itype(i)) -c dsci_inv=dsc_inv(itype(i)) - dsci=vbld(i+nres) - dsci_inv=vbld_inv(i+nres) -#ifdef OSF - alphi=alph(i) - omegi=omeg(i) - if (alphi.ne.alphi) alphi=100.0 - if (omegi.ne.omegi) omegi=-100.0 -#else - alphi=alph(i) - omegi=omeg(i) -#endif - cosalphi=dcos(alphi) - sinalphi=dsin(alphi) - cosomegi=dcos(omegi) - sinomegi=dsin(omegi) - xp= dsci*cosalphi - yp= dsci*sinalphi*cosomegi - zp=-dsci*sinalphi*sinomegi -* Now we have to rotate the coordinate system by 180-theta(i)/2 so as to get its -* X-axis aligned with the vector DC(*,i) - theta2=pi-0.5D0*theta(i+1) - cost2=dcos(theta2) - sint2=dsin(theta2) - xx(1)= xp*cost2+yp*sint2 - xx(2)=-xp*sint2+yp*cost2 - xx(3)= zp -cd print '(a3,i3,3f10.5,5x,3f10.5)',restyp(itype(i)),i, -cd & xp,yp,zp,(xx(k),k=1,3) - do j=1,3 - xloc(j,i)=xx(j) - enddo -* Bring the SC vectors to the common coordinate system. - xx(1)=xloc(1,i) - xx(2)=xloc(2,i)*r(2,2,i-1)+xloc(3,i)*r(2,3,i-1) - xx(3)=xloc(2,i)*r(3,2,i-1)+xloc(3,i)*r(3,3,i-1) - do j=1,3 - xrot(j,i)=xx(j) - enddo - do j=1,3 - rj=0.0D0 - do k=1,3 - rj=rj+prod(j,k,i-1)*xx(k) - enddo - dc(j,nres+i)=rj - dc_norm(j,nres+i)=rj*dsci_inv - c(j,nres+i)=c(j,i)+rj - enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/change.awk b/source/unres/src_MD-restraints-PM/change.awk deleted file mode 100644 index d192a6e..0000000 --- a/source/unres/src_MD-restraints-PM/change.awk +++ /dev/null @@ -1,11 +0,0 @@ -{ - if($0==" include 'COMMON.LANGEVIN'") { - print "#ifndef LANG0" - print " include 'COMMON.LANGEVIN'" - print "#else" - print " include 'COMMON.LANGEVIN.lang0'" - print "#endif" - }else{ - print $0 - } -} diff --git a/source/unres/src_MD-restraints-PM/check_bond.f b/source/unres/src_MD-restraints-PM/check_bond.f deleted file mode 100644 index c8a4ad1..0000000 --- a/source/unres/src_MD-restraints-PM/check_bond.f +++ /dev/null @@ -1,20 +0,0 @@ - subroutine check_bond -C Subroutine is checking if the fitted function which describs sc_rot_pot -C is correct, printing, alpha,beta, energy, data - for some known theta. -C theta angle is read from the input file. Sc_rot_pot are printed -C for the second residue in sequance. - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.INTERACT' - include 'COMMON.CHAIN' - double precision energia(0:n_ene) - it=itype(2) - do i=1,101 - vbld(nres+2)=0.5d0+0.05d0*(i-1) - call chainbuild - call etotal(energia) - write (2,*) vbld(nres+2),energia(17) - enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/check_sc_distr.f b/source/unres/src_MD-restraints-PM/check_sc_distr.f deleted file mode 100644 index db2ed1b..0000000 --- a/source/unres/src_MD-restraints-PM/check_sc_distr.f +++ /dev/null @@ -1,43 +0,0 @@ - subroutine check_sc_distr - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.TIME1' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - logical fail - double precision varia(maxvar) - double precision hrtime,mintime,sectime - parameter (MaxSample=10000000,delt=1.0D0/MaxSample) - dimension prob(0:72,0:90) - dV=2.0D0*5.0D0*deg2rad*deg2rad - print *,'dv=',dv - do 10 it=1,1 - if (it.eq.10) goto 10 - open (20,file=restyp(it)//'_distr.sdc',status='unknown') - call gen_side(it,90.0D0*deg2rad,al,om,fail) - close (20) - goto 10 - open (20,file=restyp(it)//'_distr1.sdc',status='unknown') - do i=0,90 - do j=0,72 - prob(j,i)=0.0D0 - enddo - enddo - do isample=1,MaxSample - call gen_side(it,90.0D0*deg2rad,al,om) - indal=rad2deg*al/2 - indom=(rad2deg*om+180.0D0)/5 - prob(indom,indal)=prob(indom,indal)+delt - enddo - do i=45,90 - do j=0,72 - write (20,'(2f10.3,1pd15.5)') 2*i+0.0D0,5*j-180.0D0, - & prob(j,i)/dV - enddo - enddo - 10 continue - return - end diff --git a/source/unres/src_MD-restraints-PM/checkder_p.F b/source/unres/src_MD-restraints-PM/checkder_p.F deleted file mode 100644 index 4d0379e..0000000 --- a/source/unres/src_MD-restraints-PM/checkder_p.F +++ /dev/null @@ -1,713 +0,0 @@ - subroutine check_cartgrad -C Check the gradient of Cartesian coordinates in internal coordinates. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.DERIV' - include 'COMMON.SCCOR' - dimension temp(6,maxres),xx(3),gg(3) - indmat(i,j)=((2*(nres-2)-i)*(i-1))/2+j-1 -* -* Check the gradient of the virtual-bond and SC vectors in the internal -* coordinates. -* - aincr=1.0d-7 - aincr2=5.0d-8 - call cartder - write (iout,'(a)') '**************** dx/dalpha' - write (iout,'(a)') - do i=2,nres-1 - alphi=alph(i) - alph(i)=alph(i)+aincr - do k=1,3 - temp(k,i)=dc(k,nres+i) - enddo - call chainbuild - do k=1,3 - gg(k)=(dc(k,nres+i)-temp(k,i))/aincr - xx(k)=dabs((gg(k)-dxds(k,i))/(aincr*dabs(dxds(k,i))+aincr)) - enddo - write (iout,'(i4,3e15.6/4x,3e15.6,3f9.3)') - & i,(gg(k),k=1,3),(dxds(k,i),k=1,3),(xx(k),k=1,3) - write (iout,'(a)') - alph(i)=alphi - call chainbuild - enddo - write (iout,'(a)') - write (iout,'(a)') '**************** dx/domega' - write (iout,'(a)') - do i=2,nres-1 - omegi=omeg(i) - omeg(i)=omeg(i)+aincr - do k=1,3 - temp(k,i)=dc(k,nres+i) - enddo - call chainbuild - do k=1,3 - gg(k)=(dc(k,nres+i)-temp(k,i))/aincr - xx(k)=dabs((gg(k)-dxds(k+3,i))/ - & (aincr*dabs(dxds(k+3,i))+aincr)) - enddo - write (iout,'(i4,3e15.6/4x,3e15.6,3f9.3)') - & i,(gg(k),k=1,3),(dxds(k+3,i),k=1,3),(xx(k),k=1,3) - write (iout,'(a)') - omeg(i)=omegi - call chainbuild - enddo - write (iout,'(a)') - write (iout,'(a)') '**************** dx/dtheta' - write (iout,'(a)') - do i=3,nres - theti=theta(i) - theta(i)=theta(i)+aincr - do j=i-1,nres-1 - do k=1,3 - temp(k,j)=dc(k,nres+j) - enddo - enddo - call chainbuild - do j=i-1,nres-1 - ii = indmat(i-2,j) -c print *,'i=',i-2,' j=',j-1,' ii=',ii - do k=1,3 - gg(k)=(dc(k,nres+j)-temp(k,j))/aincr - xx(k)=dabs((gg(k)-dxdv(k,ii))/ - & (aincr*dabs(dxdv(k,ii))+aincr)) - enddo - write (iout,'(2i4,3e14.6/8x,3e14.6,3f9.3)') - & i,j,(gg(k),k=1,3),(dxdv(k,ii),k=1,3),(xx(k),k=1,3) - write(iout,'(a)') - enddo - write (iout,'(a)') - theta(i)=theti - call chainbuild - enddo - write (iout,'(a)') '***************** dx/dphi' - write (iout,'(a)') - do i=4,nres - phi(i)=phi(i)+aincr - do j=i-1,nres-1 - do k=1,3 - temp(k,j)=dc(k,nres+j) - enddo - enddo - call chainbuild - do j=i-1,nres-1 - ii = indmat(i-2,j) -c print *,'ii=',ii - do k=1,3 - gg(k)=(dc(k,nres+j)-temp(k,j))/aincr - xx(k)=dabs((gg(k)-dxdv(k+3,ii))/ - & (aincr*dabs(dxdv(k+3,ii))+aincr)) - enddo - write (iout,'(2i4,3e14.6/8x,3e14.6,3f9.3)') - & i,j,(gg(k),k=1,3),(dxdv(k+3,ii),k=1,3),(xx(k),k=1,3) - write(iout,'(a)') - enddo - phi(i)=phi(i)-aincr - call chainbuild - enddo - write (iout,'(a)') '****************** ddc/dtheta' - do i=1,nres-2 - thet=theta(i+2) - theta(i+2)=thet+aincr - do j=i,nres - do k=1,3 - temp(k,j)=dc(k,j) - enddo - enddo - call chainbuild - do j=i+1,nres-1 - ii = indmat(i,j) -c print *,'ii=',ii - do k=1,3 - gg(k)=(dc(k,j)-temp(k,j))/aincr - xx(k)=dabs((gg(k)-dcdv(k,ii))/ - & (aincr*dabs(dcdv(k,ii))+aincr)) - enddo - write (iout,'(2i4,3e14.6/8x,3e14.6,3f9.3)') - & i,j,(gg(k),k=1,3),(dcdv(k,ii),k=1,3),(xx(k),k=1,3) - write (iout,'(a)') - enddo - do j=1,nres - do k=1,3 - dc(k,j)=temp(k,j) - enddo - enddo - theta(i+2)=thet - enddo - write (iout,'(a)') '******************* ddc/dphi' - do i=1,nres-3 - phii=phi(i+3) - phi(i+3)=phii+aincr - do j=1,nres - do k=1,3 - temp(k,j)=dc(k,j) - enddo - enddo - call chainbuild - do j=i+2,nres-1 - ii = indmat(i+1,j) -c print *,'ii=',ii - do k=1,3 - gg(k)=(dc(k,j)-temp(k,j))/aincr - xx(k)=dabs((gg(k)-dcdv(k+3,ii))/ - & (aincr*dabs(dcdv(k+3,ii))+aincr)) - enddo - write (iout,'(2i4,3e14.6/8x,3e14.6,3f9.3)') - & i,j,(gg(k),k=1,3),(dcdv(k+3,ii),k=1,3),(xx(k),k=1,3) - write (iout,'(a)') - enddo - do j=1,nres - do k=1,3 - dc(k,j)=temp(k,j) - enddo - enddo - phi(i+3)=phii - enddo - return - end -C---------------------------------------------------------------------------- - subroutine check_ecart -C Check the gradient of the energy in Cartesian coordinates. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.CONTACTS' - include 'COMMON.SCCOR' - common /srutu/ icall - dimension ggg(6),cc(3),xx(3),ddc(3),ddx(3),x(maxvar),g(maxvar) - dimension grad_s(6,maxres) - double precision energia(0:n_ene),energia1(0:n_ene) - integer uiparm(1) - double precision urparm(1) - external fdum - icg=1 - nf=0 - nfl=0 - call zerograd - aincr=1.0D-7 - print '(a)','CG processor',me,' calling CHECK_CART.' - nf=0 - icall=0 - call geom_to_var(nvar,x) - call etotal(energia(0)) - etot=energia(0) - call enerprint(energia(0)) - call gradient(nvar,x,nf,g,uiparm,urparm,fdum) - icall =1 - do i=1,nres - write (iout,'(i5,3f10.5)') i,(gradxorr(j,i),j=1,3) - enddo - do i=1,nres - do j=1,3 - grad_s(j,i)=gradc(j,i,icg) - grad_s(j+3,i)=gradx(j,i,icg) - enddo - enddo - call flush(iout) - write (iout,'(/a/)') 'Gradient in virtual-bond and SC vectors' - do i=1,nres - do j=1,3 - xx(j)=c(j,i+nres) - ddc(j)=dc(j,i) - ddx(j)=dc(j,i+nres) - enddo - do j=1,3 - dc(j,i)=dc(j,i)+aincr - do k=i+1,nres - c(j,k)=c(j,k)+aincr - c(j,k+nres)=c(j,k+nres)+aincr - enddo - call etotal(energia1(0)) - etot1=energia1(0) - ggg(j)=(etot1-etot)/aincr - dc(j,i)=ddc(j) - do k=i+1,nres - c(j,k)=c(j,k)-aincr - c(j,k+nres)=c(j,k+nres)-aincr - enddo - enddo - do j=1,3 - c(j,i+nres)=c(j,i+nres)+aincr - dc(j,i+nres)=dc(j,i+nres)+aincr - call etotal(energia1(0)) - etot1=energia1(0) - ggg(j+3)=(etot1-etot)/aincr - c(j,i+nres)=xx(j) - dc(j,i+nres)=ddx(j) - enddo - write (iout,'(i3,6(1pe12.5)/3x,6(1pe12.5)/)') - & i,(ggg(k),k=1,6),(grad_s(k,i),k=1,6) - enddo - return - end -c---------------------------------------------------------------------------- - subroutine check_ecartint -C Check the gradient of the energy in Cartesian coordinates. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.CONTACTS' - include 'COMMON.MD' - include 'COMMON.LOCAL' - include 'COMMON.SPLITELE' - include 'COMMON.SCCOR' - common /srutu/ icall - dimension ggg(6),ggg1(6),cc(3),xx(3),ddc(3),ddx(3),x(maxvar), - & g(maxvar) - dimension dcnorm_safe(3),dxnorm_safe(3) - dimension grad_s(6,0:maxres),grad_s1(6,0:maxres) - double precision phi_temp(maxres),theta_temp(maxres), - & alph_temp(maxres),omeg_temp(maxres) - double precision energia(0:n_ene),energia1(0:n_ene) - integer uiparm(1) - double precision urparm(1) - external fdum - r_cut=2.0d0 - rlambd=0.3d0 - icg=1 - nf=0 - nfl=0 - call intout -c call intcartderiv -c call checkintcartgrad - call zerograd - aincr=1.0D-5 - write(iout,*) 'Calling CHECK_ECARTINT.' - nf=0 - icall=0 - call geom_to_var(nvar,x) - if (.not.split_ene) then - call etotal(energia(0)) -c do i=1,nres -c write (iout,*) "atu?", gloc_sc(1,i,icg),gloc(i,icg) -c enddo - etot=energia(0) - call enerprint(energia(0)) - call flush(iout) - write (iout,*) "enter cartgrad" -c do i=1,nres -c write (iout,*) gloc_sc(1,i,icg) -c enddo - call flush(iout) - call cartgrad - write (iout,*) "exit cartgrad" - call flush(iout) - icall =1 - do i=1,nres - write (iout,'(i5,3f10.5)') i,(gradxorr(j,i),j=1,3) - enddo - do j=1,3 - grad_s(j,0)=gcart(j,0) - enddo - do i=1,nres - do j=1,3 - grad_s(j,i)=gcart(j,i) - grad_s(j+3,i)=gxcart(j,i) - enddo - enddo - else -!- split gradient check - call zerograd - call etotal_long(energia(0)) - call enerprint(energia(0)) - call flush(iout) - write (iout,*) "enter cartgrad" - call flush(iout) - call cartgrad - write (iout,*) "exit cartgrad" - call flush(iout) - icall =1 - write (iout,*) "longrange grad" - do i=1,nres - write (iout,'(i5,3f10.5,5x,3f10.5)') i,(gcart(j,i),j=1,3), - & (gxcart(j,i),j=1,3) - enddo - do j=1,3 - grad_s(j,0)=gcart(j,0) - enddo - do i=1,nres - do j=1,3 - grad_s(j,i)=gcart(j,i) - grad_s(j+3,i)=gxcart(j,i) - enddo - enddo - call zerograd - call etotal_short(energia(0)) - call enerprint(energia(0)) -c do i=1,nres -c write (iout,*) gloc_sc(1,i,icg) -c enddo - call flush(iout) - write (iout,*) "enter cartgrad" - call flush(iout) - call cartgrad - write (iout,*) "exit cartgrad" - call flush(iout) - icall =1 - write (iout,*) "shortrange grad" - do i=1,nres - write (iout,'(i5,3f10.5,5x,3f10.5)') i,(gcart(j,i),j=1,3), - & (gxcart(j,i),j=1,3) - enddo - do j=1,3 - grad_s1(j,0)=gcart(j,0) - enddo - do i=1,nres - do j=1,3 - grad_s1(j,i)=gcart(j,i) - grad_s1(j+3,i)=gxcart(j,i) - enddo - enddo - endif - write (iout,'(/a/)') 'Gradient in virtual-bond and SC vectors' - do i=0,nres - do j=1,3 - xx(j)=c(j,i+nres) - ddc(j)=dc(j,i) - ddx(j)=dc(j,i+nres) - do k=1,3 - dcnorm_safe(k)=dc_norm(k,i) - dxnorm_safe(k)=dc_norm(k,i+nres) - enddo - enddo - do j=1,3 - dc(j,i)=ddc(j)+aincr - call chainbuild_cart -#ifdef MPI -c Broadcast the order to compute internal coordinates to the slaves. -c if (nfgtasks.gt.1) -c & call MPI_Bcast(6,1,MPI_INTEGER,king,FG_COMM,IERROR) -#endif -c call int_from_cart1(.false.) - if (.not.split_ene) then - call etotal(energia1(0)) - etot1=energia1(0) - else -!- split gradient - call etotal_long(energia1(0)) - etot11=energia1(0) - call etotal_short(energia1(0)) - etot12=energia1(0) -c write (iout,*) "etot11",etot11," etot12",etot12 - endif -!- end split gradient -c write(iout,'(2i5,2(a,f15.10))')i,j," etot",etot," etot1",etot1 - dc(j,i)=ddc(j)-aincr - call chainbuild_cart -c call int_from_cart1(.false.) - if (.not.split_ene) then - call etotal(energia1(0)) - etot2=energia1(0) - ggg(j)=(etot1-etot2)/(2*aincr) - else -!- split gradient - call etotal_long(energia1(0)) - etot21=energia1(0) - ggg(j)=(etot11-etot21)/(2*aincr) - call etotal_short(energia1(0)) - etot22=energia1(0) - ggg1(j)=(etot12-etot22)/(2*aincr) -!- end split gradient -c write (iout,*) "etot21",etot21," etot22",etot22 - endif -c write(iout,'(2i5,2(a,f15.10))')i,j," etot",etot," etot2",etot2 - dc(j,i)=ddc(j) - call chainbuild_cart - enddo - do j=1,3 - dc(j,i+nres)=ddx(j)+aincr - call chainbuild_cart -c write (iout,*) "i",i," j",j," dxnorm+ and dxnorm" -c write (iout,'(3f15.10)') (dc_norm(k,i+nres),k=1,3) -c write (iout,'(3f15.10)') (dxnorm_safe(k),k=1,3) -c write (iout,*) "dxnormnorm",dsqrt( -c & dc_norm(1,i+nres)**2+dc_norm(2,i+nres)**2+dc_norm(3,i+nres)**2) -c write (iout,*) "dxnormnormsafe",dsqrt( -c & dxnorm_safe(1)**2+dxnorm_safe(2)**2+dxnorm_safe(3)**2) -c write (iout,*) - if (.not.split_ene) then - call etotal(energia1(0)) - etot1=energia1(0) - else -!- split gradient - call etotal_long(energia1(0)) - etot11=energia1(0) - call etotal_short(energia1(0)) - etot12=energia1(0) - endif -!- end split gradient -c write(iout,'(2i5,2(a,f15.10))')i,j," etot",etot," etot1",etot1 - dc(j,i+nres)=ddx(j)-aincr - call chainbuild_cart -c write (iout,*) "i",i," j",j," dxnorm- and dxnorm" -c write (iout,'(3f15.10)') (dc_norm(k,i+nres),k=1,3) -c write (iout,'(3f15.10)') (dxnorm_safe(k),k=1,3) -c write (iout,*) -c write (iout,*) "dxnormnorm",dsqrt( -c & dc_norm(1,i+nres)**2+dc_norm(2,i+nres)**2+dc_norm(3,i+nres)**2) -c write (iout,*) "dxnormnormsafe",dsqrt( -c & dxnorm_safe(1)**2+dxnorm_safe(2)**2+dxnorm_safe(3)**2) - if (.not.split_ene) then - call etotal(energia1(0)) - etot2=energia1(0) - ggg(j+3)=(etot1-etot2)/(2*aincr) - else -!- split gradient - call etotal_long(energia1(0)) - etot21=energia1(0) - ggg(j+3)=(etot11-etot21)/(2*aincr) - call etotal_short(energia1(0)) - etot22=energia1(0) - ggg1(j+3)=(etot12-etot22)/(2*aincr) -!- end split gradient - endif -c write(iout,'(2i5,2(a,f15.10))')i,j," etot",etot," etot2",etot2 - dc(j,i+nres)=ddx(j) - call chainbuild_cart - enddo - write (iout,'(i3,6(1pe12.5)/3x,6(1pe12.5)/3x,6(1pe12.5)/)') - & i,(ggg(k),k=1,6),(grad_s(k,i),k=1,6),(ggg(k)/grad_s(k,i),k=1,6) - if (split_ene) then - write (iout,'(i3,6(1pe12.5)/3x,6(1pe12.5)/3x,6(1pe12.5)/)') - & i,(ggg1(k),k=1,6),(grad_s1(k,i),k=1,6),(ggg1(k)/grad_s1(k,i), - & k=1,6) - write (iout,'(i3,6(1pe12.5)/3x,6(1pe12.5)/3x,6(1pe12.5)/)') - & i,(ggg(k)+ggg1(k),k=1,6),(grad_s(k,i)+grad_s1(k,i),k=1,6), - & ((ggg(k)+ggg1(k))/(grad_s(k,i)+grad_s1(k,i)),k=1,6) - endif - enddo - return - end -c------------------------------------------------------------------------- - subroutine int_from_cart1(lprn) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' - integer ierror -#endif - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.GEO' - include 'COMMON.INTERACT' - include 'COMMON.LOCAL' - include 'COMMON.NAMES' - include 'COMMON.SETUP' - include 'COMMON.TIME1' - logical lprn - if (lprn) write (iout,'(/a)') 'Recalculated internal coordinates' -#ifdef TIMING - time01=MPI_Wtime() -#endif -#if defined(PARINT) && defined(MPI) - do i=iint_start,iint_end+1 -#else - do i=2,nres -#endif - dnorm1=dist(i-1,i) - dnorm2=dist(i,i+1) - do j=1,3 - c(j,maxres2)=0.5D0*(2*c(j,i)+(c(j,i-1)-c(j,i))/dnorm1 - & +(c(j,i+1)-c(j,i))/dnorm2) - enddo - be=0.0D0 - if (i.gt.2) then - if (i.le.nres) phi(i+1)=beta(i-2,i-1,i,i+1) - if ((itype(i).ne.10).and.(itype(i-1).ne.10)) then - tauangle(3,i+1)=beta(i+nres-1,i-1,i,i+nres) - endif - if (itype(i-1).ne.10) then - tauangle(1,i+1)=beta(i-1+nres,i-1,i,i+1) - omicron(1,i)=alpha(i-2,i-1,i-1+nres) - omicron(2,i)=alpha(i-1+nres,i-1,i) - endif - if (itype(i).ne.10) then - tauangle(2,i+1)=beta(i-2,i-1,i,i+nres) - endif - endif - omeg(i)=beta(nres+i,i,maxres2,i+1) - alph(i)=alpha(nres+i,i,maxres2) - theta(i+1)=alpha(i-1,i,i+1) - vbld(i)=dist(i-1,i) - vbld_inv(i)=1.0d0/vbld(i) - vbld(nres+i)=dist(nres+i,i) - if (itype(i).ne.10) then - vbld_inv(nres+i)=1.0d0/vbld(nres+i) - else - vbld_inv(nres+i)=0.0d0 - endif - enddo - -#if defined(PARINT) && defined(MPI) - if (nfgtasks1.gt.1) then -cd write(iout,*) "iint_start",iint_start," iint_count", -cd & (iint_count(i),i=0,nfgtasks-1)," iint_displ", -cd & (iint_displ(i),i=0,nfgtasks-1) -cd write (iout,*) "Gather vbld backbone" -cd call flush(iout) - time00=MPI_Wtime() - call MPI_Allgatherv(vbld(iint_start),iint_count(fg_rank1), - & MPI_DOUBLE_PRECISION,vbld(1),iint_count(0),iint_displ(0), - & MPI_DOUBLE_PRECISION,FG_COMM1,IERR) -cd write (iout,*) "Gather vbld_inv" -cd call flush(iout) - call MPI_Allgatherv(vbld_inv(iint_start),iint_count(fg_rank1), - & MPI_DOUBLE_PRECISION,vbld_inv(1),iint_count(0),iint_displ(0), - & MPI_DOUBLE_PRECISION,FG_COMM1,IERR) -cd write (iout,*) "Gather vbld side chain" -cd call flush(iout) - call MPI_Allgatherv(vbld(iint_start+nres),iint_count(fg_rank1), - & MPI_DOUBLE_PRECISION,vbld(nres+1),iint_count(0),iint_displ(0), - & MPI_DOUBLE_PRECISION,FG_COMM1,IERR) -cd write (iout,*) "Gather vbld_inv side chain" -cd call flush(iout) - call MPI_Allgatherv(vbld_inv(iint_start+nres), - & iint_count(fg_rank1),MPI_DOUBLE_PRECISION,vbld_inv(nres+1), - & iint_count(0),iint_displ(0),MPI_DOUBLE_PRECISION,FG_COMM1,IERR) -cd write (iout,*) "Gather theta" -cd call flush(iout) - call MPI_Allgatherv(theta(iint_start+1),iint_count(fg_rank1), - & MPI_DOUBLE_PRECISION,theta(2),iint_count(0),iint_displ(0), - & MPI_DOUBLE_PRECISION,FG_COMM1,IERR) -cd write (iout,*) "Gather phi" -cd call flush(iout) - call MPI_Allgatherv(phi(iint_start+1),iint_count(fg_rank1), - & MPI_DOUBLE_PRECISION,phi(2),iint_count(0),iint_displ(0), - & MPI_DOUBLE_PRECISION,FG_COMM1,IERR) -#ifdef CRYST_SC -cd write (iout,*) "Gather alph" -cd call flush(iout) - call MPI_Allgatherv(alph(iint_start),iint_count(fg_rank1), - & MPI_DOUBLE_PRECISION,alph(1),iint_count(0),iint_displ(0), - & MPI_DOUBLE_PRECISION,FG_COMM1,IERR) -cd write (iout,*) "Gather omeg" -cd call flush(iout) - call MPI_Allgatherv(omeg(iint_start),iint_count(fg_rank1), - & MPI_DOUBLE_PRECISION,omeg(1),iint_count(0),iint_displ(0), - & MPI_DOUBLE_PRECISION,FG_COMM1,IERR) -#endif - time_gather=time_gather+MPI_Wtime()-time00 - endif -#endif - do i=1,nres-1 - do j=1,3 - dc_norm(j,i)=dc(j,i)*vbld_inv(i+1) - enddo - enddo - do i=2,nres-1 - do j=1,3 - dc_norm(j,i+nres)=dc(j,i+nres)*vbld_inv(i+nres) - enddo - enddo - if (lprn) then - do i=2,nres - write (iout,1212) restyp(itype(i)),i,vbld(i), - &rad2deg*theta(i),rad2deg*phi(i),vbld(nres+i), - &rad2deg*alph(i),rad2deg*omeg(i) - enddo - endif - 1212 format (a3,'(',i3,')',2(f15.10,2f10.2)) -#ifdef TIMING - time_intfcart=time_intfcart+MPI_Wtime()-time01 -#endif - return - end -c---------------------------------------------------------------------------- - subroutine check_eint -C Check the gradient of energy in internal coordinates. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.GEO' - common /srutu/ icall - dimension x(maxvar),gana(maxvar),gg(maxvar) - integer uiparm(1) - double precision urparm(1) - double precision energia(0:n_ene),energia1(0:n_ene), - & energia2(0:n_ene) - character*6 key - external fdum - call zerograd - aincr=1.0D-7 - print '(a)','Calling CHECK_INT.' - nf=0 - nfl=0 - icg=1 - call geom_to_var(nvar,x) - call var_to_geom(nvar,x) - call chainbuild - icall=1 - print *,'ICG=',ICG - call etotal(energia(0)) - etot = energia(0) - call enerprint(energia(0)) - print *,'ICG=',ICG -#ifdef MPL - if (MyID.ne.BossID) then - call mp_bcast(x(1),8*(nvar+3),BossID,fgGroupID) - nf=x(nvar+1) - nfl=x(nvar+2) - icg=x(nvar+3) - endif -#endif - nf=1 - nfl=3 -cd write (iout,'(10f8.3)') (rad2deg*x(i),i=1,nvar) - call gradient(nvar,x,nf,gana,uiparm,urparm,fdum) -cd write (iout,'(i3,1pe14.4)') (i,gana(i),i=1,nvar) - icall=1 - do i=1,nvar - xi=x(i) - x(i)=xi-0.5D0*aincr - call var_to_geom(nvar,x) - call chainbuild - call etotal(energia1(0)) - etot1=energia1(0) - x(i)=xi+0.5D0*aincr - call var_to_geom(nvar,x) - call chainbuild - call etotal(energia2(0)) - etot2=energia2(0) - gg(i)=(etot2-etot1)/aincr - write (iout,*) i,etot1,etot2 - x(i)=xi - enddo - write (iout,'(/2a)')' Variable Numerical Analytical', - & ' RelDiff*100% ' - do i=1,nvar - if (i.le.nphi) then - ii=i - key = ' phi' - else if (i.le.nphi+ntheta) then - ii=i-nphi - key=' theta' - else if (i.le.nphi+ntheta+nside) then - ii=i-(nphi+ntheta) - key=' alpha' - else - ii=i-(nphi+ntheta+nside) - key=' omega' - endif - write (iout,'(i3,a,i3,3(1pd16.6))') - & i,key,ii,gg(i),gana(i), - & 100.0D0*dabs(gg(i)-gana(i))/(dabs(gana(i))+aincr) - enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/compare_s1.F b/source/unres/src_MD-restraints-PM/compare_s1.F deleted file mode 100644 index 300e7ed..0000000 --- a/source/unres/src_MD-restraints-PM/compare_s1.F +++ /dev/null @@ -1,188 +0,0 @@ - subroutine compare_s1(n_thr,num_thread_save,energyx,x, - & icomp,enetbss,coordss,rms_d,modif,iprint) -C This subroutine compares the new conformation, whose variables are in X -C with the previously accumulated conformations whose energies and variables -C are stored in ENETBSS and COORDSS, respectively. The meaning of other -C variables is as follows: -C -C N_THR - on input the previous # of accumulated confs, on output the current -C # of accumulated confs. -C N_REPEAT - an array that indicates how many times the structure has already -C been used to start the reversed-reversing procedure. Addition of -C a new structure replacement of a structure with a similar, but -C lower-energy structure resets the respective entry in N_REPEAT to zero -C I9 - output unit -C ENERGYX,X - the energy and variables of the new conformations. -C ICOMP - comparison result: -C 0 - the new structure is similar to one of the previous ones and does -C not have a remarkably lower energy and is therefore rejected; -C 1 - the new structure is different and is added to the set, because -C there is still room in the COORDSS and ENETBSS arrays; -C 2 - the new structure is different, but higher in energy than any -C previous one and is therefore rejected -C 3 - there is no more room in the COORDSS and ENETBSS arrays, but -C the new structure is lower in energy than at least the highest- -C energy previous structure and therefore replaces it. -C 9 - the new structure is similar to a number of previous structures, -C but has a remarkably lower energy than any of them; therefore -C replaces all these structures; -C MODIF - a logical variable that shows whether to include the new structure -C in the set of accumulated structures - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' -crc include 'COMMON.DEFORM' - include 'COMMON.IOUNITS' -#ifdef UNRES - include 'COMMON.CHAIN' -#endif - - dimension x(maxvar) - dimension x1(maxvar) - double precision przes(3),obrot(3,3) - integer list(max_thread) - logical non_conv,modif - double precision enetbss(max_threadss) - double precision coordss(maxvar,max_threadss) - - nlist=0 -#ifdef UNRES - call var_to_geom(nvar,x) - call chainbuild - do k=1,2*nres - do kk=1,3 - cref(kk,k)=c(kk,k) - enddo - enddo -#endif -c write(iout,*)'*ene=',energyx - j=0 - enex_jp=-1.0d+99 - do i=1,n_thr - do k=1,nvar - x1(k)=coordss(k,i) - enddo - if (iprint.gt.3) then - write (iout,*) 'Compare_ss, i=',i - write (iout,*) 'New structure Energy:',energyx - write (iout,'(10f8.3)') (rad2deg*x(k),k=1,nvar) - write (iout,*) 'Template structure Energy:',enetbss(i) - write (iout,'(10f8.3)') (rad2deg*x1(k),k=1,nvar) - endif - -#ifdef UNRES - call var_to_geom(nvar,x1) - call chainbuild -cd write(iout,*)'C and CREF' -cd write(iout,'(i5,3f10.5,5x,3f10.5)')(k,(c(j,k),j=1,3), -cd & (cref(j,k),j=1,3),k=1,nres) - call fitsq(roznica,c(1,1),cref(1,1),nres,przes,obrot,non_conv) - if (non_conv) then - print *,'Problems in FITSQ!!!' - print *,'X' - print '(10f8.3)',(x(k),k=1,nvar) - print *,'X1' - print '(10f8.3)',(x1(k),k=1,nvar) - print *,'C and CREF' - print '(i5,3f10.5,5x,3f10.5)',(k,(c(j,k),j=1,3), - & (cref(j,k),j=1,3),k=1,nres) - endif - roznica=dsqrt(dabs(roznica)) - iresult = 1 - if (roznica.lt.rms_d) iresult = 0 -#else - energyy=enetbss(i) - call cmprs(x,x1,roznica,energyx,energyy,iresult) -#endif - if (iprint.gt.1) write(iout,'(i5,f10.6,$)') i,roznica -c print '(i5,f8.3)',i,roznica - if(iresult.eq.0) then - nlist = nlist + 1 - list(nlist)=i - if (iprint.gt.1) write(iout,*) - if(energyx.ge.enetbss(i)) then - if (iprint.gt.1) - & write(iout,*)'s*>> structure rejected - same as nr ',i, - & ' RMS',roznica - minimize_s_flag=0 - icomp=0 - go to 1106 - endif - endif - if(energyx.lt.enetbss(i).and.enex_jp.lt.enetbss(i))then - j=i - enex_jp=enetbss(i) - endif - enddo - if (iprint.gt.1) write(iout,*) - if(nlist.gt.0) then - if (modif) then - if (iprint.gt.1) - & write(iout,'(a,i3,$)')'s*>> structure accepted1 - repl nr ', - & list(1) - else - if (iprint.gt.1) - & write(iout,'(a,i3)') - & 's*>> structure accepted1 - would repl nr ',list(1) - endif - icomp=9 - if (.not. modif) goto 1106 - j=list(1) - enetbss(j)=energyx - do i=1,nvar - coordss(i,j)=x(i) - enddo - do j=2,nlist - if (iprint.gt.1) write(iout,'(i3,$)')list(j) - do kk=list(j)+1,nlist - enetbss(kk-1)=enetbss(kk) - do i=1,nvar - coordss(i,kk-1)=coordss(i,kk) - enddo - enddo - enddo - if (iprint.gt.1) write(iout,*) - go to 1106 - endif - if(n_thr.lt.num_thread_save) then - icomp=1 - if (modif) then - if (iprint.gt.1) - & write(iout,*)'s*>> structure accepted - add with nr ',n_thr+1 - else - if (iprint.gt.1) - & write(iout,*)'s*>> structure accepted - would add with nr ', - & n_thr+1 - goto 1106 - endif - n_thr=n_thr+1 - enetbss(n_thr)=energyx - do i=1,nvar - coordss(i,n_thr)=x(i) - enddo - else - if(j.eq.0) then - if (iprint.gt.1) - & write(iout,*)'s*>> structure rejected - too high energy' - icomp=2 - go to 1106 - end if - icomp=3 - if (modif) then - if (iprint.gt.1) - & write(iout,*)'s*>> structure accepted - repl nr ',j - else - if (iprint.gt.1) - & write(iout,*)'s*>> structure accepted - would repl nr ',j - goto 1106 - endif - enetbss(j)=energyx - do i=1,nvar - coordss(i,j)=x(i) - enddo - end if - -1106 continue - return - end diff --git a/source/unres/src_MD-restraints-PM/compinfo.c b/source/unres/src_MD-restraints-PM/compinfo.c deleted file mode 100644 index e28f686..0000000 --- a/source/unres/src_MD-restraints-PM/compinfo.c +++ /dev/null @@ -1,82 +0,0 @@ -#include -#include -#include -#include -#include - -main() -{ -FILE *in, *in1, *out; -int i,j,k,iv1,iv2,iv3; -char *p1,buf[500],buf1[500],buf2[100],buf3[100]; -struct utsname Name; -time_t Tp; - -in=fopen("cinfo.f","r"); -out=fopen("cinfo.f.new","w"); -if (fgets(buf,498,in) != NULL) - fprintf(out,"C DO NOT EDIT THIS FILE - IT HAS BEEN GENERATED BY COMPINFO.C\n"); -if (fgets(buf,498,in) != NULL) - sscanf(&buf[1],"%d %d %d",&iv1,&iv2,&iv3); -iv3++; -fprintf(out,"C %d %d %d\n",iv1,iv2,iv3); -fprintf(out," subroutine cinfo\n"); -fprintf(out," include 'COMMON.IOUNITS'\n"); -fprintf(out," write(iout,*)'++++ Compile info ++++'\n"); -fprintf(out," write(iout,*)'Version %d.%-d build %d'\n",iv1,iv2,iv3); -uname(&Name); -time(&Tp); -system("whoami > tmptmp"); -in1=fopen("tmptmp","r"); -if (fscanf(in1,"%s",buf1) != EOF) -{ -p1=ctime(&Tp); -p1[strlen(p1)-1]='\0'; -fprintf(out," write(iout,*)'compiled %s'\n",p1); -fprintf(out," write(iout,*)'compiled by %s@%s'\n",buf1,Name.nodename); -fprintf(out," write(iout,*)'OS name: %s '\n",Name.sysname); -fprintf(out," write(iout,*)'OS release: %s '\n",Name.release); -fprintf(out," write(iout,*)'OS version:',\n"); -fprintf(out," & ' %s '\n",Name.version); -fprintf(out," write(iout,*)'flags:'\n"); -} -system("rm tmptmp"); -fclose(in1); -in1=fopen("Makefile","r"); -while(fgets(buf,498,in1) != NULL) - { - if((p1=strchr(buf,'=')) != NULL && buf[0] != '#') - { - buf[strlen(buf)-1]='\0'; - if(strlen(buf) > 49) - { - buf[47]='\0'; - strcat(buf,"..."); - } - else - { - while(buf[strlen(buf)-1]=='\\') - { - strcat(buf,"\\"); - fprintf(out," write(iout,*)'%s'\n",buf); - if (fgets(buf,498,in1) != NULL) - buf[strlen(buf)-1]='\0'; - if(strlen(buf) > 49) - { - buf[47]='\0'; - strcat(buf,"..."); - } - } - } - - fprintf(out," write(iout,*)'%s'\n",buf); - } - } -fprintf(out," write(iout,*)'++++ End of compile info ++++'\n"); -fprintf(out," return\n"); -fprintf(out," end\n"); -fclose(out); -fclose(in1); -fclose(in); -system("mv cinfo.f.new cinfo.f"); -} diff --git a/source/unres/src_MD-restraints-PM/contact.f b/source/unres/src_MD-restraints-PM/contact.f deleted file mode 100644 index a244d86..0000000 --- a/source/unres/src_MD-restraints-PM/contact.f +++ /dev/null @@ -1,195 +0,0 @@ - subroutine contact(lprint,ncont,icont,co) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.NAMES' - real*8 facont /1.569D0/ ! facont = (2/(1-sqrt(1-1/4)))**(1/6) - integer ncont,icont(2,maxcont) - logical lprint - ncont=0 - kkk=3 - do i=nnt+kkk,nct - iti=itype(i) - do j=nnt,i-kkk - itj=itype(j) - if (ipot.ne.4) then -c rcomp=sigmaii(iti,itj)+1.0D0 - rcomp=facont*sigmaii(iti,itj) - else -c rcomp=sigma(iti,itj)+1.0D0 - rcomp=facont*sigma(iti,itj) - endif -c rcomp=6.5D0 -c print *,'rcomp=',rcomp,' dist=',dist(nres+i,nres+j) - if (dist(nres+i,nres+j).lt.rcomp) then - ncont=ncont+1 - icont(1,ncont)=i - icont(2,ncont)=j - endif - enddo - enddo - if (lprint) then - write (iout,'(a)') 'Contact map:' - do i=1,ncont - i1=icont(1,i) - i2=icont(2,i) - it1=itype(i1) - it2=itype(i2) - write (iout,'(i3,2x,a,i4,2x,a,i4)') - & i,restyp(it1),i1,restyp(it2),i2 - enddo - endif - co = 0.0d0 - do i=1,ncont - co = co + dfloat(iabs(icont(1,i)-icont(2,i))) - enddo - co = co / (nres*ncont) - return - end -c---------------------------------------------------------------------------- - double precision function contact_fract(ncont,ncont_ref, - & icont,icont_ref) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - integer ncont,ncont_ref,icont(2,maxcont),icont_ref(2,maxcont) - nmatch=0 -c print *,'ncont=',ncont,' ncont_ref=',ncont_ref -c write (iout,'(20i4)') (icont_ref(1,i),i=1,ncont_ref) -c write (iout,'(20i4)') (icont_ref(2,i),i=1,ncont_ref) -c write (iout,'(20i4)') (icont(1,i),i=1,ncont) -c write (iout,'(20i4)') (icont(2,i),i=1,ncont) - do i=1,ncont - do j=1,ncont_ref - if (icont(1,i).eq.icont_ref(1,j) .and. - & icont(2,i).eq.icont_ref(2,j)) nmatch=nmatch+1 - enddo - enddo -c print *,' nmatch=',nmatch -c contact_fract=dfloat(nmatch)/dfloat(max0(ncont,ncont_ref)) - contact_fract=dfloat(nmatch)/dfloat(ncont_ref) - return - end -c---------------------------------------------------------------------------- - double precision function contact_fract_nn(ncont,ncont_ref, - & icont,icont_ref) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - integer ncont,ncont_ref,icont(2,maxcont),icont_ref(2,maxcont) - nmatch=0 -c print *,'ncont=',ncont,' ncont_ref=',ncont_ref -c write (iout,'(20i4)') (icont_ref(1,i),i=1,ncont_ref) -c write (iout,'(20i4)') (icont_ref(2,i),i=1,ncont_ref) -c write (iout,'(20i4)') (icont(1,i),i=1,ncont) -c write (iout,'(20i4)') (icont(2,i),i=1,ncont) - do i=1,ncont - do j=1,ncont_ref - if (icont(1,i).eq.icont_ref(1,j) .and. - & icont(2,i).eq.icont_ref(2,j)) nmatch=nmatch+1 - enddo - enddo -c print *,' nmatch=',nmatch -c contact_fract=dfloat(nmatch)/dfloat(max0(ncont,ncont_ref)) - contact_fract_nn=dfloat(ncont-nmatch)/dfloat(ncont) - return - end -c---------------------------------------------------------------------------- - subroutine hairpin(lprint,nharp,iharp) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.NAMES' - integer ncont,icont(2,maxcont) - integer nharp,iharp(4,maxres/3) - logical lprint,not_done - real*8 rcomp /6.0d0/ - ncont=0 - kkk=0 -c print *,'nnt=',nnt,' nct=',nct - do i=nnt,nct-3 - do k=1,3 - c(k,2*nres+1)=0.5d0*(c(k,i)+c(k,i+1)) - enddo - do j=i+2,nct-1 - do k=1,3 - c(k,2*nres+2)=0.5d0*(c(k,j)+c(k,j+1)) - enddo - if (dist(2*nres+1,2*nres+2).lt.rcomp) then - ncont=ncont+1 - icont(1,ncont)=i - icont(2,ncont)=j - endif - enddo - enddo - if (lprint) then - write (iout,'(a)') 'PP contact map:' - do i=1,ncont - i1=icont(1,i) - i2=icont(2,i) - it1=itype(i1) - it2=itype(i2) - write (iout,'(i3,2x,a,i4,2x,a,i4)') - & i,restyp(it1),i1,restyp(it2),i2 - enddo - endif -c finding hairpins - nharp=0 - do i=1,ncont - i1=icont(1,i) - j1=icont(2,i) - if (j1.eq.i1+2 .and. i1.gt.nnt .and. j1.lt.nct) then -c write (iout,*) "found turn at ",i1,j1 - ii1=i1 - jj1=j1 - not_done=.true. - do while (not_done) - i1=i1-1 - j1=j1+1 - do j=1,ncont - if (i1.eq.icont(1,j) .and. j1.eq.icont(2,j)) goto 10 - enddo - not_done=.false. - 10 continue -c write (iout,*) i1,j1,not_done - enddo - i1=i1+1 - j1=j1-1 - if (j1-i1.gt.4) then - nharp=nharp+1 - iharp(1,nharp)=i1 - iharp(2,nharp)=j1 - iharp(3,nharp)=ii1 - iharp(4,nharp)=jj1 -c write (iout,*)'nharp',nharp,' iharp',(iharp(k,nharp),k=1,4) - endif - endif - enddo -c do i=1,nharp -c write (iout,*)'i',i,' iharp',(iharp(k,i),k=1,4) -c enddo - if (lprint) then - write (iout,*) "Hairpins:" - do i=1,nharp - i1=iharp(1,i) - j1=iharp(2,i) - ii1=iharp(3,i) - jj1=iharp(4,i) - write (iout,*) - write (iout,'(20(a,i3,1x))') (restyp(itype(k)),k,k=i1,ii1) - write (iout,'(20(a,i3,1x))') (restyp(itype(k)),k,k=j1,jj1,-1) -c do k=jj1,j1,-1 -c write (iout,'(a,i3,$)') restyp(itype(k)),k -c enddo - enddo - endif - return - end -c---------------------------------------------------------------------------- - diff --git a/source/unres/src_MD-restraints-PM/convert.f b/source/unres/src_MD-restraints-PM/convert.f deleted file mode 100644 index dc0cccd..0000000 --- a/source/unres/src_MD-restraints-PM/convert.f +++ /dev/null @@ -1,196 +0,0 @@ - subroutine geom_to_var(n,x) -C -C Transfer the geometry parameters to the variable array. -C The positions of variables are as follows: -C 1. Virtual-bond torsional angles: 1 thru nres-3 -C 2. Virtual-bond valence angles: nres-2 thru 2*nres-5 -C 3. The polar angles alpha of local SC orientation: 2*nres-4 thru -C 2*nres-4+nside -C 4. The torsional angles omega of SC orientation: 2*nres-4+nside+1 -C thru 2*nre-4+2*nside -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.CHAIN' - double precision x(n) -cd print *,'nres',nres,' nphi',nphi,' ntheta',ntheta,' nvar',nvar - do i=4,nres - x(i-3)=phi(i) -cd print *,i,i-3,phi(i) - enddo - if (n.eq.nphi) return - do i=3,nres - x(i-2+nphi)=theta(i) -cd print *,i,i-2+nphi,theta(i) - enddo - if (n.eq.nphi+ntheta) return - do i=2,nres-1 - if (ialph(i,1).gt.0) then - x(ialph(i,1))=alph(i) - x(ialph(i,1)+nside)=omeg(i) -cd print *,i,ialph(i,1),ialph(i,1)+nside,alph(i),omeg(i) - endif - enddo - return - end -C-------------------------------------------------------------------- - subroutine var_to_geom(n,x) -C -C Update geometry parameters according to the variable array. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.GEO' - include 'COMMON.IOUNITS' - dimension x(n) - logical change,reduce - change=reduce(x) - if (n.gt.nphi+ntheta) then - do i=1,nside - ii=ialph(i,2) - alph(ii)=x(nphi+ntheta+i) - omeg(ii)=pinorm(x(nphi+ntheta+nside+i)) - enddo - endif - do i=4,nres - phi(i)=x(i-3) - enddo - if (n.eq.nphi) return - do i=3,nres - theta(i)=x(i-2+nphi) - if (theta(i).eq.pi) theta(i)=0.99d0*pi - x(i-2+nphi)=theta(i) - enddo - return - end -c------------------------------------------------------------------------- - logical function convert_side(alphi,omegi) - implicit none - double precision alphi,omegi - double precision pinorm - include 'COMMON.GEO' - convert_side=.false. -C Apply periodicity restrictions. - if (alphi.gt.pi) then - alphi=dwapi-alphi - omegi=pinorm(omegi+pi) - convert_side=.true. - endif - return - end -c------------------------------------------------------------------------- - logical function reduce(x) -C -C Apply periodic restrictions to variables. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.GEO' - logical zm,zmiana,convert_side - dimension x(nvar) - zmiana=.false. - do i=4,nres - x(i-3)=pinorm(x(i-3)) - enddo - if (nvar.gt.nphi+ntheta) then - do i=1,nside - ii=nphi+ntheta+i - iii=ii+nside - x(ii)=thetnorm(x(ii)) - x(iii)=pinorm(x(iii)) -C Apply periodic restrictions. - zm=convert_side(x(ii),x(iii)) - zmiana=zmiana.or.zm - enddo - endif - if (nvar.eq.nphi) return - do i=3,nres - ii=i-2+nphi - iii=i-3 - x(ii)=dmod(x(ii),dwapi) -C Apply periodic restrictions. - if (x(ii).gt.pi) then - zmiana=.true. - x(ii)=dwapi-x(ii) - if (iii.gt.0) x(iii)=pinorm(x(iii)+pi) - if (i.lt.nres) x(iii+1)=pinorm(x(iii+1)+pi) - ii=ialph(i-1,1) - if (ii.gt.0) then - x(ii)=dmod(pi-x(ii),dwapi) - x(ii+nside)=pinorm(-x(ii+nside)) - zm=convert_side(x(ii),x(ii+nside)) - endif - else if (x(ii).lt.-pi) then - zmiana=.true. - x(ii)=dwapi+x(ii) - ii=ialph(i-1,1) - if (ii.gt.0) then - x(ii)=dmod(pi-x(ii),dwapi) - x(ii+nside)=pinorm(-pi-x(ii+nside)) - zm=convert_side(x(ii),x(ii+nside)) - endif - else if (x(ii).lt.0.0d0) then - zmiana=.true. - x(ii)=-x(ii) - if (iii.gt.0) x(iii)=pinorm(x(iii)+pi) - if (i.lt.nres) x(iii+1)=pinorm(x(iii+1)+pi) - ii=ialph(i-1,1) - if (ii.gt.0) then - x(ii+nside)=pinorm(-x(ii+nside)) - zm=convert_side(x(ii),x(ii+nside)) - endif - endif - enddo - reduce=zmiana - return - end -c-------------------------------------------------------------------------- - double precision function thetnorm(x) -C This function puts x within [0,2Pi]. - implicit none - double precision x,xx - include 'COMMON.GEO' - xx=dmod(x,dwapi) - if (xx.lt.0.0d0) xx=xx+dwapi - if (xx.gt.0.9999d0*pi) xx=0.9999d0*pi - thetnorm=xx - return - end -C-------------------------------------------------------------------- - subroutine var_to_geom_restr(n,xx) -C -C Update geometry parameters according to the variable array. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.GEO' - include 'COMMON.IOUNITS' - dimension x(maxvar),xx(maxvar) - logical change,reduce - - call xx2x(x,xx) - change=reduce(x) - do i=1,nside - ii=ialph(i,2) - alph(ii)=x(nphi+ntheta+i) - omeg(ii)=pinorm(x(nphi+ntheta+nside+i)) - enddo - do i=4,nres - phi(i)=x(i-3) - enddo - do i=3,nres - theta(i)=x(i-2+nphi) - if (theta(i).eq.pi) theta(i)=0.99d0*pi - x(i-2+nphi)=theta(i) - enddo - return - end -c------------------------------------------------------------------------- diff --git a/source/unres/src_MD-restraints-PM/cored.f b/source/unres/src_MD-restraints-PM/cored.f deleted file mode 100644 index 1cf25e5..0000000 --- a/source/unres/src_MD-restraints-PM/cored.f +++ /dev/null @@ -1,3151 +0,0 @@ - subroutine assst(iv, liv, lv, v) -c -c *** assess candidate step (***sol version 2.3) *** -c - integer liv, l - integer iv(liv) - double precision v(lv) -c -c *** purpose *** -c -c this subroutine is called by an unconstrained minimization -c routine to assess the next candidate step. it may recommend one -c of several courses of action, such as accepting the step, recom- -c puting it using the same or a new quadratic model, or halting due -c to convergence or false convergence. see the return code listing -c below. -c -c-------------------------- parameter usage -------------------------- -c -c iv (i/o) integer parameter and scratch vector -- see description -c below of iv values referenced. -c liv (in) length of iv array. -c lv (in) length of v array. -c v (i/o) real parameter and scratch vector -- see description -c below of v values referenced. -c -c *** iv values referenced *** -c -c iv(irc) (i/o) on input for the first step tried in a new iteration, -c iv(irc) should be set to 3 or 4 (the value to which it is -c set when step is definitely to be accepted). on input -c after step has been recomputed, iv(irc) should be -c unchanged since the previous return of assst. -c on output, iv(irc) is a return code having one of the -c following values... -c 1 = switch models or try smaller step. -c 2 = switch models or accept step. -c 3 = accept step and determine v(radfac) by gradient -c tests. -c 4 = accept step, v(radfac) has been determined. -c 5 = recompute step (using the same model). -c 6 = recompute step with radius = v(lmaxs) but do not -c evaulate the objective function. -c 7 = x-convergence (see v(xctol)). -c 8 = relative function convergence (see v(rfctol)). -c 9 = both x- and relative function convergence. -c 10 = absolute function convergence (see v(afctol)). -c 11 = singular convergence (see v(lmaxs)). -c 12 = false convergence (see v(xftol)). -c 13 = iv(irc) was out of range on input. -c return code i has precdence over i+1 for i = 9, 10, 11. -c iv(mlstgd) (i/o) saved value of iv(model). -c iv(model) (i/o) on input, iv(model) should be an integer identifying -c the current quadratic model of the objective function. -c if a previous step yielded a better function reduction, -c then iv(model) will be set to iv(mlstgd) on output. -c iv(nfcall) (in) invocation count for the objective function. -c iv(nfgcal) (i/o) value of iv(nfcall) at step that gave the biggest -c function reduction this iteration. iv(nfgcal) remains -c unchanged until a function reduction is obtained. -c iv(radinc) (i/o) the number of radius increases (or minus the number -c of decreases) so far this iteration. -c iv(restor) (out) set to 1 if v(f) has been restored and x should be -c restored to its initial value, to 2 if x should be saved, -c to 3 if x should be restored from the saved value, and to -c 0 otherwise. -c iv(stage) (i/o) count of the number of models tried so far in the -c current iteration. -c iv(stglim) (in) maximum number of models to consider. -c iv(switch) (out) set to 0 unless a new model is being tried and it -c gives a smaller function value than the previous model, -c in which case assst sets iv(switch) = 1. -c iv(toobig) (in) is nonzero if step was too big (e.g. if it caused -c overflow). -c iv(xirc) (i/o) value that iv(irc) would have in the absence of -c convergence, false convergence, and oversized steps. -c -c *** v values referenced *** -c -c v(afctol) (in) absolute function convergence tolerance. if the -c absolute value of the current function value v(f) is less -c than v(afctol), then assst returns with iv(irc) = 10. -c v(decfac) (in) factor by which to decrease radius when iv(toobig) is -c nonzero. -c v(dstnrm) (in) the 2-norm of d*step. -c v(dstsav) (i/o) value of v(dstnrm) on saved step. -c v(dst0) (in) the 2-norm of d times the newton step (when defined, -c i.e., for v(nreduc) .ge. 0). -c v(f) (i/o) on both input and output, v(f) is the objective func- -c tion value at x. if x is restored to a previous value, -c then v(f) is restored to the corresponding value. -c v(fdif) (out) the function reduction v(f0) - v(f) (for the output -c value of v(f) if an earlier step gave a bigger function -c decrease, and for the input value of v(f) otherwise). -c v(flstgd) (i/o) saved value of v(f). -c v(f0) (in) objective function value at start of iteration. -c v(gtslst) (i/o) value of v(gtstep) on saved step. -c v(gtstep) (in) inner product between step and gradient. -c v(incfac) (in) minimum factor by which to increase radius. -c v(lmaxs) (in) maximum reasonable step size (and initial step bound). -c if the actual function decrease is no more than twice -c what was predicted, if a return with iv(irc) = 7, 8, 9, -c or 10 does not occur, if v(dstnrm) .gt. v(lmaxs), and if -c v(preduc) .le. v(sctol) * abs(v(f0)), then assst re- -c turns with iv(irc) = 11. if so doing appears worthwhile, -c then assst repeats this test with v(preduc) computed for -c a step of length v(lmaxs) (by a return with iv(irc) = 6). -c v(nreduc) (i/o) function reduction predicted by quadratic model for -c newton step. if assst is called with iv(irc) = 6, i.e., -c if v(preduc) has been computed with radius = v(lmaxs) for -c use in the singular convervence test, then v(nreduc) is -c set to -v(preduc) before the latter is restored. -c v(plstgd) (i/o) value of v(preduc) on saved step. -c v(preduc) (i/o) function reduction predicted by quadratic model for -c current step. -c v(radfac) (out) factor to be used in determining the new radius, -c which should be v(radfac)*dst, where dst is either the -c output value of v(dstnrm) or the 2-norm of -c diag(newd)*step for the output value of step and the -c updated version, newd, of the scale vector d. for -c iv(irc) = 3, v(radfac) = 1.0 is returned. -c v(rdfcmn) (in) minimum value for v(radfac) in terms of the input -c value of v(dstnrm) -- suggested value = 0.1. -c v(rdfcmx) (in) maximum value for v(radfac) -- suggested value = 4.0. -c v(reldx) (in) scaled relative change in x caused by step, computed -c (e.g.) by function reldst as -c max (d(i)*abs(x(i)-x0(i)), 1 .le. i .le. p) / -c max (d(i)*(abs(x(i))+abs(x0(i))), 1 .le. i .le. p). -c v(rfctol) (in) relative function convergence tolerance. if the -c actual function reduction is at most twice what was pre- -c dicted and v(nreduc) .le. v(rfctol)*abs(v(f0)), then -c assst returns with iv(irc) = 8 or 9. -c v(stppar) (in) marquardt parameter -- 0 means full newton step. -c v(tuner1) (in) tuning constant used to decide if the function -c reduction was much less than expected. suggested -c value = 0.1. -c v(tuner2) (in) tuning constant used to decide if the function -c reduction was large enough to accept step. suggested -c value = 10**-4. -c v(tuner3) (in) tuning constant used to decide if the radius -c should be increased. suggested value = 0.75. -c v(xctol) (in) x-convergence criterion. if step is a newton step -c (v(stppar) = 0) having v(reldx) .le. v(xctol) and giving -c at most twice the predicted function decrease, then -c assst returns iv(irc) = 7 or 9. -c v(xftol) (in) false convergence tolerance. if step gave no or only -c a small function decrease and v(reldx) .le. v(xftol), -c then assst returns with iv(irc) = 12. -c -c------------------------------- notes ------------------------------- -c -c *** application and usage restrictions *** -c -c this routine is called as part of the nl2sol (nonlinear -c least-squares) package. it may be used in any unconstrained -c minimization solver that uses dogleg, goldfeld-quandt-trotter, -c or levenberg-marquardt steps. -c -c *** algorithm notes *** -c -c see (1) for further discussion of the assessing and model -c switching strategies. while nl2sol considers only two models, -c assst is designed to handle any number of models. -c -c *** usage notes *** -c -c on the first call of an iteration, only the i/o variables -c step, x, iv(irc), iv(model), v(f), v(dstnrm), v(gtstep), and -c v(preduc) need have been initialized. between calls, no i/o -c values execpt step, x, iv(model), v(f) and the stopping toler- -c ances should be changed. -c after a return for convergence or false convergence, one can -c change the stopping tolerances and call assst again, in which -c case the stopping tests will be repeated. -c -c *** references *** -c -c (1) dennis, j.e., jr., gay, d.m., and welsch, r.e. (1981), -c an adaptive nonlinear least-squares algorithm, -c acm trans. math. software, vol. 7, no. 3. -c -c (2) powell, m.j.d. (1970) a fortran subroutine for solving -c systems of nonlinear algebraic equations, in numerical -c methods for nonlinear algebraic equations, edited by -c p. rabinowitz, gordon and breach, london. -c -c *** history *** -c -c john dennis designed much of this routine, starting with -c ideas in (2). roy welsch suggested the model switching strategy. -c david gay and stephen peters cast this subroutine into a more -c portable form (winter 1977), and david gay cast it into its -c present form (fall 1978). -c -c *** general *** -c -c this subroutine was written in connection with research -c supported by the national science foundation under grants -c mcs-7600324, dcr75-10143, 76-14311dss, mcs76-11989, and -c mcs-7906671. -c -c------------------------ external quantities ------------------------ -c -c *** no external functions and subroutines *** -c -c *** intrinsic functions *** -c/+ - double precision dabs, dmax1 -c/ -c *** no common blocks *** -c -c-------------------------- local variables -------------------------- -c - logical goodx - integer i, nfc - double precision emax, emaxs, gts, rfac1, xmax - double precision half, one, onep2, two, zero -c -c *** subscripts for iv and v *** -c - integer afctol, decfac, dstnrm, dstsav, dst0, f, fdif, flstgd, f0, - 1 gtslst, gtstep, incfac, irc, lmaxs, mlstgd, model, nfcall, - 2 nfgcal, nreduc, plstgd, preduc, radfac, radinc, rdfcmn, - 3 rdfcmx, reldx, restor, rfctol, sctol, stage, stglim, - 4 stppar, switch, toobig, tuner1, tuner2, tuner3, xctol, - 5 xftol, xirc -c -c *** data initializations *** -c -c/6 -c data half/0.5d+0/, one/1.d+0/, onep2/1.2d+0/, two/2.d+0/, -c 1 zero/0.d+0/ -c/7 - parameter (half=0.5d+0, one=1.d+0, onep2=1.2d+0, two=2.d+0, - 1 zero=0.d+0) -c/ -c -c/6 -c data irc/29/, mlstgd/32/, model/5/, nfcall/6/, nfgcal/7/, -c 1 radinc/8/, restor/9/, stage/10/, stglim/11/, switch/12/, -c 2 toobig/2/, xirc/13/ -c/7 - parameter (irc=29, mlstgd=32, model=5, nfcall=6, nfgcal=7, - 1 radinc=8, restor=9, stage=10, stglim=11, switch=12, - 2 toobig=2, xirc=13) -c/ -c/6 -c data afctol/31/, decfac/22/, dstnrm/2/, dst0/3/, dstsav/18/, -c 1 f/10/, fdif/11/, flstgd/12/, f0/13/, gtslst/14/, gtstep/4/, -c 2 incfac/23/, lmaxs/36/, nreduc/6/, plstgd/15/, preduc/7/, -c 3 radfac/16/, rdfcmn/24/, rdfcmx/25/, reldx/17/, rfctol/32/, -c 4 sctol/37/, stppar/5/, tuner1/26/, tuner2/27/, tuner3/28/, -c 5 xctol/33/, xftol/34/ -c/7 - parameter (afctol=31, decfac=22, dstnrm=2, dst0=3, dstsav=18, - 1 f=10, fdif=11, flstgd=12, f0=13, gtslst=14, gtstep=4, - 2 incfac=23, lmaxs=36, nreduc=6, plstgd=15, preduc=7, - 3 radfac=16, rdfcmn=24, rdfcmx=25, reldx=17, rfctol=32, - 4 sctol=37, stppar=5, tuner1=26, tuner2=27, tuner3=28, - 5 xctol=33, xftol=34) -c/ -c -c+++++++++++++++++++++++++++++++ body ++++++++++++++++++++++++++++++++ -c - nfc = iv(nfcall) - iv(switch) = 0 - iv(restor) = 0 - rfac1 = one - goodx = .true. - i = iv(irc) - if (i .ge. 1 .and. i .le. 12) - 1 go to (20,30,10,10,40,280,220,220,220,220,220,170), i - iv(irc) = 13 - go to 999 -c -c *** initialize for new iteration *** -c - 10 iv(stage) = 1 - iv(radinc) = 0 - v(flstgd) = v(f0) - if (iv(toobig) .eq. 0) go to 110 - iv(stage) = -1 - iv(xirc) = i - go to 60 -c -c *** step was recomputed with new model or smaller radius *** -c *** first decide which *** -c - 20 if (iv(model) .ne. iv(mlstgd)) go to 30 -c *** old model retained, smaller radius tried *** -c *** do not consider any more new models this iteration *** - iv(stage) = iv(stglim) - iv(radinc) = -1 - go to 110 -c -c *** a new model is being tried. decide whether to keep it. *** -c - 30 iv(stage) = iv(stage) + 1 -c -c *** now we add the possibiltiy that step was recomputed with *** -c *** the same model, perhaps because of an oversized step. *** -c - 40 if (iv(stage) .gt. 0) go to 50 -c -c *** step was recomputed because it was too big. *** -c - if (iv(toobig) .ne. 0) go to 60 -c -c *** restore iv(stage) and pick up where we left off. *** -c - iv(stage) = -iv(stage) - i = iv(xirc) - go to (20, 30, 110, 110, 70), i -c - 50 if (iv(toobig) .eq. 0) go to 70 -c -c *** handle oversize step *** -c - if (iv(radinc) .gt. 0) go to 80 - iv(stage) = -iv(stage) - iv(xirc) = iv(irc) -c - 60 v(radfac) = v(decfac) - iv(radinc) = iv(radinc) - 1 - iv(irc) = 5 - iv(restor) = 1 - go to 999 -c - 70 if (v(f) .lt. v(flstgd)) go to 110 -c -c *** the new step is a loser. restore old model. *** -c - if (iv(model) .eq. iv(mlstgd)) go to 80 - iv(model) = iv(mlstgd) - iv(switch) = 1 -c -c *** restore step, etc. only if a previous step decreased v(f). -c - 80 if (v(flstgd) .ge. v(f0)) go to 110 - iv(restor) = 1 - v(f) = v(flstgd) - v(preduc) = v(plstgd) - v(gtstep) = v(gtslst) - if (iv(switch) .eq. 0) rfac1 = v(dstnrm) / v(dstsav) - v(dstnrm) = v(dstsav) - nfc = iv(nfgcal) - goodx = .false. -c - 110 v(fdif) = v(f0) - v(f) - if (v(fdif) .gt. v(tuner2) * v(preduc)) go to 140 - if(iv(radinc).gt.0) go to 140 -c -c *** no (or only a trivial) function decrease -c *** -- so try new model or smaller radius -c - if (v(f) .lt. v(f0)) go to 120 - iv(mlstgd) = iv(model) - v(flstgd) = v(f) - v(f) = v(f0) - iv(restor) = 1 - go to 130 - 120 iv(nfgcal) = nfc - 130 iv(irc) = 1 - if (iv(stage) .lt. iv(stglim)) go to 160 - iv(irc) = 5 - iv(radinc) = iv(radinc) - 1 - go to 160 -c -c *** nontrivial function decrease achieved *** -c - 140 iv(nfgcal) = nfc - rfac1 = one - v(dstsav) = v(dstnrm) - if (v(fdif) .gt. v(preduc)*v(tuner1)) go to 190 -c -c *** decrease was much less than predicted -- either change models -c *** or accept step with decreased radius. -c - if (iv(stage) .ge. iv(stglim)) go to 150 -c *** consider switching models *** - iv(irc) = 2 - go to 160 -c -c *** accept step with decreased radius *** -c - 150 iv(irc) = 4 -c -c *** set v(radfac) to fletcher*s decrease factor *** -c - 160 iv(xirc) = iv(irc) - emax = v(gtstep) + v(fdif) - v(radfac) = half * rfac1 - if (emax .lt. v(gtstep)) v(radfac) = rfac1 * dmax1(v(rdfcmn), - 1 half * v(gtstep)/emax) -c -c *** do false convergence test *** -c - 170 if (v(reldx) .le. v(xftol)) go to 180 - iv(irc) = iv(xirc) - if (v(f) .lt. v(f0)) go to 200 - go to 230 -c - 180 iv(irc) = 12 - go to 240 -c -c *** handle good function decrease *** -c - 190 if (v(fdif) .lt. (-v(tuner3) * v(gtstep))) go to 210 -c -c *** increasing radius looks worthwhile. see if we just -c *** recomputed step with a decreased radius or restored step -c *** after recomputing it with a larger radius. -c - if (iv(radinc) .lt. 0) go to 210 - if (iv(restor) .eq. 1) go to 210 -c -c *** we did not. try a longer step unless this was a newton -c *** step. -c - v(radfac) = v(rdfcmx) - gts = v(gtstep) - if (v(fdif) .lt. (half/v(radfac) - one) * gts) - 1 v(radfac) = dmax1(v(incfac), half*gts/(gts + v(fdif))) - iv(irc) = 4 - if (v(stppar) .eq. zero) go to 230 - if (v(dst0) .ge. zero .and. (v(dst0) .lt. two*v(dstnrm) - 1 .or. v(nreduc) .lt. onep2*v(fdif))) go to 230 -c *** step was not a newton step. recompute it with -c *** a larger radius. - iv(irc) = 5 - iv(radinc) = iv(radinc) + 1 -c -c *** save values corresponding to good step *** -c - 200 v(flstgd) = v(f) - iv(mlstgd) = iv(model) - if (iv(restor) .ne. 1) iv(restor) = 2 - v(dstsav) = v(dstnrm) - iv(nfgcal) = nfc - v(plstgd) = v(preduc) - v(gtslst) = v(gtstep) - go to 230 -c -c *** accept step with radius unchanged *** -c - 210 v(radfac) = one - iv(irc) = 3 - go to 230 -c -c *** come here for a restart after convergence *** -c - 220 iv(irc) = iv(xirc) - if (v(dstsav) .ge. zero) go to 240 - iv(irc) = 12 - go to 240 -c -c *** perform convergence tests *** -c - 230 iv(xirc) = iv(irc) - 240 if (iv(restor) .eq. 1 .and. v(flstgd) .lt. v(f0)) iv(restor) = 3 - if (half * v(fdif) .gt. v(preduc)) go to 999 - emax = v(rfctol) * dabs(v(f0)) - emaxs = v(sctol) * dabs(v(f0)) - if (v(dstnrm) .gt. v(lmaxs) .and. v(preduc) .le. emaxs) - 1 iv(irc) = 11 - if (v(dst0) .lt. zero) go to 250 - i = 0 - if ((v(nreduc) .gt. zero .and. v(nreduc) .le. emax) .or. - 1 (v(nreduc) .eq. zero. and. v(preduc) .eq. zero)) i = 2 - if (v(stppar) .eq. zero .and. v(reldx) .le. v(xctol) - 1 .and. goodx) i = i + 1 - if (i .gt. 0) iv(irc) = i + 6 -c -c *** consider recomputing step of length v(lmaxs) for singular -c *** convergence test. -c - 250 if (iv(irc) .gt. 5 .and. iv(irc) .ne. 12) go to 999 - if (v(dstnrm) .gt. v(lmaxs)) go to 260 - if (v(preduc) .ge. emaxs) go to 999 - if (v(dst0) .le. zero) go to 270 - if (half * v(dst0) .le. v(lmaxs)) go to 999 - go to 270 - 260 if (half * v(dstnrm) .le. v(lmaxs)) go to 999 - xmax = v(lmaxs) / v(dstnrm) - if (xmax * (two - xmax) * v(preduc) .ge. emaxs) go to 999 - 270 if (v(nreduc) .lt. zero) go to 290 -c -c *** recompute v(preduc) for use in singular convergence test *** -c - v(gtslst) = v(gtstep) - v(dstsav) = v(dstnrm) - if (iv(irc) .eq. 12) v(dstsav) = -v(dstsav) - v(plstgd) = v(preduc) - i = iv(restor) - iv(restor) = 2 - if (i .eq. 3) iv(restor) = 0 - iv(irc) = 6 - go to 999 -c -c *** perform singular convergence test with recomputed v(preduc) *** -c - 280 v(gtstep) = v(gtslst) - v(dstnrm) = dabs(v(dstsav)) - iv(irc) = iv(xirc) - if (v(dstsav) .le. zero) iv(irc) = 12 - v(nreduc) = -v(preduc) - v(preduc) = v(plstgd) - iv(restor) = 3 - 290 if (-v(nreduc) .le. v(sctol) * dabs(v(f0))) iv(irc) = 11 -c - 999 return -c -c *** last card of assst follows *** - end - subroutine deflt(alg, iv, liv, lv, v) -c -c *** supply ***sol (version 2.3) default values to iv and v *** -c -c *** alg = 1 means regression constants. -c *** alg = 2 means general unconstrained optimization constants. -c - integer liv, l - integer alg, iv(liv) - double precision v(lv) -c - external imdcon, vdflt - integer imdcon -c imdcon... returns machine-dependent integer constants. -c vdflt.... provides default values to v. -c - integer miv, m - integer miniv(2), minv(2) -c -c *** subscripts for iv *** -c - integer algsav, covprt, covreq, dtype, hc, ierr, inith, inits, - 1 ipivot, ivneed, lastiv, lastv, lmat, mxfcal, mxiter, - 2 nfcov, ngcov, nvdflt, outlev, parprt, parsav, perm, - 3 prunit, qrtyp, rdreq, rmat, solprt, statpr, vneed, - 4 vsave, x0prt -c -c *** iv subscript values *** -c -c/6 -c data algsav/51/, covprt/14/, covreq/15/, dtype/16/, hc/71/, -c 1 ierr/75/, inith/25/, inits/25/, ipivot/76/, ivneed/3/, -c 2 lastiv/44/, lastv/45/, lmat/42/, mxfcal/17/, mxiter/18/, -c 3 nfcov/52/, ngcov/53/, nvdflt/50/, outlev/19/, parprt/20/, -c 4 parsav/49/, perm/58/, prunit/21/, qrtyp/80/, rdreq/57/, -c 5 rmat/78/, solprt/22/, statpr/23/, vneed/4/, vsave/60/, -c 6 x0prt/24/ -c/7 - parameter (algsav=51, covprt=14, covreq=15, dtype=16, hc=71, - 1 ierr=75, inith=25, inits=25, ipivot=76, ivneed=3, - 2 lastiv=44, lastv=45, lmat=42, mxfcal=17, mxiter=18, - 3 nfcov=52, ngcov=53, nvdflt=50, outlev=19, parprt=20, - 4 parsav=49, perm=58, prunit=21, qrtyp=80, rdreq=57, - 5 rmat=78, solprt=22, statpr=23, vneed=4, vsave=60, - 6 x0prt=24) -c/ - data miniv(1)/80/, miniv(2)/59/, minv(1)/98/, minv(2)/71/ -c -c------------------------------- body -------------------------------- -c - if (alg .lt. 1 .or. alg .gt. 2) go to 40 - miv = miniv(alg) - if (liv .lt. miv) go to 20 - mv = minv(alg) - if (lv .lt. mv) go to 30 - call vdflt(alg, lv, v) - iv(1) = 12 - iv(algsav) = alg - iv(ivneed) = 0 - iv(lastiv) = miv - iv(lastv) = mv - iv(lmat) = mv + 1 - iv(mxfcal) = 200 - iv(mxiter) = 150 - iv(outlev) = 1 - iv(parprt) = 1 - iv(perm) = miv + 1 - iv(prunit) = imdcon(1) - iv(solprt) = 1 - iv(statpr) = 1 - iv(vneed) = 0 - iv(x0prt) = 1 -c - if (alg .ge. 2) go to 10 -c -c *** regression values -c - iv(covprt) = 3 - iv(covreq) = 1 - iv(dtype) = 1 - iv(hc) = 0 - iv(ierr) = 0 - iv(inits) = 0 - iv(ipivot) = 0 - iv(nvdflt) = 32 - iv(parsav) = 67 - iv(qrtyp) = 1 - iv(rdreq) = 3 - iv(rmat) = 0 - iv(vsave) = 58 - go to 999 -c -c *** general optimization values -c - 10 iv(dtype) = 0 - iv(inith) = 1 - iv(nfcov) = 0 - iv(ngcov) = 0 - iv(nvdflt) = 25 - iv(parsav) = 47 - go to 999 -c - 20 iv(1) = 15 - go to 999 -c - 30 iv(1) = 16 - go to 999 -c - 40 iv(1) = 67 -c - 999 return -c *** last card of deflt follows *** - end - double precision function dotprd(p, x, y) -c -c *** return the inner product of the p-vectors x and y. *** -c - integer p - double precision x(p), y(p) -c - integer i - double precision one, sqteta, t, zero -c/+ - double precision dmax1, dabs -c/ - external rmdcon - double precision rmdcon -c -c *** rmdcon(2) returns a machine-dependent constant, sqteta, which -c *** is slightly larger than the smallest positive number that -c *** can be squared without underflowing. -c -c/6 -c data one/1.d+0/, sqteta/0.d+0/, zero/0.d+0/ -c/7 - parameter (one=1.d+0, zero=0.d+0) - data sqteta/0.d+0/ -c/ -c - dotprd = zero - if (p .le. 0) go to 999 -crc if (sqteta .eq. zero) sqteta = rmdcon(2) - do 20 i = 1, p -crc t = dmax1(dabs(x(i)), dabs(y(i))) -crc if (t .gt. one) go to 10 -crc if (t .lt. sqteta) go to 20 -crc t = (x(i)/sqteta)*y(i) -crc if (dabs(t) .lt. sqteta) go to 20 - 10 dotprd = dotprd + x(i)*y(i) - 20 continue -c - 999 return -c *** last card of dotprd follows *** - end - subroutine itsum(d, g, iv, liv, lv, p, v, x) -c -c *** print iteration summary for ***sol (version 2.3) *** -c -c *** parameter declarations *** -c - integer liv, lv, p - integer iv(liv) - double precision d(p), g(p), v(lv), x(p) -c -c+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -c -c *** local variables *** -c - integer alg, i, iv1, m, nf, ng, ol, pu -c/6 -c real model1(6), model2(6) -c/7 - character*4 model1(6), model2(6) -c/ - double precision nreldf, oldf, preldf, reldf, zero -c -c *** intrinsic functions *** -c/+ - integer iabs - double precision dabs, dmax1 -c/ -c *** no external functions or subroutines *** -c -c *** subscripts for iv and v *** -c - integer algsav, dstnrm, f, fdif, f0, needhd, nfcall, nfcov, ngcov, - 1 ngcall, niter, nreduc, outlev, preduc, prntit, prunit, - 2 reldx, solprt, statpr, stppar, sused, x0prt -c -c *** iv subscript values *** -c -c/6 -c data algsav/51/, needhd/36/, nfcall/6/, nfcov/52/, ngcall/30/, -c 1 ngcov/53/, niter/31/, outlev/19/, prntit/39/, prunit/21/, -c 2 solprt/22/, statpr/23/, sused/64/, x0prt/24/ -c/7 - parameter (algsav=51, needhd=36, nfcall=6, nfcov=52, ngcall=30, - 1 ngcov=53, niter=31, outlev=19, prntit=39, prunit=21, - 2 solprt=22, statpr=23, sused=64, x0prt=24) -c/ -c -c *** v subscript values *** -c -c/6 -c data dstnrm/2/, f/10/, f0/13/, fdif/11/, nreduc/6/, preduc/7/, -c 1 reldx/17/, stppar/5/ -c/7 - parameter (dstnrm=2, f=10, f0=13, fdif=11, nreduc=6, preduc=7, - 1 reldx=17, stppar=5) -c/ -c -c/6 -c data zero/0.d+0/ -c/7 - parameter (zero=0.d+0) -c/ -c/6 -c data model1(1)/4h /, model1(2)/4h /, model1(3)/4h /, -c 1 model1(4)/4h /, model1(5)/4h g /, model1(6)/4h s /, -c 2 model2(1)/4h g /, model2(2)/4h s /, model2(3)/4hg-s /, -c 3 model2(4)/4hs-g /, model2(5)/4h-s-g/, model2(6)/4h-g-s/ -c/7 - data model1/' ',' ',' ',' ',' g ',' s '/, - 1 model2/' g ',' s ','g-s ','s-g ','-s-g','-g-s'/ -c/ -c -c------------------------------- body -------------------------------- -c - pu = iv(prunit) - if (pu .eq. 0) go to 999 - iv1 = iv(1) - if (iv1 .gt. 62) iv1 = iv1 - 51 - ol = iv(outlev) - alg = iv(algsav) - if (iv1 .lt. 2 .or. iv1 .gt. 15) go to 370 - if (iv1 .ge. 12) go to 120 - if (iv1 .eq. 2 .and. iv(niter) .eq. 0) go to 390 - if (ol .eq. 0) go to 120 - if (iv1 .ge. 10 .and. iv(prntit) .eq. 0) go to 120 - if (iv1 .gt. 2) go to 10 - iv(prntit) = iv(prntit) + 1 - if (iv(prntit) .lt. iabs(ol)) go to 999 - 10 nf = iv(nfcall) - iabs(iv(nfcov)) - iv(prntit) = 0 - reldf = zero - preldf = zero - oldf = dmax1(dabs(v(f0)), dabs(v(f))) - if (oldf .le. zero) go to 20 - reldf = v(fdif) / oldf - preldf = v(preduc) / oldf - 20 if (ol .gt. 0) go to 60 -c -c *** print short summary line *** -c - if (iv(needhd) .eq. 1 .and. alg .eq. 1) write(pu,30) - 30 format(/10h it nf,6x,1hf,7x,5hreldf,3x,6hpreldf,3x,5hreldx, - 1 2x,13hmodel stppar) - if (iv(needhd) .eq. 1 .and. alg .eq. 2) write(pu,40) - 40 format(/11h it nf,7x,1hf,8x,5hreldf,4x,6hpreldf,4x,5hreldx, - 1 3x,6hstppar) - iv(needhd) = 0 - if (alg .eq. 2) go to 50 - m = iv(sused) - write(pu,100) iv(niter), nf, v(f), reldf, preldf, v(reldx), - 1 model1(m), model2(m), v(stppar) - go to 120 -c - 50 write(pu,110) iv(niter), nf, v(f), reldf, preldf, v(reldx), - 1 v(stppar) - go to 120 -c -c *** print long summary line *** -c - 60 if (iv(needhd) .eq. 1 .and. alg .eq. 1) write(pu,70) - 70 format(/11h it nf,6x,1hf,7x,5hreldf,3x,6hpreldf,3x,5hreldx, - 1 2x,13hmodel stppar,2x,6hd*step,2x,7hnpreldf) - if (iv(needhd) .eq. 1 .and. alg .eq. 2) write(pu,80) - 80 format(/11h it nf,7x,1hf,8x,5hreldf,4x,6hpreldf,4x,5hreldx, - 1 3x,6hstppar,3x,6hd*step,3x,7hnpreldf) - iv(needhd) = 0 - nreldf = zero - if (oldf .gt. zero) nreldf = v(nreduc) / oldf - if (alg .eq. 2) go to 90 - m = iv(sused) - write(pu,100) iv(niter), nf, v(f), reldf, preldf, v(reldx), - 1 model1(m), model2(m), v(stppar), v(dstnrm), nreldf - go to 120 -c - 90 write(pu,110) iv(niter), nf, v(f), reldf, preldf, - 1 v(reldx), v(stppar), v(dstnrm), nreldf - 100 format(i6,i5,d10.3,2d9.2,d8.1,a3,a4,2d8.1,d9.2) - 110 format(i6,i5,d11.3,2d10.2,3d9.1,d10.2) -c - 120 if (iv(statpr) .lt. 0) go to 430 - go to (999, 999, 130, 150, 170, 190, 210, 230, 250, 270, 290, 310, - 1 330, 350, 520), iv1 -c - 130 write(pu,140) - 140 format(/26h ***** x-convergence *****) - go to 430 -c - 150 write(pu,160) - 160 format(/42h ***** relative function convergence *****) - go to 430 -c - 170 write(pu,180) - 180 format(/49h ***** x- and relative function convergence *****) - go to 430 -c - 190 write(pu,200) - 200 format(/42h ***** absolute function convergence *****) - go to 430 -c - 210 write(pu,220) - 220 format(/33h ***** singular convergence *****) - go to 430 -c - 230 write(pu,240) - 240 format(/30h ***** false convergence *****) - go to 430 -c - 250 write(pu,260) - 260 format(/38h ***** function evaluation limit *****) - go to 430 -c - 270 write(pu,280) - 280 format(/28h ***** iteration limit *****) - go to 430 -c - 290 write(pu,300) - 300 format(/18h ***** stopx *****) - go to 430 -c - 310 write(pu,320) - 320 format(/44h ***** initial f(x) cannot be computed *****) -c - go to 390 -c - 330 write(pu,340) - 340 format(/37h ***** bad parameters to assess *****) - go to 999 -c - 350 write(pu,360) - 360 format(/43h ***** gradient could not be computed *****) - if (iv(niter) .gt. 0) go to 480 - go to 390 -c - 370 write(pu,380) iv(1) - 380 format(/14h ***** iv(1) =,i5,6h *****) - go to 999 -c -c *** initial call on itsum *** -c - 390 if (iv(x0prt) .ne. 0) write(pu,400) (i, x(i), d(i), i = 1, p) - 400 format(/23h i initial x(i),8x,4hd(i)//(1x,i5,d17.6,d14.3)) -c *** the following are to avoid undefined variables when the -c *** function evaluation limit is 1... - v(dstnrm) = zero - v(fdif) = zero - v(nreduc) = zero - v(preduc) = zero - v(reldx) = zero - if (iv1 .ge. 12) go to 999 - iv(needhd) = 0 - iv(prntit) = 0 - if (ol .eq. 0) go to 999 - if (ol .lt. 0 .and. alg .eq. 1) write(pu,30) - if (ol .lt. 0 .and. alg .eq. 2) write(pu,40) - if (ol .gt. 0 .and. alg .eq. 1) write(pu,70) - if (ol .gt. 0 .and. alg .eq. 2) write(pu,80) - if (alg .eq. 1) write(pu,410) v(f) - if (alg .eq. 2) write(pu,420) v(f) - 410 format(/11h 0 1,d10.3) -c365 format(/11h 0 1,e11.3) - 420 format(/11h 0 1,d11.3) - go to 999 -c -c *** print various information requested on solution *** -c - 430 iv(needhd) = 1 - if (iv(statpr) .eq. 0) go to 480 - oldf = dmax1(dabs(v(f0)), dabs(v(f))) - preldf = zero - nreldf = zero - if (oldf .le. zero) go to 440 - preldf = v(preduc) / oldf - nreldf = v(nreduc) / oldf - 440 nf = iv(nfcall) - iv(nfcov) - ng = iv(ngcall) - iv(ngcov) - write(pu,450) v(f), v(reldx), nf, ng, preldf, nreldf - 450 format(/9h function,d17.6,8h reldx,d17.3/12h func. evals, - 1 i8,9x,11hgrad. evals,i8/7h preldf,d16.3,6x,7hnpreldf,d15.3) -c - if (iv(nfcov) .gt. 0) write(pu,460) iv(nfcov) - 460 format(/1x,i4,50h extra func. evals for covariance and diagnost - 1ics.) - if (iv(ngcov) .gt. 0) write(pu,470) iv(ngcov) - 470 format(1x,i4,50h extra grad. evals for covariance and diagnosti - 1cs.) -c - 480 if (iv(solprt) .eq. 0) go to 999 - iv(needhd) = 1 - write(pu,490) - 490 format(/22h i final x(i),8x,4hd(i),10x,4hg(i)/) - do 500 i = 1, p - write(pu,510) i, x(i), d(i), g(i) - 500 continue - 510 format(1x,i5,d16.6,2d14.3) - go to 999 -c - 520 write(pu,530) - 530 format(/24h inconsistent dimensions) - 999 return -c *** last card of itsum follows *** - end - subroutine litvmu(n, x, l, y) -c -c *** solve (l**t)*x = y, where l is an n x n lower triangular -c *** matrix stored compactly by rows. x and y may occupy the same -c *** storage. *** -c - integer n -cal double precision x(n), l(1), y(n) - double precision x(n), l(n*(n+1)/2), y(n) - integer i, ii, ij, im1, i0, j, np1 - double precision xi, zero -c/6 -c data zero/0.d+0/ -c/7 - parameter (zero=0.d+0) -c/ -c - do 10 i = 1, n - 10 x(i) = y(i) - np1 = n + 1 - i0 = n*(n+1)/2 - do 30 ii = 1, n - i = np1 - ii - xi = x(i)/l(i0) - x(i) = xi - if (i .le. 1) go to 999 - i0 = i0 - i - if (xi .eq. zero) go to 30 - im1 = i - 1 - do 20 j = 1, im1 - ij = i0 + j - x(j) = x(j) - xi*l(ij) - 20 continue - 30 continue - 999 return -c *** last card of litvmu follows *** - end - subroutine livmul(n, x, l, y) -c -c *** solve l*x = y, where l is an n x n lower triangular -c *** matrix stored compactly by rows. x and y may occupy the same -c *** storage. *** -c - integer n -cal double precision x(n), l(1), y(n) - double precision x(n), l(n*(n+1)/2), y(n) - external dotprd - double precision dotprd - integer i, j, k - double precision t, zero -c/6 -c data zero/0.d+0/ -c/7 - parameter (zero=0.d+0) -c/ -c - do 10 k = 1, n - if (y(k) .ne. zero) go to 20 - x(k) = zero - 10 continue - go to 999 - 20 j = k*(k+1)/2 - x(k) = y(k) / l(j) - if (k .ge. n) go to 999 - k = k + 1 - do 30 i = k, n - t = dotprd(i-1, l(j+1), x) - j = j + i - x(i) = (y(i) - t)/l(j) - 30 continue - 999 return -c *** last card of livmul follows *** - end - subroutine parck(alg, d, iv, liv, lv, n, v) -c -c *** check ***sol (version 2.3) parameters, print changed values *** -c -c *** alg = 1 for regression, alg = 2 for general unconstrained opt. -c - integer alg, liv, lv, n - integer iv(liv) - double precision d(n), v(lv) -c - external rmdcon, vcopy, vdflt - double precision rmdcon -c rmdcon -- returns machine-dependent constants. -c vcopy -- copies one vector to another. -c vdflt -- supplies default parameter values to v alone. -c/+ - integer max0 -c/ -c -c *** local variables *** -c - integer i, ii, iv1, j, k, l, m, miv1, miv2, ndfalt, parsv1, pu - integer ijmp, jlim(2), miniv(2), ndflt(2) -c/6 -c integer varnm(2), sh(2) -c real cngd(3), dflt(3), vn(2,34), which(3) -c/7 - character*1 varnm(2), sh(2) - character*4 cngd(3), dflt(3), vn(2,34), which(3) -c/ - double precision big, machep, tiny, vk, vm(34), vx(34), zero -c -c *** iv and v subscripts *** -c - integer algsav, dinit, dtype, dtype0, epslon, inits, ivneed, - 1 lastiv, lastv, lmat, nextiv, nextv, nvdflt, oldn, - 2 parprt, parsav, perm, prunit, vneed -c -c -c/6 -c data algsav/51/, dinit/38/, dtype/16/, dtype0/54/, epslon/19/, -c 1 inits/25/, ivneed/3/, lastiv/44/, lastv/45/, lmat/42/, -c 2 nextiv/46/, nextv/47/, nvdflt/50/, oldn/38/, parprt/20/, -c 3 parsav/49/, perm/58/, prunit/21/, vneed/4/ -c/7 - parameter (algsav=51, dinit=38, dtype=16, dtype0=54, epslon=19, - 1 inits=25, ivneed=3, lastiv=44, lastv=45, lmat=42, - 2 nextiv=46, nextv=47, nvdflt=50, oldn=38, parprt=20, - 3 parsav=49, perm=58, prunit=21, vneed=4) - save big, machep, tiny -c/ -c - data big/0.d+0/, machep/-1.d+0/, tiny/1.d+0/, zero/0.d+0/ -c/6 -c data vn(1,1),vn(2,1)/4hepsl,4hon../ -c data vn(1,2),vn(2,2)/4hphmn,4hfc../ -c data vn(1,3),vn(2,3)/4hphmx,4hfc../ -c data vn(1,4),vn(2,4)/4hdecf,4hac../ -c data vn(1,5),vn(2,5)/4hincf,4hac../ -c data vn(1,6),vn(2,6)/4hrdfc,4hmn../ -c data vn(1,7),vn(2,7)/4hrdfc,4hmx../ -c data vn(1,8),vn(2,8)/4htune,4hr1../ -c data vn(1,9),vn(2,9)/4htune,4hr2../ -c data vn(1,10),vn(2,10)/4htune,4hr3../ -c data vn(1,11),vn(2,11)/4htune,4hr4../ -c data vn(1,12),vn(2,12)/4htune,4hr5../ -c data vn(1,13),vn(2,13)/4hafct,4hol../ -c data vn(1,14),vn(2,14)/4hrfct,4hol../ -c data vn(1,15),vn(2,15)/4hxcto,4hl.../ -c data vn(1,16),vn(2,16)/4hxfto,4hl.../ -c data vn(1,17),vn(2,17)/4hlmax,4h0.../ -c data vn(1,18),vn(2,18)/4hlmax,4hs.../ -c data vn(1,19),vn(2,19)/4hscto,4hl.../ -c data vn(1,20),vn(2,20)/4hdini,4ht.../ -c data vn(1,21),vn(2,21)/4hdtin,4hit../ -c data vn(1,22),vn(2,22)/4hd0in,4hit../ -c data vn(1,23),vn(2,23)/4hdfac,4h..../ -c data vn(1,24),vn(2,24)/4hdltf,4hdc../ -c data vn(1,25),vn(2,25)/4hdltf,4hdj../ -c data vn(1,26),vn(2,26)/4hdelt,4ha0../ -c data vn(1,27),vn(2,27)/4hfuzz,4h..../ -c data vn(1,28),vn(2,28)/4hrlim,4hit../ -c data vn(1,29),vn(2,29)/4hcosm,4hin../ -c data vn(1,30),vn(2,30)/4hhube,4hrc../ -c data vn(1,31),vn(2,31)/4hrspt,4hol../ -c data vn(1,32),vn(2,32)/4hsigm,4hin../ -c data vn(1,33),vn(2,33)/4heta0,4h..../ -c data vn(1,34),vn(2,34)/4hbias,4h..../ -c/7 - data vn(1,1),vn(2,1)/'epsl','on..'/ - data vn(1,2),vn(2,2)/'phmn','fc..'/ - data vn(1,3),vn(2,3)/'phmx','fc..'/ - data vn(1,4),vn(2,4)/'decf','ac..'/ - data vn(1,5),vn(2,5)/'incf','ac..'/ - data vn(1,6),vn(2,6)/'rdfc','mn..'/ - data vn(1,7),vn(2,7)/'rdfc','mx..'/ - data vn(1,8),vn(2,8)/'tune','r1..'/ - data vn(1,9),vn(2,9)/'tune','r2..'/ - data vn(1,10),vn(2,10)/'tune','r3..'/ - data vn(1,11),vn(2,11)/'tune','r4..'/ - data vn(1,12),vn(2,12)/'tune','r5..'/ - data vn(1,13),vn(2,13)/'afct','ol..'/ - data vn(1,14),vn(2,14)/'rfct','ol..'/ - data vn(1,15),vn(2,15)/'xcto','l...'/ - data vn(1,16),vn(2,16)/'xfto','l...'/ - data vn(1,17),vn(2,17)/'lmax','0...'/ - data vn(1,18),vn(2,18)/'lmax','s...'/ - data vn(1,19),vn(2,19)/'scto','l...'/ - data vn(1,20),vn(2,20)/'dini','t...'/ - data vn(1,21),vn(2,21)/'dtin','it..'/ - data vn(1,22),vn(2,22)/'d0in','it..'/ - data vn(1,23),vn(2,23)/'dfac','....'/ - data vn(1,24),vn(2,24)/'dltf','dc..'/ - data vn(1,25),vn(2,25)/'dltf','dj..'/ - data vn(1,26),vn(2,26)/'delt','a0..'/ - data vn(1,27),vn(2,27)/'fuzz','....'/ - data vn(1,28),vn(2,28)/'rlim','it..'/ - data vn(1,29),vn(2,29)/'cosm','in..'/ - data vn(1,30),vn(2,30)/'hube','rc..'/ - data vn(1,31),vn(2,31)/'rspt','ol..'/ - data vn(1,32),vn(2,32)/'sigm','in..'/ - data vn(1,33),vn(2,33)/'eta0','....'/ - data vn(1,34),vn(2,34)/'bias','....'/ -c/ -c - data vm(1)/1.0d-3/, vm(2)/-0.99d+0/, vm(3)/1.0d-3/, vm(4)/1.0d-2/, - 1 vm(5)/1.2d+0/, vm(6)/1.d-2/, vm(7)/1.2d+0/, vm(8)/0.d+0/, - 2 vm(9)/0.d+0/, vm(10)/1.d-3/, vm(11)/-1.d+0/, vm(13)/0.d+0/, - 3 vm(15)/0.d+0/, vm(16)/0.d+0/, vm(19)/0.d+0/, vm(20)/-10.d+0/, - 4 vm(21)/0.d+0/, vm(22)/0.d+0/, vm(23)/0.d+0/, vm(27)/1.01d+0/, - 5 vm(28)/1.d+10/, vm(30)/0.d+0/, vm(31)/0.d+0/, vm(32)/0.d+0/, - 6 vm(34)/0.d+0/ - data vx(1)/0.9d+0/, vx(2)/-1.d-3/, vx(3)/1.d+1/, vx(4)/0.8d+0/, - 1 vx(5)/1.d+2/, vx(6)/0.8d+0/, vx(7)/1.d+2/, vx(8)/0.5d+0/, - 2 vx(9)/0.5d+0/, vx(10)/1.d+0/, vx(11)/1.d+0/, vx(14)/0.1d+0/, - 3 vx(15)/1.d+0/, vx(16)/1.d+0/, vx(19)/1.d+0/, vx(23)/1.d+0/, - 4 vx(24)/1.d+0/, vx(25)/1.d+0/, vx(26)/1.d+0/, vx(27)/1.d+10/, - 5 vx(29)/1.d+0/, vx(31)/1.d+0/, vx(32)/1.d+0/, vx(33)/1.d+0/, - 6 vx(34)/1.d+0/ -c -c/6 -c data varnm(1)/1hp/, varnm(2)/1hn/, sh(1)/1hs/, sh(2)/1hh/ -c data cngd(1),cngd(2),cngd(3)/4h---c,4hhang,4hed v/, -c 1 dflt(1),dflt(2),dflt(3)/4hnond,4hefau,4hlt v/ -c/7 - data varnm(1)/'p'/, varnm(2)/'n'/, sh(1)/'s'/, sh(2)/'h'/ - data cngd(1),cngd(2),cngd(3)/'---c','hang','ed v'/, - 1 dflt(1),dflt(2),dflt(3)/'nond','efau','lt v'/ -c/ - data ijmp/33/, jlim(1)/0/, jlim(2)/24/, ndflt(1)/32/, ndflt(2)/25/ - data miniv(1)/80/, miniv(2)/59/ -c -c............................... body ................................ -c - pu = 0 - if (prunit .le. liv) pu = iv(prunit) - if (alg .lt. 1 .or. alg .gt. 2) go to 340 - if (iv(1) .eq. 0) call deflt(alg, iv, liv, lv, v) - iv1 = iv(1) - if (iv1 .ne. 13 .and. iv1 .ne. 12) go to 10 - miv1 = miniv(alg) - if (perm .le. liv) miv1 = max0(miv1, iv(perm) - 1) - if (ivneed .le. liv) miv2 = miv1 + max0(iv(ivneed), 0) - if (lastiv .le. liv) iv(lastiv) = miv2 - if (liv .lt. miv1) go to 300 - iv(ivneed) = 0 - iv(lastv) = max0(iv(vneed), 0) + iv(lmat) - 1 - iv(vneed) = 0 - if (liv .lt. miv2) go to 300 - if (lv .lt. iv(lastv)) go to 320 - 10 if (alg .eq. iv(algsav)) go to 30 - if (pu .ne. 0) write(pu,20) alg, iv(algsav) - 20 format(/39h the first parameter to deflt should be,i3, - 1 12h rather than,i3) - iv(1) = 82 - go to 999 - 30 if (iv1 .lt. 12 .or. iv1 .gt. 14) go to 60 - if (n .ge. 1) go to 50 - iv(1) = 81 - if (pu .eq. 0) go to 999 - write(pu,40) varnm(alg), n - 40 format(/8h /// bad,a1,2h =,i5) - go to 999 - 50 if (iv1 .ne. 14) iv(nextiv) = iv(perm) - if (iv1 .ne. 14) iv(nextv) = iv(lmat) - if (iv1 .eq. 13) go to 999 - k = iv(parsav) - epslon - call vdflt(alg, lv-k, v(k+1)) - iv(dtype0) = 2 - alg - iv(oldn) = n - which(1) = dflt(1) - which(2) = dflt(2) - which(3) = dflt(3) - go to 110 - 60 if (n .eq. iv(oldn)) go to 80 - iv(1) = 17 - if (pu .eq. 0) go to 999 - write(pu,70) varnm(alg), iv(oldn), n - 70 format(/5h /// ,1a1,14h changed from ,i5,4h to ,i5) - go to 999 -c - 80 if (iv1 .le. 11 .and. iv1 .ge. 1) go to 100 - iv(1) = 80 - if (pu .ne. 0) write(pu,90) iv1 - 90 format(/13h /// iv(1) =,i5,28h should be between 0 and 14.) - go to 999 -c - 100 which(1) = cngd(1) - which(2) = cngd(2) - which(3) = cngd(3) -c - 110 if (iv1 .eq. 14) iv1 = 12 - if (big .gt. tiny) go to 120 - tiny = rmdcon(1) - machep = rmdcon(3) - big = rmdcon(6) - vm(12) = machep - vx(12) = big - vx(13) = big - vm(14) = machep - vm(17) = tiny - vx(17) = big - vm(18) = tiny - vx(18) = big - vx(20) = big - vx(21) = big - vx(22) = big - vm(24) = machep - vm(25) = machep - vm(26) = machep - vx(28) = rmdcon(5) - vm(29) = machep - vx(30) = big - vm(33) = machep - 120 m = 0 - i = 1 - j = jlim(alg) - k = epslon - ndfalt = ndflt(alg) - do 150 l = 1, ndfalt - vk = v(k) - if (vk .ge. vm(i) .and. vk .le. vx(i)) go to 140 - m = k - if (pu .ne. 0) write(pu,130) vn(1,i), vn(2,i), k, vk, - 1 vm(i), vx(i) - 130 format(/6h /// ,2a4,5h.. v(,i2,3h) =,d11.3,7h should, - 1 11h be between,d11.3,4h and,d11.3) - 140 k = k + 1 - i = i + 1 - if (i .eq. j) i = ijmp - 150 continue -c - if (iv(nvdflt) .eq. ndfalt) go to 170 - iv(1) = 51 - if (pu .eq. 0) go to 999 - write(pu,160) iv(nvdflt), ndfalt - 160 format(/13h iv(nvdflt) =,i5,13h rather than ,i5) - go to 999 - 170 if ((iv(dtype) .gt. 0 .or. v(dinit) .gt. zero) .and. iv1 .eq. 12) - 1 go to 200 - do 190 i = 1, n - if (d(i) .gt. zero) go to 190 - m = 18 - if (pu .ne. 0) write(pu,180) i, d(i) - 180 format(/8h /// d(,i3,3h) =,d11.3,19h should be positive) - 190 continue - 200 if (m .eq. 0) go to 210 - iv(1) = m - go to 999 -c - 210 if (pu .eq. 0 .or. iv(parprt) .eq. 0) go to 999 - if (iv1 .ne. 12 .or. iv(inits) .eq. alg-1) go to 230 - m = 1 - write(pu,220) sh(alg), iv(inits) - 220 format(/22h nondefault values..../5h init,a1,14h..... iv(25) =, - 1 i3) - 230 if (iv(dtype) .eq. iv(dtype0)) go to 250 - if (m .eq. 0) write(pu,260) which - m = 1 - write(pu,240) iv(dtype) - 240 format(20h dtype..... iv(16) =,i3) - 250 i = 1 - j = jlim(alg) - k = epslon - l = iv(parsav) - ndfalt = ndflt(alg) - do 290 ii = 1, ndfalt - if (v(k) .eq. v(l)) go to 280 - if (m .eq. 0) write(pu,260) which - 260 format(/1h ,3a4,9halues..../) - m = 1 - write(pu,270) vn(1,i), vn(2,i), k, v(k) - 270 format(1x,2a4,5h.. v(,i2,3h) =,d15.7) - 280 k = k + 1 - l = l + 1 - i = i + 1 - if (i .eq. j) i = ijmp - 290 continue -c - iv(dtype0) = iv(dtype) - parsv1 = iv(parsav) - call vcopy(iv(nvdflt), v(parsv1), v(epslon)) - go to 999 -c - 300 iv(1) = 15 - if (pu .eq. 0) go to 999 - write(pu,310) liv, miv2 - 310 format(/10h /// liv =,i5,17h must be at least,i5) - if (liv .lt. miv1) go to 999 - if (lv .lt. iv(lastv)) go to 320 - go to 999 -c - 320 iv(1) = 16 - if (pu .eq. 0) go to 999 - write(pu,330) lv, iv(lastv) - 330 format(/9h /// lv =,i5,17h must be at least,i5) - go to 999 -c - 340 iv(1) = 67 - if (pu .eq. 0) go to 999 - write(pu,350) alg - 350 format(/10h /// alg =,i5,15h must be 1 or 2) -c - 999 return -c *** last card of parck follows *** - end - double precision function reldst(p, d, x, x0) -c -c *** compute and return relative difference between x and x0 *** -c *** nl2sol version 2.2 *** -c - integer p - double precision d(p), x(p), x0(p) -c/+ - double precision dabs -c/ - integer i - double precision emax, t, xmax, zero -c/6 -c data zero/0.d+0/ -c/7 - parameter (zero=0.d+0) -c/ -c - emax = zero - xmax = zero - do 10 i = 1, p - t = dabs(d(i) * (x(i) - x0(i))) - if (emax .lt. t) emax = t - t = d(i) * (dabs(x(i)) + dabs(x0(i))) - if (xmax .lt. t) xmax = t - 10 continue - reldst = zero - if (xmax .gt. zero) reldst = emax / xmax - 999 return -c *** last card of reldst follows *** - end -c logical function stopx(idummy) -c *****parameters... -c integer idummy -c -c .................................................................. -c -c *****purpose... -c this function may serve as the stopx (asynchronous interruption) -c function for the nl2sol (nonlinear least-squares) package at -c those installations which do not wish to implement a -c dynamic stopx. -c -c *****algorithm notes... -c at installations where the nl2sol system is used -c interactively, this dummy stopx should be replaced by a -c function that returns .true. if and only if the interrupt -c (break) key has been pressed since the last call on stopx. -c -c .................................................................. -c -c stopx = .false. -c return -c end - subroutine vaxpy(p, w, a, x, y) -c -c *** set w = a*x + y -- w, x, y = p-vectors, a = scalar *** -c - integer p - double precision a, w(p), x(p), y(p) -c - integer i -c - do 10 i = 1, p - 10 w(i) = a*x(i) + y(i) - return - end - subroutine vcopy(p, y, x) -c -c *** set y = x, where x and y are p-vectors *** -c - integer p - double precision x(p), y(p) -c - integer i -c - do 10 i = 1, p - 10 y(i) = x(i) - return - end - subroutine vdflt(alg, lv, v) -c -c *** supply ***sol (version 2.3) default values to v *** -c -c *** alg = 1 means regression constants. -c *** alg = 2 means general unconstrained optimization constants. -c - integer alg, l - double precision v(lv) -c/+ - double precision dmax1 -c/ - external rmdcon - double precision rmdcon -c rmdcon... returns machine-dependent constants -c - double precision machep, mepcrt, one, sqteps, three -c -c *** subscripts for v *** -c - integer afctol, bias, cosmin, decfac, delta0, dfac, dinit, dltfdc, - 1 dltfdj, dtinit, d0init, epslon, eta0, fuzz, huberc, - 2 incfac, lmax0, lmaxs, phmnfc, phmxfc, rdfcmn, rdfcmx, - 3 rfctol, rlimit, rsptol, sctol, sigmin, tuner1, tuner2, - 4 tuner3, tuner4, tuner5, xctol, xftol -c -c/6 -c data one/1.d+0/, three/3.d+0/ -c/7 - parameter (one=1.d+0, three=3.d+0) -c/ -c -c *** v subscript values *** -c -c/6 -c data afctol/31/, bias/43/, cosmin/47/, decfac/22/, delta0/44/, -c 1 dfac/41/, dinit/38/, dltfdc/42/, dltfdj/43/, dtinit/39/, -c 2 d0init/40/, epslon/19/, eta0/42/, fuzz/45/, huberc/48/, -c 3 incfac/23/, lmax0/35/, lmaxs/36/, phmnfc/20/, phmxfc/21/, -c 4 rdfcmn/24/, rdfcmx/25/, rfctol/32/, rlimit/46/, rsptol/49/, -c 5 sctol/37/, sigmin/50/, tuner1/26/, tuner2/27/, tuner3/28/, -c 6 tuner4/29/, tuner5/30/, xctol/33/, xftol/34/ -c/7 - parameter (afctol=31, bias=43, cosmin=47, decfac=22, delta0=44, - 1 dfac=41, dinit=38, dltfdc=42, dltfdj=43, dtinit=39, - 2 d0init=40, epslon=19, eta0=42, fuzz=45, huberc=48, - 3 incfac=23, lmax0=35, lmaxs=36, phmnfc=20, phmxfc=21, - 4 rdfcmn=24, rdfcmx=25, rfctol=32, rlimit=46, rsptol=49, - 5 sctol=37, sigmin=50, tuner1=26, tuner2=27, tuner3=28, - 6 tuner4=29, tuner5=30, xctol=33, xftol=34) -c/ -c -c------------------------------- body -------------------------------- -c - machep = rmdcon(3) - v(afctol) = 1.d-20 - if (machep .gt. 1.d-10) v(afctol) = machep**2 - v(decfac) = 0.5d+0 - sqteps = rmdcon(4) - v(dfac) = 0.6d+0 - v(delta0) = sqteps - v(dtinit) = 1.d-6 - mepcrt = machep ** (one/three) - v(d0init) = 1.d+0 - v(epslon) = 0.1d+0 - v(incfac) = 2.d+0 - v(lmax0) = 1.d+0 - v(lmaxs) = 1.d+0 - v(phmnfc) = -0.1d+0 - v(phmxfc) = 0.1d+0 - v(rdfcmn) = 0.1d+0 - v(rdfcmx) = 4.d+0 - v(rfctol) = dmax1(1.d-10, mepcrt**2) - v(sctol) = v(rfctol) - v(tuner1) = 0.1d+0 - v(tuner2) = 1.d-4 - v(tuner3) = 0.75d+0 - v(tuner4) = 0.5d+0 - v(tuner5) = 0.75d+0 - v(xctol) = sqteps - v(xftol) = 1.d+2 * machep -c - if (alg .ge. 2) go to 10 -c -c *** regression values -c - v(cosmin) = dmax1(1.d-6, 1.d+2 * machep) - v(dinit) = 0.d+0 - v(dltfdc) = mepcrt - v(dltfdj) = sqteps - v(fuzz) = 1.5d+0 - v(huberc) = 0.7d+0 - v(rlimit) = rmdcon(5) - v(rsptol) = 1.d-3 - v(sigmin) = 1.d-4 - go to 999 -c -c *** general optimization values -c - 10 v(bias) = 0.8d+0 - v(dinit) = -1.0d+0 - v(eta0) = 1.0d+3 * machep -c - 999 return -c *** last card of vdflt follows *** - end - subroutine vscopy(p, y, s) -c -c *** set p-vector y to scalar s *** -c - integer p - double precision s, y(p) -c - integer i -c - do 10 i = 1, p - 10 y(i) = s - return - end - double precision function v2norm(p, x) -c -c *** return the 2-norm of the p-vector x, taking *** -c *** care to avoid the most likely underflows. *** -c - integer p - double precision x(p) -c - integer i, j - double precision one, r, scale, sqteta, t, xi, zero -c/+ - double precision dabs, dsqrt -c/ - external rmdcon - double precision rmdcon -c -c/6 -c data one/1.d+0/, zero/0.d+0/ -c/7 - parameter (one=1.d+0, zero=0.d+0) - save sqteta -c/ - data sqteta/0.d+0/ -c - if (p .gt. 0) go to 10 - v2norm = zero - go to 999 - 10 do 20 i = 1, p - if (x(i) .ne. zero) go to 30 - 20 continue - v2norm = zero - go to 999 -c - 30 scale = dabs(x(i)) - if (i .lt. p) go to 40 - v2norm = scale - go to 999 - 40 t = one - if (sqteta .eq. zero) sqteta = rmdcon(2) -c -c *** sqteta is (slightly larger than) the square root of the -c *** smallest positive floating point number on the machine. -c *** the tests involving sqteta are done to prevent underflows. -c - j = i + 1 - do 60 i = j, p - xi = dabs(x(i)) - if (xi .gt. scale) go to 50 - r = xi / scale - if (r .gt. sqteta) t = t + r*r - go to 60 - 50 r = scale / xi - if (r .le. sqteta) r = zero - t = one + t * r*r - scale = xi - 60 continue -c - v2norm = scale * dsqrt(t) - 999 return -c *** last card of v2norm follows *** - end - subroutine humsl(n, d, x, calcf, calcgh, iv, liv, lv, v, - 1 uiparm, urparm, ufparm) -c -c *** minimize general unconstrained objective function using *** -c *** (analytic) gradient and hessian provided by the caller. *** -c - integer liv, lv, n - integer iv(liv), uiparm(1) - double precision d(n), x(n), v(lv), urparm(1) -c dimension v(78 + n*(n+12)), uiparm(*), urparm(*) - external calcf, calcgh, ufparm -c -c------------------------------ discussion --------------------------- -c -c this routine is like sumsl, except that the subroutine para- -c meter calcg of sumsl (which computes the gradient of the objec- -c tive function) is replaced by the subroutine parameter calcgh, -c which computes both the gradient and (lower triangle of the) -c hessian of the objective function. the calling sequence is... -c call calcgh(n, x, nf, g, h, uiparm, urparm, ufparm) -c parameters n, x, nf, g, uiparm, urparm, and ufparm are the same -c as for sumsl, while h is an array of length n*(n+1)/2 in which -c calcgh must store the lower triangle of the hessian at x. start- -c ing at h(1), calcgh must store the hessian entries in the order -c (1,1), (2,1), (2,2), (3,1), (3,2), (3,3), ... -c the value printed (by itsum) in the column labelled stppar -c is the levenberg-marquardt used in computing the current step. -c zero means a full newton step. if the special case described in -c ref. 1 is detected, then stppar is negated. the value printed -c in the column labelled npreldf is zero if the current hessian -c is not positive definite. -c it sometimes proves worthwhile to let d be determined from the -c diagonal of the hessian matrix by setting iv(dtype) = 1 and -c v(dinit) = 0. the following iv and v components are relevant... -c -c iv(dtol)..... iv(59) gives the starting subscript in v of the dtol -c array used when d is updated. (iv(dtol) can be -c initialized by calling humsl with iv(1) = 13.) -c iv(dtype).... iv(16) tells how the scale vector d should be chosen. -c iv(dtype) .le. 0 means that d should not be updated, and -c iv(dtype) .ge. 1 means that d should be updated as -c described below with v(dfac). default = 0. -c v(dfac)..... v(41) and the dtol and d0 arrays (see v(dtinit) and -c v(d0init)) are used in updating the scale vector d when -c iv(dtype) .gt. 0. (d is initialized according to -c v(dinit), described in sumsl.) let -c d1(i) = max(sqrt(abs(h(i,i))), v(dfac)*d(i)), -c where h(i,i) is the i-th diagonal element of the current -c hessian. if iv(dtype) = 1, then d(i) is set to d1(i) -c unless d1(i) .lt. dtol(i), in which case d(i) is set to -c max(d0(i), dtol(i)). -c if iv(dtype) .ge. 2, then d is updated during the first -c iteration as for iv(dtype) = 1 (after any initialization -c due to v(dinit)) and is left unchanged thereafter. -c default = 0.6. -c v(dtinit)... v(39), if positive, is the value to which all components -c of the dtol array (see v(dfac)) are initialized. if -c v(dtinit) = 0, then it is assumed that the caller has -c stored dtol in v starting at v(iv(dtol)). -c default = 10**-6. -c v(d0init)... v(40), if positive, is the value to which all components -c of the d0 vector (see v(dfac)) are initialized. if -c v(dfac) = 0, then it is assumed that the caller has -c stored d0 in v starting at v(iv(dtol)+n). default = 1.0. -c -c *** reference *** -c -c 1. gay, d.m. (1981), computing optimal locally constrained steps, -c siam j. sci. statist. comput. 2, pp. 186-197. -c. -c *** general *** -c -c coded by david m. gay (winter 1980). revised sept. 1982. -c this subroutine was written in connection with research supported -c in part by the national science foundation under grants -c mcs-7600324 and mcs-7906671. -c -c---------------------------- declarations --------------------------- -c - external deflt, humit -c -c deflt... provides default input values for iv and v. -c humit... reverse-communication routine that does humsl algorithm. -c - integer g1, h1, iv1, lh, nf - double precision f -c -c *** subscripts for iv *** -c - integer g, h, nextv, nfcall, nfgcal, toobig, vneed -c -c/6 -c data nextv/47/, nfcall/6/, nfgcal/7/, g/28/, h/56/, toobig/2/, -c 1 vneed/4/ -c/7 - parameter (nextv=47, nfcall=6, nfgcal=7, g=28, h=56, toobig=2, - 1 vneed=4) -c/ -c -c+++++++++++++++++++++++++++++++ body ++++++++++++++++++++++++++++++++ -c - lh = n * (n + 1) / 2 - if (iv(1) .eq. 0) call deflt(2, iv, liv, lv, v) - if (iv(1) .eq. 12 .or. iv(1) .eq. 13) - 1 iv(vneed) = iv(vneed) + n*(n+3)/2 - iv1 = iv(1) - if (iv1 .eq. 14) go to 10 - if (iv1 .gt. 2 .and. iv1 .lt. 12) go to 10 - g1 = 1 - h1 = 1 - if (iv1 .eq. 12) iv(1) = 13 - go to 20 -c - 10 g1 = iv(g) - h1 = iv(h) -c - 20 call humit(d, f, v(g1), v(h1), iv, lh, liv, lv, n, v, x) - if (iv(1) - 2) 30, 40, 50 -c - 30 nf = iv(nfcall) - call calcf(n, x, nf, f, uiparm, urparm, ufparm) - if (nf .le. 0) iv(toobig) = 1 - go to 20 -c - 40 call calcgh(n, x, iv(nfgcal), v(g1), v(h1), uiparm, urparm, - 1 ufparm) - go to 20 -c - 50 if (iv(1) .ne. 14) go to 999 -c -c *** storage allocation -c - iv(g) = iv(nextv) - iv(h) = iv(g) + n - iv(nextv) = iv(h) + n*(n+1)/2 - if (iv1 .ne. 13) go to 10 -c - 999 return -c *** last card of humsl follows *** - end - subroutine humit(d, fx, g, h, iv, lh, liv, lv, n, v, x) -c -c *** carry out humsl (unconstrained minimization) iterations, using -c *** hessian matrix provided by the caller. -c -c *** parameter declarations *** -c - integer lh, liv, lv, n - integer iv(liv) - double precision d(n), fx, g(n), h(lh), v(lv), x(n) -c -c-------------------------- parameter usage -------------------------- -c -c d.... scale vector. -c fx... function value. -c g.... gradient vector. -c h.... lower triangle of the hessian, stored rowwise. -c iv... integer value array. -c lh... length of h = p*(p+1)/2. -c liv.. length of iv (at least 60). -c lv... length of v (at least 78 + n*(n+21)/2). -c n.... number of variables (components in x and g). -c v.... floating-point value array. -c x.... parameter vector. -c -c *** discussion *** -c -c parameters iv, n, v, and x are the same as the corresponding -c ones to humsl (which see), except that v can be shorter (since -c the part of v that humsl uses for storing g and h is not needed). -c moreover, compared with humsl, iv(1) may have the two additional -c output values 1 and 2, which are explained below, as is the use -c of iv(toobig) and iv(nfgcal). the value iv(g), which is an -c output value from humsl, is not referenced by humit or the -c subroutines it calls. -c -c iv(1) = 1 means the caller should set fx to f(x), the function value -c at x, and call humit again, having changed none of the -c other parameters. an exception occurs if f(x) cannot be -c computed (e.g. if overflow would occur), which may happen -c because of an oversized step. in this case the caller -c should set iv(toobig) = iv(2) to 1, which will cause -c humit to ignore fx and try a smaller step. the para- -c meter nf that humsl passes to calcf (for possible use by -c calcgh) is a copy of iv(nfcall) = iv(6). -c iv(1) = 2 means the caller should set g to g(x), the gradient of f at -c x, and h to the lower triangle of h(x), the hessian of f -c at x, and call humit again, having changed none of the -c other parameters except perhaps the scale vector d. -c the parameter nf that humsl passes to calcg is -c iv(nfgcal) = iv(7). if g(x) and h(x) cannot be evaluated, -c then the caller may set iv(nfgcal) to 0, in which case -c humit will return with iv(1) = 65. -c note -- humit overwrites h with the lower triangle -c of diag(d)**-1 * h(x) * diag(d)**-1. -c. -c *** general *** -c -c coded by david m. gay (winter 1980). revised sept. 1982. -c this subroutine was written in connection with research supported -c in part by the national science foundation under grants -c mcs-7600324 and mcs-7906671. -c -c (see sumsl and humsl for references.) -c -c+++++++++++++++++++++++++++ declarations ++++++++++++++++++++++++++++ -c -c *** local variables *** -c - integer dg1, dummy, i, j, k, l, lstgst, nn1o2, step1, - 1 temp1, w1, x01 - double precision t -c -c *** constants *** -c - double precision one, onep2, zero -c -c *** no intrinsic functions *** -c -c *** external functions and subroutines *** -c - external assst, deflt, dotprd, dupdu, gqtst, itsum, parck, - 1 reldst, slvmul, stopx, vaxpy, vcopy, vscopy, v2norm - logical stopx - double precision dotprd, reldst, v2norm -c -c assst.... assesses candidate step. -c deflt.... provides default iv and v input values. -c dotprd... returns inner product of two vectors. -c dupdu.... updates scale vector d. -c gqtst.... computes optimally locally constrained step. -c itsum.... prints iteration summary and info on initial and final x. -c parck.... checks validity of input iv and v values. -c reldst... computes v(reldx) = relative step size. -c slvmul... multiplies symmetric matrix times vector, given the lower -c triangle of the matrix. -c stopx.... returns .true. if the break key has been pressed. -c vaxpy.... computes scalar times one vector plus another. -c vcopy.... copies one vector to another. -c vscopy... sets all elements of a vector to a scalar. -c v2norm... returns the 2-norm of a vector. -c -c *** subscripts for iv and v *** -c - integer cnvcod, dg, dgnorm, dinit, dstnrm, dtinit, dtol, - 1 dtype, d0init, f, f0, fdif, gtstep, incfac, irc, kagqt, - 2 lmat, lmax0, lmaxs, mode, model, mxfcal, mxiter, nextv, - 3 nfcall, nfgcal, ngcall, niter, preduc, radfac, radinc, - 4 radius, rad0, reldx, restor, step, stglim, stlstg, stppar, - 5 toobig, tuner4, tuner5, vneed, w, xirc, x0 -c -c *** iv subscript values *** -c -c/6 -c data cnvcod/55/, dg/37/, dtol/59/, dtype/16/, irc/29/, kagqt/33/, -c 1 lmat/42/, mode/35/, model/5/, mxfcal/17/, mxiter/18/, -c 2 nextv/47/, nfcall/6/, nfgcal/7/, ngcall/30/, niter/31/, -c 3 radinc/8/, restor/9/, step/40/, stglim/11/, stlstg/41/, -c 4 toobig/2/, vneed/4/, w/34/, xirc/13/, x0/43/ -c/7 - parameter (cnvcod=55, dg=37, dtol=59, dtype=16, irc=29, kagqt=33, - 1 lmat=42, mode=35, model=5, mxfcal=17, mxiter=18, - 2 nextv=47, nfcall=6, nfgcal=7, ngcall=30, niter=31, - 3 radinc=8, restor=9, step=40, stglim=11, stlstg=41, - 4 toobig=2, vneed=4, w=34, xirc=13, x0=43) -c/ -c -c *** v subscript values *** -c -c/6 -c data dgnorm/1/, dinit/38/, dstnrm/2/, dtinit/39/, d0init/40/, -c 1 f/10/, f0/13/, fdif/11/, gtstep/4/, incfac/23/, lmax0/35/, -c 2 lmaxs/36/, preduc/7/, radfac/16/, radius/8/, rad0/9/, -c 3 reldx/17/, stppar/5/, tuner4/29/, tuner5/30/ -c/7 - parameter (dgnorm=1, dinit=38, dstnrm=2, dtinit=39, d0init=40, - 1 f=10, f0=13, fdif=11, gtstep=4, incfac=23, lmax0=35, - 2 lmaxs=36, preduc=7, radfac=16, radius=8, rad0=9, - 3 reldx=17, stppar=5, tuner4=29, tuner5=30) -c/ -c -c/6 -c data one/1.d+0/, onep2/1.2d+0/, zero/0.d+0/ -c/7 - parameter (one=1.d+0, onep2=1.2d+0, zero=0.d+0) -c/ -c -c+++++++++++++++++++++++++++++++ body ++++++++++++++++++++++++++++++++ -c - i = iv(1) - if (i .eq. 1) go to 30 - if (i .eq. 2) go to 40 -c -c *** check validity of iv and v input values *** -c - if (iv(1) .eq. 0) call deflt(2, iv, liv, lv, v) - if (iv(1) .eq. 12 .or. iv(1) .eq. 13) - 1 iv(vneed) = iv(vneed) + n*(n+21)/2 + 7 - call parck(2, d, iv, liv, lv, n, v) - i = iv(1) - 2 - if (i .gt. 12) go to 999 - nn1o2 = n * (n + 1) / 2 - if (lh .ge. nn1o2) go to (210,210,210,210,210,210,160,120,160, - 1 10,10,20), i - iv(1) = 66 - go to 350 -c -c *** storage allocation *** -c - 10 iv(dtol) = iv(lmat) + nn1o2 - iv(x0) = iv(dtol) + 2*n - iv(step) = iv(x0) + n - iv(stlstg) = iv(step) + n - iv(dg) = iv(stlstg) + n - iv(w) = iv(dg) + n - iv(nextv) = iv(w) + 4*n + 7 - if (iv(1) .ne. 13) go to 20 - iv(1) = 14 - go to 999 -c -c *** initialization *** -c - 20 iv(niter) = 0 - iv(nfcall) = 1 - iv(ngcall) = 1 - iv(nfgcal) = 1 - iv(mode) = -1 - iv(model) = 1 - iv(stglim) = 1 - iv(toobig) = 0 - iv(cnvcod) = 0 - iv(radinc) = 0 - v(rad0) = zero - v(stppar) = zero - if (v(dinit) .ge. zero) call vscopy(n, d, v(dinit)) - k = iv(dtol) - if (v(dtinit) .gt. zero) call vscopy(n, v(k), v(dtinit)) - k = k + n - if (v(d0init) .gt. zero) call vscopy(n, v(k), v(d0init)) - iv(1) = 1 - go to 999 -c - 30 v(f) = fx - if (iv(mode) .ge. 0) go to 210 - iv(1) = 2 - if (iv(toobig) .eq. 0) go to 999 - iv(1) = 63 - go to 350 -c -c *** make sure gradient could be computed *** -c - 40 if (iv(nfgcal) .ne. 0) go to 50 - iv(1) = 65 - go to 350 -c -c *** update the scale vector d *** -c - 50 dg1 = iv(dg) - if (iv(dtype) .le. 0) go to 70 - k = dg1 - j = 0 - do 60 i = 1, n - j = j + i - v(k) = h(j) - k = k + 1 - 60 continue - call dupdu(d, v(dg1), iv, liv, lv, n, v) -c -c *** compute scaled gradient and its norm *** -c - 70 dg1 = iv(dg) - k = dg1 - do 80 i = 1, n - v(k) = g(i) / d(i) - k = k + 1 - 80 continue - v(dgnorm) = v2norm(n, v(dg1)) -c -c *** compute scaled hessian *** -c - k = 1 - do 100 i = 1, n - t = one / d(i) - do 90 j = 1, i - h(k) = t * h(k) / d(j) - k = k + 1 - 90 continue - 100 continue -c - if (iv(cnvcod) .ne. 0) go to 340 - if (iv(mode) .eq. 0) go to 300 -c -c *** allow first step to have scaled 2-norm at most v(lmax0) *** -c - v(radius) = v(lmax0) -c - iv(mode) = 0 -c -c -c----------------------------- main loop ----------------------------- -c -c -c *** print iteration summary, check iteration limit *** -c - 110 call itsum(d, g, iv, liv, lv, n, v, x) - 120 k = iv(niter) - if (k .lt. iv(mxiter)) go to 130 - iv(1) = 10 - go to 350 -c - 130 iv(niter) = k + 1 -c -c *** initialize for start of next iteration *** -c - dg1 = iv(dg) - x01 = iv(x0) - v(f0) = v(f) - iv(irc) = 4 - iv(kagqt) = -1 -c -c *** copy x to x0 *** -c - call vcopy(n, v(x01), x) -c -c *** update radius *** -c - if (k .eq. 0) go to 150 - step1 = iv(step) - k = step1 - do 140 i = 1, n - v(k) = d(i) * v(k) - k = k + 1 - 140 continue - v(radius) = v(radfac) * v2norm(n, v(step1)) -c -c *** check stopx and function evaluation limit *** -c -C AL 4/30/95 - dummy=iv(nfcall) - 150 if (.not. stopx(dummy)) go to 170 - iv(1) = 11 - go to 180 -c -c *** come here when restarting after func. eval. limit or stopx. -c - 160 if (v(f) .ge. v(f0)) go to 170 - v(radfac) = one - k = iv(niter) - go to 130 -c - 170 if (iv(nfcall) .lt. iv(mxfcal)) go to 190 - iv(1) = 9 - 180 if (v(f) .ge. v(f0)) go to 350 -c -c *** in case of stopx or function evaluation limit with -c *** improved v(f), evaluate the gradient at x. -c - iv(cnvcod) = iv(1) - go to 290 -c -c. . . . . . . . . . . . . compute candidate step . . . . . . . . . . -c - 190 step1 = iv(step) - dg1 = iv(dg) - l = iv(lmat) - w1 = iv(w) - call gqtst(d, v(dg1), h, iv(kagqt), v(l), n, v(step1), v, v(w1)) - if (iv(irc) .eq. 6) go to 210 -c -c *** check whether evaluating f(x0 + step) looks worthwhile *** -c - if (v(dstnrm) .le. zero) go to 210 - if (iv(irc) .ne. 5) go to 200 - if (v(radfac) .le. one) go to 200 - if (v(preduc) .le. onep2 * v(fdif)) go to 210 -c -c *** compute f(x0 + step) *** -c - 200 x01 = iv(x0) - step1 = iv(step) - call vaxpy(n, x, one, v(step1), v(x01)) - iv(nfcall) = iv(nfcall) + 1 - iv(1) = 1 - iv(toobig) = 0 - go to 999 -c -c. . . . . . . . . . . . . assess candidate step . . . . . . . . . . . -c - 210 x01 = iv(x0) - v(reldx) = reldst(n, d, x, v(x01)) - call assst(iv, liv, lv, v) - step1 = iv(step) - lstgst = iv(stlstg) - if (iv(restor) .eq. 1) call vcopy(n, x, v(x01)) - if (iv(restor) .eq. 2) call vcopy(n, v(lstgst), v(step1)) - if (iv(restor) .ne. 3) go to 220 - call vcopy(n, v(step1), v(lstgst)) - call vaxpy(n, x, one, v(step1), v(x01)) - v(reldx) = reldst(n, d, x, v(x01)) -c - 220 k = iv(irc) - go to (230,260,260,260,230,240,250,250,250,250,250,250,330,300), k -c -c *** recompute step with new radius *** -c - 230 v(radius) = v(radfac) * v(dstnrm) - go to 150 -c -c *** compute step of length v(lmaxs) for singular convergence test. -c - 240 v(radius) = v(lmaxs) - go to 190 -c -c *** convergence or false convergence *** -c - 250 iv(cnvcod) = k - 4 - if (v(f) .ge. v(f0)) go to 340 - if (iv(xirc) .eq. 14) go to 340 - iv(xirc) = 14 -c -c. . . . . . . . . . . . process acceptable step . . . . . . . . . . . -c - 260 if (iv(irc) .ne. 3) go to 290 - temp1 = lstgst -c -c *** prepare for gradient tests *** -c *** set temp1 = hessian * step + g(x0) -c *** = diag(d) * (h * step + g(x0)) -c -c use x0 vector as temporary. - k = x01 - do 270 i = 1, n - v(k) = d(i) * v(step1) - k = k + 1 - step1 = step1 + 1 - 270 continue - call slvmul(n, v(temp1), h, v(x01)) - do 280 i = 1, n - v(temp1) = d(i) * v(temp1) + g(i) - temp1 = temp1 + 1 - 280 continue -c -c *** compute gradient and hessian *** -c - 290 iv(ngcall) = iv(ngcall) + 1 - iv(1) = 2 - go to 999 -c - 300 iv(1) = 2 - if (iv(irc) .ne. 3) go to 110 -c -c *** set v(radfac) by gradient tests *** -c - temp1 = iv(stlstg) - step1 = iv(step) -c -c *** set temp1 = diag(d)**-1 * (hessian*step + (g(x0)-g(x))) *** -c - k = temp1 - do 310 i = 1, n - v(k) = (v(k) - g(i)) / d(i) - k = k + 1 - 310 continue -c -c *** do gradient tests *** -c - if (v2norm(n, v(temp1)) .le. v(dgnorm) * v(tuner4)) go to 320 - if (dotprd(n, g, v(step1)) - 1 .ge. v(gtstep) * v(tuner5)) go to 110 - 320 v(radfac) = v(incfac) - go to 110 -c -c. . . . . . . . . . . . . . misc. details . . . . . . . . . . . . . . -c -c *** bad parameters to assess *** -c - 330 iv(1) = 64 - go to 350 -c -c *** print summary of final iteration and other requested items *** -c - 340 iv(1) = iv(cnvcod) - iv(cnvcod) = 0 - 350 call itsum(d, g, iv, liv, lv, n, v, x) -c - 999 return -c -c *** last card of humit follows *** - end - subroutine dupdu(d, hdiag, iv, liv, lv, n, v) -c -c *** update scale vector d for humsl *** -c -c *** parameter declarations *** -c - integer liv, lv, n - integer iv(liv) - double precision d(n), hdiag(n), v(lv) -c -c *** local variables *** -c - integer dtoli, d0i, i - double precision t, vdfac -c -c *** intrinsic functions *** -c/+ - double precision dabs, dmax1, dsqrt -c/ -c *** subscripts for iv and v *** -c - integer dfac, dtol, dtype, niter -c/6 -c data dfac/41/, dtol/59/, dtype/16/, niter/31/ -c/7 - parameter (dfac=41, dtol=59, dtype=16, niter=31) -c/ -c -c------------------------------- body -------------------------------- -c - i = iv(dtype) - if (i .eq. 1) go to 10 - if (iv(niter) .gt. 0) go to 999 -c - 10 dtoli = iv(dtol) - d0i = dtoli + n - vdfac = v(dfac) - do 20 i = 1, n - t = dmax1(dsqrt(dabs(hdiag(i))), vdfac*d(i)) - if (t .lt. v(dtoli)) t = dmax1(v(dtoli), v(d0i)) - d(i) = t - dtoli = dtoli + 1 - d0i = d0i + 1 - 20 continue -c - 999 return -c *** last card of dupdu follows *** - end - subroutine gqtst(d, dig, dihdi, ka, l, p, step, v, w) -c -c *** compute goldfeld-quandt-trotter step by more-hebden technique *** -c *** (nl2sol version 2.2), modified a la more and sorensen *** -c -c *** parameter declarations *** -c - integer ka, p -cal double precision d(p), dig(p), dihdi(1), l(1), v(21), step(p), -cal 1 w(1) - double precision d(p), dig(p), dihdi(p*(p+1)/2), l(p*(p+1)/2), - 1 v(21), step(p),w(4*p+7) -c dimension dihdi(p*(p+1)/2), l(p*(p+1)/2), w(4*p+7) -c -c+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -c -c *** purpose *** -c -c given the (compactly stored) lower triangle of a scaled -c hessian (approximation) and a nonzero scaled gradient vector, -c this subroutine computes a goldfeld-quandt-trotter step of -c approximate length v(radius) by the more-hebden technique. in -c other words, step is computed to (approximately) minimize -c psi(step) = (g**t)*step + 0.5*(step**t)*h*step such that the -c 2-norm of d*step is at most (approximately) v(radius), where -c g is the gradient, h is the hessian, and d is a diagonal -c scale matrix whose diagonal is stored in the parameter d. -c (gqtst assumes dig = d**-1 * g and dihdi = d**-1 * h * d**-1.) -c -c *** parameter description *** -c -c d (in) = the scale vector, i.e. the diagonal of the scale -c matrix d mentioned above under purpose. -c dig (in) = the scaled gradient vector, d**-1 * g. if g = 0, then -c step = 0 and v(stppar) = 0 are returned. -c dihdi (in) = lower triangle of the scaled hessian (approximation), -c i.e., d**-1 * h * d**-1, stored compactly by rows., i.e., -c in the order (1,1), (2,1), (2,2), (3,1), (3,2), etc. -c ka (i/o) = the number of hebden iterations (so far) taken to deter- -c mine step. ka .lt. 0 on input means this is the first -c attempt to determine step (for the present dig and dihdi) -c -- ka is initialized to 0 in this case. output with -c ka = 0 (or v(stppar) = 0) means step = -(h**-1)*g. -c l (i/o) = workspace of length p*(p+1)/2 for cholesky factors. -c p (in) = number of parameters -- the hessian is a p x p matrix. -c step (i/o) = the step computed. -c v (i/o) contains various constants and variables described below. -c w (i/o) = workspace of length 4*p + 6. -c -c *** entries in v *** -c -c v(dgnorm) (i/o) = 2-norm of (d**-1)*g. -c v(dstnrm) (output) = 2-norm of d*step. -c v(dst0) (i/o) = 2-norm of d*(h**-1)*g (for pos. def. h only), or -c overestimate of smallest eigenvalue of (d**-1)*h*(d**-1). -c v(epslon) (in) = max. rel. error allowed for psi(step). for the -c step returned, psi(step) will exceed its optimal value -c by less than -v(epslon)*psi(step). suggested value = 0.1. -c v(gtstep) (out) = inner product between g and step. -c v(nreduc) (out) = psi(-(h**-1)*g) = psi(newton step) (for pos. def. -c h only -- v(nreduc) is set to zero otherwise). -c v(phmnfc) (in) = tol. (together with v(phmxfc)) for accepting step -c (more*s sigma). the error v(dstnrm) - v(radius) must lie -c between v(phmnfc)*v(radius) and v(phmxfc)*v(radius). -c v(phmxfc) (in) (see v(phmnfc).) -c suggested values -- v(phmnfc) = -0.25, v(phmxfc) = 0.5. -c v(preduc) (out) = psi(step) = predicted obj. func. reduction for step. -c v(radius) (in) = radius of current (scaled) trust region. -c v(rad0) (i/o) = value of v(radius) from previous call. -c v(stppar) (i/o) is normally the marquardt parameter, i.e. the alpha -c described below under algorithm notes. if h + alpha*d**2 -c (see algorithm notes) is (nearly) singular, however, -c then v(stppar) = -alpha. -c -c *** usage notes *** -c -c if it is desired to recompute step using a different value of -c v(radius), then this routine may be restarted by calling it -c with all parameters unchanged except v(radius). (this explains -c why step and w are listed as i/o). on an initial call (one with -c ka .lt. 0), step and w need not be initialized and only compo- -c nents v(epslon), v(stppar), v(phmnfc), v(phmxfc), v(radius), and -c v(rad0) of v must be initialized. -c -c *** algorithm notes *** -c -c the desired g-q-t step (ref. 2, 3, 4, 6) satisfies -c (h + alpha*d**2)*step = -g for some nonnegative alpha such that -c h + alpha*d**2 is positive semidefinite. alpha and step are -c computed by a scheme analogous to the one described in ref. 5. -c estimates of the smallest and largest eigenvalues of the hessian -c are obtained from the gerschgorin circle theorem enhanced by a -c simple form of the scaling described in ref. 7. cases in which -c h + alpha*d**2 is nearly (or exactly) singular are handled by -c the technique discussed in ref. 2. in these cases, a step of -c (exact) length v(radius) is returned for which psi(step) exceeds -c its optimal value by less than -v(epslon)*psi(step). the test -c suggested in ref. 6 for detecting the special case is performed -c once two matrix factorizations have been done -- doing so sooner -c seems to degrade the performance of optimization routines that -c call this routine. -c -c *** functions and subroutines called *** -c -c dotprd - returns inner product of two vectors. -c litvmu - applies inverse-transpose of compact lower triang. matrix. -c livmul - applies inverse of compact lower triang. matrix. -c lsqrt - finds cholesky factor (of compactly stored lower triang.). -c lsvmin - returns approx. to min. sing. value of lower triang. matrix. -c rmdcon - returns machine-dependent constants. -c v2norm - returns 2-norm of a vector. -c -c *** references *** -c -c 1. dennis, j.e., gay, d.m., and welsch, r.e. (1981), an adaptive -c nonlinear least-squares algorithm, acm trans. math. -c software, vol. 7, no. 3. -c 2. gay, d.m. (1981), computing optimal locally constrained steps, -c siam j. sci. statist. computing, vol. 2, no. 2, pp. -c 186-197. -c 3. goldfeld, s.m., quandt, r.e., and trotter, h.f. (1966), -c maximization by quadratic hill-climbing, econometrica 34, -c pp. 541-551. -c 4. hebden, m.d. (1973), an algorithm for minimization using exact -c second derivatives, report t.p. 515, theoretical physics -c div., a.e.r.e. harwell, oxon., england. -c 5. more, j.j. (1978), the levenberg-marquardt algorithm, implemen- -c tation and theory, pp.105-116 of springer lecture notes -c in mathematics no. 630, edited by g.a. watson, springer- -c verlag, berlin and new york. -c 6. more, j.j., and sorensen, d.c. (1981), computing a trust region -c step, technical report anl-81-83, argonne national lab. -c 7. varga, r.s. (1965), minimal gerschgorin sets, pacific j. math. 15, -c pp. 719-729. -c -c *** general *** -c -c coded by david m. gay. -c this subroutine was written in connection with research -c supported by the national science foundation under grants -c mcs-7600324, dcr75-10143, 76-14311dss, mcs76-11989, and -c mcs-7906671. -c -c+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -c -c *** local variables *** -c - logical restrt - integer dggdmx, diag, diag0, dstsav, emax, emin, i, im1, inc, irc, - 1 j, k, kalim, kamin, k1, lk0, phipin, q, q0, uk0, x - double precision alphak, aki, akk, delta, dst, eps, gtsta, lk, - 1 oldphi, phi, phimax, phimin, psifac, rad, radsq, - 2 root, si, sk, sw, t, twopsi, t1, t2, uk, wi -c -c *** constants *** - double precision big, dgxfac, epsfac, four, half, kappa, negone, - 1 one, p001, six, three, two, zero -c -c *** intrinsic functions *** -c/+ - double precision dabs, dmax1, dmin1, dsqrt -c/ -c *** external functions and subroutines *** -c - external dotprd, litvmu, livmul, lsqrt, lsvmin, rmdcon, v2norm - double precision dotprd, lsvmin, rmdcon, v2norm -c -c *** subscripts for v *** -c - integer dgnorm, dstnrm, dst0, epslon, gtstep, stppar, nreduc, - 1 phmnfc, phmxfc, preduc, radius, rad0 -c/6 -c data dgnorm/1/, dstnrm/2/, dst0/3/, epslon/19/, gtstep/4/, -c 1 nreduc/6/, phmnfc/20/, phmxfc/21/, preduc/7/, radius/8/, -c 2 rad0/9/, stppar/5/ -c/7 - parameter (dgnorm=1, dstnrm=2, dst0=3, epslon=19, gtstep=4, - 1 nreduc=6, phmnfc=20, phmxfc=21, preduc=7, radius=8, - 2 rad0=9, stppar=5) -c/ -c -c/6 -c data epsfac/50.0d+0/, four/4.0d+0/, half/0.5d+0/, -c 1 kappa/2.0d+0/, negone/-1.0d+0/, one/1.0d+0/, p001/1.0d-3/, -c 2 six/6.0d+0/, three/3.0d+0/, two/2.0d+0/, zero/0.0d+0/ -c/7 - parameter (epsfac=50.0d+0, four=4.0d+0, half=0.5d+0, - 1 kappa=2.0d+0, negone=-1.0d+0, one=1.0d+0, p001=1.0d-3, - 2 six=6.0d+0, three=3.0d+0, two=2.0d+0, zero=0.0d+0) - save dgxfac -c/ - data big/0.d+0/, dgxfac/0.d+0/ -c -c *** body *** -c -c *** store largest abs. entry in (d**-1)*h*(d**-1) at w(dggdmx). - dggdmx = p + 1 -c *** store gerschgorin over- and underestimates of the largest -c *** and smallest eigenvalues of (d**-1)*h*(d**-1) at w(emax) -c *** and w(emin) respectively. - emax = dggdmx + 1 - emin = emax + 1 -c *** for use in recomputing step, the final values of lk, uk, dst, -c *** and the inverse derivative of more*s phi at 0 (for pos. def. -c *** h) are stored in w(lk0), w(uk0), w(dstsav), and w(phipin) -c *** respectively. - lk0 = emin + 1 - phipin = lk0 + 1 - uk0 = phipin + 1 - dstsav = uk0 + 1 -c *** store diag of (d**-1)*h*(d**-1) in w(diag),...,w(diag0+p). - diag0 = dstsav - diag = diag0 + 1 -c *** store -d*step in w(q),...,w(q0+p). - q0 = diag0 + p - q = q0 + 1 -c *** allocate storage for scratch vector x *** - x = q + p - rad = v(radius) - radsq = rad**2 -c *** phitol = max. error allowed in dst = v(dstnrm) = 2-norm of -c *** d*step. - phimax = v(phmxfc) * rad - phimin = v(phmnfc) * rad - psifac = two * v(epslon) / (three * (four * (v(phmnfc) + one) * - 1 (kappa + one) + kappa + two) * rad**2) -c *** oldphi is used to detect limits of numerical accuracy. if -c *** we recompute step and it does not change, then we accept it. - oldphi = zero - eps = v(epslon) - irc = 0 - restrt = .false. - kalim = ka + 50 -c -c *** start or restart, depending on ka *** -c - if (ka .ge. 0) go to 290 -c -c *** fresh start *** -c - k = 0 - uk = negone - ka = 0 - kalim = 50 - v(dgnorm) = v2norm(p, dig) - v(nreduc) = zero - v(dst0) = zero - kamin = 3 - if (v(dgnorm) .eq. zero) kamin = 0 -c -c *** store diag(dihdi) in w(diag0+1),...,w(diag0+p) *** -c - j = 0 - do 10 i = 1, p - j = j + i - k1 = diag0 + i - w(k1) = dihdi(j) - 10 continue -c -c *** determine w(dggdmx), the largest element of dihdi *** -c - t1 = zero - j = p * (p + 1) / 2 - do 20 i = 1, j - t = dabs(dihdi(i)) - if (t1 .lt. t) t1 = t - 20 continue - w(dggdmx) = t1 -c -c *** try alpha = 0 *** -c - 30 call lsqrt(1, p, l, dihdi, irc) - if (irc .eq. 0) go to 50 -c *** indef. h -- underestimate smallest eigenvalue, use this -c *** estimate to initialize lower bound lk on alpha. - j = irc*(irc+1)/2 - t = l(j) - l(j) = one - do 40 i = 1, irc - 40 w(i) = zero - w(irc) = one - call litvmu(irc, w, l, w) - t1 = v2norm(irc, w) - lk = -t / t1 / t1 - v(dst0) = -lk - if (restrt) go to 210 - go to 70 -c -c *** positive definite h -- compute unmodified newton step. *** - 50 lk = zero - t = lsvmin(p, l, w(q), w(q)) - if (t .ge. one) go to 60 - if (big .le. zero) big = rmdcon(6) - if (v(dgnorm) .ge. t*t*big) go to 70 - 60 call livmul(p, w(q), l, dig) - gtsta = dotprd(p, w(q), w(q)) - v(nreduc) = half * gtsta - call litvmu(p, w(q), l, w(q)) - dst = v2norm(p, w(q)) - v(dst0) = dst - phi = dst - rad - if (phi .le. phimax) go to 260 - if (restrt) go to 210 -c -c *** prepare to compute gerschgorin estimates of largest (and -c *** smallest) eigenvalues. *** -c - 70 k = 0 - do 100 i = 1, p - wi = zero - if (i .eq. 1) go to 90 - im1 = i - 1 - do 80 j = 1, im1 - k = k + 1 - t = dabs(dihdi(k)) - wi = wi + t - w(j) = w(j) + t - 80 continue - 90 w(i) = wi - k = k + 1 - 100 continue -c -c *** (under-)estimate smallest eigenvalue of (d**-1)*h*(d**-1) *** -c - k = 1 - t1 = w(diag) - w(1) - if (p .le. 1) go to 120 - do 110 i = 2, p - j = diag0 + i - t = w(j) - w(i) - if (t .ge. t1) go to 110 - t1 = t - k = i - 110 continue -c - 120 sk = w(k) - j = diag0 + k - akk = w(j) - k1 = k*(k-1)/2 + 1 - inc = 1 - t = zero - do 150 i = 1, p - if (i .eq. k) go to 130 - aki = dabs(dihdi(k1)) - si = w(i) - j = diag0 + i - t1 = half * (akk - w(j) + si - aki) - t1 = t1 + dsqrt(t1*t1 + sk*aki) - if (t .lt. t1) t = t1 - if (i .lt. k) go to 140 - 130 inc = i - 140 k1 = k1 + inc - 150 continue -c - w(emin) = akk - t - uk = v(dgnorm)/rad - w(emin) - if (v(dgnorm) .eq. zero) uk = uk + p001 + p001*uk - if (uk .le. zero) uk = p001 -c -c *** compute gerschgorin (over-)estimate of largest eigenvalue *** -c - k = 1 - t1 = w(diag) + w(1) - if (p .le. 1) go to 170 - do 160 i = 2, p - j = diag0 + i - t = w(j) + w(i) - if (t .le. t1) go to 160 - t1 = t - k = i - 160 continue -c - 170 sk = w(k) - j = diag0 + k - akk = w(j) - k1 = k*(k-1)/2 + 1 - inc = 1 - t = zero - do 200 i = 1, p - if (i .eq. k) go to 180 - aki = dabs(dihdi(k1)) - si = w(i) - j = diag0 + i - t1 = half * (w(j) + si - aki - akk) - t1 = t1 + dsqrt(t1*t1 + sk*aki) - if (t .lt. t1) t = t1 - if (i .lt. k) go to 190 - 180 inc = i - 190 k1 = k1 + inc - 200 continue -c - w(emax) = akk + t - lk = dmax1(lk, v(dgnorm)/rad - w(emax)) -c -c *** alphak = current value of alpha (see alg. notes above). we -c *** use more*s scheme for initializing it. - alphak = dabs(v(stppar)) * v(rad0)/rad -c - if (irc .ne. 0) go to 210 -c -c *** compute l0 for positive definite h *** -c - call livmul(p, w, l, w(q)) - t = v2norm(p, w) - w(phipin) = dst / t / t - lk = dmax1(lk, phi*w(phipin)) -c -c *** safeguard alphak and add alphak*i to (d**-1)*h*(d**-1) *** -c - 210 ka = ka + 1 - if (-v(dst0) .ge. alphak .or. alphak .lt. lk .or. alphak .ge. uk) - 1 alphak = uk * dmax1(p001, dsqrt(lk/uk)) - if (alphak .le. zero) alphak = half * uk - if (alphak .le. zero) alphak = uk - k = 0 - do 220 i = 1, p - k = k + i - j = diag0 + i - dihdi(k) = w(j) + alphak - 220 continue -c -c *** try computing cholesky decomposition *** -c - call lsqrt(1, p, l, dihdi, irc) - if (irc .eq. 0) go to 240 -c -c *** (d**-1)*h*(d**-1) + alphak*i is indefinite -- overestimate -c *** smallest eigenvalue for use in updating lk *** -c - j = (irc*(irc+1))/2 - t = l(j) - l(j) = one - do 230 i = 1, irc - 230 w(i) = zero - w(irc) = one - call litvmu(irc, w, l, w) - t1 = v2norm(irc, w) - lk = alphak - t/t1/t1 - v(dst0) = -lk - go to 210 -c -c *** alphak makes (d**-1)*h*(d**-1) positive definite. -c *** compute q = -d*step, check for convergence. *** -c - 240 call livmul(p, w(q), l, dig) - gtsta = dotprd(p, w(q), w(q)) - call litvmu(p, w(q), l, w(q)) - dst = v2norm(p, w(q)) - phi = dst - rad - if (phi .le. phimax .and. phi .ge. phimin) go to 270 - if (phi .eq. oldphi) go to 270 - oldphi = phi - if (phi .lt. zero) go to 330 -c -c *** unacceptable alphak -- update lk, uk, alphak *** -c - 250 if (ka .ge. kalim) go to 270 -c *** the following dmin1 is necessary because of restarts *** - if (phi .lt. zero) uk = dmin1(uk, alphak) -c *** kamin = 0 only iff the gradient vanishes *** - if (kamin .eq. 0) go to 210 - call livmul(p, w, l, w(q)) - t1 = v2norm(p, w) - alphak = alphak + (phi/t1) * (dst/t1) * (dst/rad) - lk = dmax1(lk, alphak) - go to 210 -c -c *** acceptable step on first try *** -c - 260 alphak = zero -c -c *** successful step in general. compute step = -(d**-1)*q *** -c - 270 do 280 i = 1, p - j = q0 + i - step(i) = -w(j)/d(i) - 280 continue - v(gtstep) = -gtsta - v(preduc) = half * (dabs(alphak)*dst*dst + gtsta) - go to 410 -c -c -c *** restart with new radius *** -c - 290 if (v(dst0) .le. zero .or. v(dst0) - rad .gt. phimax) go to 310 -c -c *** prepare to return newton step *** -c - restrt = .true. - ka = ka + 1 - k = 0 - do 300 i = 1, p - k = k + i - j = diag0 + i - dihdi(k) = w(j) - 300 continue - uk = negone - go to 30 -c - 310 kamin = ka + 3 - if (v(dgnorm) .eq. zero) kamin = 0 - if (ka .eq. 0) go to 50 -c - dst = w(dstsav) - alphak = dabs(v(stppar)) - phi = dst - rad - t = v(dgnorm)/rad - uk = t - w(emin) - if (v(dgnorm) .eq. zero) uk = uk + p001 + p001*uk - if (uk .le. zero) uk = p001 - if (rad .gt. v(rad0)) go to 320 -c -c *** smaller radius *** - lk = zero - if (alphak .gt. zero) lk = w(lk0) - lk = dmax1(lk, t - w(emax)) - if (v(dst0) .gt. zero) lk = dmax1(lk, (v(dst0)-rad)*w(phipin)) - go to 250 -c -c *** bigger radius *** - 320 if (alphak .gt. zero) uk = dmin1(uk, w(uk0)) - lk = dmax1(zero, -v(dst0), t - w(emax)) - if (v(dst0) .gt. zero) lk = dmax1(lk, (v(dst0)-rad)*w(phipin)) - go to 250 -c -c *** decide whether to check for special case... in practice (from -c *** the standpoint of the calling optimization code) it seems best -c *** not to check until a few iterations have failed -- hence the -c *** test on kamin below. -c - 330 delta = alphak + dmin1(zero, v(dst0)) - twopsi = alphak*dst*dst + gtsta - if (ka .ge. kamin) go to 340 -c *** if the test in ref. 2 is satisfied, fall through to handle -c *** the special case (as soon as the more-sorensen test detects -c *** it). - if (delta .ge. psifac*twopsi) go to 370 -c -c *** check for the special case of h + alpha*d**2 (nearly) -c *** singular. use one step of inverse power method with start -c *** from lsvmin to obtain approximate eigenvector corresponding -c *** to smallest eigenvalue of (d**-1)*h*(d**-1). lsvmin returns -c *** x and w with l*w = x. -c - 340 t = lsvmin(p, l, w(x), w) -c -c *** normalize w *** - do 350 i = 1, p - 350 w(i) = t*w(i) -c *** complete current inv. power iter. -- replace w by (l**-t)*w. - call litvmu(p, w, l, w) - t2 = one/v2norm(p, w) - do 360 i = 1, p - 360 w(i) = t2*w(i) - t = t2 * t -c -c *** now w is the desired approximate (unit) eigenvector and -c *** t*x = ((d**-1)*h*(d**-1) + alphak*i)*w. -c - sw = dotprd(p, w(q), w) - t1 = (rad + dst) * (rad - dst) - root = dsqrt(sw*sw + t1) - if (sw .lt. zero) root = -root - si = t1 / (sw + root) -c -c *** the actual test for the special case... -c - if ((t2*si)**2 .le. eps*(dst**2 + alphak*radsq)) go to 380 -c -c *** update upper bound on smallest eigenvalue (when not positive) -c *** (as recommended by more and sorensen) and continue... -c - if (v(dst0) .le. zero) v(dst0) = dmin1(v(dst0), t2**2 - alphak) - lk = dmax1(lk, -v(dst0)) -c -c *** check whether we can hope to detect the special case in -c *** the available arithmetic. accept step as it is if not. -c -c *** if not yet available, obtain machine dependent value dgxfac. - 370 if (dgxfac .eq. zero) dgxfac = epsfac * rmdcon(3) -c - if (delta .gt. dgxfac*w(dggdmx)) go to 250 - go to 270 -c -c *** special case detected... negate alphak to indicate special case -c - 380 alphak = -alphak - v(preduc) = half * twopsi -c -c *** accept current step if adding si*w would lead to a -c *** further relative reduction in psi of less than v(epslon)/3. -c - t1 = zero - t = si*(alphak*sw - half*si*(alphak + t*dotprd(p,w(x),w))) - if (t .lt. eps*twopsi/six) go to 390 - v(preduc) = v(preduc) + t - dst = rad - t1 = -si - 390 do 400 i = 1, p - j = q0 + i - w(j) = t1*w(i) - w(j) - step(i) = w(j) / d(i) - 400 continue - v(gtstep) = dotprd(p, dig, w(q)) -c -c *** save values for use in a possible restart *** -c - 410 v(dstnrm) = dst - v(stppar) = alphak - w(lk0) = lk - w(uk0) = uk - v(rad0) = rad - w(dstsav) = dst -c -c *** restore diagonal of dihdi *** -c - j = 0 - do 420 i = 1, p - j = j + i - k = diag0 + i - dihdi(j) = w(k) - 420 continue -c - 999 return -c -c *** last card of gqtst follows *** - end - subroutine lsqrt(n1, n, l, a, irc) -c -c *** compute rows n1 through n of the cholesky factor l of -c *** a = l*(l**t), where l and the lower triangle of a are both -c *** stored compactly by rows (and may occupy the same storage). -c *** irc = 0 means all went well. irc = j means the leading -c *** principal j x j submatrix of a is not positive definite -- -c *** and l(j*(j+1)/2) contains the (nonpos.) reduced j-th diagonal. -c -c *** parameters *** -c - integer n1, n, irc -cal double precision l(1), a(1) - double precision l(n*(n+1)/2), a(n*(n+1)/2) -c dimension l(n*(n+1)/2), a(n*(n+1)/2) -c -c *** local variables *** -c - integer i, ij, ik, im1, i0, j, jk, jm1, j0, k - double precision t, td, zero -c -c *** intrinsic functions *** -c/+ - double precision dsqrt -c/ -c/6 -c data zero/0.d+0/ -c/7 - parameter (zero=0.d+0) -c/ -c -c *** body *** -c - i0 = n1 * (n1 - 1) / 2 - do 50 i = n1, n - td = zero - if (i .eq. 1) go to 40 - j0 = 0 - im1 = i - 1 - do 30 j = 1, im1 - t = zero - if (j .eq. 1) go to 20 - jm1 = j - 1 - do 10 k = 1, jm1 - ik = i0 + k - jk = j0 + k - t = t + l(ik)*l(jk) - 10 continue - 20 ij = i0 + j - j0 = j0 + j - t = (a(ij) - t) / l(j0) - l(ij) = t - td = td + t*t - 30 continue - 40 i0 = i0 + i - t = a(i0) - td - if (t .le. zero) go to 60 - l(i0) = dsqrt(t) - 50 continue -c - irc = 0 - go to 999 -c - 60 l(i0) = t - irc = i -c - 999 return -c -c *** last card of lsqrt *** - end - double precision function lsvmin(p, l, x, y) -c -c *** estimate smallest sing. value of packed lower triang. matrix l -c -c *** parameter declarations *** -c - integer p -cal double precision l(1), x(p), y(p) - double precision l(p*(p+1)/2), x(p), y(p) -c dimension l(p*(p+1)/2) -c -c+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -c -c *** purpose *** -c -c this function returns a good over-estimate of the smallest -c singular value of the packed lower triangular matrix l. -c -c *** parameter description *** -c -c p (in) = the order of l. l is a p x p lower triangular matrix. -c l (in) = array holding the elements of l in row order, i.e. -c l(1,1), l(2,1), l(2,2), l(3,1), l(3,2), l(3,3), etc. -c x (out) if lsvmin returns a positive value, then x is a normalized -c approximate left singular vector corresponding to the -c smallest singular value. this approximation may be very -c crude. if lsvmin returns zero, then some components of x -c are zero and the rest retain their input values. -c y (out) if lsvmin returns a positive value, then y = (l**-1)*x is an -c unnormalized approximate right singular vector correspond- -c ing to the smallest singular value. this approximation -c may be crude. if lsvmin returns zero, then y retains its -c input value. the caller may pass the same vector for x -c and y (nonstandard fortran usage), in which case y over- -c writes x (for nonzero lsvmin returns). -c -c *** algorithm notes *** -c -c the algorithm is based on (1), with the additional provision that -c lsvmin = 0 is returned if the smallest diagonal element of l -c (in magnitude) is not more than the unit roundoff times the -c largest. the algorithm uses a random number generator proposed -c in (4), which passes the spectral test with flying colors -- see -c (2) and (3). -c -c *** subroutines and functions called *** -c -c v2norm - function, returns the 2-norm of a vector. -c -c *** references *** -c -c (1) cline, a., moler, c., stewart, g., and wilkinson, j.h.(1977), -c an estimate for the condition number of a matrix, report -c tm-310, applied math. div., argonne national laboratory. -c -c (2) hoaglin, d.c. (1976), theoretical properties of congruential -c random-number generators -- an empirical view, -c memorandum ns-340, dept. of statistics, harvard univ. -c -c (3) knuth, d.e. (1969), the art of computer programming, vol. 2 -c (seminumerical algorithms), addison-wesley, reading, mass. -c -c (4) smith, c.s. (1971), multiplicative pseudo-random number -c generators with prime modulus, j. assoc. comput. mach. 18, -c pp. 586-593. -c -c *** history *** -c -c designed and coded by david m. gay (winter 1977/summer 1978). -c -c *** general *** -c -c this subroutine was written in connection with research -c supported by the national science foundation under grants -c mcs-7600324, dcr75-10143, 76-14311dss, and mcs76-11989. -c -c+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -c -c *** local variables *** -c - integer i, ii, ix, j, ji, jj, jjj, jm1, j0, pm1 - double precision b, sminus, splus, t, xminus, xplus -c -c *** constants *** -c - double precision half, one, r9973, zero -c -c *** intrinsic functions *** -c/+ - integer mod - real float - double precision dabs -c/ -c *** external functions and subroutines *** -c - external dotprd, v2norm, vaxpy - double precision dotprd, v2norm -c -c/6 -c data half/0.5d+0/, one/1.d+0/, r9973/9973.d+0/, zero/0.d+0/ -c/7 - parameter (half=0.5d+0, one=1.d+0, r9973=9973.d+0, zero=0.d+0) -c/ -c -c *** body *** -c - ix = 2 - pm1 = p - 1 -c -c *** first check whether to return lsvmin = 0 and initialize x *** -c - ii = 0 - j0 = p*pm1/2 - jj = j0 + p - if (l(jj) .eq. zero) go to 110 - ix = mod(3432*ix, 9973) - b = half*(one + float(ix)/r9973) - xplus = b / l(jj) - x(p) = xplus - if (p .le. 1) go to 60 - do 10 i = 1, pm1 - ii = ii + i - if (l(ii) .eq. zero) go to 110 - ji = j0 + i - x(i) = xplus * l(ji) - 10 continue -c -c *** solve (l**t)*x = b, where the components of b have randomly -c *** chosen magnitudes in (.5,1) with signs chosen to make x large. -c -c do j = p-1 to 1 by -1... - do 50 jjj = 1, pm1 - j = p - jjj -c *** determine x(j) in this iteration. note for i = 1,2,...,j -c *** that x(i) holds the current partial sum for row i. - ix = mod(3432*ix, 9973) - b = half*(one + float(ix)/r9973) - xplus = (b - x(j)) - xminus = (-b - x(j)) - splus = dabs(xplus) - sminus = dabs(xminus) - jm1 = j - 1 - j0 = j*jm1/2 - jj = j0 + j - xplus = xplus/l(jj) - xminus = xminus/l(jj) - if (jm1 .eq. 0) go to 30 - do 20 i = 1, jm1 - ji = j0 + i - splus = splus + dabs(x(i) + l(ji)*xplus) - sminus = sminus + dabs(x(i) + l(ji)*xminus) - 20 continue - 30 if (sminus .gt. splus) xplus = xminus - x(j) = xplus -c *** update partial sums *** - if (jm1 .gt. 0) call vaxpy(jm1, x, xplus, l(j0+1), x) - 50 continue -c -c *** normalize x *** -c - 60 t = one/v2norm(p, x) - do 70 i = 1, p - 70 x(i) = t*x(i) -c -c *** solve l*y = x and return lsvmin = 1/twonorm(y) *** -c - do 100 j = 1, p - jm1 = j - 1 - j0 = j*jm1/2 - jj = j0 + j - t = zero - if (jm1 .gt. 0) t = dotprd(jm1, l(j0+1), y) - y(j) = (x(j) - t) / l(jj) - 100 continue -c - lsvmin = one/v2norm(p, y) - go to 999 -c - 110 lsvmin = zero - 999 return -c *** last card of lsvmin follows *** - end - subroutine slvmul(p, y, s, x) -c -c *** set y = s * x, s = p x p symmetric matrix. *** -c *** lower triangle of s stored rowwise. *** -c -c *** parameter declarations *** -c - integer p -cal double precision s(1), x(p), y(p) - double precision s(p*(p+1)/2), x(p), y(p) -c dimension s(p*(p+1)/2) -c -c *** local variables *** -c - integer i, im1, j, k - double precision xi -c -c *** no intrinsic functions *** -c -c *** external function *** -c - external dotprd - double precision dotprd -c -c----------------------------------------------------------------------- -c - j = 1 - do 10 i = 1, p - y(i) = dotprd(i, s(j), x) - j = j + i - 10 continue -c - if (p .le. 1) go to 999 - j = 1 - do 40 i = 2, p - xi = x(i) - im1 = i - 1 - j = j + 1 - do 30 k = 1, im1 - y(k) = y(k) + s(j)*xi - j = j + 1 - 30 continue - 40 continue -c - 999 return -c *** last card of slvmul follows *** - end diff --git a/source/unres/src_MD-restraints-PM/dihed_cons.F b/source/unres/src_MD-restraints-PM/dihed_cons.F deleted file mode 100644 index e45405f..0000000 --- a/source/unres/src_MD-restraints-PM/dihed_cons.F +++ /dev/null @@ -1,185 +0,0 @@ - subroutine secstrp2dihc - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.BOUNDS' - include 'COMMON.CHAIN' - include 'COMMON.TORCNSTR' - include 'COMMON.IOUNITS' - character*1 secstruc(maxres) - COMMON/SECONDARYS/secstruc - character*80 line - logical errflag - external ilen - -cdr call getenv_loc('SECPREDFIL',secpred) - lenpre=ilen(prefix) - secpred=prefix(:lenpre)//'.spred' - -#if defined(WINIFL) || defined(WINPGI) - open(isecpred,file=secpred,status='old',readonly,shared) -#elif (defined CRAY) || (defined AIX) - open(isecpred,file=secpred,status='old',action='read') -#elif (defined G77) - open(isecpred,file=secpred,status='old') -#else - open(isecpred,file=secpred,status='old',action='read') -#endif -C read secondary structure prediction from JPRED here! -! read(isecpred,'(A80)',err=100,end=100) line -! read(line,'(f10.3)',err=110) ftors - read(isecpred,'(f10.3)',err=110) ftors - - write (iout,*) 'FTORS factor =',ftors -! initialize secstruc to any - do i=1,nres - secstruc(i) ='-' - enddo - ndih_constr=0 - ndih_nconstr=0 - - call read_secstr_pred(isecpred,iout,errflag) - if (errflag) then - write(iout,*)'There is a problem with the list of secondary-', - & 'structure prediction' - goto 100 - endif -C 8/13/98 Set limits to generating the dihedral angles - do i=1,nres - phibound(1,i)=-pi - phibound(2,i)=pi - enddo - - ii=0 - do i=1,nres - if ( secstruc(i) .eq. 'H') then -C Helix restraints for this residue - ii=ii+1 - idih_constr(ii)=i - phi0(ii) = 45.0D0*deg2rad - drange(ii)= 5.0D0*deg2rad - phibound(1,i) = phi0(ii)-drange(ii) - phibound(2,i) = phi0(ii)+drange(ii) - else if (secstruc(i) .eq. 'E') then -C strand restraints for this residue - ii=ii+1 - idih_constr(ii)=i - phi0(ii) = 180.0D0*deg2rad - drange(ii)= 5.0D0*deg2rad - phibound(1,i) = phi0(ii)-drange(ii) - phibound(2,i) = phi0(ii)+drange(ii) - else -C no restraints for this residue - ndih_nconstr=ndih_nconstr+1 - idih_nconstr(ndih_nconstr)=i - endif - enddo - ndih_constr=ii - return -100 continue - write(iout,'(A30,A80)')'Error reading file SECPRED',secpred - return - 110 continue - write(iout,'(A20)')'Error reading FTORS' - return - end - - subroutine read_secstr_pred(jin,jout,errors) - - implicit real*8 (a-h,o-z) - INCLUDE 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - character*1 secstruc(maxres) - COMMON/SECONDARYS/secstruc - EXTERNAL ILEN - character*80 line,line1,ucase - logical errflag,errors,blankline - - errors=.false. - read (jin,'(a)') line - write (jout,'(2a)') '> ',line(1:78) - line1=ucase(line) -C Remember that we number full residues starting from 2, then, iseq=1 and iseq=nres -C correspond to the end-groups. ADD to the secondary structure prediction "-" for the -C end-groups in the input file "*.spred" - - iseq=1 - do while (index(line1,'$END').eq.0) -* Override commented lines. - ipos=1 - blankline=.false. - do while (.not.blankline) - line1=' ' - call mykey(line,line1,ipos,blankline,errflag) - if (errflag) write (jout,'(2a)') - & 'Error when reading sequence in line: ',line - errors=errors .or. errflag - if (.not. blankline .and. .not. errflag) then - ipos1=2 - iend=ilen(line1) - if (iseq.le.maxres) then - if (line1(1:1).eq.'-' ) then - secstruc(iseq)=line1(1:1) - else if ( ( ucase(line1(1:1)).eq.'E' ) .or. - & ( ucase(line1(1:1)).eq.'H' ) ) then - secstruc(iseq)=ucase(line1(1:1)) - else - errors=.true. - write (jout,1010) line1(1:1), iseq - goto 80 - endif - else - errors=.true. - write (jout,1000) iseq,maxres - goto 80 - endif - do while (ipos1.le.iend) - - iseq=iseq+1 - il=1 - ipos1=ipos1+1 - if (iseq.le.maxres) then - if (line1(ipos1-1:ipos1-1).eq.'-' ) then - secstruc(iseq)=line1(ipos1-1:ipos1-1) - else if((ucase(line1(ipos1-1:ipos1-1)).eq.'E').or. - & (ucase(line1(ipos1-1:ipos1-1)).eq.'H') ) then - secstruc(iseq)=ucase(line1(ipos1-1:ipos1-1)) - else - errors=.true. - write (jout,1010) line1(ipos1-1:ipos1-1), iseq - goto 80 - endif - else - errors=.true. - write (jout,1000) iseq,maxres - goto 80 - endif - enddo - iseq=iseq+1 - endif - enddo - read (jin,'(a)') line - write (jout,'(2a)') '> ',line(1:78) - line1=ucase(line) - enddo - -cd write (jout,'(10a8)') (sequence(i),i=1,iseq-1) - -cd check whether the found length of the chain is correct. - length_of_chain=iseq-1 - if (length_of_chain .ne. nres) then -! errors=.true. - write (jout,'(a,i4,a,i4,a)') - & 'Error: the number of labels specified in $SEC_STRUC_PRED (' - & ,length_of_chain,') does not match with the number of residues (' - & ,nres,').' - endif - 80 continue - - 1000 format('Error - the number of residues (',i4, - & ') has exceeded maximum (',i4,').') - 1010 format ('Error - unrecognized secondary structure label',a4, - & ' in position',i4) - return - end diff --git a/source/unres/src_MD-restraints-PM/djacob.f b/source/unres/src_MD-restraints-PM/djacob.f deleted file mode 100644 index e3f46bc..0000000 --- a/source/unres/src_MD-restraints-PM/djacob.f +++ /dev/null @@ -1,107 +0,0 @@ - SUBROUTINE DJACOB(N,NMAX,MAXJAC,E,A,C,AII) - IMPLICIT REAL*8 (A-H,O-Z) -C THE JACOBI DIAGONALIZATION PROCEDURE - COMMON INP,IOUT,IPN - DIMENSION A(NMAX,N),C(NMAX,N),AII(150),AJJ(150) - SIN45 = .70710678 - COS45 = .70710678 - S45SQ = 0.50 - C45SQ = 0.50 -C UNIT EIGENVECTOR MATRIX - DO 70 I = 1,N - DO 7 J = I,N - A(J,I)=A(I,J) - C(I,J) = 0.0 - 7 C(J,I) = 0.0 - 70 C(I,I) = 1.0 -C DETERMINATION OF SEARCH ARGUMENT, TEST - AMAX = 0.0 - DO 1 I = 1,N - DO 1 J = 1,I - TEMPA=DABS(A(I,J)) - IF (AMAX-TEMPA) 2,1,1 - 2 AMAX = TEMPA - 1 CONTINUE - TEST = AMAX*E -C SEARCH FOR LARGEST OFF DIAGONAL ELEMENT - DO 72 IJAC=1,MAXJAC - AIJMAX = 0.0 - DO 3 I = 2,N - LIM = I-1 - DO 3 J = 1,LIM - TAIJ=DABS(A(I,J)) - IF (AIJMAX-TAIJ) 4,3,3 - 4 AIJMAX = TAIJ - IPIV = I - JPIV = J - 3 CONTINUE - IF(AIJMAX-TEST)300,300,5 -C PARAMETERS FOR ROTATION - 5 TAII = A(IPIV,IPIV) - TAJJ = A(JPIV,JPIV) - TAIJ = A(IPIV,JPIV) - TMT = TAII-TAJJ - IF(DABS(TMT/TAIJ)-1.0D-12) 60,60,6 - 60 IF(TAIJ) 10,10,11 - 6 ZAMMA=TAIJ/(2.0*TMT) - 90 IF(DABS(ZAMMA)-0.38268)8,8,9 - 9 IF(ZAMMA)10,10,11 - 10 SINT = -SIN45 - GO TO 12 - 11 SINT = SIN45 - 12 COST = COS45 - SINSQ = S45SQ - COSSQ = C45SQ - GO TO 120 - 8 GAMSQ=ZAMMA*ZAMMA - SINT=2.0*ZAMMA/(1.0+GAMSQ) - COST = (1.0-GAMSQ)/(1.0+GAMSQ) - SINSQ=SINT*SINT - COSSQ=COST*COST -C ROTATION - 120 DO 13 K = 1,N - TAIK = A(IPIV,K) - TAJK = A(JPIV,K) - A(IPIV,K) = TAIK*COST+TAJK*SINT - A(JPIV,K) = TAJK*COST-TAIK*SINT - TCIK = C(IPIV,K) - TCJK = C(JPIV,K) - C(IPIV,K) = TCIK*COST+TCJK*SINT - 13 C(JPIV,K) = TCJK*COST-TCIK*SINT - A(IPIV,IPIV) = TAII*COSSQ+TAJJ*SINSQ+2.0*TAIJ*SINT*COST - A(JPIV,JPIV) = TAII*SINSQ+TAJJ*COSSQ-2.0*TAIJ*SINT*COST - A(IPIV,JPIV) = TAIJ*(COSSQ-SINSQ)-SINT*COST*TMT - A(JPIV,IPIV) = A(IPIV,JPIV) - DO 30 K = 1,N - A(K,IPIV) = A(IPIV,K) - 30 A(K,JPIV) = A(JPIV,K) - 72 CONTINUE - WRITE (IOUT,1000) AIJMAX - 1000 FORMAT (/1X,'NONCONVERGENT JACOBI. LARGEST OFF-DIAGONAL ELE', - 1 'MENT = ',1PE14.7) -C ARRANGEMENT OF EIGENVALUES IN ASCENDING ORDER - 300 DO 14 I=1,N - 14 AJJ(I)=A(I,I) - LT=N+1 - DO15 L=1,N - LT=LT-1 - AIIMIN=1.0E+30 - DO16 I=1,N - IF(AJJ(I)-AIIMIN)17,16,16 - 17 AIIMIN=AJJ(I) - IT=I - 16 CONTINUE - IN=L - AII(IN)=AIIMIN - AJJ(IT)=1.0E+30 - DO15 K=1,N - 15 A(IN,K)=C(IT,K) - DO 18 I=1,N - IF(A(I,1))19,22,22 - 19 T=-1.0 - GO TO 91 - 22 T=1.0 - 91 DO 18 J=1,N - 18 C(J,I)=T*A(I,J) - RETURN - END diff --git a/source/unres/src_MD-restraints-PM/econstr_local.F b/source/unres/src_MD-restraints-PM/econstr_local.F deleted file mode 100644 index f11acfb..0000000 --- a/source/unres/src_MD-restraints-PM/econstr_local.F +++ /dev/null @@ -1,91 +0,0 @@ - subroutine Econstr_back -c MD with umbrella_sampling using Wolyne's distance measure as a constraint - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - Uconst_back=0.0d0 - do i=1,nres - dutheta(i)=0.0d0 - dugamma(i)=0.0d0 - do j=1,3 - duscdiff(j,i)=0.0d0 - duscdiffx(j,i)=0.0d0 - enddo - enddo - do i=1,nfrag_back - ii = ifrag_back(2,i,iset)-ifrag_back(1,i,iset) -c -c Deviations from theta angles -c - utheta_i=0.0d0 - do j=ifrag_back(1,i,iset)+2,ifrag_back(2,i,iset) - dtheta_i=theta(j)-thetaref(j) - utheta_i=utheta_i+0.5d0*dtheta_i*dtheta_i - dutheta(j-2)=dutheta(j-2)+wfrag_back(1,i,iset)*dtheta_i/(ii-1) - enddo - utheta(i)=utheta_i/(ii-1) -c -c Deviations from gamma angles -c - ugamma_i=0.0d0 - do j=ifrag_back(1,i,iset)+3,ifrag_back(2,i,iset) - dgamma_i=pinorm(phi(j)-phiref(j)) -c write (iout,*) j,phi(j),phi(j)-phiref(j) - ugamma_i=ugamma_i+0.5d0*dgamma_i*dgamma_i - dugamma(j-3)=dugamma(j-3)+wfrag_back(2,i,iset)*dgamma_i/(ii-2) -c write (iout,*) i,j,dgamma_i,wfrag_back(2,i,iset),dugamma(j-3) - enddo - ugamma(i)=ugamma_i/(ii-2) -c -c Deviations from local SC geometry -c - uscdiff(i)=0.0d0 - do j=ifrag_back(1,i,iset)+1,ifrag_back(2,i,iset)-1 - dxx=xxtab(j)-xxref(j) - dyy=yytab(j)-yyref(j) - dzz=zztab(j)-zzref(j) - uscdiff(i)=uscdiff(i)+dxx*dxx+dyy*dyy+dzz*dzz - do k=1,3 - duscdiff(k,j-1)=duscdiff(k,j-1)+wfrag_back(3,i,iset)* - & (dXX_C1tab(k,j)*dxx+dYY_C1tab(k,j)*dyy+dZZ_C1tab(k,j)*dzz)/ - & (ii-1) - duscdiff(k,j)=duscdiff(k,j)+wfrag_back(3,i,iset)* - & (dXX_Ctab(k,j)*dxx+dYY_Ctab(k,j)*dyy+dZZ_Ctab(k,j)*dzz)/ - & (ii-1) - duscdiffx(k,j)=duscdiffx(k,j)+wfrag_back(3,i,iset)* - & (dXX_XYZtab(k,j)*dxx+dYY_XYZtab(k,j)*dyy+dZZ_XYZtab(k,j)*dzz) - & /(ii-1) - enddo -c write (iout,'(i5,6f10.5)') j,xxtab(j),yytab(j),zztab(j), -c & xxref(j),yyref(j),zzref(j) - enddo - uscdiff(i)=0.5d0*uscdiff(i)/(ii-1) -c write (iout,*) i," uscdiff",uscdiff(i) -c -c Put together deviations from local geometry -c - Uconst_back=Uconst_back+wfrag_back(1,i,iset)*utheta(i)+ - & wfrag_back(2,i,iset)*ugamma(i)+wfrag_back(3,i,iset)*uscdiff(i) -c write(iout,*) "i",i," utheta",utheta(i)," ugamma",ugamma(i), -c & " uconst_back",uconst_back - utheta(i)=dsqrt(utheta(i)) - ugamma(i)=dsqrt(ugamma(i)) - uscdiff(i)=dsqrt(uscdiff(i)) - enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/eigen.f b/source/unres/src_MD-restraints-PM/eigen.f deleted file mode 100644 index e4088ee..0000000 --- a/source/unres/src_MD-restraints-PM/eigen.f +++ /dev/null @@ -1,2351 +0,0 @@ -C 10 AUG 94 - MWS - INCREASE NUMBER OF DAF RECORDS -C 31 MAR 94 - MWS - ADD A VARIABLE TO END OF MACHSW COMMON -C 26 JUN 93 - MWS - ETRED3: ADD RETURN FOR SPECIAL CASE N=1 -C 4 JAN 92 - TLW - MAKE WRITES PARALLEL;ADD COMMON PAR -C 30 AUG 91 - MWS - JACDIA: LIMIT ITERATIONS, USE EPSLON IN TEST. -C 14 JUL 91 - MWS - JACOBI DIAGONALIZATION ALLOWS FOR LDVEC.NE.N -C 29 JAN 91 - TLW - GLDIAG: CHANGED COMMON DIAGSW TO MACHSW -C 29 OCT 90 - STE - FIX JACDIA UNDEFINED VARIABLE BUG -C 14 SEP 90 - MK - NEW JACOBI DIAGONALIZATION (KDIAG=3) -C 27 MAR 88 - MWS - ALLOW FOR VECTOR ROUTINE IN GLDIAG -C 11 AUG 87 - MWS - SANITIZE CONSTANTS IN EQLRAT -C 15 FEB 87 - STE - FIX EINVIT SUB-MATRIX LOOP LIMIT -C SCRATCH ARRAYS ARE N*8 REAL AND N INTEGER -C 8 DEC 86 - STE - USE PERF INDEX FROM ESTPI1 TO JUDGE EINVIT FAILURE -C 30 NOV 86 - STE - DELETE LIGENB, MAKE EVVRSP DEFAULT -C (GIVEIS FAILS ON CRAY FOR BENCHMC AND BENCHCI) -C 7 JUL 86 - JAB - SANITIZE FLOATING POINT CONSTANTS -C 11 OCT 85 - STE - LIGENB,TQL2: USE DROT,DSWAP; TINVTB: SCALE VECTOR -C BEFORE NORMALIZING; GENERIC FUNCTIONS -C 24 FEB 84 - STE - INITIALIZE INDEX ARRAY FOR LIGENB IN GLDIAG -C 1 DEC 83 - STE - CHANGE MACHEP FROM 2**-54 TO 2**-50 -C 28 SEP 82 - MWS - CONVERT TO IBM -C -C*MODULE EIGEN *DECK EINVIT - SUBROUTINE EINVIT(NM,N,D,E,E2,M,W,IND,Z,IERR,RV1,RV2,RV3,RV4,RV6) -C* -C* AUTHORS- -C* THIS IS A MODIFICATION OF TINVIT FROM EISPACK EDITION 3 -C* DATED AUGUST 1983. -C* TINVIT IS A TRANSLATION OF THE INVERSE ITERATION TECHNIQUE -C* IN THE ALGOL PROCEDURE TRISTURM BY PETERS AND WILKINSON. -C* HANDBOOK FOR AUTO. COMP., VOL.II-LINEAR ALGEBRA, 418-439(1971). -C* THIS VERSION IS BY S. T. ELBERT (AMES LABORATORY-USDOE) -C* -C* PURPOSE - -C* THIS ROUTINE FINDS THOSE EIGENVECTORS OF A TRIDIAGONAL -C* SYMMETRIC MATRIX CORRESPONDING TO SPECIFIED EIGENVALUES. -C* -C* METHOD - -C* INVERSE ITERATION. -C* -C* ON ENTRY - -C* NM - INTEGER -C* MUST BE SET TO THE ROW DIMENSION OF TWO-DIMENSIONAL -C* ARRAY PARAMETERS AS DECLARED IN THE CALLING ROUTINE -C* DIMENSION STATEMENT. -C* N - INTEGER -C* D - W.P. REAL (N) -C* CONTAINS THE DIAGONAL ELEMENTS OF THE INPUT MATRIX. -C* E - W.P. REAL (N) -C* CONTAINS THE SUBDIAGONAL ELEMENTS OF THE INPUT MATRIX -C* IN ITS LAST N-1 POSITIONS. E(1) IS ARBITRARY. -C* E2 - W.P. REAL (N) -C* CONTAINS THE SQUARES OF CORRESPONDING ELEMENTS OF E, -C* WITH ZEROS CORRESPONDING TO NEGLIGIBLE ELEMENTS OF E. -C* E(I) IS CONSIDERED NEGLIGIBLE IF IT IS NOT LARGER THAN -C* THE PRODUCT OF THE RELATIVE MACHINE PRECISION AND THE -C* SUM OF THE MAGNITUDES OF D(I) AND D(I-1). E2(1) MUST -C* CONTAIN 0.0 IF THE EIGENVALUES ARE IN ASCENDING ORDER, -C* OR 2.0 IF THE EIGENVALUES ARE IN DESCENDING ORDER. -C* IF TQLRAT, BISECT, TRIDIB, OR IMTQLV -C* HAS BEEN USED TO FIND THE EIGENVALUES, THEIR -C* OUTPUT E2 ARRAY IS EXACTLY WHAT IS EXPECTED HERE. -C* M - INTEGER -C* THE NUMBER OF SPECIFIED EIGENVECTORS. -C* W - W.P. REAL (M) -C* CONTAINS THE M EIGENVALUES IN ASCENDING -C* OR DESCENDING ORDER. -C* IND - INTEGER (M) -C* CONTAINS IN FIRST M POSITIONS THE SUBMATRIX INDICES -C* ASSOCIATED WITH THE CORRESPONDING EIGENVALUES IN W -- -C* 1 FOR EIGENVALUES BELONGING TO THE FIRST SUBMATRIX -C* FROM THE TOP, 2 FOR THOSE BELONGING TO THE SECOND -C* SUBMATRIX, ETC. -C* IERR - INTEGER (LOGICAL UNIT NUMBER) -C* LOGICAL UNIT FOR ERROR MESSAGES -C* -C* ON EXIT - -C* ALL INPUT ARRAYS ARE UNALTERED. -C* Z - W.P. REAL (NM,M) -C* CONTAINS THE ASSOCIATED SET OF ORTHONORMAL -C* EIGENVECTORS. ANY VECTOR WHICH WHICH FAILS TO CONVERGE -C* IS LEFT AS IS (BUT NORMALIZED) WHEN ITERATING STOPPED. -C* IERR - INTEGER -C* SET TO -C* ZERO FOR NORMAL RETURN, -C* -R IF THE EIGENVECTOR CORRESPONDING TO THE R-TH -C* EIGENVALUE FAILS TO CONVERGE IN 5 ITERATIONS. -C* (ONLY LAST FAILURE TO CONVERGE IS REPORTED) -C* -C* RV1, RV2, RV3, RV4, AND RV6 ARE TEMPORARY STORAGE ARRAYS. -C* -C* RV1 - W.P. REAL (N) -C* DIAGONAL ELEMENTS OF U FROM LU DECOMPOSITION -C* RV2 - W.P. REAL (N) -C* SUPER(1)-DIAGONAL ELEMENTS OF U FROM LU DECOMPOSITION -C* RV3 - W.P. REAL (N) -C* SUPER(2)-DIAGONAL ELEMENTS OF U FROM LU DECOMPOSITION -C* RV4 - W.P. REAL (N) -C* ELEMENTS DEFINING L IN LU DECOMPOSITION -C* RV6 - W.P. REAL (N) -C* APPROXIMATE EIGENVECTOR -C* -C* DIFFERENCES FROM EISPACK 3 - -C* EPS3 IS SCALED BY EPSCAL (ENHANCES CONVERGENCE, BUT -C* LOWERS ACCURACY)! -C* ONE MORE ITERATION (MINIMUM 2) IS PERFORMED AFTER CONVERGENCE -C* (ENHANCES ACCURACY)! -C* REPLACE LOOP WITH PYTHAG WITH SINGLE CALL TO DNRM2! -C* IF NOT CONVERGED, USE PERFORMANCE INDEX TO DECIDE ON ERROR -C* VALUE SETTING, BUT DO NOT STOP! -C* L.U. FOR ERROR MESSAGES PASSED THROUGH IERR -C* USE PARAMETER STATEMENTS AND GENERIC INTRINSIC FUNCTIONS -C* USE LEVEL 1 BLAS -C* USE IF-THEN-ELSE TO CLARIFY LOGIC -C* LOOP OVER SUBSPACES MADE INTO DO LOOP. -C* LOOP OVER INVERSE ITERATIONS MADE INTO DO LOOP -C* ZERO ONLY REQUIRED PORTIONS OF OUTPUT VECTOR -C* -C* NOTE - -C* QUESTIONS AND COMMENTS CONCERNING EISPACK SHOULD BE DIRECTED TO -C* B. S. GARBOW, APPLIED MATH. DIVISION, ARGONNE NATIONAL LAB. -C* -C - LOGICAL CONVGD,GOPARR,DSKWRK,MASWRK -C - INTEGER GROUP,I,IERR,ITS,J,JJ,M,N,NM,P,Q,R,S,SUBMAT,TAG - INTEGER IND(M) -C - DOUBLE PRECISION D(N),E(N),E2(N),W(M),Z(NM,M) - DOUBLE PRECISION RV1(N),RV2(N),RV3(N),RV4(N),RV6(N) - DOUBLE PRECISION ANORM,EPS2,EPS3,EPS4,NORM,ORDER,RHO,U,UK,V - DOUBLE PRECISION X0,X1,XU - DOUBLE PRECISION EPSCAL,GRPTOL,HUNDRD,ONE,TEN,ZERO - DOUBLE PRECISION EPSLON, ESTPI1, DASUM, DDOT, DNRM2 -C - COMMON /PAR / ME,MASTER,NPROC,IBTYP,IPTIM,GOPARR,DSKWRK,MASWRK -C - PARAMETER (ZERO = 0.0D+00, ONE = 1.0D+00, GRPTOL = 0.001D+00) - PARAMETER (EPSCAL = 0.5D+00, HUNDRD = 100.0D+00, TEN = 10.0D+00) -C - 001 FORMAT(' EIGENVECTOR ROUTINE EINVIT DID NOT CONVERGE FOR VECTOR' - * ,I5,'. NORM =',1P,E10.2,' PERFORMANCE INDEX =',E10.2/ - * ' (AN ERROR HALT WILL OCCUR IF THE PI IS GREATER THAN 100)') -C -C----------------------------------------------------------------------- -C - LUEMSG = IERR - IERR = 0 - X0 = ZERO - UK = ZERO - NORM = ZERO - EPS2 = ZERO - EPS3 = ZERO - EPS4 = ZERO - GROUP = 0 - TAG = 0 - ORDER = ONE - E2(1) - Q = 0 - DO 930 SUBMAT = 1, N - P = Q + 1 -C -C .......... ESTABLISH AND PROCESS NEXT SUBMATRIX .......... -C - DO 120 Q = P, N-1 - IF (E2(Q+1) .EQ. ZERO) GO TO 140 - 120 CONTINUE - Q = N -C -C .......... FIND VECTORS BY INVERSE ITERATION .......... -C - 140 CONTINUE - TAG = TAG + 1 - ANORM = ZERO - S = 0 -C - DO 920 R = 1, M - IF (IND(R) .NE. TAG) GO TO 920 - ITS = 1 - X1 = W(R) - IF (S .NE. 0) GO TO 510 -C -C .......... CHECK FOR ISOLATED ROOT .......... -C - XU = ONE - IF (P .EQ. Q) THEN - RV6(P) = ONE - CONVGD = .TRUE. - GO TO 860 -C - END IF - NORM = ABS(D(P)) - DO 500 I = P+1, Q - NORM = MAX( NORM, ABS(D(I)) + ABS(E(I)) ) - 500 CONTINUE -C -C .......... EPS2 IS THE CRITERION FOR GROUPING, -C EPS3 REPLACES ZERO PIVOTS AND EQUAL -C ROOTS ARE MODIFIED BY EPS3, -C EPS4 IS TAKEN VERY SMALL TO AVOID OVERFLOW ......... -C - EPS2 = GRPTOL * NORM - EPS3 = EPSCAL * EPSLON(NORM) - UK = Q - P + 1 - EPS4 = UK * EPS3 - UK = EPS4 / SQRT(UK) - S = P - GROUP = 0 - GO TO 520 -C -C .......... LOOK FOR CLOSE OR COINCIDENT ROOTS .......... -C - 510 IF (ABS(X1-X0) .GE. EPS2) THEN -C -C ROOTS ARE SEPERATE -C - GROUP = 0 - ELSE -C -C ROOTS ARE CLOSE -C - GROUP = GROUP + 1 - IF (ORDER * (X1 - X0) .LE. EPS3) X1 = X0 + ORDER * EPS3 - END IF -C -C .......... ELIMINATION WITH INTERCHANGES AND -C INITIALIZATION OF VECTOR .......... -C - 520 CONTINUE -C - U = D(P) - X1 - V = E(P+1) - RV6(P) = UK - DO 550 I = P+1, Q - RV6(I) = UK - IF (ABS(E(I)) .GT. ABS(U)) THEN -C -C EXCHANGE ROWS BEFORE ELIMINATION -C -C *** WARNING -- A DIVIDE CHECK MAY OCCUR HERE IF -C E2 ARRAY HAS NOT BEEN SPECIFIED CORRECTLY ....... -C - XU = U / E(I) - RV4(I) = XU - RV1(I-1) = E(I) - RV2(I-1) = D(I) - X1 - RV3(I-1) = E(I+1) - U = V - XU * RV2(I-1) - V = -XU * RV3(I-1) -C - ELSE -C -C STRAIGHT ELIMINATION -C - XU = E(I) / U - RV4(I) = XU - RV1(I-1) = U - RV2(I-1) = V - RV3(I-1) = ZERO - U = D(I) - X1 - XU * V - V = E(I+1) - END IF - 550 CONTINUE -C - IF (ABS(U) .LE. EPS3) U = EPS3 - RV1(Q) = U - RV2(Q) = ZERO - RV3(Q) = ZERO -C -C DO INVERSE ITERATIONS -C - CONVGD = .FALSE. - DO 800 ITS = 1, 5 - IF (ITS .EQ. 1) GO TO 600 -C -C .......... FORWARD SUBSTITUTION .......... -C - IF (NORM .EQ. ZERO) THEN - RV6(S) = EPS4 - S = S + 1 - IF (S .GT. Q) S = P - ELSE - XU = EPS4 / NORM - CALL DSCAL (Q-P+1, XU, RV6(P), 1) - END IF -C -C ... ELIMINATION OPERATIONS ON NEXT VECTOR -C - DO 590 I = P+1, Q - U = RV6(I) -C -C IF RV1(I-1) .EQ. E(I), A ROW INTERCHANGE -C WAS PERFORMED EARLIER IN THE -C TRIANGULARIZATION PROCESS .......... -C - IF (RV1(I-1) .EQ. E(I)) THEN - U = RV6(I-1) - RV6(I-1) = RV6(I) - ELSE - U = RV6(I) - END IF - RV6(I) = U - RV4(I) * RV6(I-1) - 590 CONTINUE - 600 CONTINUE -C -C .......... BACK SUBSTITUTION -C - RV6(Q) = RV6(Q) / RV1(Q) - V = U - U = RV6(Q) - NORM = ABS(U) - DO 620 I = Q-1, P, -1 - RV6(I) = (RV6(I) - U * RV2(I) - V * RV3(I)) / RV1(I) - V = U - U = RV6(I) - NORM = NORM + ABS(U) - 620 CONTINUE - IF (GROUP .EQ. 0) GO TO 700 -C -C ....... ORTHOGONALIZE WITH RESPECT TO PREVIOUS -C MEMBERS OF GROUP .......... -C - J = R - DO 680 JJ = 1, GROUP - 630 J = J - 1 - IF (IND(J) .NE. TAG) GO TO 630 - CALL DAXPY(Q-P+1, -DDOT(Q-P+1,RV6(P),1,Z(P,J),1), - * Z(P,J),1,RV6(P),1) - 680 CONTINUE - NORM = DASUM(Q-P+1, RV6(P), 1) - 700 CONTINUE -C - IF (CONVGD) GO TO 840 - IF (NORM .GE. ONE) CONVGD = .TRUE. - 800 CONTINUE -C -C .......... NORMALIZE SO THAT SUM OF SQUARES IS -C 1 AND EXPAND TO FULL ORDER .......... -C - 840 CONTINUE -C - XU = ONE / DNRM2(Q-P+1,RV6(P),1) -C - 860 CONTINUE - DO 870 I = 1, P-1 - Z(I,R) = ZERO - 870 CONTINUE - DO 890 I = P,Q - Z(I,R) = RV6(I) * XU - 890 CONTINUE - DO 900 I = Q+1, N - Z(I,R) = ZERO - 900 CONTINUE -C - IF (.NOT.CONVGD) THEN - RHO = ESTPI1(Q-P+1,X1,D(P),E(P),Z(P,R),ANORM) - IF (RHO .GE. TEN .AND. LUEMSG .GT. 0 .AND. MASWRK) - * WRITE(LUEMSG,001) R,NORM,RHO -C -C *** SET ERROR -- NON-CONVERGED EIGENVECTOR .......... -C - IF (RHO .GT. HUNDRD) IERR = -R - END IF -C - X0 = X1 - 920 CONTINUE -C - IF (Q .EQ. N) GO TO 940 - 930 CONTINUE - 940 CONTINUE - RETURN - END -C*MODULE EIGEN *DECK ELAUM - SUBROUTINE ELAU(HINV,L,D,A,E) -C - DOUBLE PRECISION A(*) - DOUBLE PRECISION D(L) - DOUBLE PRECISION E(L) - DOUBLE PRECISION F - DOUBLE PRECISION G - DOUBLE PRECISION HALF - DOUBLE PRECISION HH - DOUBLE PRECISION HINV - DOUBLE PRECISION ZERO -C - PARAMETER (ZERO = 0.0D+00, HALF = 0.5D+00) -C - JL = L - E(1) = A(1) * D(1) - JK = 2 - DO 210 J = 2, JL - F = D(J) - G = ZERO - JM1 = J - 1 -C - DO 200 K = 1, JM1 - G = G + A(JK) * D(K) - E(K) = E(K) + A(JK) * F - JK = JK + 1 - 200 CONTINUE -C - E(J) = G + A(JK) * F - JK = JK + 1 - 210 CONTINUE -C -C .......... FORM P .......... -C - F = ZERO - DO 245 J = 1, L - E(J) = E(J) * HINV - F = F + E(J) * D(J) - 245 CONTINUE -C -C .......... FORM Q .......... -C - HH = F * HALF * HINV - DO 250 J = 1, L - 250 E(J) = E(J) - HH * D(J) -C - RETURN - END -C*MODULE EIGEN *DECK EPSLON - DOUBLE PRECISION FUNCTION EPSLON (X) -C* -C* AUTHORS - -C* THIS ROUTINE WAS TAKEN FROM EISPACK EDITION 3 DATED 4/6/83 -C* THIS VERSION IS BY S. T. ELBERT, AMES LABORATORY-USDOE NOV 1986 -C* -C* PURPOSE - -C* ESTIMATE UNIT ROUNDOFF IN QUANTITIES OF SIZE X. -C* -C* ON ENTRY - -C* X - WORKING PRECISION REAL -C* VALUES TO FIND EPSLON FOR -C* -C* ON EXIT - -C* EPSLON - WORKING PRECISION REAL -C* SMALLEST POSITIVE VALUE SUCH THAT X+EPSLON .NE. ZERO -C* -C* QUALIFICATIONS - -C* THIS ROUTINE SHOULD PERFORM PROPERLY ON ALL SYSTEMS -C* SATISFYING THE FOLLOWING TWO ASSUMPTIONS, -C* 1. THE BASE USED IN REPRESENTING FLOATING POINT -C* NUMBERS IS NOT A POWER OF THREE. -C* 2. THE QUANTITY A IN STATEMENT 10 IS REPRESENTED TO -C* THE ACCURACY USED IN FLOATING POINT VARIABLES -C* THAT ARE STORED IN MEMORY. -C* THE STATEMENT NUMBER 10 AND THE GO TO 10 ARE INTENDED TO -C* FORCE OPTIMIZING COMPILERS TO GENERATE CODE SATISFYING -C* ASSUMPTION 2. -C* UNDER THESE ASSUMPTIONS, IT SHOULD BE TRUE THAT, -C* A IS NOT EXACTLY EQUAL TO FOUR-THIRDS, -C* B HAS A ZERO FOR ITS LAST BIT OR DIGIT, -C* C IS NOT EXACTLY EQUAL TO ONE, -C* EPS MEASURES THE SEPARATION OF 1.0 FROM -C* THE NEXT LARGER FLOATING POINT NUMBER. -C* THE DEVELOPERS OF EISPACK WOULD APPRECIATE BEING INFORMED -C* ABOUT ANY SYSTEMS WHERE THESE ASSUMPTIONS DO NOT HOLD. -C* -C* DIFFERENCES FROM EISPACK 3 - -C* USE IS MADE OF PARAMETER STATEMENTS AND INTRINSIC FUNCTIONS -C* --NO EXECUTEABLE CODE CHANGES-- -C* -C* NOTE - -C* QUESTIONS AND COMMENTS CONCERNING EISPACK SHOULD BE DIRECTED TO -C* B. S. GARBOW, APPLIED MATH. DIVISION, ARGONNE NATIONAL LAB. -C - DOUBLE PRECISION A,B,C,EPS,X - DOUBLE PRECISION ZERO, ONE, THREE, FOUR -C - PARAMETER (ZERO=0.0D+00, ONE=1.0D+00, THREE=3.0D+00, FOUR=4.0D+00) -C -C----------------------------------------------------------------------- -C - A = FOUR/THREE - 10 B = A - ONE - C = B + B + B - EPS = ABS(C - ONE) - IF (EPS .EQ. ZERO) GO TO 10 - EPSLON = EPS*ABS(X) - RETURN - END -C*MODULE EIGEN *DECK EQLRAT - SUBROUTINE EQLRAT(N,DIAG,E,E2IN,D,IND,IERR,E2) -C* -C* AUTHORS - -C* THIS IS A MODIFICATION OF ROUTINE EQLRAT FROM EISPACK EDITION 3 -C* DATED AUGUST 1983. -C* TQLRAT IS A TRANSLATION OF THE ALGOL PROCEDURE TQLRAT, -C* ALGORITHM 464, COMM. ACM 16, 689(1973) BY REINSCH. -C* THIS VERSION IS BY S. T. ELBERT (AMES LABORATORY-USDOE) -C* -C* PURPOSE - -C* THIS ROUTINE FINDS THE EIGENVALUES OF A SYMMETRIC -C* TRIDIAGONAL MATRIX -C* -C* METHOD - -C* RATIONAL QL -C* -C* ON ENTRY - -C* N - INTEGER -C* THE ORDER OF THE MATRIX. -C* D - W.P. REAL (N) -C* CONTAINS THE DIAGONAL ELEMENTS OF THE INPUT MATRIX. -C* E2 - W.P. REAL (N) -C* CONTAINS THE SQUARES OF THE SUBDIAGONAL ELEMENTS OF -C* THE INPUT MATRIX IN ITS LAST N-1 POSITIONS. -C* E2(1) IS ARBITRARY. -C* -C* ON EXIT - -C* D - W.P. REAL (N) -C* CONTAINS THE EIGENVALUES IN ASCENDING ORDER. IF AN -C* ERROR EXIT IS MADE, THE EIGENVALUES ARE CORRECT AND -C* ORDERED FOR INDICES 1,2,...IERR-1, BUT MAY NOT BE -C* THE SMALLEST EIGENVALUES. -C* E2 - W.P. REAL (N) -C* DESTROYED. -C* IERR - INTEGER -C* SET TO -C* ZERO FOR NORMAL RETURN, -C* J IF THE J-TH EIGENVALUE HAS NOT BEEN -C* DETERMINED AFTER 30 ITERATIONS. -C* -C* DIFFERENCES FROM EISPACK 3 - -C* G=G+B INSTEAD OF IF(G.EQ.0) G=B ; B=B/4 -C* F77 BACKWARD LOOPS INSTEAD OF F66 CONSTRUCT -C* GENERIC INTRINSIC FUNCTIONS -C* ARRARY IND ADDED FOR USE BY EINVIT -C* -C* NOTE - -C* QUESTIONS AND COMMENTS CONCERNING EISPACK SHOULD BE DIRECTED TO -C* B. S. GARBOW, APPLIED MATH. DIVISION, ARGONNE NATIONAL LAB. -C - INTEGER I,J,L,M,N,II,L1,IERR - INTEGER IND(N) -C - DOUBLE PRECISION D(N),E(N),E2(N),DIAG(N),E2IN(N) - DOUBLE PRECISION B,C,F,G,H,P,R,S,T,EPSLON - DOUBLE PRECISION SCALE,ZERO,ONE -C - PARAMETER (ZERO = 0.0D+00, SCALE= 1.0D+00/64.0D+00, ONE = 1.0D+00) -C -C----------------------------------------------------------------------- - IERR = 0 - D(1)=DIAG(1) - IND(1) = 1 - K = 0 - ITAG = 0 - IF (N .EQ. 1) GO TO 1001 -C - DO 100 I = 2, N - D(I)=DIAG(I) - 100 E2(I-1) = E2IN(I) -C - F = ZERO - T = ZERO - B = EPSLON(ONE) - C = B *B - B = B * SCALE - E2(N) = ZERO -C - DO 290 L = 1, N - H = ABS(D(L)) + ABS(E(L)) - IF (T .GE. H) GO TO 105 - T = H - B = EPSLON(T) - C = B * B - B = B * SCALE - 105 CONTINUE -C .......... LOOK FOR SMALL SQUARED SUB-DIAGONAL ELEMENT .......... - M = L - 1 - 110 M = M + 1 - IF (E2(M) .GT. C) GO TO 110 -C .......... E2(N) IS ALWAYS ZERO, SO THERE IS AN EXIT -C FROM THE LOOP .......... -C - IF (M .LE. K) GO TO 125 - IF (M .NE. N) E2IN(M+1) = ZERO - K = M - ITAG = ITAG + 1 - 125 CONTINUE - IF (M .EQ. L) GO TO 210 -C -C ITERATE -C - DO 205 J = 1, 30 -C .......... FORM SHIFT .......... - L1 = L + 1 - S = SQRT(E2(L)) - G = D(L) - P = (D(L1) - G) / (2.0D+00 * S) - R = SQRT(P*P+1.0D+00) - D(L) = S / (P + SIGN(R,P)) - H = G - D(L) -C - DO 140 I = L1, N - 140 D(I) = D(I) - H -C - F = F + H -C .......... RATIONAL QL TRANSFORMATION .......... - G = D(M) + B - H = G - S = ZERO - DO 200 I = M-1,L,-1 - P = G * H - R = P + E2(I) - E2(I+1) = S * R - S = E2(I) / R - D(I+1) = H + S * (H + D(I)) - G = D(I) - E2(I) / G + B - H = G * P / R - 200 CONTINUE -C - E2(L) = S * G - D(L) = H -C .......... GUARD AGAINST UNDERFLOW IN CONVERGENCE TEST - IF (H .EQ. ZERO) GO TO 210 - IF (ABS(E2(L)) .LE. ABS(C/H)) GO TO 210 - E2(L) = H * E2(L) - IF (E2(L) .EQ. ZERO) GO TO 210 - 205 CONTINUE -C .......... SET ERROR -- NO CONVERGENCE TO AN -C EIGENVALUE AFTER 30 ITERATIONS .......... - IERR = L - GO TO 1001 -C -C CONVERGED -C - 210 P = D(L) + F -C .......... ORDER EIGENVALUES .......... - I = 1 - IF (L .EQ. 1) GO TO 250 - IF (P .LT. D(1)) GO TO 230 - I = L -C .......... LOOP TO FIND ORDERED POSITION - 220 I = I - 1 - IF (P .LT. D(I)) GO TO 220 -C - I = I + 1 - IF (I .EQ. L) GO TO 250 - 230 CONTINUE - DO 240 II = L, I+1, -1 - D(II) = D(II-1) - IND(II) = IND(II-1) - 240 CONTINUE -C - 250 CONTINUE - D(I) = P - IND(I) = ITAG - 290 CONTINUE -C - 1001 RETURN - END -C*MODULE EIGEN *DECK ESTPI1 - DOUBLE PRECISION FUNCTION ESTPI1 (N,EVAL,D,E,X,ANORM) -C* -C* AUTHOR - -C* STEPHEN T. ELBERT (AMES LABORATORY-USDOE) DATE: 5 DEC 1986 -C* -C* PURPOSE - -C* EVALUATE SYMMETRIC TRIDIAGONAL MATRIX PERFORMANCE INDEX -C* * * * * * -C* FOR 1 EIGENVECTOR -C* * -C* -C* METHOD - -C* THIS ROUTINE FORMS THE 1-NORM OF THE RESIDUAL MATRIX A*X-X*EVAL -C* WHERE A IS A SYMMETRIC TRIDIAGONAL MATRIX STORED -C* IN THE DIAGONAL (D) AND SUB-DIAGONAL (E) VECTORS, EVAL IS THE -C* EIGENVALUE OF AN EIGENVECTOR OF A, NAMELY X. -C* THIS NORM IS SCALED BY MACHINE ACCURACY FOR THE PROBLEM SIZE. -C* ALL NORMS APPEARING IN THE COMMENTS BELOW ARE 1-NORMS. -C* -C* ON ENTRY - -C* N - INTEGER -C* THE ORDER OF THE MATRIX A. -C* EVAL - W.P. REAL -C* THE EIGENVALUE CORRESPONDING TO VECTOR X. -C* D - W.P. REAL (N) -C* THE DIAGONAL VECTOR OF A. -C* E - W.P. REAL (N) -C* THE SUB-DIAGONAL VECTOR OF A. -C* X - W.P. REAL (N) -C* AN EIGENVECTOR OF A. -C* ANORM - W.P. REAL -C* THE NORM OF A IF IT HAS BEEN PREVIOUSLY COMPUTED. -C* -C* ON EXIT - -C* ANORM - W.P. REAL -C* THE NORM OF A, COMPUTED IF INITIALLY ZERO. -C* ESTPI1 - W.P. REAL -C* !!A*X-X*EVAL!! / (EPSLON(10*N)*!!A!!*!!X!!); -C* WHERE EPSLON(X) IS THE SMALLEST NUMBER SUCH THAT -C* X + EPSLON(X) .NE. X -C* -C* ESTPI1 .LT. 1 == SATISFACTORY PERFORMANCE -C* .GE. 1 AND .LE. 100 == MARGINAL PERFORMANCE -C* .GT. 100 == POOR PERFORMANCE -C* (SEE LECT. NOTES IN COMP. SCI. VOL.6 PP 124-125) -C - DOUBLE PRECISION ANORM,EVAL,RNORM,SIZE,XNORM - DOUBLE PRECISION D(N), E(N), X(N) - DOUBLE PRECISION EPSLON, ONE, ZERO -C - PARAMETER (ZERO = 0.0D+00, ONE = 1.0D+00) -C -C----------------------------------------------------------------------- -C - ESTPI1 = ZERO - IF( N .LE. 1 ) RETURN - SIZE = 10 * N - IF (ANORM .EQ. ZERO) THEN -C -C COMPUTE NORM OF A -C - ANORM = MAX( ABS(D(1)) + ABS(E(2)) - * ,ABS(D(N)) + ABS(E(N))) - DO 110 I = 2, N-1 - ANORM = MAX( ANORM, ABS(E(I))+ABS(D(I))+ABS(E(I+1))) - 110 CONTINUE - IF(ANORM .EQ. ZERO) ANORM = ONE - END IF -C -C COMPUTE NORMS OF RESIDUAL AND EIGENVECTOR -C - XNORM = ABS(X(1)) + ABS(X(N)) - RNORM = ABS( (D(1)-EVAL)*X(1) + E(2)*X(2)) - * +ABS( (D(N)-EVAL)*X(N) + E(N)*X(N-1)) - DO 120 I = 2, N-1 - XNORM = XNORM + ABS(X(I)) - RNORM = RNORM + ABS(E(I)*X(I-1) + (D(I)-EVAL)*X(I) - * + E(I+1)*X(I+1)) - 120 CONTINUE -C - ESTPI1 = RNORM / (EPSLON(SIZE)*ANORM*XNORM) - RETURN - END -C*MODULE EIGEN *DECK ETRBK3 - SUBROUTINE ETRBK3(NM,N,NV,A,M,Z) -C* -C* AUTHORS- -C* THIS IS A MODIFICATION OF ROUTINE TRBAK3 FROM EISPACK EDITION 3 -C* DATED AUGUST 1983. -C* EISPACK TRBAK3 IS A TRANSLATION OF THE ALGOL PROCEDURE TRBAK3, -C* NUM. MATH. 11, 181-195(1968) BY MARTIN, REINSCH, AND WILKINSON. -C* HANDBOOK FOR AUTO. COMP., VOL.II-LINEAR ALGEBRA, 212-226(1971). -C* THIS VERSION IS BY S. T. ELBERT (AMES LABORATORY-USDOE) -C* -C* PURPOSE - -C* THIS ROUTINE FORMS THE EIGENVECTORS OF A REAL SYMMETRIC -C* MATRIX BY BACK TRANSFORMING THOSE OF THE CORRESPONDING -C* SYMMETRIC TRIDIAGONAL MATRIX DETERMINED BY ETRED3. -C* -C* METHOD - -C* THE CALCULATION IS CARRIED OUT BY FORMING THE MATRIX PRODUCT -C* Q*Z -C* WHERE Q IS A PRODUCT OF THE ORTHOGONAL SYMMETRIC MATRICES -C* Q = PROD(I)[1 - U(I)*.TRANSPOSE.U(I)*H(I)] -C* U IS THE AUGMENTED SUB-DIAGONAL ROWS OF A AND -C* Z IS THE SET OF EIGENVECTORS OF THE TRIDIAGONAL -C* MATRIX F WHICH WAS FORMED FROM THE ORIGINAL SYMMETRIC -C* MATRIX C BY THE SIMILARITY TRANSFORMATION -C* F = Q(TRANSPOSE) C Q -C* NOTE THAT ETRBK3 PRESERVES VECTOR EUCLIDEAN NORMS. -C* -C* -C* COMPLEXITY - -C* M*N**2 -C* -C* ON ENTRY- -C* NM - INTEGER -C* MUST BE SET TO THE ROW DIMENSION OF TWO-DIMENSIONAL -C* ARRAY PARAMETERS AS DECLARED IN THE CALLING ROUTINE -C* DIMENSION STATEMENT. -C* N - INTEGER -C* THE ORDER OF THE MATRIX A. -C* NV - INTEGER -C* MUST BE SET TO THE DIMENSION OF THE ARRAY A AS -C* DECLARED IN THE CALLING ROUTINE DIMENSION STATEMENT. -C* A - W.P. REAL (NV) -C* CONTAINS INFORMATION ABOUT THE ORTHOGONAL -C* TRANSFORMATIONS USED IN THE REDUCTION BY ETRED3 IN -C* ITS FIRST NV = N*(N+1)/2 POSITIONS. -C* M - INTEGER -C* THE NUMBER OF EIGENVECTORS TO BE BACK TRANSFORMED. -C* Z - W.P REAL (NM,M) -C* CONTAINS THE EIGENVECTORS TO BE BACK TRANSFORMED -C* IN ITS FIRST M COLUMNS. -C* -C* ON EXIT- -C* Z - W.P. REAL (NM,M) -C* CONTAINS THE TRANSFORMED EIGENVECTORS -C* IN ITS FIRST M COLUMNS. -C* -C* DIFFERENCES WITH EISPACK 3 - -C* THE TWO INNER LOOPS ARE REPLACED BY DDOT AND DAXPY. -C* MULTIPLICATION USED INSTEAD OF DIVISION TO FIND S. -C* OUTER LOOP RANGE CHANGED FROM 2,N TO 3,N. -C* ADDRESS POINTERS FOR A SIMPLIFIED. -C* -C* NOTE - -C* QUESTIONS AND COMMENTS CONCERNING EISPACK SHOULD BE DIRECTED TO -C* B. S. GARBOW, APPLIED MATH. DIVISION, ARGONNE NATIONAL LAB. -C - INTEGER I,II,IM1,IZ,J,M,N,NM,NV -C - DOUBLE PRECISION A(NV),Z(NM,M) - DOUBLE PRECISION H,S,DDOT,ZERO -C - PARAMETER (ZERO = 0.0D+00) -C -C----------------------------------------------------------------------- -C - IF (M .EQ. 0) RETURN - IF (N .LE. 2) RETURN -C - II=3 - DO 140 I = 3, N - IZ=II+1 - II=II+I - H = A(II) - IF (H .EQ. ZERO) GO TO 140 - IM1 = I - 1 - DO 130 J = 1, M - S = -( DDOT(IM1,A(IZ),1,Z(1,J),1) * H) * H - CALL DAXPY(IM1,S,A(IZ),1,Z(1,J),1) - 130 CONTINUE - 140 CONTINUE - RETURN - END -C*MODULE EIGEN *DECK ETRED3 - SUBROUTINE ETRED3(N,NV,A,D,E,E2) -C* -C* AUTHORS - -C* THIS IS A MODIFICATION OF ROUTINE TRED3 FROM EISPACK EDITION 3 -C* DATED AUGUST 1983. -C* EISPACK TRED3 IS A TRANSLATION OF THE ALGOL PROCEDURE TRED3, -C* NUM. MATH. 11, 181-195(1968) BY MARTIN, REINSCH, AND WILKINSON. -C* HANDBOOK FOR AUTO. COMP., VOL.II-LINEAR ALGEBRA, 212-226(1971). -C* THIS VERSION IS BY S. T. ELBERT, AMES LABORATORY-USDOE JUN 1986 -C* -C* PURPOSE - -C* THIS ROUTINE REDUCES A REAL SYMMETRIC (PACKED) MATRIX, STORED -C* AS A ONE-DIMENSIONAL ARRAY, TO A SYMMETRIC TRIDIAGONAL MATRIX -C* USING ORTHOGONAL SIMILARITY TRANSFORMATIONS, PRESERVING THE -C* INFORMATION ABOUT THE TRANSFORMATIONS IN A. -C* -C* METHOD - -C* THE TRIDIAGONAL REDUCTION IS PERFORMED IN THE FOLLOWING WAY. -C* STARTING WITH J=N, THE ELEMENTS IN THE J-TH ROW TO THE -C* LEFT OF THE DIAGONAL ARE FIRST SCALED, TO AVOID POSSIBLE -C* UNDERFLOW IN THE TRANSFORMATION THAT MIGHT RESULT IN SEVERE -C* DEPARTURE FROM ORTHOGONALITY. THE SUM OF SQUARES SIGMA OF -C* THESE SCALED ELEMENTS IS NEXT FORMED. THEN, A VECTOR U AND -C* A SCALAR -C* H = U(TRANSPOSE) * U / 2 -C* DEFINE A REFLECTION OPERATOR -C* P = I - U * U(TRANSPOSE) / H -C* WHICH IS ORTHOGONAL AND SYMMETRIC AND FOR WHICH THE -C* SIMILIARITY TRANSFORMATION PAP ELIMINATES THE ELEMENTS IN -C* THE J-TH ROW OF A TO THE LEFT OF THE SUBDIAGONAL AND THE -C* SYMMETRICAL ELEMENTS IN THE J-TH COLUMN. -C* -C* THE NON-ZERO COMPONENTS OF U ARE THE ELEMENTS OF THE J-TH -C* ROW TO THE LEFT OF THE DIAGONAL WITH THE LAST OF THEM -C* AUGMENTED BY THE SQUARE ROOT OF SIGMA PREFIXED BY THE SIGN -C* OF THE SUBDIAGONAL ELEMENT. BY STORING THE TRANSFORMED SUB- -C* DIAGONAL ELEMENT IN E(J) AND NOT OVERWRITING THE ROW -C* ELEMENTS ELIMINATED IN THE TRANSFORMATION, FULL INFORMATION -C* ABOUT P IS SAVE FOR LATER USE IN ETRBK3. -C* -C* THE TRANSFORMATION SETS E2(J) EQUAL TO SIGMA AND E(J) -C* EQUAL TO THE SQUARE ROOT OF SIGMA PREFIXED BY THE SIGN -C* OF THE REPLACED SUBDIAGONAL ELEMENT. -C* -C* THE ABOVE STEPS ARE REPEATED ON FURTHER ROWS OF THE -C* TRANSFORMED A IN REVERSE ORDER UNTIL A IS REDUCED TO TRI- -C* DIAGONAL FORM, THAT IS, REPEATED FOR J = N-1,N-2,...,3. -C* -C* COMPLEXITY - -C* 2/3 N**3 -C* -C* ON ENTRY- -C* N - INTEGER -C* THE ORDER OF THE MATRIX. -C* NV - INTEGER -C* MUST BE SET TO THE DIMENSION OF THE ARRAY PARAMETER A -C* AS DECLARED IN THE CALLING ROUTINE DIMENSION STATEMENT -C* A - W.P. REAL (NV) -C* CONTAINS THE LOWER TRIANGLE OF THE REAL SYMMETRIC -C* INPUT MATRIX, STORED ROW-WISE AS A ONE-DIMENSIONAL -C* ARRAY, IN ITS FIRST N*(N+1)/2 POSITIONS. -C* -C* ON EXIT- -C* A - W.P. REAL (NV) -C* CONTAINS INFORMATION ABOUT THE ORTHOGONAL -C* TRANSFORMATIONS USED IN THE REDUCTION. -C* D - W.P. REAL (N) -C* CONTAINS THE DIAGONAL ELEMENTS OF THE TRIDIAGONAL -C* MATRIX. -C* E - W.P. REAL (N) -C* CONTAINS THE SUBDIAGONAL ELEMENTS OF THE TRIDIAGONAL -C* MATRIX IN ITS LAST N-1 POSITIONS. E(1) IS SET TO ZERO -C* E2 - W.P. REAL (N) -C* CONTAINS THE SQUARES OF THE CORRESPONDING ELEMENTS OF -C* E. MAY COINCIDE WITH E IF THE SQUARES ARE NOT NEEDED. -C* -C* DIFFERENCES FROM EISPACK 3 - -C* OUTER LOOP CHANGED FROM II=1,N TO I=N,3,-1 -C* PARAMETER STATEMENT AND GENERIC INTRINSIC FUNCTIONS USED -C* SCALE.NE.0 TEST NOW SPOTS TRI-DIAGONAL FORM -C* VALUES LESS THAN EPSLON CLEARED TO ZERO -C* USE BLAS(1) -C* U NOT COPIED TO D, LEFT IN A -C* E2 COMPUTED FROM E -C* INNER LOOPS SPLIT INTO ROUTINES ELAU AND FREDA -C* INVERSE OF H STORED INSTEAD OF H -C* -C* NOTE - -C* QUESTIONS AND COMMENTS CONCERNING EISPACK SHOULD BE DIRECTED TO -C* B. S. GARBOW, APPLIED MATH. DIVISION, ARGONNE NATIONAL LAB. -C - INTEGER I,IIA,IZ0,L,N,NV -C - DOUBLE PRECISION A(NV),D(N),E(N),E2(N) - DOUBLE PRECISION AIIMAX,F,G,H,HROOT,SCALE,SCALEI - DOUBLE PRECISION DASUM, DNRM2 - DOUBLE PRECISION ONE, ZERO -C - PARAMETER (ZERO = 0.0D+00, ONE = 1.0D+00) -C -C----------------------------------------------------------------------- -C - IF (N .LE. 2) GO TO 310 - IZ0 = (N*N+N)/2 - AIIMAX = ABS(A(IZ0)) - DO 300 I = N, 3, -1 - L = I - 1 - IIA = IZ0 - IZ0 = IZ0 - I - AIIMAX = MAX(AIIMAX, ABS(A(IIA))) - SCALE = DASUM (L, A(IZ0+1), 1) - IF(SCALE .EQ. ABS(A(IIA-1)) .OR. AIIMAX+SCALE .EQ. AIIMAX) THEN -C -C THIS ROW IS ALREADY IN TRI-DIAGONAL FORM -C - D(I) = A(IIA) - IF (AIIMAX+D(I) .EQ. AIIMAX) D(I) = ZERO - E(I) = A(IIA-1) - IF (AIIMAX+E(I) .EQ. AIIMAX) E(I) = ZERO - E2(I) = E(I)*E(I) - A(IIA) = ZERO - GO TO 300 -C - END IF -C - SCALEI = ONE / SCALE - CALL DSCAL(L,SCALEI,A(IZ0+1),1) - HROOT = DNRM2(L,A(IZ0+1),1) -C - F = A(IZ0+L) - G = -SIGN(HROOT,F) - E(I) = SCALE * G - E2(I) = E(I)*E(I) - H = HROOT*HROOT - F * G - A(IZ0+L) = F - G - D(I) = A(IIA) - A(IIA) = ONE / SQRT(H) -C .......... FORM P THEN Q IN E(1:L) .......... - CALL ELAU(ONE/H,L,A(IZ0+1),A,E) -C .......... FORM REDUCED A .......... - CALL FREDA(L,A(IZ0+1),A,E) -C - 300 CONTINUE - 310 CONTINUE - E(1) = ZERO - E2(1)= ZERO - D(1) = A(1) - IF(N.EQ.1) RETURN -C - E(2) = A(2) - E2(2)= A(2)*A(2) - D(2) = A(3) - RETURN - END -C*MODULE EIGEN *DECK EVVRSP - SUBROUTINE EVVRSP(MSGFL,N,NVECT,LENA,NV,A,B,IND,ROOT, - * VECT,IORDER,IERR) -C* -C* AUTHOR: S. T. ELBERT, AMES LABORATORY-USDOE, JUNE 1985 -C* -C* PURPOSE - -C* FINDS (ALL) EIGENVALUES AND (SOME OR ALL) EIGENVECTORS -C* * * * -C* OF A REAL SYMMETRIC PACKED MATRIX. -C* * * * -C* -C* METHOD - -C* THE METHOD AS PRESENTED IN THIS ROUTINE CONSISTS OF FOUR STEPS: -C* FIRST, THE INPUT MATRIX IS REDUCED TO TRIDIAGONAL FORM BY THE -C* HOUSEHOLDER TECHNIQUE (ORTHOGONAL SIMILARITY TRANSFORMATIONS). -C* SECOND, THE ROOTS ARE LOCATED USING THE RATIONAL QL METHOD. -C* THIRD, THE VECTORS OF THE TRIDIAGONAL FORM ARE EVALUATED BY THE -C* INVERSE ITERATION TECHNIQUE. VECTORS FOR DEGENERATE OR NEAR- -C* DEGENERATE ROOTS ARE FORCED TO BE ORTHOGONAL. -C* FOURTH, THE TRIDIAGONAL VECTORS ARE ROTATED TO VECTORS OF THE -C* ORIGINAL ARRAY. -C* -C* THESE ROUTINES ARE MODIFICATIONS OF THE EISPACK 3 -C* ROUTINES TRED3, TQLRAT, TINVIT AND TRBAK3 -C* -C* FOR FURTHER DETAILS, SEE EISPACK USERS GUIDE, B. T. SMITH -C* ET AL, SPRINGER-VERLAG, LECTURE NOTES IN COMPUTER SCIENCE, -C* VOL. 6, 2-ND EDITION, 1976. ANOTHER GOOD REFERENCE IS -C* THE SYMMETRIC EIGENVALUE PROBLEM BY B. N. PARLETT -C* PUBLISHED BY PRENTICE-HALL, INC., ENGLEWOOD CLIFFS, N.J. (1980) -C* -C* ON ENTRY - -C* MSGFL - INTEGER (LOGICAL UNIT NO.) -C* FILE WHERE ERROR MESSAGES WILL BE PRINTED. -C* IF MSGFL IS 0, ERROR MESSAGES WILL BE PRINTED ON LU 6. -C* IF MSGFL IS NEGATIVE, NO ERROR MESSAGES PRINTED. -C* N - INTEGER -C* ORDER OF MATRIX A. -C* NVECT - INTEGER -C* NUMBER OF VECTORS DESIRED. 0 .LE. NVECT .LE. N. -C* LENA - INTEGER -C* DIMENSION OF A IN CALLING ROUTINE. MUST NOT BE LESS -C* THAN (N*N+N)/2. -C* NV - INTEGER -C* ROW DIMENSION OF VECT IN CALLING ROUTINE. N .LE. NV. -C* A - WORKING PRECISION REAL (LENA) -C* INPUT MATRIX, ROWS OF THE LOWER TRIANGLE PACKED INTO -C* LINEAR ARRAY OF DIMENSION N*(N+1)/2. THE PACKED ORDER -C* IS A(1,1), A(2,1), A(2,2), A(3,1), A(3,2), ... -C* B - WORKING PRECISION REAL (N,8) -C* SCRATCH ARRAY, 8*N ELEMENTS -C* IND - INTEGER (N) -C* SCRATCH ARRAY OF LENGTH N. -C* IORDER - INTEGER -C* ROOT ORDERING FLAG. -C* = 0, ROOTS WILL BE PUT IN ASCENDING ORDER. -C* = 2, ROOTS WILL BE PUT IN DESCENDING ORDER. -C* -C* ON EXIT - -C* A - DESTORYED. NOW HOLDS REFLECTION OPERATORS. -C* ROOT - WORKING PRECISION REAL (N) -C* ALL EIGENVALUES IN ASCENDING OR DESCENDING ORDER. -C* IF IORDER = 0, ROOT(1) .LE. ... .LE. ROOT(N) -C* IF IORDER = 2, ROOT(1) .GE. ... .GE. ROOT(N) -C* VECT - WORKING PRECISION REAL (NV,NVECT) -C* EIGENVECTORS FOR ROOT(1), ..., ROOT(NVECT). -C* IERR - INTEGER -C* = 0 IF NO ERROR DETECTED, -C* = K IF ITERATION FOR K-TH EIGENVALUE FAILED, -C* = -K IF ITERATION FOR K-TH EIGENVECTOR FAILED. -C* (FAILURES SHOULD BE VERY RARE. CONTACT C. MOLER.) -C* -C - LOGICAL GOPARR,DSKWRK,MASWRK -C - DOUBLE PRECISION A(LENA) - DOUBLE PRECISION B(N,8) - DOUBLE PRECISION ROOT(N) - DOUBLE PRECISION T - DOUBLE PRECISION VECT(NV,*) -C - INTEGER IND(N) -C - COMMON /PAR / ME,MASTER,NPROC,IBTYP,IPTIM,GOPARR,DSKWRK,MASWRK -C - 900 FORMAT(26H0*** EVVRSP PARAMETERS ***/ - + 14H *** N = ,I8,4H ***/ - + 14H *** NVECT = ,I8,4H ***/ - + 14H *** LENA = ,I8,4H ***/ - + 14H *** NV = ,I8,4H ***/ - + 14H *** IORDER = ,I8,4H ***/ - + 14H *** IERR = ,I8,4H ***) - 901 FORMAT(37H VALUE OF LENA IS LESS THAN (N*N+N)/2) - 902 FORMAT(39H EQLRAT HAS FAILED TO CONVERGE FOR ROOT,I5) - 903 FORMAT(18H NV IS LESS THAN N) - 904 FORMAT(41H EINVIT HAS FAILED TO CONVERGE FOR VECTOR,I5) - 905 FORMAT(51H VALUE OF IORDER MUST BE 0 (SMALLEST ROOT FIRST) OR - * ,23H 2 (LARGEST ROOT FIRST)) - 906 FORMAT(' VALUE OF N IS LESS THAN OR EQUAL ZERO') -C -C----------------------------------------------------------------------- -C - LMSGFL=MSGFL - IF (MSGFL .EQ. 0) LMSGFL=6 - IERR = N - 1 - IF (N .LE. 0) GO TO 800 - IERR = N + 1 - IF ( (N*N+N)/2 .GT. LENA) GO TO 810 -C -C REDUCE REAL SYMMETRIC MATRIX A TO TRIDIAGONAL FORM -C - CALL ETRED3(N,LENA,A,B(1,1),B(1,2),B(1,3)) -C -C FIND ALL EIGENVALUES OF TRIDIAGONAL MATRIX -C - CALL EQLRAT(N,B(1,1),B(1,2),B(1,3),ROOT,IND,IERR,B(1,4)) - IF (IERR .NE. 0) GO TO 820 -C -C CHECK THE DESIRED ORDER OF THE EIGENVALUES -C - B(1,3) = IORDER - IF (IORDER .EQ. 0) GO TO 300 - IF (IORDER .NE. 2) GO TO 850 -C -C ORDER ROOTS IN DESCENDING ORDER (LARGEST FIRST)... -C TURN ROOT AND IND ARRAYS END FOR END -C - DO 210 I = 1, N/2 - J = N+1-I - T = ROOT(I) - ROOT(I) = ROOT(J) - ROOT(J) = T - L = IND(I) - IND(I) = IND(J) - IND(J) = L - 210 CONTINUE -C -C FIND I AND J MARKING THE START AND END OF A SEQUENCE -C OF DEGENERATE ROOTS -C - I=0 - 220 CONTINUE - I = I+1 - IF (I .GT. N) GO TO 300 - DO 230 J=I,N - IF (ROOT(J) .NE. ROOT(I)) GO TO 240 - 230 CONTINUE - J = N+1 - 240 CONTINUE - J = J-1 - IF (J .EQ. I) GO TO 220 -C -C TURN AROUND IND BETWEEN I AND J -C - JSV = J - KLIM = (J-I+1)/2 - DO 250 K=1,KLIM - L = IND(J) - IND(J) = IND(I) - IND(I) = L - I = I+1 - J = J-1 - 250 CONTINUE - I = JSV - GO TO 220 -C - 300 CONTINUE -C - IF (NVECT .LE. 0) RETURN - IF (NV .LT. N) GO TO 830 -C -C FIND EIGENVECTORS OF TRI-DIAGONAL MATRIX VIA INVERSE ITERATION -C - IERR = LMSGFL - CALL EINVIT(NV,N,B(1,1),B(1,2),B(1,3),NVECT,ROOT,IND, - + VECT,IERR,B(1,4),B(1,5),B(1,6),B(1,7),B(1,8)) - IF (IERR .NE. 0) GO TO 840 -C -C FIND EIGENVECTORS OF SYMMETRIC MATRIX VIA BACK TRANSFORMATION -C - 400 CONTINUE - CALL ETRBK3(NV,N,LENA,A,NVECT,VECT) - RETURN -C -C ERROR MESSAGE SECTION -C - 800 IF (LMSGFL .LT. 0) RETURN - IF (MASWRK) WRITE(LMSGFL,906) - GO TO 890 -C - 810 IF (LMSGFL .LT. 0) RETURN - IF (MASWRK) WRITE(LMSGFL,901) - GO TO 890 -C - 820 IF (LMSGFL .LT. 0) RETURN - IF (MASWRK) WRITE(LMSGFL,902) IERR - GO TO 890 -C - 830 IF (LMSGFL .LT. 0) RETURN - IF (MASWRK) WRITE(LMSGFL,903) - GO TO 890 -C - 840 CONTINUE - IF ((LMSGFL .GT. 0).AND.MASWRK) WRITE(LMSGFL,904) -IERR - GO TO 400 -C - 850 IERR=-1 - IF (LMSGFL .LT. 0) RETURN - IF (MASWRK) WRITE(LMSGFL,905) - GO TO 890 -C - 890 CONTINUE - IF (MASWRK) WRITE(LMSGFL,900) N,NVECT,LENA,NV,IORDER,IERR - RETURN - END -C*MODULE EIGEN *DECK FREDA - SUBROUTINE FREDA(L,D,A,E) -C - DOUBLE PRECISION A(*) - DOUBLE PRECISION D(L) - DOUBLE PRECISION E(L) - DOUBLE PRECISION F - DOUBLE PRECISION G -C - JK = 1 -C -C .......... FORM REDUCED A .......... -C - DO 280 J = 1, L - F = D(J) - G = E(J) -C - DO 260 K = 1, J - A(JK) = A(JK) - F * E(K) - G * D(K) - JK = JK + 1 - 260 CONTINUE -C - 280 CONTINUE - RETURN - END -C*MODULE EIGEN *DECK GIVEIS - SUBROUTINE GIVEIS(N,NVECT,NV,A,B,INDB,ROOT,VECT,IERR) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - DIMENSION A(*),B(N,8),INDB(N),ROOT(N),VECT(NV,NVECT) -C -C EISPACK-BASED SUBSTITUTE FOR QCPE ROUTINE GIVENS. -C FINDS ALL EIGENVALUES AND SOME EIGENVECTORS OF A REAL SYMMETRIC -C MATRIX. AUTHOR.. C. MOLER AND D. SPANGLER, N.R.C.C., 4/1/79. -C -C INPUT.. -C N = ORDER OF MATRIX . -C NVECT = NUMBER OF VECTORS DESIRED. 0 .LE. NVECT .LE. N . -C NV = LEADING DIMENSION OF VECT . -C A = INPUT MATRIX, COLUMNS OF THE UPPER TRIANGLE PACKED INTO -C LINEAR ARRAY OF DIMENSION N*(N+1)/2 . -C B = SCRATCH ARRAY, 8*N ELEMENTS (NOTE THIS IS MORE THAN -C PREVIOUS VERSIONS OF GIVENS.) -C IND = INDEX ARRAY OF N ELEMENTS -C -C OUTPUT.. -C A DESTROYED . -C ROOT = ALL EIGENVALUES, ROOT(1) .LE. ... .LE. ROOT(N) . -C (FOR OTHER ORDERINGS, SEE BELOW.) -C VECT = EIGENVECTORS FOR ROOT(1),..., ROOT(NVECT) . -C IERR = 0 IF NO ERROR DETECTED, -C = K IF ITERATION FOR K-TH EIGENVALUE FAILED, -C = -K IF ITERATION FOR K-TH EIGENVECTOR FAILED. -C (FAILURES SHOULD BE VERY RARE. CONTACT MOLER.) -C -C CALLS MODIFIED EISPACK ROUTINES TRED3B, IMTQLV, TINVTB, AND -C TRBK3B. THE ROUTINES TRED3B, TINVTB, AND TRBK3B. -C THE ORIGINAL EISPACK ROUTINES TRED3, TINVIT, AND TRBAK3 -C WERE MODIFIED BY THE INTRODUCTION OF TWO ROUTINES FROM THE -C BLAS LIBRARY - DDOT AND DAXPY. -C -C IF TINVIT FAILS TO CONVERGE, TQL2 IS CALLED -C -C SEE EISPACK USERS GUIDE, B. T. SMITH ET AL, SPRINGER-VERLAG -C LECTURE NOTES IN COMPUTER SCIENCE, VOL. 6, 2-ND EDITION, 1976 . -C NOTE THAT IMTQLV AND TINVTB HAVE INTERNAL MACHINE -C DEPENDENT CONSTANTS. -C - DATA ONE, ZERO /1.0D+00, 0.0D+00/ - CALL TRED3B(N,(N*N+N)/2,A,B(1,1),B(1,2),B(1,3)) - CALL IMTQLV(N,B(1,1),B(1,2),B(1,3),ROOT,INDB,IERR,B(1,4)) - IF (IERR .NE. 0) RETURN -C -C TO REORDER ROOTS... -C K = N/2 -C B(1,3) = 2.0D+00 -C DO 50 I = 1, K -C J = N+1-I -C T = ROOT(I) -C ROOT(I) = ROOT(J) -C ROOT(J) = T -C 50 CONTINUE -C - IF (NVECT .LE. 0) RETURN - CALL TINVTB(NV,N,B(1,1),B(1,2),B(1,3),NVECT,ROOT,INDB,VECT,IERR, - + B(1,4),B(1,5),B(1,6),B(1,7),B(1,8)) - IF (IERR .EQ. 0) GO TO 160 -C -C IF INVERSE ITERATION GIVES AN ERROR IN DETERMINING THE -C EIGENVECTORS, TRY THE QL ALGORITHM IF ALL THE EIGENVECTORS -C ARE DESIRED. -C - IF (NVECT .NE. N) RETURN - DO 120 I = 1, NVECT - DO 100 J = 1, N - VECT(I,J) = ZERO - 100 CONTINUE - VECT(I,I) = ONE - 120 CONTINUE - CALL TQL2 (NV,N,B(1,1),B(1,2),VECT,IERR) - DO 140 I = 1, NVECT - ROOT(I) = B(I,1) - 140 CONTINUE - IF (IERR .NE. 0) RETURN - 160 CALL TRBK3B(NV,N,(N*N+N)/2,A,NVECT,VECT) - RETURN - END -C*MODULE EIGEN *DECK GLDIAG - SUBROUTINE GLDIAG(LDVECT,NVECT,N,H,WRK,EIG,VECTOR,IERR,IWRK) -C - IMPLICIT DOUBLE PRECISION (A-H,O-Z) -C - LOGICAL GOPARR,DSKWRK,MASWRK -C - DIMENSION H(*),WRK(N,8),EIG(N),VECTOR(LDVECT,NVECT),IWRK(N) -C - COMMON /IOFILE/ IR,IW,IP,IJK,IPK,IDAF,NAV,IODA(400) - COMMON /MACHSW/ KDIAG,ICORFL,IXDR - COMMON /PAR / ME,MASTER,NPROC,IBTYP,IPTIM,GOPARR,DSKWRK,MASWRK -C -C ----- GENERAL ROUTINE TO DIAGONALIZE A SYMMETRIC MATRIX ----- -C IF KDIAG = 0, USE A ROUTINE FROM THE VECTOR LIBRARY, -C IF AVAILABLE (SEE THE SUBROUTINE 'GLDIAG' -C IN VECTOR.SRC), OR EVVRSP OTHERWISE -C = 1, USE EVVRSP -C = 2, USE GIVEIS -C = 3, USE JACOBI -C -C N = DIMENSION (ORDER) OF MATRIX TO BE SOLVED -C LDVECT = LEADING DIMENSION OF VECTOR -C NVECT = NUMBER OF VECTORS DESIRED -C H = MATRIX TO BE DIAGONALIZED -C WRK = N*8 W.P. REAL WORDS OF SCRATCH SPACE -C EIG = EIGENVECTORS (OUTPUT) -C VECTOR = EIGENVECTORS (OUTPUT) -C IERR = ERROR FLAG (OUTPUT) -C IWRK = N INTEGER WORDS OF SCRATCH SPACE -C - IERR = 0 -C -C ----- USE STEVE ELBERT'S ROUTINE ----- -C - IF(KDIAG.LE.1 .OR. KDIAG.GT.3) THEN - LENH = (N*N+N)/2 - KORDER =0 - CALL EVVRSP(IW,N,NVECT,LENH,LDVECT,H,WRK,IWRK,EIG,VECTOR - * ,KORDER,IERR) - END IF -C -C ----- USE MODIFIED EISPAK ROUTINE ----- -C - IF(KDIAG.EQ.2) - * CALL GIVEIS(N,NVECT,LDVECT,H,WRK,IWRK,EIG,VECTOR,IERR) -C -C ----- USE JACOBI ROTATION ROUTINE ----- -C - IF(KDIAG.EQ.3) THEN - IF(NVECT.EQ.N) THEN - CALL JACDG(H,VECTOR,EIG,IWRK,WRK,LDVECT,N) - ELSE - IF (MASWRK) WRITE(IW,9000) N,NVECT,LDVECT - CALL ABRT - END IF - END IF - RETURN -C - 9000 FORMAT(1X,'IN -GLDIAG-, N,NVECT,LDVECT=',3I8/ - * 1X,'THE JACOBI CODE CANNOT COPE WITH N.NE.NVECT!'/ - * 1X,'SO THIS RUN DOES NOT PERMIT KDIAG=3.') - END -C*MODULE EIGEN *DECK IMTQLV - SUBROUTINE IMTQLV(N,D,E,E2,W,IND,IERR,RV1) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - INTEGER TAG - DOUBLE PRECISION MACHEP - DIMENSION D(N),E(N),E2(N),W(N),RV1(N),IND(N) -C -C THIS ROUTINE IS A VARIANT OF IMTQL1 WHICH IS A TRANSLATION OF -C ALGOL PROCEDURE IMTQL1, NUM. MATH. 12, 377-383(1968) BY MARTIN AND -C WILKINSON, AS MODIFIED IN NUM. MATH. 15, 450(1970) BY DUBRULLE. -C HANDBOOK FOR AUTO. COMP., VOL.II-LINEAR ALGEBRA, 241-248(1971). -C -C THIS ROUTINE FINDS THE EIGENVALUES OF A SYMMETRIC TRIDIAGONAL -C MATRIX BY THE IMPLICIT QL METHOD AND ASSOCIATES WITH THEM -C THEIR CORRESPONDING SUBMATRIX INDICES. -C -C ON INPUT- -C -C N IS THE ORDER OF THE MATRIX, -C -C D CONTAINS THE DIAGONAL ELEMENTS OF THE INPUT MATRIX, -C -C E CONTAINS THE SUBDIAGONAL ELEMENTS OF THE INPUT MATRIX -C IN ITS LAST N-1 POSITIONS. E(1) IS ARBITRARY, -C -C E2 CONTAINS THE SQUARES OF THE CORRESPONDING ELEMENTS OF E. -C E2(1) IS ARBITRARY. -C -C ON OUTPUT- -C -C D AND E ARE UNALTERED, -C -C ELEMENTS OF E2, CORRESPONDING TO ELEMENTS OF E REGARDED -C AS NEGLIGIBLE, HAVE BEEN REPLACED BY ZERO CAUSING THE -C MATRIX TO SPLIT INTO A DIRECT SUM OF SUBMATRICES. -C E2(1) IS ALSO SET TO ZERO, -C -C W CONTAINS THE EIGENVALUES IN ASCENDING ORDER. IF AN -C ERROR EXIT IS MADE, THE EIGENVALUES ARE CORRECT AND -C ORDERED FOR INDICES 1,2,...IERR-1, BUT MAY NOT BE -C THE SMALLEST EIGENVALUES, -C -C IND CONTAINS THE SUBMATRIX INDICES ASSOCIATED WITH THE -C CORRESPONDING EIGENVALUES IN W -- 1 FOR EIGENVALUES -C BELONGING TO THE FIRST SUBMATRIX FROM THE TOP, -C 2 FOR THOSE BELONGING TO THE SECOND SUBMATRIX, ETC., -C -C IERR IS SET TO -C ZERO FOR NORMAL RETURN, -C J IF THE J-TH EIGENVALUE HAS NOT BEEN -C DETERMINED AFTER 30 ITERATIONS, -C -C RV1 IS A TEMPORARY STORAGE ARRAY. -C -C QUESTIONS AND COMMENTS SHOULD BE DIRECTED TO B. S. GARBOW, -C APPLIED MATHEMATICS DIVISION, ARGONNE NATIONAL LABORATORY -C -C ------------------------------------------------------------------ -C -C ********** MACHEP IS A MACHINE DEPENDENT PARAMETER SPECIFYING -C THE RELATIVE PRECISION OF FLOATING POINT ARITHMETIC. -C -C ********** - MACHEP = 2.0D+00**(-50) -C - IERR = 0 - K = 0 - TAG = 0 -C - DO 100 I = 1, N - W(I) = D(I) - IF (I .NE. 1) RV1(I-1) = E(I) - 100 CONTINUE -C - E2(1) = 0.0D+00 - RV1(N) = 0.0D+00 -C - DO 360 L = 1, N - J = 0 -C ********** LOOK FOR SMALL SUB-DIAGONAL ELEMENT ********** - 120 DO 140 M = L, N - IF (M .EQ. N) GO TO 160 - IF (ABS(RV1(M)) .LE. MACHEP * (ABS(W(M)) + ABS(W(M+1)))) GO TO - + 160 -C ********** GUARD AGAINST UNDERFLOWED ELEMENT OF E2 ********** - IF (E2(M+1) .EQ. 0.0D+00) GO TO 180 - 140 CONTINUE -C - 160 IF (M .LE. K) GO TO 200 - IF (M .NE. N) E2(M+1) = 0.0D+00 - 180 K = M - TAG = TAG + 1 - 200 P = W(L) - IF (M .EQ. L) GO TO 280 - IF (J .EQ. 30) GO TO 380 - J = J + 1 -C ********** FORM SHIFT ********** - G = (W(L+1) - P) / (2.0D+00 * RV1(L)) - R = SQRT(G*G+1.0D+00) - G = W(M) - P + RV1(L) / (G + SIGN(R,G)) - S = 1.0D+00 - C = 1.0D+00 - P = 0.0D+00 - MML = M - L -C ********** FOR I=M-1 STEP -1 UNTIL L DO -- ********** - DO 260 II = 1, MML - I = M - II - F = S * RV1(I) - B = C * RV1(I) - IF (ABS(F) .LT. ABS(G)) GO TO 220 - C = G / F - R = SQRT(C*C+1.0D+00) - RV1(I+1) = F * R - S = 1.0D+00 / R - C = C * S - GO TO 240 - 220 S = F / G - R = SQRT(S*S+1.0D+00) - RV1(I+1) = G * R - C = 1.0D+00 / R - S = S * C - 240 G = W(I+1) - P - R = (W(I) - G) * S + 2.0D+00 * C * B - P = S * R - W(I+1) = G + P - G = C * R - B - 260 CONTINUE -C - W(L) = W(L) - P - RV1(L) = G - RV1(M) = 0.0D+00 - GO TO 120 -C ********** ORDER EIGENVALUES ********** - 280 IF (L .EQ. 1) GO TO 320 -C ********** FOR I=L STEP -1 UNTIL 2 DO -- ********** - DO 300 II = 2, L - I = L + 2 - II - IF (P .GE. W(I-1)) GO TO 340 - W(I) = W(I-1) - IND(I) = IND(I-1) - 300 CONTINUE -C - 320 I = 1 - 340 W(I) = P - IND(I) = TAG - 360 CONTINUE -C - GO TO 400 -C ********** SET ERROR -- NO CONVERGENCE TO AN -C EIGENVALUE AFTER 30 ITERATIONS ********** - 380 IERR = L - 400 RETURN -C ********** LAST CARD OF IMTQLV ********** - END -C*MODULE EIGEN *DECK JACDG - SUBROUTINE JACDG(A,VEC,EIG,JBIG,BIG,LDVEC,N) -C - IMPLICIT DOUBLE PRECISION(A-H,O-Z) -C - DIMENSION A(*),VEC(LDVEC,N),EIG(N),JBIG(N),BIG(N) -C - PARAMETER (ONE=1.0D+00) -C -C ----- JACOBI DIAGONALIZATION OF SYMMETRIC MATRIX ----- -C SYMMETRIC MATRIX -A- OF DIMENSION -N- IS DESTROYED ON EXIT. -C ALL EIGENVECTORS ARE FOUND, SO -VEC- MUST BE SQUARE, -C UNLESS SOMEONE TAKES THE TROUBLE TO LOOK AT -NMAX- BELOW. -C -BIG- AND -JBIG- ARE SCRATCH WORK ARRAYS. -C - CALL VCLR(VEC,1,LDVEC*N) - DO 20 I = 1,N - VEC(I,I) = ONE - 20 CONTINUE -C - NB1 = N - NB2 = (NB1*NB1+NB1)/2 - NMIN = 1 - NMAX = NB1 -C - CALL JACDIA(A,VEC,NB1,NB2,LDVEC,NMIN,NMAX,BIG,JBIG) -C - DO 30 I=1,N - EIG(I) = A((I*I+I)/2) - 30 CONTINUE -C - CALL JACORD(VEC,EIG,NB1,LDVEC) - RETURN - END -C*MODULE EIGEN *DECK JACDIA - SUBROUTINE JACDIA(F,VEC,NB1,NB2,LDVEC,NMIN,NMAX,BIG,JBIG) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - LOGICAL GOPARR,DSKWRK,MASWRK - DIMENSION F(NB2),VEC(LDVEC,NB1),BIG(NB1),JBIG(NB1) -C - COMMON /PAR / ME,MASTER,NPROC,IBTYP,IPTIM,GOPARR,DSKWRK,MASWRK -C - PARAMETER (ROOT2=0.707106781186548D+00 ) - PARAMETER (ZERO=0.0D+00, ONE=1.0D+00, D1050=1.05D+00, - * D1500=1.5D+00, D3875=3.875D+00, - * D0500=0.5D+00, D1375=1.375D+00, D0250=0.25D+00 ) - PARAMETER (C2=1.0D-12, C3=4.0D-16, - * C4=2.0D-16, C5=8.0D-09, C6=3.0D-06 ) -C -C F IS THE MATRIX TO BE DIAGONALIZED, F IS STORED TRIANGULAR -C VEC IS THE ARRAY OF EIGENVECTORS, DIMENSION NB1*NB1 -C BIG AND JBIG ARE TEMPORARY SCRATCH AREAS OF DIMENSION NB1 -C THE ROTATIONS AMONG THE FIRST NMIN BASIS FUNCTIONS ARE NOT -C ACCOUNTED FOR. -C THE ROTATIONS AMONG THE LAST NB1-NMAX BASIS FUNCTIONS ARE NOT -C ACCOUNTED FOR. -C - IEAA=0 - IEAB=0 - TT=ZERO - EPS = 64.0D+00*EPSLON(ONE) -C -C LOOP OVER COLUMNS (K) OF TRIANGULAR MATRIX TO DETERMINE -C LARGEST OFF-DIAGONAL ELEMENTS IN ROW(I). -C - DO 20 I=1,NB1 - BIG(I)=ZERO - JBIG(I)=0 - IF(I.LT.NMIN .OR. I.EQ.1) GO TO 20 - II = (I*I-I)/2 - J=MIN(I-1,NMAX) - DO 10 K=1,J - IF(ABS(BIG(I)).GE.ABS(F(II+K))) GO TO 10 - BIG(I)=F(II+K) - JBIG(I)=K - 10 CONTINUE - 20 CONTINUE -C -C ----- 2X2 JACOBI ITERATIONS BEGIN HERE ----- -C - MAXIT=MAX(NB2*20,500) - ITER=0 - 30 CONTINUE - ITER=ITER+1 -C -C FIND SMALLEST DIAGONAL ELEMENT -C - SD=D1050 - JJ=0 - DO 40 J=1,NB1 - JJ=JJ+J - SD= MIN(SD,ABS(F(JJ))) - 40 CONTINUE - TEST = MAX(EPS, C2*MAX(SD,C6)) -C -C FIND LARGEST OFF-DIAGONAL ELEMENT -C - T=ZERO - I1=MAX(2,NMIN) - IB = I1 - DO 50 I=I1,NB1 - IF(T.GE.ABS(BIG(I))) GO TO 50 - T= ABS(BIG(I)) - IB=I - 50 CONTINUE -C -C TEST FOR CONVERGENCE, THEN DETERMINE ROTATION. -C - IF(T.LT.TEST) RETURN -C ****** -C - IF(ITER.GT.MAXIT) THEN - IF (MASWRK) THEN - WRITE(6,*) 'JACOBI DIAGONALIZATION FAILS, DIMENSION=',NB1 - WRITE(6,9020) ITER,T,TEST,SD - ENDIF - CALL ABRT - STOP - END IF -C - IA=JBIG(IB) - IAA=IA*(IA-1)/2 - IBB=IB*(IB-1)/2 - DIF=F(IAA+IA)-F(IBB+IB) - IF(ABS(DIF).GT.C3*T) GO TO 70 - SX=ROOT2 - CX=ROOT2 - GO TO 110 - 70 T2X2=BIG(IB)/DIF - T2X25=T2X2*T2X2 - IF(T2X25 . GT . C4) GO TO 80 - CX=ONE - SX=T2X2 - GO TO 110 - 80 IF(T2X25 . GT . C5) GO TO 90 - SX=T2X2*(ONE-D1500*T2X25) - CX=ONE-D0500*T2X25 - GO TO 110 - 90 IF(T2X25 . GT . C6) GO TO 100 - CX=ONE+T2X25*(T2X25*D1375 - D0500) - SX= T2X2*(ONE + T2X25*(T2X25*D3875 - D1500)) - GO TO 110 - 100 T=D0250 / SQRT(D0250 + T2X25) - CX= SQRT(D0500 + T) - SX= SIGN( SQRT(D0500 - T),T2X2) - 110 IEAR=IAA+1 - IEBR=IBB+1 -C - DO 230 IR=1,NB1 - T=F(IEAR)*SX - F(IEAR)=F(IEAR)*CX+F(IEBR)*SX - F(IEBR)=T-F(IEBR)*CX - IF(IR-IA) 220,120,130 - 120 TT=F(IEBR) - IEAA=IEAR - IEAB=IEBR - F(IEBR)=BIG(IB) - IEAR=IEAR+IR-1 - IF(JBIG(IR)) 200,220,200 - 130 T=F(IEAR) - IT=IA - IEAR=IEAR+IR-1 - IF(IR-IB) 180,150,160 - 150 F(IEAA)=F(IEAA)*CX+F(IEAB)*SX - F(IEAB)=TT*CX+F(IEBR)*SX - F(IEBR)=TT*SX-F(IEBR)*CX - IEBR=IEBR+IR-1 - GO TO 200 - 160 IF( ABS(T) . GE . ABS(F(IEBR))) GO TO 170 - IF(IB.GT.NMAX) GO TO 170 - T=F(IEBR) - IT=IB - 170 IEBR=IEBR+IR-1 - 180 IF( ABS(T) . LT . ABS(BIG(IR))) GO TO 190 - BIG(IR) = T - JBIG(IR) = IT - GO TO 220 - 190 IF(IA . NE . JBIG(IR) . AND . IB . NE . JBIG(IR)) GO TO 220 - 200 KQ=IEAR-IR-IA+1 - BIG(IR)=ZERO - IR1=MIN(IR-1,NMAX) - DO 210 I=1,IR1 - K=KQ+I - IF(ABS(BIG(IR)) . GE . ABS(F(K))) GO TO 210 - BIG(IR) = F(K) - JBIG(IR)=I - 210 CONTINUE - 220 IEAR=IEAR+1 - 230 IEBR=IEBR+1 -C - DO 240 I=1,NB1 - T1=VEC(I,IA)*CX + VEC(I,IB)*SX - T2=VEC(I,IA)*SX - VEC(I,IB)*CX - VEC(I,IA)=T1 - VEC(I,IB)=T2 - 240 CONTINUE - GO TO 30 -C - 9020 FORMAT(1X,'ITER=',I6,' T,TEST,SD=',1P,3E20.10) - END -C*MODULE EIGEN *DECK JACORD - SUBROUTINE JACORD(VEC,EIG,N,LDVEC) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - DIMENSION VEC(LDVEC,N),EIG(N) -C -C ---- SORT EIGENDATA INTO ASCENDING ORDER ----- -C - DO 290 I = 1, N - JJ = I - DO 270 J = I, N - IF (EIG(J) .LT. EIG(JJ)) JJ = J - 270 CONTINUE - IF (JJ .EQ. I) GO TO 290 - T = EIG(JJ) - EIG(JJ) = EIG(I) - EIG(I) = T - DO 280 J = 1, N - T = VEC(J,JJ) - VEC(J,JJ) = VEC(J,I) - VEC(J,I) = T - 280 CONTINUE - 290 CONTINUE - RETURN - END -C*MODULE EIGEN *DECK TINVTB - SUBROUTINE TINVTB(NM,N,D,E,E2,M,W,IND,Z, - * IERR,RV1,RV2,RV3,RV4,RV6) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - DIMENSION D(N),E(N),E2(N),W(M),Z(NM,M), - * RV1(N),RV2(N),RV3(N),RV4(N),RV6(N),IND(M) - DOUBLE PRECISION MACHEP,NORM - INTEGER P,Q,R,S,TAG,GROUP -C ------------------------------------------------------------------ -C -C THIS ROUTINE IS A TRANSLATION OF THE INVERSE ITERATION TECH- -C NIQUE IN THE ALGOL PROCEDURE TRISTURM BY PETERS AND WILKINSON. -C HANDBOOK FOR AUTO. COMP., VOL.II-LINEAR ALGEBRA, 418-439(1971). -C -C THIS ROUTINE FINDS THOSE EIGENVECTORS OF A TRIDIAGONAL -C SYMMETRIC MATRIX CORRESPONDING TO SPECIFIED EIGENVALUES, -C USING INVERSE ITERATION. -C -C ON INPUT- -C -C NM MUST BE SET TO THE ROW DIMENSION OF TWO-DIMENSIONAL -C ARRAY PARAMETERS AS DECLARED IN THE CALLING ROUTINE -C DIMENSION STATEMENT, -C -C N IS THE ORDER OF THE MATRIX, -C -C D CONTAINS THE DIAGONAL ELEMENTS OF THE INPUT MATRIX, -C -C E CONTAINS THE SUBDIAGONAL ELEMENTS OF THE INPUT MATRIX -C IN ITS LAST N-1 POSITIONS. E(1) IS ARBITRARY, -C -C E2 CONTAINS THE SQUARES OF THE CORRESPONDING ELEMENTS OF E, -C WITH ZEROS CORRESPONDING TO NEGLIGIBLE ELEMENTS OF E. -C E(I) IS CONSIDERED NEGLIGIBLE IF IT IS NOT LARGER THAN -C THE PRODUCT OF THE RELATIVE MACHINE PRECISION AND THE SUM -C OF THE MAGNITUDES OF D(I) AND D(I-1). E2(1) MUST CONTAIN -C 0.0 IF THE EIGENVALUES ARE IN ASCENDING ORDER, OR 2.0 -C IF THE EIGENVALUES ARE IN DESCENDING ORDER. IF BISECT, -C TRIDIB, OR IMTQLV HAS BEEN USED TO FIND THE EIGENVALUES, -C THEIR OUTPUT E2 ARRAY IS EXACTLY WHAT IS EXPECTED HERE, -C -C M IS THE NUMBER OF SPECIFIED EIGENVALUES, -C -C W CONTAINS THE M EIGENVALUES IN ASCENDING OR DESCENDING ORDER, -C -C IND CONTAINS IN ITS FIRST M POSITIONS THE SUBMATRIX INDICES -C ASSOCIATED WITH THE CORRESPONDING EIGENVALUES IN W -- -C 1 FOR EIGENVALUES BELONGING TO THE FIRST SUBMATRIX FROM -C THE TOP, 2 FOR THOSE BELONGING TO THE SECOND SUBMATRIX, ETC. -C -C ON OUTPUT- -C -C ALL INPUT ARRAYS ARE UNALTERED, -C -C Z CONTAINS THE ASSOCIATED SET OF ORTHONORMAL EIGENVECTORS. -C ANY VECTOR WHICH FAILS TO CONVERGE IS SET TO ZERO, -C -C IERR IS SET TO -C ZERO FOR NORMAL RETURN, -C -R IF THE EIGENVECTOR CORRESPONDING TO THE R-TH -C EIGENVALUE FAILS TO CONVERGE IN 5 ITERATIONS, -C -C RV1, RV2, RV3, RV4, AND RV6 ARE TEMPORARY STORAGE ARRAYS. -C -C QUESTIONS AND COMMENTS SHOULD BE DIRECTED TO B. S. GARBOW, -C APPLIED MATHEMATICS DIVISION, ARGONNE NATIONAL LABORATORY -C -C ------------------------------------------------------------------ -C -C ********** MACHEP IS A MACHINE DEPENDENT PARAMETER SPECIFYING -C THE RELATIVE PRECISION OF FLOATING POINT ARITHMETIC. -C -C ********** - MACHEP = 2.0D+00**(-50) -C - IERR = 0 - IF (M .EQ. 0) GO TO 680 - TAG = 0 - ORDER = 1.0D+00 - E2(1) - XU = 0.0D+00 - UK = 0.0D+00 - X0 = 0.0D+00 - U = 0.0D+00 - EPS2 = 0.0D+00 - EPS3 = 0.0D+00 - EPS4 = 0.0D+00 - GROUP = 0 - Q = 0 -C ********** ESTABLISH AND PROCESS NEXT SUBMATRIX ********** - 100 P = Q + 1 - IP = P + 1 -C - DO 120 Q = P, N - IF (Q .EQ. N) GO TO 140 - IF (E2(Q+1) .EQ. 0.0D+00) GO TO 140 - 120 CONTINUE -C ********** FIND VECTORS BY INVERSE ITERATION ********** - 140 TAG = TAG + 1 - IQMP = Q - P + 1 - S = 0 -C - DO 660 R = 1, M - IF (IND(R) .NE. TAG) GO TO 660 - ITS = 1 - X1 = W(R) - IF (S .NE. 0) GO TO 220 -C ********** CHECK FOR ISOLATED ROOT ********** - XU = 1.0D+00 - IF (P .NE. Q) GO TO 160 - RV6(P) = 1.0D+00 - GO TO 600 - 160 NORM = ABS(D(P)) -C - DO 180 I = IP, Q - 180 NORM = NORM + ABS(D(I)) + ABS(E(I)) -C ********** EPS2 IS THE CRITERION FOR GROUPING, -C EPS3 REPLACES ZERO PIVOTS AND EQUAL -C ROOTS ARE MODIFIED BY EPS3, -C EPS4 IS TAKEN VERY SMALL TO AVOID OVERFLOW ********** - EPS2 = 1.0D-03 * NORM - EPS3 = MACHEP * NORM - UK = IQMP - EPS4 = UK * EPS3 - UK = EPS4 / SQRT(UK) - S = P - 200 GROUP = 0 - GO TO 240 -C ********** LOOK FOR CLOSE OR COINCIDENT ROOTS ********** - 220 IF (ABS(X1-X0) .GE. EPS2) GO TO 200 - GROUP = GROUP + 1 - IF (ORDER * (X1 - X0) .LE. 0.0D+00) X1 = X0 + ORDER * EPS3 -C ********** ELIMINATION WITH INTERCHANGES AND -C INITIALIZATION OF VECTOR ********** - 240 V = 0.0D+00 -C - DO 300 I = P, Q - RV6(I) = UK - IF (I .EQ. P) GO TO 280 - IF (ABS(E(I)) .LT. ABS(U)) GO TO 260 -C ********** WARNING -- A DIVIDE CHECK MAY OCCUR HERE IF -C E2 ARRAY HAS NOT BEEN SPECIFIED CORRECTLY ********** - XU = U / E(I) - RV4(I) = XU - RV1(I-1) = E(I) - RV2(I-1) = D(I) - X1 - RV3(I-1) = 0.0D+00 - IF (I .NE. Q) RV3(I-1) = E(I+1) - U = V - XU * RV2(I-1) - V = -XU * RV3(I-1) - GO TO 300 - 260 XU = E(I) / U - RV4(I) = XU - RV1(I-1) = U - RV2(I-1) = V - RV3(I-1) = 0.0D+00 - 280 U = D(I) - X1 - XU * V - IF (I .NE. Q) V = E(I+1) - 300 CONTINUE -C - IF (U .EQ. 0.0D+00) U = EPS3 - RV1(Q) = U - RV2(Q) = 0.0D+00 - RV3(Q) = 0.0D+00 -C ********** BACK SUBSTITUTION -C FOR I=Q STEP -1 UNTIL P DO -- ********** - 320 DO 340 II = P, Q - I = P + Q - II - RV6(I) = (RV6(I) - U * RV2(I) - V * RV3(I)) / RV1(I) - V = U - U = RV6(I) - 340 CONTINUE -C ********** ORTHOGONALIZE WITH RESPECT TO PREVIOUS -C MEMBERS OF GROUP ********** - IF (GROUP .EQ. 0) GO TO 400 - J = R -C - DO 380 JJ = 1, GROUP - 360 J = J - 1 - IF (IND(J) .NE. TAG) GO TO 360 - XU = DDOT(IQMP,RV6(P),1,Z(P,J),1) -C - CALL DAXPY(IQMP,-XU,Z(P,J),1,RV6(P),1) -C - 380 CONTINUE -C - 400 NORM = 0.0D+00 -C - DO 420 I = P, Q - 420 NORM = NORM + ABS(RV6(I)) -C - IF (NORM .GE. 1.0D+00) GO TO 560 -C ********** FORWARD SUBSTITUTION ********** - IF (ITS .EQ. 5) GO TO 540 - IF (NORM .NE. 0.0D+00) GO TO 440 - RV6(S) = EPS4 - S = S + 1 - IF (S .GT. Q) S = P - GO TO 480 - 440 XU = EPS4 / NORM -C - DO 460 I = P, Q - 460 RV6(I) = RV6(I) * XU -C ********** ELIMINATION OPERATIONS ON NEXT VECTOR -C ITERATE ********** - 480 DO 520 I = IP, Q - U = RV6(I) -C ********** IF RV1(I-1) .EQ. E(I), A ROW INTERCHANGE -C WAS PERFORMED EARLIER IN THE -C TRIANGULARIZATION PROCESS ********** - IF (RV1(I-1) .NE. E(I)) GO TO 500 - U = RV6(I-1) - RV6(I-1) = RV6(I) - 500 RV6(I) = U - RV4(I) * RV6(I-1) - 520 CONTINUE -C - ITS = ITS + 1 - GO TO 320 -C ********** SET ERROR -- NON-CONVERGED EIGENVECTOR ********** - 540 IERR = -R - XU = 0.0D+00 - GO TO 600 -C ********** NORMALIZE SO THAT SUM OF SQUARES IS -C 1 AND EXPAND TO FULL ORDER ********** - 560 U = 0.0D+00 -C - DO 580 I = P, Q - RV6(I) = RV6(I) / NORM - 580 U = U + RV6(I)**2 -C - XU = 1.0D+00 / SQRT(U) -C - 600 DO 620 I = 1, N - 620 Z(I,R) = 0.0D+00 -C - DO 640 I = P, Q - 640 Z(I,R) = RV6(I) * XU -C - X0 = X1 - 660 CONTINUE -C - IF (Q .LT. N) GO TO 100 - 680 RETURN -C ********** LAST CARD OF TINVIT ********** - END -C*MODULE EIGEN *DECK TQL2 -C -C ------------------------------------------------------------------ -C - SUBROUTINE TQL2(NM,N,D,E,Z,IERR) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - DOUBLE PRECISION MACHEP - DIMENSION D(N),E(N),Z(NM,N) -C -C THIS ROUTINE IS A TRANSLATION OF THE ALGOL PROCEDURE TQL2, -C NUM. MATH. 11, 293-306(1968) BY BOWDLER, MARTIN, REINSCH, AND -C WILKINSON. -C HANDBOOK FOR AUTO. COMP., VOL.II-LINEAR ALGEBRA, 227-240(1971). -C -C THIS ROUTINE FINDS THE EIGENVALUES AND EIGENVECTORS -C OF A SYMMETRIC TRIDIAGONAL MATRIX BY THE QL METHOD. -C THE EIGENVECTORS OF A FULL SYMMETRIC MATRIX CAN ALSO -C BE FOUND IF TRED2 HAS BEEN USED TO REDUCE THIS -C FULL MATRIX TO TRIDIAGONAL FORM. -C -C ON INPUT- -C -C NM MUST BE SET TO THE ROW DIMENSION OF TWO-DIMENSIONAL -C ARRAY PARAMETERS AS DECLARED IN THE CALLING ROUTINE -C DIMENSION STATEMENT, -C -C N IS THE ORDER OF THE MATRIX, -C -C D CONTAINS THE DIAGONAL ELEMENTS OF THE INPUT MATRIX, -C -C E CONTAINS THE SUBDIAGONAL ELEMENTS OF THE INPUT MATRIX -C IN ITS LAST N-1 POSITIONS. E(1) IS ARBITRARY, -C -C Z CONTAINS THE TRANSFORMATION MATRIX PRODUCED IN THE -C REDUCTION BY TRED2, IF PERFORMED. IF THE EIGENVECTORS -C OF THE TRIDIAGONAL MATRIX ARE DESIRED, Z MUST CONTAIN -C THE IDENTITY MATRIX. -C -C ON OUTPUT- -C -C D CONTAINS THE EIGENVALUES IN ASCENDING ORDER. IF AN -C ERROR EXIT IS MADE, THE EIGENVALUES ARE CORRECT BUT -C UNORDERED FOR INDICES 1,2,...,IERR-1, -C -C E HAS BEEN DESTROYED, -C -C Z CONTAINS ORTHONORMAL EIGENVECTORS OF THE SYMMETRIC -C TRIDIAGONAL (OR FULL) MATRIX. IF AN ERROR EXIT IS MADE, -C Z CONTAINS THE EIGENVECTORS ASSOCIATED WITH THE STORED -C EIGENVALUES, -C -C IERR IS SET TO -C ZERO FOR NORMAL RETURN, -C J IF THE J-TH EIGENVALUE HAS NOT BEEN -C DETERMINED AFTER 30 ITERATIONS. -C -C QUESTIONS AND COMMENTS SHOULD BE DIRECTED TO B. S. GARBOW, -C APPLIED MATHEMATICS DIVISION, ARGONNE NATIONAL LABORATORY -C -C ------------------------------------------------------------------ -C -C ********** MACHEP IS A MACHINE DEPENDENT PARAMETER SPECIFYING -C THE RELATIVE PRECISION OF FLOATING POINT ARITHMETIC. -C -C ********** - MACHEP = 2.0D+00**(-50) -C - IERR = 0 - IF (N .EQ. 1) GO TO 400 -C - DO 100 I = 2, N - 100 E(I-1) = E(I) -C - F = 0.0D+00 - B = 0.0D+00 - E(N) = 0.0D+00 -C - DO 300 L = 1, N - J = 0 - H = MACHEP * (ABS(D(L)) + ABS(E(L))) - IF (B .LT. H) B = H -C ********** LOOK FOR SMALL SUB-DIAGONAL ELEMENT ********** - DO 120 M = L, N - IF (ABS(E(M)) .LE. B) GO TO 140 -C ********** E(N) IS ALWAYS ZERO, SO THERE IS NO EXIT -C THROUGH THE BOTTOM OF THE LOOP ********** - 120 CONTINUE -C - 140 IF (M .EQ. L) GO TO 280 - 160 IF (J .EQ. 30) GO TO 380 - J = J + 1 -C ********** FORM SHIFT ********** - L1 = L + 1 - G = D(L) - P = (D(L1) - G) / (2.0D+00 * E(L)) - R = SQRT(P*P+1.0D+00) - D(L) = E(L) / (P + SIGN(R,P)) - H = G - D(L) -C - DO 180 I = L1, N - 180 D(I) = D(I) - H -C - F = F + H -C ********** QL TRANSFORMATION ********** - P = D(M) - C = 1.0D+00 - S = 0.0D+00 - MML = M - L -C ********** FOR I=M-1 STEP -1 UNTIL L DO -- ********** - DO 260 II = 1, MML - I = M - II - G = C * E(I) - H = C * P - IF (ABS(P) .LT. ABS(E(I))) GO TO 200 - C = E(I) / P - R = SQRT(C*C+1.0D+00) - E(I+1) = S * P * R - S = C / R - C = 1.0D+00 / R - GO TO 220 - 200 C = P / E(I) - R = SQRT(C*C+1.0D+00) - E(I+1) = S * E(I) * R - S = 1.0D+00 / R - C = C * S - 220 P = C * D(I) - S * G - D(I+1) = H + S * (C * G + S * D(I)) -C ********** FORM VECTOR ********** - CALL DROT(N,Z(1,I+1),1,Z(1,I),1,C,S) -C - 260 CONTINUE -C - E(L) = S * P - D(L) = C * P - IF (ABS(E(L)) .GT. B) GO TO 160 - 280 D(L) = D(L) + F - 300 CONTINUE -C ********** ORDER EIGENVALUES AND EIGENVECTORS ********** - DO 360 II = 2, N - I = II - 1 - K = I - P = D(I) -C - DO 320 J = II, N - IF (D(J) .GE. P) GO TO 320 - K = J - P = D(J) - 320 CONTINUE -C - IF (K .EQ. I) GO TO 360 - D(K) = D(I) - D(I) = P -C - CALL DSWAP(N,Z(1,I),1,Z(1,K),1) -C - 360 CONTINUE -C - GO TO 400 -C ********** SET ERROR -- NO CONVERGENCE TO AN -C EIGENVALUE AFTER 30 ITERATIONS ********** - 380 IERR = L - 400 RETURN -C ********** LAST CARD OF TQL2 ********** - END -C*MODULE EIGEN *DECK TRBK3B -C -C ------------------------------------------------------------------ -C - SUBROUTINE TRBK3B(NM,N,NV,A,M,Z) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - DIMENSION A(NV),Z(NM,M) -C -C THIS ROUTINE IS A TRANSLATION OF THE ALGOL PROCEDURE TRBAK3, -C NUM. MATH. 11, 181-195(1968) BY MARTIN, REINSCH, AND WILKINSON. -C HANDBOOK FOR AUTO. COMP., VOL.II-LINEAR ALGEBRA, 212-226(1971). -C -C THIS ROUTINE FORMS THE EIGENVECTORS OF A REAL SYMMETRIC -C MATRIX BY BACK TRANSFORMING THOSE OF THE CORRESPONDING -C SYMMETRIC TRIDIAGONAL MATRIX DETERMINED BY TRED3B. -C -C ON INPUT- -C -C NM MUST BE SET TO THE ROW DIMENSION OF TWO-DIMENSIONAL -C ARRAY PARAMETERS AS DECLARED IN THE CALLING ROUTINE -C DIMENSION STATEMENT, -C -C N IS THE ORDER OF THE MATRIX, -C -C NV MUST BE SET TO THE DIMENSION OF THE ARRAY PARAMETER A -C AS DECLARED IN THE CALLING ROUTINE DIMENSION STATEMENT, -C -C A CONTAINS INFORMATION ABOUT THE ORTHOGONAL TRANSFORMATIONS -C USED IN THE REDUCTION BY TRED3B IN ITS FIRST -C N*(N+1)/2 POSITIONS, -C -C M IS THE NUMBER OF EIGENVECTORS TO BE BACK TRANSFORMED, -C -C Z CONTAINS THE EIGENVECTORS TO BE BACK TRANSFORMED -C IN ITS FIRST M COLUMNS. -C -C ON OUTPUT- -C -C Z CONTAINS THE TRANSFORMED EIGENVECTORS -C IN ITS FIRST M COLUMNS. -C -C NOTE THAT TRBAK3 PRESERVES VECTOR EUCLIDEAN NORMS. -C -C QUESTIONS AND COMMENTS SHOULD BE DIRECTED TO B. S. GARBOW, -C APPLIED MATHEMATICS DIVISION, ARGONNE NATIONAL LABORATORY -C -C ------------------------------------------------------------------ -C - IF (M .EQ. 0) GO TO 140 - IF (N .EQ. 1) GO TO 140 -C - DO 120 I = 2, N - L = I - 1 - IZ = (I * L) / 2 - IK = IZ + I - H = A(IK) - IF (H .EQ. 0.0D+00) GO TO 120 -C - DO 100 J = 1, M - S = -DDOT(L,A(IZ+1),1,Z(1,J),1) -C -C ********** DOUBLE DIVISION AVOIDS POSSIBLE UNDERFLOW ********** - S = (S / H) / H -C - CALL DAXPY(L,S,A(IZ+1),1,Z(1,J),1) -C - 100 CONTINUE -C - 120 CONTINUE -C - 140 RETURN -C ********** LAST CARD OF TRBAK3 ********** - END -C*MODULE EIGEN *DECK TRED3B -C -C ------------------------------------------------------------------ -C - SUBROUTINE TRED3B(N,NV,A,D,E,E2) - IMPLICIT DOUBLE PRECISION(A-H,O-Z) - DIMENSION A(NV),D(N),E(N),E2(N) -C -C THIS ROUTINE IS A TRANSLATION OF THE ALGOL PROCEDURE TRED3, -C NUM. MATH. 11, 181-195(1968) BY MARTIN, REINSCH, AND WILKINSON. -C HANDBOOK FOR AUTO. COMP., VOL.II-LINEAR ALGEBRA, 212-226(1971). -C -C THIS ROUTINE REDUCES A REAL SYMMETRIC MATRIX, STORED AS -C A ONE-DIMENSIONAL ARRAY, TO A SYMMETRIC TRIDIAGONAL MATRIX -C USING ORTHOGONAL SIMILARITY TRANSFORMATIONS. -C -C ON INPUT- -C -C N IS THE ORDER OF THE MATRIX, -C -C NV MUST BE SET TO THE DIMENSION OF THE ARRAY PARAMETER A -C AS DECLARED IN THE CALLING ROUTINE DIMENSION STATEMENT, -C -C A CONTAINS THE LOWER TRIANGLE OF THE REAL SYMMETRIC -C INPUT MATRIX, STORED ROW-WISE AS A ONE-DIMENSIONAL -C ARRAY, IN ITS FIRST N*(N+1)/2 POSITIONS. -C -C ON OUTPUT- -C -C A CONTAINS INFORMATION ABOUT THE ORTHOGONAL -C TRANSFORMATIONS USED IN THE REDUCTION, -C -C D CONTAINS THE DIAGONAL ELEMENTS OF THE TRIDIAGONAL MATRIX, -C -C E CONTAINS THE SUBDIAGONAL ELEMENTS OF THE TRIDIAGONAL -C MATRIX IN ITS LAST N-1 POSITIONS. E(1) IS SET TO ZERO, -C -C E2 CONTAINS THE SQUARES OF THE CORRESPONDING ELEMENTS OF E. -C E2 MAY COINCIDE WITH E IF THE SQUARES ARE NOT NEEDED. -C -C QUESTIONS AND COMMENTS SHOULD BE DIRECTED TO B. S. GARBOW, -C APPLIED MATHEMATICS DIVISION, ARGONNE NATIONAL LABORATORY -C -C ------------------------------------------------------------------ -C -C ********** FOR I=N STEP -1 UNTIL 1 DO -- ********** - DO 300 II = 1, N - I = N + 1 - II - L = I - 1 - IZ = (I * L) / 2 - H = 0.0D+00 - SCALE = 0.0D+00 - IF (L .LT. 1) GO TO 120 -C ********** SCALE ROW (ALGOL TOL THEN NOT NEEDED) ********** - DO 100 K = 1, L - IZ = IZ + 1 - D(K) = A(IZ) - SCALE = SCALE + ABS(D(K)) - 100 CONTINUE -C - IF (SCALE .NE. 0.0D+00) GO TO 140 - 120 E(I) = 0.0D+00 - E2(I) = 0.0D+00 - GO TO 280 -C - 140 DO 160 K = 1, L - D(K) = D(K) / SCALE - H = H + D(K) * D(K) - 160 CONTINUE -C - E2(I) = SCALE * SCALE * H - F = D(L) - G = -SIGN(SQRT(H),F) - E(I) = SCALE * G - H = H - F * G - D(L) = F - G - A(IZ) = SCALE * D(L) - IF (L .EQ. 1) GO TO 280 - F = 0.0D+00 -C - JK = 1 - DO 220 J = 1, L - JM1 = J - 1 - DT = D(J) - G = 0.0D+00 -C ********** FORM ELEMENT OF A*U ********** - IF (JM1 .EQ. 0) GO TO 200 - DO 180 K = 1, JM1 - E(K) = E(K) + DT * A(JK) - G = G + D(K) * A(JK) - JK = JK + 1 - 180 CONTINUE - 200 E(J) = G + A(JK) * DT - JK = JK + 1 -C ********** FORM ELEMENT OF P ********** - 220 CONTINUE - F = 0.0D+00 - DO 240 J = 1, L - E(J) = E(J) / H - F = F + E(J) * D(J) - 240 CONTINUE -C - HH = F / (H + H) - JK = 0 -C ********** FORM REDUCED A ********** - DO 260 J = 1, L - F = D(J) - G = E(J) - HH * F - E(J) = G -C - DO 260 K = 1, J - JK = JK + 1 - A(JK) = A(JK) - F * E(K) - G * D(K) - 260 CONTINUE -C - 280 D(I) = A(IZ+1) - A(IZ+1) = SCALE * SQRT(H) - 300 CONTINUE -C - RETURN -C ********** LAST CARD OF TRED3 ********** - END diff --git a/source/unres/src_MD-restraints-PM/elecont.f b/source/unres/src_MD-restraints-PM/elecont.f deleted file mode 100644 index e9ed067..0000000 --- a/source/unres/src_MD-restraints-PM/elecont.f +++ /dev/null @@ -1,509 +0,0 @@ - subroutine elecont(lprint,ncont,icont) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.LOCAL' - include 'COMMON.FFIELD' - include 'COMMON.NAMES' - logical lprint - double precision elpp_6(2,2),elpp_3(2,2),ael6_(2,2),ael3_(2,2) - double precision app_(2,2),bpp_(2,2),rpp_(2,2) - integer ncont,icont(2,maxcont) - double precision econt(maxcont) -* -* Load the constants of peptide bond - peptide bond interactions. -* Type 1 - ordinary peptide bond, type 2 - alkylated peptide bond (e.g. -* proline) - determined by averaging ECEPP energy. -* -* as of 7/06/91. -* -c data epp / 0.3045d0, 0.3649d0, 0.3649d0, 0.5743d0/ - data rpp_ / 4.5088d0, 4.5395d0, 4.5395d0, 4.4846d0/ - data elpp_6 /-0.2379d0,-0.2056d0,-0.2056d0,-0.0610d0/ - data elpp_3 / 0.0503d0, 0.0000d0, 0.0000d0, 0.0692d0/ - data elcutoff /-0.3d0/,elecutoff_14 /-0.5d0/ - if (lprint) write (iout,'(a)') - & "Constants of electrostatic interaction energy expression." - do i=1,2 - do j=1,2 - rri=rpp_(i,j)**6 - app_(i,j)=epp(i,j)*rri*rri - bpp_(i,j)=-2.0*epp(i,j)*rri - ael6_(i,j)=elpp_6(i,j)*4.2**6 - ael3_(i,j)=elpp_3(i,j)*4.2**3 - if (lprint) - & write (iout,'(2i2,4e15.4)') i,j,app_(i,j),bpp_(i,j),ael6_(i,j), - & ael3_(i,j) - enddo - enddo - ncont=0 - ees=0.0 - evdw=0.0 - do 1 i=nnt,nct-2 - xi=c(1,i) - yi=c(2,i) - zi=c(3,i) - dxi=c(1,i+1)-c(1,i) - dyi=c(2,i+1)-c(2,i) - dzi=c(3,i+1)-c(3,i) - xmedi=xi+0.5*dxi - ymedi=yi+0.5*dyi - zmedi=zi+0.5*dzi - do 4 j=i+2,nct-1 - ind=ind+1 - iteli=itel(i) - itelj=itel(j) - if (j.eq.i+2 .and. itelj.eq.2) iteli=2 - if (iteli.eq.2 .and. itelj.eq.2) goto 4 - aaa=app_(iteli,itelj) - bbb=bpp_(iteli,itelj) - ael6_i=ael6_(iteli,itelj) - ael3_i=ael3_(iteli,itelj) - dxj=c(1,j+1)-c(1,j) - dyj=c(2,j+1)-c(2,j) - dzj=c(3,j+1)-c(3,j) - xj=c(1,j)+0.5*dxj-xmedi - yj=c(2,j)+0.5*dyj-ymedi - zj=c(3,j)+0.5*dzj-zmedi - rrmij=1.0/(xj*xj+yj*yj+zj*zj) - rmij=sqrt(rrmij) - r3ij=rrmij*rmij - r6ij=r3ij*r3ij - vrmij=vblinv*rmij - cosa=(dxi*dxj+dyi*dyj+dzi*dzj)*vblinv2 - cosb=(xj*dxi+yj*dyi+zj*dzi)*vrmij - cosg=(xj*dxj+yj*dyj+zj*dzj)*vrmij - fac=cosa-3.0*cosb*cosg - ev1=aaa*r6ij*r6ij - ev2=bbb*r6ij - fac3=ael6_i*r6ij - fac4=ael3_i*r3ij - evdwij=ev1+ev2 - el1=fac3*(4.0+fac*fac-3.0*(cosb*cosb+cosg*cosg)) - el2=fac4*fac - eesij=el1+el2 - if (j.gt.i+2 .and. eesij.le.elcutoff .or. - & j.eq.i+2 .and. eesij.le.elecutoff_14) then - ncont=ncont+1 - icont(1,ncont)=i - icont(2,ncont)=j - econt(ncont)=eesij - endif - ees=ees+eesij - evdw=evdw+evdwij - 4 continue - 1 continue - if (lprint) then - write (iout,*) 'Total average electrostatic energy: ',ees - write (iout,*) 'VDW energy between peptide-group centers: ',evdw - write (iout,*) - write (iout,*) 'Electrostatic contacts before pruning: ' - do i=1,ncont - i1=icont(1,i) - i2=icont(2,i) - it1=itype(i1) - it2=itype(i2) - write (iout,'(i3,2x,a,i4,2x,a,i4,f10.5)') - & i,restyp(it1),i1,restyp(it2),i2,econt(i) - enddo - endif -c For given residues keep only the contacts with the greatest energy. - i=0 - do while (i.lt.ncont) - i=i+1 - ene=econt(i) - ic1=icont(1,i) - ic2=icont(2,i) - j=i - do while (j.lt.ncont) - j=j+1 - if (ic1.eq.icont(1,j).and.iabs(icont(2,j)-ic2).le.2 .or. - & ic2.eq.icont(2,j).and.iabs(icont(1,j)-ic1).le.2) then -c write (iout,*) "i",i," j",j," ic1",ic1," ic2",ic2, -c & " jc1",icont(1,j)," jc2",icont(2,j)," ncont",ncont - if (econt(j).lt.ene .and. icont(2,j).ne.icont(1,j)+2) then - if (ic1.eq.icont(1,j)) then - do k=1,ncont - if (k.ne.i .and. k.ne.j .and. icont(2,k).eq.icont(2,j) - & .and. iabs(icont(1,k)-ic1).le.2 .and. - & econt(k).lt.econt(j) ) goto 21 - enddo - else if (ic2.eq.icont(2,j) ) then - do k=1,ncont - if (k.ne.i .and. k.ne.j .and. icont(1,k).eq.icont(1,j) - & .and. iabs(icont(2,k)-ic2).le.2 .and. - & econt(k).lt.econt(j) ) goto 21 - enddo - endif -c Remove ith contact - do k=i+1,ncont - icont(1,k-1)=icont(1,k) - icont(2,k-1)=icont(2,k) - econt(k-1)=econt(k) - enddo - i=i-1 - ncont=ncont-1 -c write (iout,*) "ncont",ncont -c do k=1,ncont -c write (iout,*) icont(1,k),icont(2,k) -c enddo - goto 20 - else if (econt(j).gt.ene .and. ic2.ne.ic1+2) - & then - if (ic1.eq.icont(1,j)) then - do k=1,ncont - if (k.ne.i .and. k.ne.j .and. icont(2,k).eq.ic2 - & .and. iabs(icont(1,k)-icont(1,j)).le.2 .and. - & econt(k).lt.econt(i) ) goto 21 - enddo - else if (ic2.eq.icont(2,j) ) then - do k=1,ncont - if (k.ne.i .and. k.ne.j .and. icont(1,k).eq.ic1 - & .and. iabs(icont(2,k)-icont(2,j)).le.2 .and. - & econt(k).lt.econt(i) ) goto 21 - enddo - endif -c Remove jth contact - do k=j+1,ncont - icont(1,k-1)=icont(1,k) - icont(2,k-1)=icont(2,k) - econt(k-1)=econt(k) - enddo - ncont=ncont-1 -c write (iout,*) "ncont",ncont -c do k=1,ncont -c write (iout,*) icont(1,k),icont(2,k) -c enddo - j=j-1 - endif - endif - 21 continue - enddo - 20 continue - enddo - if (lprint) then - write (iout,*) - write (iout,*) 'Electrostatic contacts after pruning: ' - do i=1,ncont - i1=icont(1,i) - i2=icont(2,i) - it1=itype(i1) - it2=itype(i2) - write (iout,'(i3,2x,a,i4,2x,a,i4,f10.5)') - & i,restyp(it1),i1,restyp(it2),i2,econt(i) - enddo - endif - return - end -c-------------------------------------------- - subroutine secondary2(lprint) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.DISTFIT' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.CONTROL' - integer ncont,icont(2,maxcont),isec(maxres,4),nsec(maxres) - logical lprint,not_done,freeres - double precision p1,p2 - external freeres - - if(.not.dccart) call chainbuild -cd call write_pdb(99,'sec structure',0d0) - ncont=0 - nbfrag=0 - nhfrag=0 - do i=1,nres - isec(i,1)=0 - isec(i,2)=0 - nsec(i)=0 - enddo - - call elecont(lprint,ncont,icont) - -c finding parallel beta -cd write (iout,*) '------- looking for parallel beta -----------' - nbeta=0 - nstrand=0 - do i=1,ncont - i1=icont(1,i) - j1=icont(2,i) - if(j1-i1.gt.5 .and. freeres(i1,j1,nsec,isec)) then - ii1=i1 - jj1=j1 -cd write (iout,*) i1,j1 - not_done=.true. - do while (not_done) - i1=i1+1 - j1=j1+1 - do j=1,ncont - if (i1.eq.icont(1,j) .and. j1.eq.icont(2,j) .and. - & freeres(i1,j1,nsec,isec)) goto 5 - enddo - not_done=.false. - 5 continue -cd write (iout,*) i1,j1,not_done - enddo - j1=j1-1 - i1=i1-1 - if (i1-ii1.gt.1) then - ii1=max0(ii1-1,1) - jj1=max0(jj1-1,1) - nbeta=nbeta+1 - if(lprint)write(iout,'(a,i3,4i4)')'parallel beta', - & nbeta,ii1,i1,jj1,j1 - - nbfrag=nbfrag+1 - bfrag(1,nbfrag)=ii1+1 - bfrag(2,nbfrag)=i1+1 - bfrag(3,nbfrag)=jj1+1 - bfrag(4,nbfrag)=min0(j1+1,nres) - - do ij=ii1,i1 - nsec(ij)=nsec(ij)+1 - isec(ij,nsec(ij))=nbeta - enddo - do ij=jj1,j1 - nsec(ij)=nsec(ij)+1 - isec(ij,nsec(ij))=nbeta - enddo - - if(lprint) then - nstrand=nstrand+1 - if (nbeta.le.9) then - write(12,'(a18,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",ii1-1,"..",i1-1,"'" - else - write(12,'(a18,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",ii1-1,"..",i1-1,"'" - endif - nstrand=nstrand+1 - if (nbeta.le.9) then - write(12,'(a18,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",jj1-1,"..",j1-1,"'" - else - write(12,'(a18,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",jj1-1,"..",j1-1,"'" - endif - write(12,'(a8,4i4)') - & "SetNeigh",ii1-1,i1-1,jj1-1,j1-1 - endif - endif - endif - enddo - -c finding alpha or 310 helix - - nhelix=0 - do i=1,ncont - i1=icont(1,i) - j1=icont(2,i) - p1=phi(i1+2)*rad2deg - p2=0.0 - if (j1+2.le.nres) p2=phi(j1+2)*rad2deg - - - if (j1.eq.i1+3 .and. - & ((p1.ge.10.and.p1.le.80).or.i1.le.2).and. - & ((p2.ge.10.and.p2.le.80).or.j1.le.2.or.j1.ge.nres-3) )then -cd if (j1.eq.i1+3) write (iout,*) "found 1-4 ",i1,j1,p1,p2 -co if (j1.eq.i1+4) write (iout,*) "found 1-5 ",i1,j1,p1,p2 - ii1=i1 - jj1=j1 - if (nsec(ii1).eq.0) then - not_done=.true. - else - not_done=.false. - endif - do while (not_done) - i1=i1+1 - j1=j1+1 - do j=1,ncont - if (i1.eq.icont(1,j) .and. j1.eq.icont(2,j)) goto 10 - enddo - not_done=.false. - 10 continue - p1=phi(i1+2)*rad2deg - p2=phi(j1+2)*rad2deg - if (p1.lt.10.or.p1.gt.80.or.p2.lt.10.or.p2.gt.80) - & not_done=.false. -cd write (iout,*) i1,j1,not_done,p1,p2 - enddo - j1=j1+1 - if (j1-ii1.gt.5) then - nhelix=nhelix+1 -cd write (iout,*)'helix',nhelix,ii1,j1 - - nhfrag=nhfrag+1 - hfrag(1,nhfrag)=ii1 - hfrag(2,nhfrag)=j1 - - do ij=ii1,j1 - nsec(ij)=-1 - enddo - if (lprint) then - write (iout,'(a,i3,2i4)') "Helix",nhelix,ii1-1,j1-1 - if (nhelix.le.9) then - write(12,'(a17,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'helix",nhelix, - & "' 'num = ",ii1-1,"..",j1-2,"'" - else - write(12,'(a17,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'helix",nhelix, - & "' 'num = ",ii1-1,"..",j1-2,"'" - endif - endif - endif - endif - enddo - - if (nhelix.gt.0.and.lprint) then - write(12,'(a26,$)') "DefPropRes 'helix' 'helix1" - do i=2,nhelix - if (nhelix.le.9) then - write(12,'(a8,i1,$)') " | helix",i - else - write(12,'(a8,i2,$)') " | helix",i - endif - enddo - write(12,'(a1)') "'" - endif - - -c finding antiparallel beta -cd write (iout,*) '--------- looking for antiparallel beta ---------' - - do i=1,ncont - i1=icont(1,i) - j1=icont(2,i) - if (freeres(i1,j1,nsec,isec)) then - ii1=i1 - jj1=j1 -cd write (iout,*) i1,j1 - - not_done=.true. - do while (not_done) - i1=i1+1 - j1=j1-1 - do j=1,ncont - if (i1.eq.icont(1,j).and.j1.eq.icont(2,j) .and. - & freeres(i1,j1,nsec,isec)) goto 6 - enddo - not_done=.false. - 6 continue -cd write (iout,*) i1,j1,not_done - enddo - i1=i1-1 - j1=j1+1 - if (i1-ii1.gt.1) then - - nbfrag=nbfrag+1 - bfrag(1,nbfrag)=ii1 - bfrag(2,nbfrag)=min0(i1+1,nres) - bfrag(3,nbfrag)=min0(jj1+1,nres) - bfrag(4,nbfrag)=j1 - - nbeta=nbeta+1 - iii1=max0(ii1-1,1) - do ij=iii1,i1 - nsec(ij)=nsec(ij)+1 - if (nsec(ij).le.2) then - isec(ij,nsec(ij))=nbeta - endif - enddo - jjj1=max0(j1-1,1) - do ij=jjj1,jj1 - nsec(ij)=nsec(ij)+1 - if (nsec(ij).le.2 .and. nsec(ij).gt.0) then - isec(ij,nsec(ij))=nbeta - endif - enddo - - - if (lprint) then - write (iout,'(a,i3,4i4)')'antiparallel beta', - & nbeta,ii1-1,i1,jj1,j1-1 - nstrand=nstrand+1 - if (nstrand.le.9) then - write(12,'(a18,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",ii1-2,"..",i1-1,"'" - else - write(12,'(a18,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",ii1-2,"..",i1-1,"'" - endif - nstrand=nstrand+1 - if (nstrand.le.9) then - write(12,'(a18,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",j1-2,"..",jj1-1,"'" - else - write(12,'(a18,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",j1-2,"..",jj1-1,"'" - endif - write(12,'(a8,4i4)') - & "SetNeigh",ii1-2,i1-1,jj1-1,j1-2 - endif - endif - endif - enddo - - if (nstrand.gt.0.and.lprint) then - write(12,'(a27,$)') "DefPropRes 'sheet' 'strand1" - do i=2,nstrand - if (i.le.9) then - write(12,'(a9,i1,$)') " | strand",i - else - write(12,'(a9,i2,$)') " | strand",i - endif - enddo - write(12,'(a1)') "'" - endif - - - - if (lprint) then - write(12,'(a37)') "DefPropRes 'coil' '! (helix | sheet)'" - write(12,'(a20)') "XMacStand ribbon.mac" - - - write(iout,*) 'UNRES seq:' - do j=1,nbfrag - write(iout,*) 'beta ',(bfrag(i,j),i=1,4) - enddo - - do j=1,nhfrag - write(iout,*) 'helix ',(hfrag(i,j),i=1,2) - enddo - endif - - return - end -c------------------------------------------------- - logical function freeres(i,j,nsec,isec) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - integer isec(maxres,4),nsec(maxres) - freeres=.false. - - if (nsec(i).lt.0.or.nsec(j).lt.0) return - if (nsec(i).gt.1.or.nsec(j).gt.1) return - do k=1,nsec(i) - do l=1,nsec(j) - if (isec(i,k).eq.isec(j,l)) return - enddo - enddo - freeres=.true. - return - end - diff --git a/source/unres/src_MD-restraints-PM/energy_p_new-sep_barrier.F b/source/unres/src_MD-restraints-PM/energy_p_new-sep_barrier.F deleted file mode 100644 index c89aee2..0000000 --- a/source/unres/src_MD-restraints-PM/energy_p_new-sep_barrier.F +++ /dev/null @@ -1,2322 +0,0 @@ -C----------------------------------------------------------------------- - double precision function sscale(r) - double precision r,gamm - include "COMMON.SPLITELE" - if(r.lt.r_cut-rlamb) then - sscale=1.0d0 - else if(r.le.r_cut.and.r.ge.r_cut-rlamb) then - gamm=(r-(r_cut-rlamb))/rlamb - sscale=1.0d0+gamm*gamm*(2*gamm-3.0d0) - else - sscale=0d0 - endif - return - end -C----------------------------------------------------------------------- - subroutine elj_long(evdw) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the LJ potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - parameter (accur=1.0d-10) - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.TORSION' - include 'COMMON.SBRIDGE' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.CONTACTS' - dimension gg(3) -c write(iout,*)'Entering ELJ nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) -cd write (iout,*) 'i=',i,' iint=',iint,' istart=',istart(i,iint), -cd & 'iend=',iend(i,iint) - do j=istart(i,iint),iend(i,iint) - itypj=itype(j) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - rij=xj*xj+yj*yj+zj*zj - sss=sscale(dsqrt(rij)/sigma(itypi,itypj)) - if (sss.lt.1.0d0) then - rrij=1.0D0/rij - eps0ij=eps(itypi,itypj) - fac=rrij**expon2 - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=e1+e2 - evdw=evdw+(1.0d0-sss)*evdwij -C -C Calculate the components of the gradient in DC and X -C - fac=-rrij*(e1+evdwij)*(1.0d0-sss) - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac - do k=1,3 - gvdwx(k,i)=gvdwx(k,i)-gg(k) - gvdwx(k,j)=gvdwx(k,j)+gg(k) - gvdwc(k,i)=gvdwc(k,i)-gg(k) - gvdwc(k,j)=gvdwc(k,j)+gg(k) - enddo - endif - enddo ! j - enddo ! iint - enddo ! i - do i=1,nct - do j=1,3 - gvdwc(j,i)=expon*gvdwc(j,i) - gvdwx(j,i)=expon*gvdwx(j,i) - enddo - enddo -C****************************************************************************** -C -C N O T E !!! -C -C To save time, the factor of EXPON has been extracted from ALL components -C of GVDWC and GRADX. Remember to multiply them by this factor before further -C use! -C -C****************************************************************************** - return - end -C----------------------------------------------------------------------- - subroutine elj_short(evdw) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the LJ potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - parameter (accur=1.0d-10) - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.TORSION' - include 'COMMON.SBRIDGE' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.CONTACTS' - dimension gg(3) -c write(iout,*)'Entering ELJ nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) -C Change 12/1/95 - num_conti=0 -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) -cd write (iout,*) 'i=',i,' iint=',iint,' istart=',istart(i,iint), -cd & 'iend=',iend(i,iint) - do j=istart(i,iint),iend(i,iint) - itypj=itype(j) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi -C Change 12/1/95 to calculate four-body interactions - rij=xj*xj+yj*yj+zj*zj - sss=sscale(dsqrt(rij)/sigma(itypi,itypj)) - if (sss.gt.0.0d0) then - rrij=1.0D0/rij - eps0ij=eps(itypi,itypj) - fac=rrij**expon2 - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=e1+e2 - evdw=evdw+sss*evdwij -C -C Calculate the components of the gradient in DC and X -C - fac=-rrij*(e1+evdwij)*sss - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac - do k=1,3 - gvdwx(k,i)=gvdwx(k,i)-gg(k) - gvdwx(k,j)=gvdwx(k,j)+gg(k) - gvdwc(k,i)=gvdwc(k,i)-gg(k) - gvdwc(k,j)=gvdwc(k,j)+gg(k) - enddo - endif - enddo ! j - enddo ! iint - enddo ! i - do i=1,nct - do j=1,3 - gvdwc(j,i)=expon*gvdwc(j,i) - gvdwx(j,i)=expon*gvdwx(j,i) - enddo - enddo -C****************************************************************************** -C -C N O T E !!! -C -C To save time, the factor of EXPON has been extracted from ALL components -C of GVDWC and GRADX. Remember to multiply them by this factor before further -C use! -C -C****************************************************************************** - return - end -C----------------------------------------------------------------------------- - subroutine eljk_long(evdw) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the LJK potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - dimension gg(3) - logical scheck -c print *,'Entering ELJK nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - itypj=itype(j) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - fac_augm=rrij**expon - e_augm=augm(itypi,itypj)*fac_augm - r_inv_ij=dsqrt(rrij) - rij=1.0D0/r_inv_ij - sss=sscale(rij/sigma(itypi,itypj)) - if (sss.lt.1.0d0) then - r_shift_inv=1.0D0/(rij+r0(itypi,itypj)-sigma(itypi,itypj)) - fac=r_shift_inv**expon - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=e_augm+e1+e2 -cd sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) -cd epsi=bb(itypi,itypj)**2/aa(itypi,itypj) -cd write (iout,'(2(a3,i3,2x),8(1pd12.4)/2(3(1pd12.4),5x)/)') -cd & restyp(itypi),i,restyp(itypj),j,aa(itypi,itypj), -cd & bb(itypi,itypj),augm(itypi,itypj),epsi,sigm, -cd & sigma(itypi,itypj),1.0D0/dsqrt(rrij),evdwij, -cd & (c(k,i),k=1,3),(c(k,j),k=1,3) - evdw=evdw+(1.0d0-sss)*evdwij -C -C Calculate the components of the gradient in DC and X -C - fac=-2.0D0*rrij*e_augm-r_inv_ij*r_shift_inv*(e1+e1+e2) - fac=fac*(1.0d0-sss) - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac - do k=1,3 - gvdwx(k,i)=gvdwx(k,i)-gg(k) - gvdwx(k,j)=gvdwx(k,j)+gg(k) - gvdwc(k,i)=gvdwc(k,i)-gg(k) - gvdwc(k,j)=gvdwc(k,j)+gg(k) - enddo - endif - enddo ! j - enddo ! iint - enddo ! i - do i=1,nct - do j=1,3 - gvdwc(j,i)=expon*gvdwc(j,i) - gvdwx(j,i)=expon*gvdwx(j,i) - enddo - enddo - return - end -C----------------------------------------------------------------------------- - subroutine eljk_short(evdw) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the LJK potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - dimension gg(3) - logical scheck -c print *,'Entering ELJK nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - itypj=itype(j) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - fac_augm=rrij**expon - e_augm=augm(itypi,itypj)*fac_augm - r_inv_ij=dsqrt(rrij) - rij=1.0D0/r_inv_ij - sss=sscale(rij/sigma(itypi,itypj)) - if (sss.gt.0.0d0) then - r_shift_inv=1.0D0/(rij+r0(itypi,itypj)-sigma(itypi,itypj)) - fac=r_shift_inv**expon - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=e_augm+e1+e2 -cd sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) -cd epsi=bb(itypi,itypj)**2/aa(itypi,itypj) -cd write (iout,'(2(a3,i3,2x),8(1pd12.4)/2(3(1pd12.4),5x)/)') -cd & restyp(itypi),i,restyp(itypj),j,aa(itypi,itypj), -cd & bb(itypi,itypj),augm(itypi,itypj),epsi,sigm, -cd & sigma(itypi,itypj),1.0D0/dsqrt(rrij),evdwij, -cd & (c(k,i),k=1,3),(c(k,j),k=1,3) - evdw=evdw+sss*evdwij -C -C Calculate the components of the gradient in DC and X -C - fac=-2.0D0*rrij*e_augm-r_inv_ij*r_shift_inv*(e1+e1+e2) - fac=fac*sss - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac - do k=1,3 - gvdwx(k,i)=gvdwx(k,i)-gg(k) - gvdwx(k,j)=gvdwx(k,j)+gg(k) - gvdwc(k,i)=gvdwc(k,i)-gg(k) - gvdwc(k,j)=gvdwc(k,j)+gg(k) - enddo - endif - enddo ! j - enddo ! iint - enddo ! i - do i=1,nct - do j=1,3 - gvdwc(j,i)=expon*gvdwc(j,i) - gvdwx(j,i)=expon*gvdwx(j,i) - enddo - enddo - return - end -C----------------------------------------------------------------------------- - subroutine ebp_long(evdw) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the Berne-Pechukas potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.CALC' - common /srutu/ icall -c double precision rrsave(maxdim) - logical lprn - evdw=0.0D0 -c print *,'Entering EBP nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 -c if (icall.eq.0) then -c lprn=.true. -c else - lprn=.false. -c endif - ind=0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) -c dsci_inv=dsc_inv(itypi) - dsci_inv=vbld_inv(i+nres) -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - ind=ind+1 - itypj=itype(j) -c dscj_inv=dsc_inv(itypj) - dscj_inv=vbld_inv(j+nres) - chi1=chi(itypi,itypj) - chi2=chi(itypj,itypi) - chi12=chi1*chi2 - chip1=chip(itypi) - chip2=chip(itypj) - chip12=chip1*chip2 - alf1=alp(itypi) - alf2=alp(itypj) - alf12=0.5D0*(alf1+alf2) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) - sss=sscale(1.0d0/(rij*sigmaii(itypi,itypj))) - - if (sss.lt.1.0d0) then - -C Calculate the angle-dependent terms of energy & contributions to derivatives. - call sc_angular -C Calculate whole angle-dependent part of epsilon and contributions -C to its derivatives - fac=(rrij*sigsq)**expon2 - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=eps1*eps2rt*eps3rt*(e1+e2) - eps2der=evdwij*eps3rt - eps3der=evdwij*eps2rt - evdwij=evdwij*eps2rt*eps3rt - evdw=evdw+evdwij*(1.0d0-sss) - if (lprn) then - sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) - epsi=bb(itypi,itypj)**2/aa(itypi,itypj) -cd write (iout,'(2(a3,i3,2x),15(0pf7.3))') -cd & restyp(itypi),i,restyp(itypj),j, -cd & epsi,sigm,chi1,chi2,chip1,chip2, -cd & eps1,eps2rt**2,eps3rt**2,1.0D0/dsqrt(sigsq), -cd & om1,om2,om12,1.0D0/dsqrt(rrij), -cd & evdwij - endif -C Calculate gradient components. - e1=e1*eps1*eps2rt**2*eps3rt**2 - fac=-expon*(e1+evdwij) - sigder=fac/sigsq - fac=rrij*fac -C Calculate radial part of the gradient - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac -C Calculate the angular part of the gradient and sum add the contributions -C to the appropriate components of the Cartesian gradient. - call sc_grad_scale(1.0d0-sss) - endif - enddo ! j - enddo ! iint - enddo ! i -c stop - return - end -C----------------------------------------------------------------------------- - subroutine ebp_short(evdw) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the Berne-Pechukas potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.CALC' - common /srutu/ icall -c double precision rrsave(maxdim) - logical lprn - evdw=0.0D0 -c print *,'Entering EBP nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 -c if (icall.eq.0) then -c lprn=.true. -c else - lprn=.false. -c endif - ind=0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) -c dsci_inv=dsc_inv(itypi) - dsci_inv=vbld_inv(i+nres) -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - ind=ind+1 - itypj=itype(j) -c dscj_inv=dsc_inv(itypj) - dscj_inv=vbld_inv(j+nres) - chi1=chi(itypi,itypj) - chi2=chi(itypj,itypi) - chi12=chi1*chi2 - chip1=chip(itypi) - chip2=chip(itypj) - chip12=chip1*chip2 - alf1=alp(itypi) - alf2=alp(itypj) - alf12=0.5D0*(alf1+alf2) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) - sss=sscale(1.0d0/(rij*sigmaii(itypi,itypj))) - - if (sss.gt.0.0d0) then - -C Calculate the angle-dependent terms of energy & contributions to derivatives. - call sc_angular -C Calculate whole angle-dependent part of epsilon and contributions -C to its derivatives - fac=(rrij*sigsq)**expon2 - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=eps1*eps2rt*eps3rt*(e1+e2) - eps2der=evdwij*eps3rt - eps3der=evdwij*eps2rt - evdwij=evdwij*eps2rt*eps3rt - evdw=evdw+evdwij*sss - if (lprn) then - sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) - epsi=bb(itypi,itypj)**2/aa(itypi,itypj) -cd write (iout,'(2(a3,i3,2x),15(0pf7.3))') -cd & restyp(itypi),i,restyp(itypj),j, -cd & epsi,sigm,chi1,chi2,chip1,chip2, -cd & eps1,eps2rt**2,eps3rt**2,1.0D0/dsqrt(sigsq), -cd & om1,om2,om12,1.0D0/dsqrt(rrij), -cd & evdwij - endif -C Calculate gradient components. - e1=e1*eps1*eps2rt**2*eps3rt**2 - fac=-expon*(e1+evdwij) - sigder=fac/sigsq - fac=rrij*fac -C Calculate radial part of the gradient - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac -C Calculate the angular part of the gradient and sum add the contributions -C to the appropriate components of the Cartesian gradient. - call sc_grad_scale(sss) - endif - enddo ! j - enddo ! iint - enddo ! i -c stop - return - end -C----------------------------------------------------------------------------- - subroutine egb_long(evdw,evdw_p,evdw_m) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the Gay-Berne potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.CALC' - include 'COMMON.CONTROL' - logical lprn -ccccc energy_dec=.false. -c print *,'Entering EGB nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - evdw_p=0.0D0 - evdw_m=0.0D0 - lprn=.false. -c if (icall.eq.0) lprn=.false. - ind=0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) -c dsci_inv=dsc_inv(itypi) - dsci_inv=vbld_inv(i+nres) -c write (iout,*) "i",i,dsc_inv(itypi),dsci_inv,1.0d0/vbld(i+nres) -c write (iout,*) "dcnori",dxi*dxi+dyi*dyi+dzi*dzi -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - ind=ind+1 - itypj=itype(j) -c dscj_inv=dsc_inv(itypj) - dscj_inv=vbld_inv(j+nres) -c write (iout,*) "j",j,dsc_inv(itypj),dscj_inv, -c & 1.0d0/vbld(j+nres) -c write (iout,*) "i",i," j", j," itype",itype(i),itype(j) - sig0ij=sigma(itypi,itypj) - chi1=chi(itypi,itypj) - chi2=chi(itypj,itypi) - chi12=chi1*chi2 - chip1=chip(itypi) - chip2=chip(itypj) - chip12=chip1*chip2 - alf1=alp(itypi) - alf2=alp(itypj) - alf12=0.5D0*(alf1+alf2) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) - sss=sscale(1.0d0/(rij*sigmaii(itypi,itypj))) - - if (sss.lt.1.0d0) then - -C Calculate angle-dependent terms of energy and contributions to their -C derivatives. - call sc_angular - sigsq=1.0D0/sigsq - sig=sig0ij*dsqrt(sigsq) - rij_shift=1.0D0/rij-sig+sig0ij -c for diagnostics; uncomment -c rij_shift=1.2*sig0ij -C I hate to put IF's in the loops, but here don't have another choice!!!! - if (rij_shift.le.0.0D0) then - evdw=1.0D20 -cd write (iout,'(2(a3,i3,2x),17(0pf7.3))') -cd & restyp(itypi),i,restyp(itypj),j, -cd & rij_shift,1.0D0/rij,sig,sig0ij,sigsq,1-dsqrt(sigsq) - return - endif - sigder=-sig*sigsq -c--------------------------------------------------------------- - rij_shift=1.0D0/rij_shift - fac=rij_shift**expon - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=eps1*eps2rt*eps3rt*(e1+e2) - eps2der=evdwij*eps3rt - eps3der=evdwij*eps2rt -c write (iout,*) "sigsq",sigsq," sig",sig," eps2rt",eps2rt, -c & " eps3rt",eps3rt," eps1",eps1," e1",e1," e2",e2 - evdwij=evdwij*eps2rt*eps3rt -#ifdef TSCSC - if (bb(itypi,itypj).gt.0) then - evdw_p=evdw_p+evdwij*(1.0d0-sss) - else - evdw_m=evdw_m+evdwij*(1.0d0-sss) - endif -#else - evdw=evdw+evdwij*(1.0d0-sss) -#endif - if (lprn) then - sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) - epsi=bb(itypi,itypj)**2/aa(itypi,itypj) - write (iout,'(2(a3,i3,2x),17(0pf7.3))') - & restyp(itypi),i,restyp(itypj),j, - & epsi,sigm,chi1,chi2,chip1,chip2, - & eps1,eps2rt**2,eps3rt**2,sig,sig0ij, - & om1,om2,om12,1.0D0/rij,1.0D0/rij_shift, - & evdwij - endif - - if (energy_dec) write (iout,'(a6,2i5,0pf7.3)') - & 'evdw',i,j,evdwij - -C Calculate gradient components. - e1=e1*eps1*eps2rt**2*eps3rt**2 - fac=-expon*(e1+evdwij)*rij_shift - sigder=fac*sigder - fac=rij*fac -c fac=0.0d0 -C Calculate the radial part of the gradient - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac -C Calculate angular part of the gradient. -#ifdef TSCSC - if (bb(itypi,itypj).gt.0) then - call sc_grad_scale_T(1.0d0-sss) - else - call sc_grad_scale(1.0d0-sss) - endif -#else - call sc_grad_scale(1.0d0-sss) -#endif - endif - enddo ! j - enddo ! iint - enddo ! i -c write (iout,*) "Number of loop steps in EGB:",ind -cccc energy_dec=.false. - return - end -C----------------------------------------------------------------------------- - subroutine egb_short(evdw,evdw_p,evdw_m) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the Gay-Berne potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.CALC' - include 'COMMON.CONTROL' - logical lprn - evdw=0.0D0 - evdw_p=0.0D0 - evdw_m=0.0D0 -ccccc energy_dec=.false. -c print *,'Entering EGB nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - lprn=.false. -c if (icall.eq.0) lprn=.false. - ind=0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) -c dsci_inv=dsc_inv(itypi) - dsci_inv=vbld_inv(i+nres) -c write (iout,*) "i",i,dsc_inv(itypi),dsci_inv,1.0d0/vbld(i+nres) -c write (iout,*) "dcnori",dxi*dxi+dyi*dyi+dzi*dzi -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - ind=ind+1 - itypj=itype(j) -c dscj_inv=dsc_inv(itypj) - dscj_inv=vbld_inv(j+nres) -c write (iout,*) "j",j,dsc_inv(itypj),dscj_inv, -c & 1.0d0/vbld(j+nres) -c write (iout,*) "i",i," j", j," itype",itype(i),itype(j) - sig0ij=sigma(itypi,itypj) - chi1=chi(itypi,itypj) - chi2=chi(itypj,itypi) - chi12=chi1*chi2 - chip1=chip(itypi) - chip2=chip(itypj) - chip12=chip1*chip2 - alf1=alp(itypi) - alf2=alp(itypj) - alf12=0.5D0*(alf1+alf2) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) - sss=sscale(1.0d0/(rij*sigmaii(itypi,itypj))) - - if (sss.gt.0.0d0) then - -C Calculate angle-dependent terms of energy and contributions to their -C derivatives. - call sc_angular - sigsq=1.0D0/sigsq - sig=sig0ij*dsqrt(sigsq) - rij_shift=1.0D0/rij-sig+sig0ij -c for diagnostics; uncomment -c rij_shift=1.2*sig0ij -C I hate to put IF's in the loops, but here don't have another choice!!!! - if (rij_shift.le.0.0D0) then - evdw=1.0D20 -cd write (iout,'(2(a3,i3,2x),17(0pf7.3))') -cd & restyp(itypi),i,restyp(itypj),j, -cd & rij_shift,1.0D0/rij,sig,sig0ij,sigsq,1-dsqrt(sigsq) - return - endif - sigder=-sig*sigsq -c--------------------------------------------------------------- - rij_shift=1.0D0/rij_shift - fac=rij_shift**expon - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=eps1*eps2rt*eps3rt*(e1+e2) - eps2der=evdwij*eps3rt - eps3der=evdwij*eps2rt -c write (iout,*) "sigsq",sigsq," sig",sig," eps2rt",eps2rt, -c & " eps3rt",eps3rt," eps1",eps1," e1",e1," e2",e2 - evdwij=evdwij*eps2rt*eps3rt -#ifdef TSCSC - if (bb(itypi,itypj).gt.0) then - evdw_p=evdw_p+evdwij*sss - else - evdw_m=evdw_m+evdwij*sss - endif -#else - evdw=evdw+evdwij*sss -#endif - if (lprn) then - sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) - epsi=bb(itypi,itypj)**2/aa(itypi,itypj) - write (iout,'(2(a3,i3,2x),17(0pf7.3))') - & restyp(itypi),i,restyp(itypj),j, - & epsi,sigm,chi1,chi2,chip1,chip2, - & eps1,eps2rt**2,eps3rt**2,sig,sig0ij, - & om1,om2,om12,1.0D0/rij,1.0D0/rij_shift, - & evdwij - endif - - if (energy_dec) write (iout,'(a6,2i5,0pf7.3)') - & 'evdw',i,j,evdwij - -C Calculate gradient components. - e1=e1*eps1*eps2rt**2*eps3rt**2 - fac=-expon*(e1+evdwij)*rij_shift - sigder=fac*sigder - fac=rij*fac -c fac=0.0d0 -C Calculate the radial part of the gradient - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac -C Calculate angular part of the gradient. -#ifdef TSCSC - if (bb(itypi,itypj).gt.0) then - call sc_grad_scale_T(sss) - else - call sc_grad_scale(sss) - endif -#else - call sc_grad_scale(sss) -#endif - endif - enddo ! j - enddo ! iint - enddo ! i -c write (iout,*) "Number of loop steps in EGB:",ind -cccc energy_dec=.false. - return - end -C----------------------------------------------------------------------------- - subroutine egbv_long(evdw) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the Gay-Berne-Vorobjev potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.CALC' - common /srutu/ icall - logical lprn - evdw=0.0D0 -c print *,'Entering EGB nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - lprn=.false. -c if (icall.eq.0) lprn=.true. - ind=0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) -c dsci_inv=dsc_inv(itypi) - dsci_inv=vbld_inv(i+nres) -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - ind=ind+1 - itypj=itype(j) -c dscj_inv=dsc_inv(itypj) - dscj_inv=vbld_inv(j+nres) - sig0ij=sigma(itypi,itypj) - r0ij=r0(itypi,itypj) - chi1=chi(itypi,itypj) - chi2=chi(itypj,itypi) - chi12=chi1*chi2 - chip1=chip(itypi) - chip2=chip(itypj) - chip12=chip1*chip2 - alf1=alp(itypi) - alf2=alp(itypj) - alf12=0.5D0*(alf1+alf2) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) - - sss=sscale(1.0d0/(rij*sigmaii(itypi,itypj))) - - if (sss.lt.1.0d0) then - -C Calculate angle-dependent terms of energy and contributions to their -C derivatives. - call sc_angular - sigsq=1.0D0/sigsq - sig=sig0ij*dsqrt(sigsq) - rij_shift=1.0D0/rij-sig+r0ij -C I hate to put IF's in the loops, but here don't have another choice!!!! - if (rij_shift.le.0.0D0) then - evdw=1.0D20 - return - endif - sigder=-sig*sigsq -c--------------------------------------------------------------- - rij_shift=1.0D0/rij_shift - fac=rij_shift**expon - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=eps1*eps2rt*eps3rt*(e1+e2) - eps2der=evdwij*eps3rt - eps3der=evdwij*eps2rt - fac_augm=rrij**expon - e_augm=augm(itypi,itypj)*fac_augm - evdwij=evdwij*eps2rt*eps3rt - evdw=evdw+(evdwij+e_augm)*(1.0d0-sss) - if (lprn) then - sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) - epsi=bb(itypi,itypj)**2/aa(itypi,itypj) - write (iout,'(2(a3,i3,2x),17(0pf7.3))') - & restyp(itypi),i,restyp(itypj),j, - & epsi,sigm,sig,(augm(itypi,itypj)/epsi)**(1.0D0/12.0D0), - & chi1,chi2,chip1,chip2, - & eps1,eps2rt**2,eps3rt**2, - & om1,om2,om12,1.0D0/rij,1.0D0/rij_shift, - & evdwij+e_augm - endif -C Calculate gradient components. - e1=e1*eps1*eps2rt**2*eps3rt**2 - fac=-expon*(e1+evdwij)*rij_shift - sigder=fac*sigder - fac=rij*fac-2*expon*rrij*e_augm -C Calculate the radial part of the gradient - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac -C Calculate angular part of the gradient. - call sc_grad_scale(1.0d0-sss) - endif - enddo ! j - enddo ! iint - enddo ! i - end -C----------------------------------------------------------------------------- - subroutine egbv_short(evdw) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the Gay-Berne-Vorobjev potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.CALC' - common /srutu/ icall - logical lprn - evdw=0.0D0 -c print *,'Entering EGB nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - lprn=.false. -c if (icall.eq.0) lprn=.true. - ind=0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) -c dsci_inv=dsc_inv(itypi) - dsci_inv=vbld_inv(i+nres) -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - ind=ind+1 - itypj=itype(j) -c dscj_inv=dsc_inv(itypj) - dscj_inv=vbld_inv(j+nres) - sig0ij=sigma(itypi,itypj) - r0ij=r0(itypi,itypj) - chi1=chi(itypi,itypj) - chi2=chi(itypj,itypi) - chi12=chi1*chi2 - chip1=chip(itypi) - chip2=chip(itypj) - chip12=chip1*chip2 - alf1=alp(itypi) - alf2=alp(itypj) - alf12=0.5D0*(alf1+alf2) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) - - sss=sscale(1.0d0/(rij*sigmaii(itypi,itypj))) - - if (sss.gt.0.0d0) then - -C Calculate angle-dependent terms of energy and contributions to their -C derivatives. - call sc_angular - sigsq=1.0D0/sigsq - sig=sig0ij*dsqrt(sigsq) - rij_shift=1.0D0/rij-sig+r0ij -C I hate to put IF's in the loops, but here don't have another choice!!!! - if (rij_shift.le.0.0D0) then - evdw=1.0D20 - return - endif - sigder=-sig*sigsq -c--------------------------------------------------------------- - rij_shift=1.0D0/rij_shift - fac=rij_shift**expon - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=eps1*eps2rt*eps3rt*(e1+e2) - eps2der=evdwij*eps3rt - eps3der=evdwij*eps2rt - fac_augm=rrij**expon - e_augm=augm(itypi,itypj)*fac_augm - evdwij=evdwij*eps2rt*eps3rt - evdw=evdw+(evdwij+e_augm)*sss - if (lprn) then - sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) - epsi=bb(itypi,itypj)**2/aa(itypi,itypj) - write (iout,'(2(a3,i3,2x),17(0pf7.3))') - & restyp(itypi),i,restyp(itypj),j, - & epsi,sigm,sig,(augm(itypi,itypj)/epsi)**(1.0D0/12.0D0), - & chi1,chi2,chip1,chip2, - & eps1,eps2rt**2,eps3rt**2, - & om1,om2,om12,1.0D0/rij,1.0D0/rij_shift, - & evdwij+e_augm - endif -C Calculate gradient components. - e1=e1*eps1*eps2rt**2*eps3rt**2 - fac=-expon*(e1+evdwij)*rij_shift - sigder=fac*sigder - fac=rij*fac-2*expon*rrij*e_augm -C Calculate the radial part of the gradient - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac -C Calculate angular part of the gradient. - call sc_grad_scale(sss) - endif - enddo ! j - enddo ! iint - enddo ! i - end -C---------------------------------------------------------------------------- - subroutine sc_grad_scale(scalfac) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.CALC' - include 'COMMON.IOUNITS' - double precision dcosom1(3),dcosom2(3) - double precision scalfac - eom1=eps2der*eps2rt_om1-2.0D0*alf1*eps3der+sigder*sigsq_om1 - eom2=eps2der*eps2rt_om2+2.0D0*alf2*eps3der+sigder*sigsq_om2 - eom12=evdwij*eps1_om12+eps2der*eps2rt_om12 - & -2.0D0*alf12*eps3der+sigder*sigsq_om12 -c diagnostics only -c eom1=0.0d0 -c eom2=0.0d0 -c eom12=evdwij*eps1_om12 -c end diagnostics -c write (iout,*) "eps2der",eps2der," eps3der",eps3der, -c & " sigder",sigder -c write (iout,*) "eps1_om12",eps1_om12," eps2rt_om12",eps2rt_om12 -c write (iout,*) "eom1",eom1," eom2",eom2," eom12",eom12 - do k=1,3 - dcosom1(k)=rij*(dc_norm(k,nres+i)-om1*erij(k)) - dcosom2(k)=rij*(dc_norm(k,nres+j)-om2*erij(k)) - enddo - do k=1,3 - gg(k)=(gg(k)+eom1*dcosom1(k)+eom2*dcosom2(k))*scalfac - enddo -c write (iout,*) "gg",(gg(k),k=1,3) - do k=1,3 - gvdwx(k,i)=gvdwx(k,i)-gg(k) - & +(eom12*(dc_norm(k,nres+j)-om12*dc_norm(k,nres+i)) - & +eom1*(erij(k)-om1*dc_norm(k,nres+i)))*dsci_inv*scalfac - gvdwx(k,j)=gvdwx(k,j)+gg(k) - & +(eom12*(dc_norm(k,nres+i)-om12*dc_norm(k,nres+j)) - & +eom2*(erij(k)-om2*dc_norm(k,nres+j)))*dscj_inv*scalfac -c write (iout,*)(eom12*(dc_norm(k,nres+j)-om12*dc_norm(k,nres+i)) -c & +eom1*(erij(k)-om1*dc_norm(k,nres+i)))*dsci_inv -c write (iout,*)(eom12*(dc_norm(k,nres+i)-om12*dc_norm(k,nres+j)) -c & +eom2*(erij(k)-om2*dc_norm(k,nres+j)))*dscj_inv - enddo -C -C Calculate the components of the gradient in DC and X -C - do l=1,3 - gvdwc(l,i)=gvdwc(l,i)-gg(l) - gvdwc(l,j)=gvdwc(l,j)+gg(l) - enddo - return - end -C---------------------------------------------------------------------------- - subroutine sc_grad_scale_T(scalfac) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.CALC' - include 'COMMON.IOUNITS' - double precision dcosom1(3),dcosom2(3) - double precision scalfac - eom1=eps2der*eps2rt_om1-2.0D0*alf1*eps3der+sigder*sigsq_om1 - eom2=eps2der*eps2rt_om2+2.0D0*alf2*eps3der+sigder*sigsq_om2 - eom12=evdwij*eps1_om12+eps2der*eps2rt_om12 - & -2.0D0*alf12*eps3der+sigder*sigsq_om12 -c diagnostics only -c eom1=0.0d0 -c eom2=0.0d0 -c eom12=evdwij*eps1_om12 -c end diagnostics -c write (iout,*) "eps2der",eps2der," eps3der",eps3der, -c & " sigder",sigder -c write (iout,*) "eps1_om12",eps1_om12," eps2rt_om12",eps2rt_om12 -c write (iout,*) "eom1",eom1," eom2",eom2," eom12",eom12 - do k=1,3 - dcosom1(k)=rij*(dc_norm(k,nres+i)-om1*erij(k)) - dcosom2(k)=rij*(dc_norm(k,nres+j)-om2*erij(k)) - enddo - do k=1,3 - gg(k)=(gg(k)+eom1*dcosom1(k)+eom2*dcosom2(k))*scalfac - enddo -c write (iout,*) "gg",(gg(k),k=1,3) - do k=1,3 - gvdwxT(k,i)=gvdwxT(k,i)-gg(k) - & +(eom12*(dc_norm(k,nres+j)-om12*dc_norm(k,nres+i)) - & +eom1*(erij(k)-om1*dc_norm(k,nres+i)))*dsci_inv*scalfac - gvdwxT(k,j)=gvdwxT(k,j)+gg(k) - & +(eom12*(dc_norm(k,nres+i)-om12*dc_norm(k,nres+j)) - & +eom2*(erij(k)-om2*dc_norm(k,nres+j)))*dscj_inv*scalfac -c write (iout,*)(eom12*(dc_norm(k,nres+j)-om12*dc_norm(k,nres+i)) -c & +eom1*(erij(k)-om1*dc_norm(k,nres+i)))*dsci_inv -c write (iout,*)(eom12*(dc_norm(k,nres+i)-om12*dc_norm(k,nres+j)) -c & +eom2*(erij(k)-om2*dc_norm(k,nres+j)))*dscj_inv - enddo -C -C Calculate the components of the gradient in DC and X -C - do l=1,3 - gvdwcT(l,i)=gvdwcT(l,i)-gg(l) - gvdwcT(l,j)=gvdwcT(l,j)+gg(l) - enddo - return - end - -C-------------------------------------------------------------------------- - subroutine eelec_scale(ees,evdw1,eel_loc,eello_turn3,eello_turn4) -C -C This subroutine calculates the average interaction energy and its gradient -C in the virtual-bond vectors between non-adjacent peptide groups, based on -C the potential described in Liwo et al., Protein Sci., 1993, 2, 1715. -C The potential depends both on the distance of peptide-group centers and on -C the orientation of the CA-CA virtual bonds. -C - implicit real*8 (a-h,o-z) -#ifdef MPI - include 'mpif.h' -#endif - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VECTORS' - include 'COMMON.FFIELD' - include 'COMMON.TIME1' - dimension ggg(3),gggp(3),gggm(3),erij(3),dcosb(3),dcosg(3), - & erder(3,3),uryg(3,3),urzg(3,3),vryg(3,3),vrzg(3,3) - double precision acipa(2,2),agg(3,4),aggi(3,4),aggi1(3,4), - & aggj(3,4),aggj1(3,4),a_temp(2,2),muij(4) - common /locel/ a_temp,agg,aggi,aggi1,aggj,aggj1,a22,a23,a32,a33, - & dxi,dyi,dzi,dx_normi,dy_normi,dz_normi,xmedi,ymedi,zmedi, - & num_conti,j1,j2 -c 4/26/02 - AL scaling factor for 1,4 repulsive VDW interactions -#ifdef MOMENT - double precision scal_el /1.0d0/ -#else - double precision scal_el /0.5d0/ -#endif -C 12/13/98 -C 13-go grudnia roku pamietnego... - double precision unmat(3,3) /1.0d0,0.0d0,0.0d0, - & 0.0d0,1.0d0,0.0d0, - & 0.0d0,0.0d0,1.0d0/ -cd write(iout,*) 'In EELEC' -cd do i=1,nloctyp -cd write(iout,*) 'Type',i -cd write(iout,*) 'B1',B1(:,i) -cd write(iout,*) 'B2',B2(:,i) -cd write(iout,*) 'CC',CC(:,:,i) -cd write(iout,*) 'DD',DD(:,:,i) -cd write(iout,*) 'EE',EE(:,:,i) -cd enddo -cd call check_vecgrad -cd stop - if (icheckgrad.eq.1) then - do i=1,nres-1 - fac=1.0d0/dsqrt(scalar(dc(1,i),dc(1,i))) - do k=1,3 - dc_norm(k,i)=dc(k,i)*fac - enddo -c write (iout,*) 'i',i,' fac',fac - enddo - endif - if (wel_loc.gt.0.0d0 .or. wcorr4.gt.0.0d0 .or. wcorr5.gt.0.0d0 - & .or. wcorr6.gt.0.0d0 .or. wturn3.gt.0.0d0 .or. - & wturn4.gt.0.0d0 .or. wturn6.gt.0.0d0) then -c call vec_and_deriv -#ifdef TIMING - time01=MPI_Wtime() -#endif - call set_matrices -#ifdef TIMING - time_mat=time_mat+MPI_Wtime()-time01 -#endif - endif -cd do i=1,nres-1 -cd write (iout,*) 'i=',i -cd do k=1,3 -cd write (iout,'(i5,2f10.5)') k,uy(k,i),uz(k,i) -cd enddo -cd do k=1,3 -cd write (iout,'(f10.5,2x,3f10.5,2x,3f10.5)') -cd & uz(k,i),(uzgrad(k,l,1,i),l=1,3),(uzgrad(k,l,2,i),l=1,3) -cd enddo -cd enddo - t_eelecij=0.0d0 - ees=0.0D0 - evdw1=0.0D0 - eel_loc=0.0d0 - eello_turn3=0.0d0 - eello_turn4=0.0d0 - ind=0 - do i=1,nres - num_cont_hb(i)=0 - enddo -cd print '(a)','Enter EELEC' -cd write (iout,*) 'iatel_s=',iatel_s,' iatel_e=',iatel_e - do i=1,nres - gel_loc_loc(i)=0.0d0 - gcorr_loc(i)=0.0d0 - enddo -c -c -c 9/27/08 AL Split the interaction loop to ensure load balancing of turn terms -C -C Loop over i,i+2 and i,i+3 pairs of the peptide groups -C - do i=iturn3_start,iturn3_end - dxi=dc(1,i) - dyi=dc(2,i) - dzi=dc(3,i) - dx_normi=dc_norm(1,i) - dy_normi=dc_norm(2,i) - dz_normi=dc_norm(3,i) - xmedi=c(1,i)+0.5d0*dxi - ymedi=c(2,i)+0.5d0*dyi - zmedi=c(3,i)+0.5d0*dzi - num_conti=0 - call eelecij_scale(i,i+2,ees,evdw1,eel_loc) - if (wturn3.gt.0.0d0) call eturn3(i,eello_turn3) - num_cont_hb(i)=num_conti - enddo - do i=iturn4_start,iturn4_end - dxi=dc(1,i) - dyi=dc(2,i) - dzi=dc(3,i) - dx_normi=dc_norm(1,i) - dy_normi=dc_norm(2,i) - dz_normi=dc_norm(3,i) - xmedi=c(1,i)+0.5d0*dxi - ymedi=c(2,i)+0.5d0*dyi - zmedi=c(3,i)+0.5d0*dzi - num_conti=num_cont_hb(i) - call eelecij_scale(i,i+3,ees,evdw1,eel_loc) - if (wturn4.gt.0.0d0) call eturn4(i,eello_turn4) - num_cont_hb(i)=num_conti - enddo ! i -c -c Loop over all pairs of interacting peptide groups except i,i+2 and i,i+3 -c - do i=iatel_s,iatel_e - dxi=dc(1,i) - dyi=dc(2,i) - dzi=dc(3,i) - dx_normi=dc_norm(1,i) - dy_normi=dc_norm(2,i) - dz_normi=dc_norm(3,i) - xmedi=c(1,i)+0.5d0*dxi - ymedi=c(2,i)+0.5d0*dyi - zmedi=c(3,i)+0.5d0*dzi -c write (iout,*) 'i',i,' ielstart',ielstart(i),' ielend',ielend(i) - num_conti=num_cont_hb(i) - do j=ielstart(i),ielend(i) - call eelecij_scale(i,j,ees,evdw1,eel_loc) - enddo ! j - num_cont_hb(i)=num_conti - enddo ! i -c write (iout,*) "Number of loop steps in EELEC:",ind -cd do i=1,nres -cd write (iout,'(i3,3f10.5,5x,3f10.5)') -cd & i,(gel_loc(k,i),k=1,3),gel_loc_loc(i) -cd enddo -c 12/7/99 Adam eello_turn3 will be considered as a separate energy term -ccc eel_loc=eel_loc+eello_turn3 -cd print *,"Processor",fg_rank," t_eelecij",t_eelecij - return - end -C------------------------------------------------------------------------------- - subroutine eelecij_scale(i,j,ees,evdw1,eel_loc) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include "mpif.h" -#endif - include 'COMMON.CONTROL' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VECTORS' - include 'COMMON.FFIELD' - include 'COMMON.TIME1' - dimension ggg(3),gggp(3),gggm(3),erij(3),dcosb(3),dcosg(3), - & erder(3,3),uryg(3,3),urzg(3,3),vryg(3,3),vrzg(3,3) - double precision acipa(2,2),agg(3,4),aggi(3,4),aggi1(3,4), - & aggj(3,4),aggj1(3,4),a_temp(2,2),muij(4) - common /locel/ a_temp,agg,aggi,aggi1,aggj,aggj1,a22,a23,a32,a33, - & dxi,dyi,dzi,dx_normi,dy_normi,dz_normi,xmedi,ymedi,zmedi, - & num_conti,j1,j2 -c 4/26/02 - AL scaling factor for 1,4 repulsive VDW interactions -#ifdef MOMENT - double precision scal_el /1.0d0/ -#else - double precision scal_el /0.5d0/ -#endif -C 12/13/98 -C 13-go grudnia roku pamietnego... - double precision unmat(3,3) /1.0d0,0.0d0,0.0d0, - & 0.0d0,1.0d0,0.0d0, - & 0.0d0,0.0d0,1.0d0/ -c time00=MPI_Wtime() -cd write (iout,*) "eelecij",i,j - ind=ind+1 - iteli=itel(i) - itelj=itel(j) - if (j.eq.i+2 .and. itelj.eq.2) iteli=2 - aaa=app(iteli,itelj) - bbb=bpp(iteli,itelj) - ael6i=ael6(iteli,itelj) - ael3i=ael3(iteli,itelj) - dxj=dc(1,j) - dyj=dc(2,j) - dzj=dc(3,j) - dx_normj=dc_norm(1,j) - dy_normj=dc_norm(2,j) - dz_normj=dc_norm(3,j) - xj=c(1,j)+0.5D0*dxj-xmedi - yj=c(2,j)+0.5D0*dyj-ymedi - zj=c(3,j)+0.5D0*dzj-zmedi - rij=xj*xj+yj*yj+zj*zj - rrmij=1.0D0/rij - rij=dsqrt(rij) - rmij=1.0D0/rij -c For extracting the short-range part of Evdwpp - sss=sscale(rij/rpp(iteli,itelj)) - - r3ij=rrmij*rmij - r6ij=r3ij*r3ij - cosa=dx_normi*dx_normj+dy_normi*dy_normj+dz_normi*dz_normj - cosb=(xj*dx_normi+yj*dy_normi+zj*dz_normi)*rmij - cosg=(xj*dx_normj+yj*dy_normj+zj*dz_normj)*rmij - fac=cosa-3.0D0*cosb*cosg - ev1=aaa*r6ij*r6ij -c 4/26/02 - AL scaling down 1,4 repulsive VDW interactions - if (j.eq.i+2) ev1=scal_el*ev1 - ev2=bbb*r6ij - fac3=ael6i*r6ij - fac4=ael3i*r3ij - evdwij=ev1+ev2 - el1=fac3*(4.0D0+fac*fac-3.0D0*(cosb*cosb+cosg*cosg)) - el2=fac4*fac - eesij=el1+el2 -C 12/26/95 - for the evaluation of multi-body H-bonding interactions - ees0ij=4.0D0+fac*fac-3.0D0*(cosb*cosb+cosg*cosg) - ees=ees+eesij - evdw1=evdw1+evdwij*(1.0d0-sss) -cd write(iout,'(2(2i3,2x),7(1pd12.4)/2(3(1pd12.4),5x)/)') -cd & iteli,i,itelj,j,aaa,bbb,ael6i,ael3i, -cd & 1.0D0/dsqrt(rrmij),evdwij,eesij, -cd & xmedi,ymedi,zmedi,xj,yj,zj - - if (energy_dec) then - write (iout,'(a6,2i5,0pf7.3,f7.3)') 'evdw1',i,j,evdwij,sss - write (iout,'(a6,2i5,0pf7.3)') 'ees',i,j,eesij - endif - -C -C Calculate contributions to the Cartesian gradient. -C -#ifdef SPLITELE - facvdw=-6*rrmij*(ev1+evdwij)*(1.0d0-sss) - facel=-3*rrmij*(el1+eesij) - fac1=fac - erij(1)=xj*rmij - erij(2)=yj*rmij - erij(3)=zj*rmij -* -* Radial derivatives. First process both termini of the fragment (i,j) -* - ggg(1)=facel*xj - ggg(2)=facel*yj - ggg(3)=facel*zj -c do k=1,3 -c ghalf=0.5D0*ggg(k) -c gelc(k,i)=gelc(k,i)+ghalf -c gelc(k,j)=gelc(k,j)+ghalf -c enddo -c 9/28/08 AL Gradient compotents will be summed only at the end - do k=1,3 - gelc_long(k,j)=gelc_long(k,j)+ggg(k) - gelc_long(k,i)=gelc_long(k,i)-ggg(k) - enddo -* -* Loop over residues i+1 thru j-1. -* -cgrad do k=i+1,j-1 -cgrad do l=1,3 -cgrad gelc(l,k)=gelc(l,k)+ggg(l) -cgrad enddo -cgrad enddo - ggg(1)=facvdw*xj - ggg(2)=facvdw*yj - ggg(3)=facvdw*zj -c do k=1,3 -c ghalf=0.5D0*ggg(k) -c gvdwpp(k,i)=gvdwpp(k,i)+ghalf -c gvdwpp(k,j)=gvdwpp(k,j)+ghalf -c enddo -c 9/28/08 AL Gradient compotents will be summed only at the end - do k=1,3 - gvdwpp(k,j)=gvdwpp(k,j)+ggg(k) - gvdwpp(k,i)=gvdwpp(k,i)-ggg(k) - enddo -* -* Loop over residues i+1 thru j-1. -* -cgrad do k=i+1,j-1 -cgrad do l=1,3 -cgrad gvdwpp(l,k)=gvdwpp(l,k)+ggg(l) -cgrad enddo -cgrad enddo -#else - facvdw=ev1+evdwij*(1.0d0-sss) - facel=el1+eesij - fac1=fac - fac=-3*rrmij*(facvdw+facvdw+facel) - erij(1)=xj*rmij - erij(2)=yj*rmij - erij(3)=zj*rmij -* -* Radial derivatives. First process both termini of the fragment (i,j) -* - ggg(1)=fac*xj - ggg(2)=fac*yj - ggg(3)=fac*zj -c do k=1,3 -c ghalf=0.5D0*ggg(k) -c gelc(k,i)=gelc(k,i)+ghalf -c gelc(k,j)=gelc(k,j)+ghalf -c enddo -c 9/28/08 AL Gradient compotents will be summed only at the end - do k=1,3 - gelc_long(k,j)=gelc(k,j)+ggg(k) - gelc_long(k,i)=gelc(k,i)-ggg(k) - enddo -* -* Loop over residues i+1 thru j-1. -* -cgrad do k=i+1,j-1 -cgrad do l=1,3 -cgrad gelc(l,k)=gelc(l,k)+ggg(l) -cgrad enddo -cgrad enddo -c 9/28/08 AL Gradient compotents will be summed only at the end - ggg(1)=facvdw*xj - ggg(2)=facvdw*yj - ggg(3)=facvdw*zj - do k=1,3 - gvdwpp(k,j)=gvdwpp(k,j)+ggg(k) - gvdwpp(k,i)=gvdwpp(k,i)-ggg(k) - enddo -#endif -* -* Angular part -* - ecosa=2.0D0*fac3*fac1+fac4 - fac4=-3.0D0*fac4 - fac3=-6.0D0*fac3 - ecosb=(fac3*(fac1*cosg+cosb)+cosg*fac4) - ecosg=(fac3*(fac1*cosb+cosg)+cosb*fac4) - do k=1,3 - dcosb(k)=rmij*(dc_norm(k,i)-erij(k)*cosb) - dcosg(k)=rmij*(dc_norm(k,j)-erij(k)*cosg) - enddo -cd print '(2i3,2(3(1pd14.5),3x))',i,j,(dcosb(k),k=1,3), -cd & (dcosg(k),k=1,3) - do k=1,3 - ggg(k)=ecosb*dcosb(k)+ecosg*dcosg(k) - enddo -c do k=1,3 -c ghalf=0.5D0*ggg(k) -c gelc(k,i)=gelc(k,i)+ghalf -c & +(ecosa*(dc_norm(k,j)-cosa*dc_norm(k,i)) -c & + ecosb*(erij(k)-cosb*dc_norm(k,i)))*vbld_inv(i+1) -c gelc(k,j)=gelc(k,j)+ghalf -c & +(ecosa*(dc_norm(k,i)-cosa*dc_norm(k,j)) -c & + ecosg*(erij(k)-cosg*dc_norm(k,j)))*vbld_inv(j+1) -c enddo -cgrad do k=i+1,j-1 -cgrad do l=1,3 -cgrad gelc(l,k)=gelc(l,k)+ggg(l) -cgrad enddo -cgrad enddo - do k=1,3 - gelc(k,i)=gelc(k,i) - & +(ecosa*(dc_norm(k,j)-cosa*dc_norm(k,i)) - & + ecosb*(erij(k)-cosb*dc_norm(k,i)))*vbld_inv(i+1) - gelc(k,j)=gelc(k,j) - & +(ecosa*(dc_norm(k,i)-cosa*dc_norm(k,j)) - & + ecosg*(erij(k)-cosg*dc_norm(k,j)))*vbld_inv(j+1) - gelc_long(k,j)=gelc_long(k,j)+ggg(k) - gelc_long(k,i)=gelc_long(k,i)-ggg(k) - enddo - IF (wel_loc.gt.0.0d0 .or. wcorr4.gt.0.0d0 .or. wcorr5.gt.0.0d0 - & .or. wcorr6.gt.0.0d0 .or. wturn3.gt.0.0d0 - & .or. wturn4.gt.0.0d0 .or. wturn6.gt.0.0d0) THEN -C -C 9/25/99 Mixed third-order local-electrostatic terms. The local-interaction -C energy of a peptide unit is assumed in the form of a second-order -C Fourier series in the angles lambda1 and lambda2 (see Nishikawa et al. -C Macromolecules, 1974, 7, 797-806 for definition). This correlation terms -C are computed for EVERY pair of non-contiguous peptide groups. -C - if (j.lt.nres-1) then - j1=j+1 - j2=j-1 - else - j1=j-1 - j2=j-2 - endif - kkk=0 - do k=1,2 - do l=1,2 - kkk=kkk+1 - muij(kkk)=mu(k,i)*mu(l,j) - enddo - enddo -cd write (iout,*) 'EELEC: i',i,' j',j -cd write (iout,*) 'j',j,' j1',j1,' j2',j2 -cd write(iout,*) 'muij',muij - ury=scalar(uy(1,i),erij) - urz=scalar(uz(1,i),erij) - vry=scalar(uy(1,j),erij) - vrz=scalar(uz(1,j),erij) - a22=scalar(uy(1,i),uy(1,j))-3*ury*vry - a23=scalar(uy(1,i),uz(1,j))-3*ury*vrz - a32=scalar(uz(1,i),uy(1,j))-3*urz*vry - a33=scalar(uz(1,i),uz(1,j))-3*urz*vrz - fac=dsqrt(-ael6i)*r3ij - a22=a22*fac - a23=a23*fac - a32=a32*fac - a33=a33*fac -cd write (iout,'(4i5,4f10.5)') -cd & i,itortyp(itype(i)),j,itortyp(itype(j)),a22,a23,a32,a33 -cd write (iout,'(6f10.5)') (muij(k),k=1,4),fac,eel_loc_ij -cd write (iout,'(2(3f10.5,5x)/2(3f10.5,5x))') uy(:,i),uz(:,i), -cd & uy(:,j),uz(:,j) -cd write (iout,'(4f10.5)') -cd & scalar(uy(1,i),uy(1,j)),scalar(uy(1,i),uz(1,j)), -cd & scalar(uz(1,i),uy(1,j)),scalar(uz(1,i),uz(1,j)) -cd write (iout,'(4f10.5)') ury,urz,vry,vrz -cd write (iout,'(9f10.5/)') -cd & fac22,a22,fac23,a23,fac32,a32,fac33,a33,eel_loc_ij -C Derivatives of the elements of A in virtual-bond vectors - call unormderiv(erij(1),unmat(1,1),rmij,erder(1,1)) - do k=1,3 - uryg(k,1)=scalar(erder(1,k),uy(1,i)) - uryg(k,2)=scalar(uygrad(1,k,1,i),erij(1)) - uryg(k,3)=scalar(uygrad(1,k,2,i),erij(1)) - urzg(k,1)=scalar(erder(1,k),uz(1,i)) - urzg(k,2)=scalar(uzgrad(1,k,1,i),erij(1)) - urzg(k,3)=scalar(uzgrad(1,k,2,i),erij(1)) - vryg(k,1)=scalar(erder(1,k),uy(1,j)) - vryg(k,2)=scalar(uygrad(1,k,1,j),erij(1)) - vryg(k,3)=scalar(uygrad(1,k,2,j),erij(1)) - vrzg(k,1)=scalar(erder(1,k),uz(1,j)) - vrzg(k,2)=scalar(uzgrad(1,k,1,j),erij(1)) - vrzg(k,3)=scalar(uzgrad(1,k,2,j),erij(1)) - enddo -C Compute radial contributions to the gradient - facr=-3.0d0*rrmij - a22der=a22*facr - a23der=a23*facr - a32der=a32*facr - a33der=a33*facr - agg(1,1)=a22der*xj - agg(2,1)=a22der*yj - agg(3,1)=a22der*zj - agg(1,2)=a23der*xj - agg(2,2)=a23der*yj - agg(3,2)=a23der*zj - agg(1,3)=a32der*xj - agg(2,3)=a32der*yj - agg(3,3)=a32der*zj - agg(1,4)=a33der*xj - agg(2,4)=a33der*yj - agg(3,4)=a33der*zj -C Add the contributions coming from er - fac3=-3.0d0*fac - do k=1,3 - agg(k,1)=agg(k,1)+fac3*(uryg(k,1)*vry+vryg(k,1)*ury) - agg(k,2)=agg(k,2)+fac3*(uryg(k,1)*vrz+vrzg(k,1)*ury) - agg(k,3)=agg(k,3)+fac3*(urzg(k,1)*vry+vryg(k,1)*urz) - agg(k,4)=agg(k,4)+fac3*(urzg(k,1)*vrz+vrzg(k,1)*urz) - enddo - do k=1,3 -C Derivatives in DC(i) -cgrad ghalf1=0.5d0*agg(k,1) -cgrad ghalf2=0.5d0*agg(k,2) -cgrad ghalf3=0.5d0*agg(k,3) -cgrad ghalf4=0.5d0*agg(k,4) - aggi(k,1)=fac*(scalar(uygrad(1,k,1,i),uy(1,j)) - & -3.0d0*uryg(k,2)*vry)!+ghalf1 - aggi(k,2)=fac*(scalar(uygrad(1,k,1,i),uz(1,j)) - & -3.0d0*uryg(k,2)*vrz)!+ghalf2 - aggi(k,3)=fac*(scalar(uzgrad(1,k,1,i),uy(1,j)) - & -3.0d0*urzg(k,2)*vry)!+ghalf3 - aggi(k,4)=fac*(scalar(uzgrad(1,k,1,i),uz(1,j)) - & -3.0d0*urzg(k,2)*vrz)!+ghalf4 -C Derivatives in DC(i+1) - aggi1(k,1)=fac*(scalar(uygrad(1,k,2,i),uy(1,j)) - & -3.0d0*uryg(k,3)*vry)!+agg(k,1) - aggi1(k,2)=fac*(scalar(uygrad(1,k,2,i),uz(1,j)) - & -3.0d0*uryg(k,3)*vrz)!+agg(k,2) - aggi1(k,3)=fac*(scalar(uzgrad(1,k,2,i),uy(1,j)) - & -3.0d0*urzg(k,3)*vry)!+agg(k,3) - aggi1(k,4)=fac*(scalar(uzgrad(1,k,2,i),uz(1,j)) - & -3.0d0*urzg(k,3)*vrz)!+agg(k,4) -C Derivatives in DC(j) - aggj(k,1)=fac*(scalar(uygrad(1,k,1,j),uy(1,i)) - & -3.0d0*vryg(k,2)*ury)!+ghalf1 - aggj(k,2)=fac*(scalar(uzgrad(1,k,1,j),uy(1,i)) - & -3.0d0*vrzg(k,2)*ury)!+ghalf2 - aggj(k,3)=fac*(scalar(uygrad(1,k,1,j),uz(1,i)) - & -3.0d0*vryg(k,2)*urz)!+ghalf3 - aggj(k,4)=fac*(scalar(uzgrad(1,k,1,j),uz(1,i)) - & -3.0d0*vrzg(k,2)*urz)!+ghalf4 -C Derivatives in DC(j+1) or DC(nres-1) - aggj1(k,1)=fac*(scalar(uygrad(1,k,2,j),uy(1,i)) - & -3.0d0*vryg(k,3)*ury) - aggj1(k,2)=fac*(scalar(uzgrad(1,k,2,j),uy(1,i)) - & -3.0d0*vrzg(k,3)*ury) - aggj1(k,3)=fac*(scalar(uygrad(1,k,2,j),uz(1,i)) - & -3.0d0*vryg(k,3)*urz) - aggj1(k,4)=fac*(scalar(uzgrad(1,k,2,j),uz(1,i)) - & -3.0d0*vrzg(k,3)*urz) -cgrad if (j.eq.nres-1 .and. i.lt.j-2) then -cgrad do l=1,4 -cgrad aggj1(k,l)=aggj1(k,l)+agg(k,l) -cgrad enddo -cgrad endif - enddo - acipa(1,1)=a22 - acipa(1,2)=a23 - acipa(2,1)=a32 - acipa(2,2)=a33 - a22=-a22 - a23=-a23 - do l=1,2 - do k=1,3 - agg(k,l)=-agg(k,l) - aggi(k,l)=-aggi(k,l) - aggi1(k,l)=-aggi1(k,l) - aggj(k,l)=-aggj(k,l) - aggj1(k,l)=-aggj1(k,l) - enddo - enddo - if (j.lt.nres-1) then - a22=-a22 - a32=-a32 - do l=1,3,2 - do k=1,3 - agg(k,l)=-agg(k,l) - aggi(k,l)=-aggi(k,l) - aggi1(k,l)=-aggi1(k,l) - aggj(k,l)=-aggj(k,l) - aggj1(k,l)=-aggj1(k,l) - enddo - enddo - else - a22=-a22 - a23=-a23 - a32=-a32 - a33=-a33 - do l=1,4 - do k=1,3 - agg(k,l)=-agg(k,l) - aggi(k,l)=-aggi(k,l) - aggi1(k,l)=-aggi1(k,l) - aggj(k,l)=-aggj(k,l) - aggj1(k,l)=-aggj1(k,l) - enddo - enddo - endif - ENDIF ! WCORR - IF (wel_loc.gt.0.0d0) THEN -C Contribution to the local-electrostatic energy coming from the i-j pair - eel_loc_ij=a22*muij(1)+a23*muij(2)+a32*muij(3) - & +a33*muij(4) -cd write (iout,*) 'i',i,' j',j,' eel_loc_ij',eel_loc_ij - - if (energy_dec) write (iout,'(a6,2i5,0pf7.3)') - & 'eelloc',i,j,eel_loc_ij - - eel_loc=eel_loc+eel_loc_ij -C Partial derivatives in virtual-bond dihedral angles gamma - if (i.gt.1) - & gel_loc_loc(i-1)=gel_loc_loc(i-1)+ - & a22*muder(1,i)*mu(1,j)+a23*muder(1,i)*mu(2,j) - & +a32*muder(2,i)*mu(1,j)+a33*muder(2,i)*mu(2,j) - gel_loc_loc(j-1)=gel_loc_loc(j-1)+ - & a22*mu(1,i)*muder(1,j)+a23*mu(1,i)*muder(2,j) - & +a32*mu(2,i)*muder(1,j)+a33*mu(2,i)*muder(2,j) -C Derivatives of eello in DC(i+1) thru DC(j-1) or DC(nres-2) - do l=1,3 - ggg(l)=agg(l,1)*muij(1)+ - & agg(l,2)*muij(2)+agg(l,3)*muij(3)+agg(l,4)*muij(4) - gel_loc_long(l,j)=gel_loc_long(l,j)+ggg(l) - gel_loc_long(l,i)=gel_loc_long(l,i)-ggg(l) -cgrad ghalf=0.5d0*ggg(l) -cgrad gel_loc(l,i)=gel_loc(l,i)+ghalf -cgrad gel_loc(l,j)=gel_loc(l,j)+ghalf - enddo -cgrad do k=i+1,j2 -cgrad do l=1,3 -cgrad gel_loc(l,k)=gel_loc(l,k)+ggg(l) -cgrad enddo -cgrad enddo -C Remaining derivatives of eello - do l=1,3 - gel_loc(l,i)=gel_loc(l,i)+aggi(l,1)*muij(1)+ - & aggi(l,2)*muij(2)+aggi(l,3)*muij(3)+aggi(l,4)*muij(4) - gel_loc(l,i+1)=gel_loc(l,i+1)+aggi1(l,1)*muij(1)+ - & aggi1(l,2)*muij(2)+aggi1(l,3)*muij(3)+aggi1(l,4)*muij(4) - gel_loc(l,j)=gel_loc(l,j)+aggj(l,1)*muij(1)+ - & aggj(l,2)*muij(2)+aggj(l,3)*muij(3)+aggj(l,4)*muij(4) - gel_loc(l,j1)=gel_loc(l,j1)+aggj1(l,1)*muij(1)+ - & aggj1(l,2)*muij(2)+aggj1(l,3)*muij(3)+aggj1(l,4)*muij(4) - enddo - ENDIF -C Change 12/26/95 to calculate four-body contributions to H-bonding energy -c if (j.gt.i+1 .and. num_conti.le.maxconts) then - if (wcorr+wcorr4+wcorr5+wcorr6.gt.0.0d0 - & .and. num_conti.le.maxconts) then -c write (iout,*) i,j," entered corr" -C -C Calculate the contact function. The ith column of the array JCONT will -C contain the numbers of atoms that make contacts with the atom I (of numbers -C greater than I). The arrays FACONT and GACONT will contain the values of -C the contact function and its derivative. -c r0ij=1.02D0*rpp(iteli,itelj) -c r0ij=1.11D0*rpp(iteli,itelj) - r0ij=2.20D0*rpp(iteli,itelj) -c r0ij=1.55D0*rpp(iteli,itelj) - call gcont(rij,r0ij,1.0D0,0.2d0*r0ij,fcont,fprimcont) - if (fcont.gt.0.0D0) then - num_conti=num_conti+1 - if (num_conti.gt.maxconts) then - write (iout,*) 'WARNING - max. # of contacts exceeded;', - & ' will skip next contacts for this conf.' - else - jcont_hb(num_conti,i)=j -cd write (iout,*) "i",i," j",j," num_conti",num_conti, -cd & " jcont_hb",jcont_hb(num_conti,i) - IF (wcorr4.gt.0.0d0 .or. wcorr5.gt.0.0d0 .or. - & wcorr6.gt.0.0d0 .or. wturn6.gt.0.0d0) THEN -C 9/30/99 (AL) - store components necessary to evaluate higher-order loc-el -C terms. - d_cont(num_conti,i)=rij -cd write (2,'(3e15.5)') rij,r0ij+0.2d0*r0ij,rij -C --- Electrostatic-interaction matrix --- - a_chuj(1,1,num_conti,i)=a22 - a_chuj(1,2,num_conti,i)=a23 - a_chuj(2,1,num_conti,i)=a32 - a_chuj(2,2,num_conti,i)=a33 -C --- Gradient of rij - do kkk=1,3 - grij_hb_cont(kkk,num_conti,i)=erij(kkk) - enddo - kkll=0 - do k=1,2 - do l=1,2 - kkll=kkll+1 - do m=1,3 - a_chuj_der(k,l,m,1,num_conti,i)=agg(m,kkll) - a_chuj_der(k,l,m,2,num_conti,i)=aggi(m,kkll) - a_chuj_der(k,l,m,3,num_conti,i)=aggi1(m,kkll) - a_chuj_der(k,l,m,4,num_conti,i)=aggj(m,kkll) - a_chuj_der(k,l,m,5,num_conti,i)=aggj1(m,kkll) - enddo - enddo - enddo - ENDIF - IF (wcorr4.eq.0.0d0 .and. wcorr.gt.0.0d0) THEN -C Calculate contact energies - cosa4=4.0D0*cosa - wij=cosa-3.0D0*cosb*cosg - cosbg1=cosb+cosg - cosbg2=cosb-cosg -c fac3=dsqrt(-ael6i)/r0ij**3 - fac3=dsqrt(-ael6i)*r3ij -c ees0pij=dsqrt(4.0D0+cosa4+wij*wij-3.0D0*cosbg1*cosbg1) - ees0tmp=4.0D0+cosa4+wij*wij-3.0D0*cosbg1*cosbg1 - if (ees0tmp.gt.0) then - ees0pij=dsqrt(ees0tmp) - else - ees0pij=0 - endif -c ees0mij=dsqrt(4.0D0-cosa4+wij*wij-3.0D0*cosbg2*cosbg2) - ees0tmp=4.0D0-cosa4+wij*wij-3.0D0*cosbg2*cosbg2 - if (ees0tmp.gt.0) then - ees0mij=dsqrt(ees0tmp) - else - ees0mij=0 - endif -c ees0mij=0.0D0 - ees0p(num_conti,i)=0.5D0*fac3*(ees0pij+ees0mij) - ees0m(num_conti,i)=0.5D0*fac3*(ees0pij-ees0mij) -C Diagnostics. Comment out or remove after debugging! -c ees0p(num_conti,i)=0.5D0*fac3*ees0pij -c ees0m(num_conti,i)=0.5D0*fac3*ees0mij -c ees0m(num_conti,i)=0.0D0 -C End diagnostics. -c write (iout,*) 'i=',i,' j=',j,' rij=',rij,' r0ij=',r0ij, -c & ' ees0ij=',ees0p(num_conti,i),ees0m(num_conti,i),' fcont=',fcont -C Angular derivatives of the contact function - ees0pij1=fac3/ees0pij - ees0mij1=fac3/ees0mij - fac3p=-3.0D0*fac3*rrmij - ees0pijp=0.5D0*fac3p*(ees0pij+ees0mij) - ees0mijp=0.5D0*fac3p*(ees0pij-ees0mij) -c ees0mij1=0.0D0 - ecosa1= ees0pij1*( 1.0D0+0.5D0*wij) - ecosb1=-1.5D0*ees0pij1*(wij*cosg+cosbg1) - ecosg1=-1.5D0*ees0pij1*(wij*cosb+cosbg1) - ecosa2= ees0mij1*(-1.0D0+0.5D0*wij) - ecosb2=-1.5D0*ees0mij1*(wij*cosg+cosbg2) - ecosg2=-1.5D0*ees0mij1*(wij*cosb-cosbg2) - ecosap=ecosa1+ecosa2 - ecosbp=ecosb1+ecosb2 - ecosgp=ecosg1+ecosg2 - ecosam=ecosa1-ecosa2 - ecosbm=ecosb1-ecosb2 - ecosgm=ecosg1-ecosg2 -C Diagnostics -c ecosap=ecosa1 -c ecosbp=ecosb1 -c ecosgp=ecosg1 -c ecosam=0.0D0 -c ecosbm=0.0D0 -c ecosgm=0.0D0 -C End diagnostics - facont_hb(num_conti,i)=fcont - fprimcont=fprimcont/rij -cd facont_hb(num_conti,i)=1.0D0 -C Following line is for diagnostics. -cd fprimcont=0.0D0 - do k=1,3 - dcosb(k)=rmij*(dc_norm(k,i)-erij(k)*cosb) - dcosg(k)=rmij*(dc_norm(k,j)-erij(k)*cosg) - enddo - do k=1,3 - gggp(k)=ecosbp*dcosb(k)+ecosgp*dcosg(k) - gggm(k)=ecosbm*dcosb(k)+ecosgm*dcosg(k) - enddo - gggp(1)=gggp(1)+ees0pijp*xj - gggp(2)=gggp(2)+ees0pijp*yj - gggp(3)=gggp(3)+ees0pijp*zj - gggm(1)=gggm(1)+ees0mijp*xj - gggm(2)=gggm(2)+ees0mijp*yj - gggm(3)=gggm(3)+ees0mijp*zj -C Derivatives due to the contact function - gacont_hbr(1,num_conti,i)=fprimcont*xj - gacont_hbr(2,num_conti,i)=fprimcont*yj - gacont_hbr(3,num_conti,i)=fprimcont*zj - do k=1,3 -c -c 10/24/08 cgrad and ! comments indicate the parts of the code removed -c following the change of gradient-summation algorithm. -c -cgrad ghalfp=0.5D0*gggp(k) -cgrad ghalfm=0.5D0*gggm(k) - gacontp_hb1(k,num_conti,i)=!ghalfp - & +(ecosap*(dc_norm(k,j)-cosa*dc_norm(k,i)) - & + ecosbp*(erij(k)-cosb*dc_norm(k,i)))*vbld_inv(i+1) - gacontp_hb2(k,num_conti,i)=!ghalfp - & +(ecosap*(dc_norm(k,i)-cosa*dc_norm(k,j)) - & + ecosgp*(erij(k)-cosg*dc_norm(k,j)))*vbld_inv(j+1) - gacontp_hb3(k,num_conti,i)=gggp(k) - gacontm_hb1(k,num_conti,i)=!ghalfm - & +(ecosam*(dc_norm(k,j)-cosa*dc_norm(k,i)) - & + ecosbm*(erij(k)-cosb*dc_norm(k,i)))*vbld_inv(i+1) - gacontm_hb2(k,num_conti,i)=!ghalfm - & +(ecosam*(dc_norm(k,i)-cosa*dc_norm(k,j)) - & + ecosgm*(erij(k)-cosg*dc_norm(k,j)))*vbld_inv(j+1) - gacontm_hb3(k,num_conti,i)=gggm(k) - enddo - ENDIF ! wcorr - endif ! num_conti.le.maxconts - endif ! fcont.gt.0 - endif ! j.gt.i+1 - if (wturn3.gt.0.0d0 .or. wturn4.gt.0.0d0) then - do k=1,4 - do l=1,3 - ghalf=0.5d0*agg(l,k) - aggi(l,k)=aggi(l,k)+ghalf - aggi1(l,k)=aggi1(l,k)+agg(l,k) - aggj(l,k)=aggj(l,k)+ghalf - enddo - enddo - if (j.eq.nres-1 .and. i.lt.j-2) then - do k=1,4 - do l=1,3 - aggj1(l,k)=aggj1(l,k)+agg(l,k) - enddo - enddo - endif - endif -c t_eelecij=t_eelecij+MPI_Wtime()-time00 - return - end -C----------------------------------------------------------------------- - subroutine evdwpp_short(evdw1) -C -C Compute Evdwpp -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VECTORS' - include 'COMMON.FFIELD' - dimension ggg(3) -c 4/26/02 - AL scaling factor for 1,4 repulsive VDW interactions -#ifdef MOMENT - double precision scal_el /1.0d0/ -#else - double precision scal_el /0.5d0/ -#endif - evdw1=0.0D0 -c write (iout,*) "iatel_s_vdw",iatel_s_vdw, -c & " iatel_e_vdw",iatel_e_vdw - call flush(iout) - do i=iatel_s_vdw,iatel_e_vdw - dxi=dc(1,i) - dyi=dc(2,i) - dzi=dc(3,i) - dx_normi=dc_norm(1,i) - dy_normi=dc_norm(2,i) - dz_normi=dc_norm(3,i) - xmedi=c(1,i)+0.5d0*dxi - ymedi=c(2,i)+0.5d0*dyi - zmedi=c(3,i)+0.5d0*dzi - num_conti=0 -c write (iout,*) 'i',i,' ielstart',ielstart_vdw(i), -c & ' ielend',ielend_vdw(i) - call flush(iout) - do j=ielstart_vdw(i),ielend_vdw(i) - ind=ind+1 - iteli=itel(i) - itelj=itel(j) - if (j.eq.i+2 .and. itelj.eq.2) iteli=2 - aaa=app(iteli,itelj) - bbb=bpp(iteli,itelj) - dxj=dc(1,j) - dyj=dc(2,j) - dzj=dc(3,j) - dx_normj=dc_norm(1,j) - dy_normj=dc_norm(2,j) - dz_normj=dc_norm(3,j) - xj=c(1,j)+0.5D0*dxj-xmedi - yj=c(2,j)+0.5D0*dyj-ymedi - zj=c(3,j)+0.5D0*dzj-zmedi - rij=xj*xj+yj*yj+zj*zj - rrmij=1.0D0/rij - rij=dsqrt(rij) - sss=sscale(rij/rpp(iteli,itelj)) - if (sss.gt.0.0d0) then - rmij=1.0D0/rij - r3ij=rrmij*rmij - r6ij=r3ij*r3ij - ev1=aaa*r6ij*r6ij -c 4/26/02 - AL scaling down 1,4 repulsive VDW interactions - if (j.eq.i+2) ev1=scal_el*ev1 - ev2=bbb*r6ij - evdwij=ev1+ev2 - if (energy_dec) then - write (iout,'(a6,2i5,0pf7.3,f7.3)') 'evdw1',i,j,evdwij,sss - endif - evdw1=evdw1+evdwij*sss -C -C Calculate contributions to the Cartesian gradient. -C - facvdw=-6*rrmij*(ev1+evdwij)*sss - ggg(1)=facvdw*xj - ggg(2)=facvdw*yj - ggg(3)=facvdw*zj - do k=1,3 - gvdwpp(k,j)=gvdwpp(k,j)+ggg(k) - gvdwpp(k,i)=gvdwpp(k,i)-ggg(k) - enddo - endif - enddo ! j - enddo ! i - return - end -C----------------------------------------------------------------------------- - subroutine escp_long(evdw2,evdw2_14) -C -C This subroutine calculates the excluded-volume interaction energy between -C peptide-group centers and side chains and its gradient in virtual-bond and -C side-chain vectors. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.IOUNITS' - include 'COMMON.CONTROL' - dimension ggg(3) - evdw2=0.0D0 - evdw2_14=0.0d0 -cd print '(a)','Enter ESCP' -cd write (iout,*) 'iatscp_s=',iatscp_s,' iatscp_e=',iatscp_e - do i=iatscp_s,iatscp_e - iteli=itel(i) - xi=0.5D0*(c(1,i)+c(1,i+1)) - yi=0.5D0*(c(2,i)+c(2,i+1)) - zi=0.5D0*(c(3,i)+c(3,i+1)) - - do iint=1,nscp_gr(i) - - do j=iscpstart(i,iint),iscpend(i,iint) - itypj=itype(j) -C Uncomment following three lines for SC-p interactions -c xj=c(1,nres+j)-xi -c yj=c(2,nres+j)-yi -c zj=c(3,nres+j)-zi -C Uncomment following three lines for Ca-p interactions - xj=c(1,j)-xi - yj=c(2,j)-yi - zj=c(3,j)-zi - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - - sss=sscale(1.0d0/(dsqrt(rrij)*rscp(itypj,iteli))) - - if (sss.lt.1.0d0) then - - fac=rrij**expon2 - e1=fac*fac*aad(itypj,iteli) - e2=fac*bad(itypj,iteli) - if (iabs(j-i) .le. 2) then - e1=scal14*e1 - e2=scal14*e2 - evdw2_14=evdw2_14+(e1+e2)*(1.0d0-sss) - endif - evdwij=e1+e2 - evdw2=evdw2+evdwij*(1.0d0-sss) - if (energy_dec) write (iout,'(a6,2i5,0pf7.3)') - & 'evdw2',i,j,evdwij -C -C Calculate contributions to the gradient in the virtual-bond and SC vectors. -C - fac=-(evdwij+e1)*rrij*(1.0d0-sss) - ggg(1)=xj*fac - ggg(2)=yj*fac - ggg(3)=zj*fac -C Uncomment following three lines for SC-p interactions -c do k=1,3 -c gradx_scp(k,j)=gradx_scp(k,j)+ggg(k) -c enddo -C Uncomment following line for SC-p interactions -c gradx_scp(k,j)=gradx_scp(k,j)+ggg(k) - do k=1,3 - gvdwc_scpp(k,i)=gvdwc_scpp(k,i)-ggg(k) - gvdwc_scp(k,j)=gvdwc_scp(k,j)+ggg(k) - enddo - endif - enddo - - enddo ! iint - enddo ! i - do i=1,nct - do j=1,3 - gvdwc_scp(j,i)=expon*gvdwc_scp(j,i) - gvdwc_scpp(j,i)=expon*gvdwc_scpp(j,i) - gradx_scp(j,i)=expon*gradx_scp(j,i) - enddo - enddo -C****************************************************************************** -C -C N O T E !!! -C -C To save time the factor EXPON has been extracted from ALL components -C of GVDWC and GRADX. Remember to multiply them by this factor before further -C use! -C -C****************************************************************************** - return - end -C----------------------------------------------------------------------------- - subroutine escp_short(evdw2,evdw2_14) -C -C This subroutine calculates the excluded-volume interaction energy between -C peptide-group centers and side chains and its gradient in virtual-bond and -C side-chain vectors. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.IOUNITS' - include 'COMMON.CONTROL' - dimension ggg(3) - evdw2=0.0D0 - evdw2_14=0.0d0 -cd print '(a)','Enter ESCP' -cd write (iout,*) 'iatscp_s=',iatscp_s,' iatscp_e=',iatscp_e - do i=iatscp_s,iatscp_e - iteli=itel(i) - xi=0.5D0*(c(1,i)+c(1,i+1)) - yi=0.5D0*(c(2,i)+c(2,i+1)) - zi=0.5D0*(c(3,i)+c(3,i+1)) - - do iint=1,nscp_gr(i) - - do j=iscpstart(i,iint),iscpend(i,iint) - itypj=itype(j) -C Uncomment following three lines for SC-p interactions -c xj=c(1,nres+j)-xi -c yj=c(2,nres+j)-yi -c zj=c(3,nres+j)-zi -C Uncomment following three lines for Ca-p interactions - xj=c(1,j)-xi - yj=c(2,j)-yi - zj=c(3,j)-zi - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - - sss=sscale(1.0d0/(dsqrt(rrij)*rscp(itypj,iteli))) - - if (sss.gt.0.0d0) then - - fac=rrij**expon2 - e1=fac*fac*aad(itypj,iteli) - e2=fac*bad(itypj,iteli) - if (iabs(j-i) .le. 2) then - e1=scal14*e1 - e2=scal14*e2 - evdw2_14=evdw2_14+(e1+e2)*sss - endif - evdwij=e1+e2 - evdw2=evdw2+evdwij*sss - if (energy_dec) write (iout,'(a6,2i5,0pf7.3)') - & 'evdw2',i,j,evdwij -C -C Calculate contributions to the gradient in the virtual-bond and SC vectors. -C - fac=-(evdwij+e1)*rrij*sss - ggg(1)=xj*fac - ggg(2)=yj*fac - ggg(3)=zj*fac -C Uncomment following three lines for SC-p interactions -c do k=1,3 -c gradx_scp(k,j)=gradx_scp(k,j)+ggg(k) -c enddo -C Uncomment following line for SC-p interactions -c gradx_scp(k,j)=gradx_scp(k,j)+ggg(k) - do k=1,3 - gvdwc_scpp(k,i)=gvdwc_scpp(k,i)-ggg(k) - gvdwc_scp(k,j)=gvdwc_scp(k,j)+ggg(k) - enddo - endif - enddo - - enddo ! iint - enddo ! i - do i=1,nct - do j=1,3 - gvdwc_scp(j,i)=expon*gvdwc_scp(j,i) - gvdwc_scpp(j,i)=expon*gvdwc_scpp(j,i) - gradx_scp(j,i)=expon*gradx_scp(j,i) - enddo - enddo -C****************************************************************************** -C -C N O T E !!! -C -C To save time the factor EXPON has been extracted from ALL components -C of GVDWC and GRADX. Remember to multiply them by this factor before further -C use! -C -C****************************************************************************** - return - end diff --git a/source/unres/src_MD-restraints-PM/energy_p_new_barrier.F b/source/unres/src_MD-restraints-PM/energy_p_new_barrier.F deleted file mode 100644 index c598591..0000000 --- a/source/unres/src_MD-restraints-PM/energy_p_new_barrier.F +++ /dev/null @@ -1,9441 +0,0 @@ - subroutine etotal(energia) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifndef ISNAN - external proc_proc -#ifdef WINPGI -cMS$ATTRIBUTES C :: proc_proc -#endif -#endif -#ifdef MPI - include "mpif.h" - double precision weights_(n_ene) -#endif - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - double precision energia(0:n_ene) - include 'COMMON.LOCAL' - include 'COMMON.FFIELD' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.MD' - include 'COMMON.CONTROL' - include 'COMMON.TIME1' -#ifdef MPI -c print*,"ETOTAL Processor",fg_rank," absolute rank",myrank, -c & " nfgtasks",nfgtasks - if (nfgtasks.gt.1) then -#ifdef MPI - time00=MPI_Wtime() -#else - time00=tcpu() -#endif -C FG slaves call the following matching MPI_Bcast in ERGASTULUM - if (fg_rank.eq.0) then - call MPI_Bcast(0,1,MPI_INTEGER,king,FG_COMM,IERROR) -c print *,"Processor",myrank," BROADCAST iorder" -C FG master sets up the WEIGHTS_ array which will be broadcast to the -C FG slaves as WEIGHTS array. - weights_(1)=wsc - weights_(2)=wscp - weights_(3)=welec - weights_(4)=wcorr - weights_(5)=wcorr5 - weights_(6)=wcorr6 - weights_(7)=wel_loc - weights_(8)=wturn3 - weights_(9)=wturn4 - weights_(10)=wturn6 - weights_(11)=wang - weights_(12)=wscloc - weights_(13)=wtor - weights_(14)=wtor_d - weights_(15)=wstrain - weights_(16)=wvdwpp - weights_(17)=wbond - weights_(18)=scal14 - weights_(21)=wsccor - weights_(22)=wsct -C FG Master broadcasts the WEIGHTS_ array - call MPI_Bcast(weights_(1),n_ene, - & MPI_DOUBLE_PRECISION,king,FG_COMM,IERROR) - else -C FG slaves receive the WEIGHTS array - call MPI_Bcast(weights(1),n_ene, - & MPI_DOUBLE_PRECISION,king,FG_COMM,IERROR) - wsc=weights(1) - wscp=weights(2) - welec=weights(3) - wcorr=weights(4) - wcorr5=weights(5) - wcorr6=weights(6) - wel_loc=weights(7) - wturn3=weights(8) - wturn4=weights(9) - wturn6=weights(10) - wang=weights(11) - wscloc=weights(12) - wtor=weights(13) - wtor_d=weights(14) - wstrain=weights(15) - wvdwpp=weights(16) - wbond=weights(17) - scal14=weights(18) - wsccor=weights(21) - wsct=weights(22) - endif - time_Bcast=time_Bcast+MPI_Wtime()-time00 - time_Bcastw=time_Bcastw+MPI_Wtime()-time00 -c call chainbuild_cart - endif -c print *,'Processor',myrank,' calling etotal ipot=',ipot -c print *,'Processor',myrank,' nnt=',nnt,' nct=',nct -#else -c if (modecalc.eq.12.or.modecalc.eq.14) then -c call int_from_cart1(.false.) -c endif -#endif -#ifdef TIMING -#ifdef MPI - time00=MPI_Wtime() -#else - time00=tcpu() -#endif -#endif -C -C Compute the side-chain and electrostatic interaction energy -C - goto (101,102,103,104,105,106) ipot -C Lennard-Jones potential. - 101 call elj(evdw,evdw_p,evdw_m) -cd print '(a)','Exit ELJ' - goto 107 -C Lennard-Jones-Kihara potential (shifted). - 102 call eljk(evdw,evdw_p,evdw_m) - goto 107 -C Berne-Pechukas potential (dilated LJ, angular dependence). - 103 call ebp(evdw,evdw_p,evdw_m) - goto 107 -C Gay-Berne potential (shifted LJ, angular dependence). - 104 call egb(evdw,evdw_p,evdw_m) - goto 107 -C Gay-Berne-Vorobjev potential (shifted LJ, angular dependence). - 105 call egbv(evdw,evdw_p,evdw_m) - goto 107 -C Soft-sphere potential - 106 call e_softsphere(evdw) -C -C Calculate electrostatic (H-bonding) energy of the main chain. -C - 107 continue -cmc -cmc Sep-06: egb takes care of dynamic ss bonds too -cmc -c if (dyn_ss) call dyn_set_nss - -c print *,"Processor",myrank," computed USCSC" -#ifdef TIMING -#ifdef MPI - time01=MPI_Wtime() -#else - time00=tcpu() -#endif -#endif - call vec_and_deriv -#ifdef TIMING -#ifdef MPI - time_vec=time_vec+MPI_Wtime()-time01 -#else - time_vec=time_vec+tcpu()-time01 -#endif -#endif -c print *,"Processor",myrank," left VEC_AND_DERIV" - if (ipot.lt.6) then -#ifdef SPLITELE - if (welec.gt.0d0.or.wvdwpp.gt.0d0.or.wel_loc.gt.0d0.or. - & wturn3.gt.0d0.or.wturn4.gt.0d0 .or. wcorr.gt.0.0d0 - & .or. wcorr4.gt.0.0d0 .or. wcorr5.gt.0.d0 - & .or. wcorr6.gt.0.0d0 .or. wturn6.gt.0.0d0 ) then -#else - if (welec.gt.0d0.or.wel_loc.gt.0d0.or. - & wturn3.gt.0d0.or.wturn4.gt.0d0 .or. wcorr.gt.0.0d0 - & .or. wcorr4.gt.0.0d0 .or. wcorr5.gt.0.d0 - & .or. wcorr6.gt.0.0d0 .or. wturn6.gt.0.0d0 ) then -#endif - call eelec(ees,evdw1,eel_loc,eello_turn3,eello_turn4) - else - ees=0.0d0 - evdw1=0.0d0 - eel_loc=0.0d0 - eello_turn3=0.0d0 - eello_turn4=0.0d0 - endif - else -c write (iout,*) "Soft-spheer ELEC potential" - call eelec_soft_sphere(ees,evdw1,eel_loc,eello_turn3, - & eello_turn4) - endif -c print *,"Processor",myrank," computed UELEC" -C -C Calculate excluded-volume interaction energy between peptide groups -C and side chains. -C - if (ipot.lt.6) then - if(wscp.gt.0d0) then - call escp(evdw2,evdw2_14) - else - evdw2=0 - evdw2_14=0 - endif - else -c write (iout,*) "Soft-sphere SCP potential" - call escp_soft_sphere(evdw2,evdw2_14) - endif -c -c Calculate the bond-stretching energy -c - call ebond(estr) -C -C Calculate the disulfide-bridge and other energy and the contributions -C from other distance constraints. -cd print *,'Calling EHPB' - call edis(ehpb) -cd print *,'EHPB exitted succesfully.' -C -C Calculate the virtual-bond-angle energy. -C - if (wang.gt.0d0) then - call ebend(ebe) - else - ebe=0 - endif -c print *,"Processor",myrank," computed UB" -C -C Calculate the SC local energy. -C - call esc(escloc) -c print *,"Processor",myrank," computed USC" -C -C Calculate the virtual-bond torsional energy. -C -cd print *,'nterm=',nterm - if (wtor.gt.0) then - call etor(etors,edihcnstr) - else - etors=0 - edihcnstr=0 - endif - - if (constr_homology.ge.1) then - call e_modeller(ehomology_constr) - else - ehomology_constr=0 - endif - - -c write(iout,*) ehomology_constr -c print *,"Processor",myrank," computed Utor" -C -C 6/23/01 Calculate double-torsional energy -C - if (wtor_d.gt.0) then - call etor_d(etors_d) - else - etors_d=0 - endif -c print *,"Processor",myrank," computed Utord" -C -C 21/5/07 Calculate local sicdechain correlation energy -C - if (wsccor.gt.0.0d0) then - call eback_sc_corr(esccor) - else - esccor=0.0d0 - endif -c print *,"Processor",myrank," computed Usccorr" -C -C 12/1/95 Multi-body terms -C - n_corr=0 - n_corr1=0 - if ((wcorr4.gt.0.0d0 .or. wcorr5.gt.0.0d0 .or. wcorr6.gt.0.0d0 - & .or. wturn6.gt.0.0d0) .and. ipot.lt.6) then - call multibody_eello(ecorr,ecorr5,ecorr6,eturn6,n_corr,n_corr1) -cd write(2,*)'multibody_eello n_corr=',n_corr,' n_corr1=',n_corr1, -cd &" ecorr",ecorr," ecorr5",ecorr5," ecorr6",ecorr6," eturn6",eturn6 - else - ecorr=0.0d0 - ecorr5=0.0d0 - ecorr6=0.0d0 - eturn6=0.0d0 - endif - if ((wcorr4.eq.0.0d0 .and. wcorr.gt.0.0d0) .and. ipot.lt.6) then - call multibody_hb(ecorr,ecorr5,ecorr6,n_corr,n_corr1) -cd write (iout,*) "multibody_hb ecorr",ecorr - endif -c print *,"Processor",myrank," computed Ucorr" -C -C If performing constraint dynamics, call the constraint energy -C after the equilibration time - if(usampl.and.totT.gt.eq_time) then - call EconstrQ - call Econstr_back - else - Uconst=0.0d0 - Uconst_back=0.0d0 - endif -#ifdef TIMING -#ifdef MPI - time_enecalc=time_enecalc+MPI_Wtime()-time00 -#else - time_enecalc=time_enecalc+tcpu()-time00 -#endif -#endif -c print *,"Processor",myrank," computed Uconstr" -#ifdef TIMING -#ifdef MPI - time00=MPI_Wtime() -#else - time00=tcpu() -#endif -#endif -c -C Sum the energies -C - energia(1)=evdw -#ifdef SCP14 - energia(2)=evdw2-evdw2_14 - energia(18)=evdw2_14 -#else - energia(2)=evdw2 - energia(18)=0.0d0 -#endif -#ifdef SPLITELE - energia(3)=ees - energia(16)=evdw1 -#else - energia(3)=ees+evdw1 - energia(16)=0.0d0 -#endif - energia(4)=ecorr - energia(5)=ecorr5 - energia(6)=ecorr6 - energia(7)=eel_loc - energia(8)=eello_turn3 - energia(9)=eello_turn4 - energia(10)=eturn6 - energia(11)=ebe - energia(12)=escloc - energia(13)=etors - energia(14)=etors_d - energia(15)=ehpb - energia(19)=edihcnstr - energia(17)=estr - energia(20)=Uconst+Uconst_back - energia(21)=esccor - energia(22)=evdw_p - energia(23)=evdw_m - energia(24)=ehomology_constr -c print *," Processor",myrank," calls SUM_ENERGY" - call sum_energy(energia,.true.) - if (dyn_ss) call dyn_set_nss -c print *," Processor",myrank," left SUM_ENERGY" -#ifdef TIMING -#ifdef MPI - time_sumene=time_sumene+MPI_Wtime()-time00 -#else - time_sumene=time_sumene+tcpu()-time00 -#endif -#endif - return - end -c------------------------------------------------------------------------------- - subroutine sum_energy(energia,reduce) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifndef ISNAN - external proc_proc -#ifdef WINPGI -cMS$ATTRIBUTES C :: proc_proc -#endif -#endif -#ifdef MPI - include "mpif.h" -#endif - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - double precision energia(0:n_ene),enebuff(0:n_ene+1) - include 'COMMON.FFIELD' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.CONTROL' - include 'COMMON.TIME1' - logical reduce -#ifdef MPI - if (nfgtasks.gt.1 .and. reduce) then -#ifdef DEBUG - write (iout,*) "energies before REDUCE" - call enerprint(energia) - call flush(iout) -#endif - do i=0,n_ene - enebuff(i)=energia(i) - enddo - time00=MPI_Wtime() - call MPI_Barrier(FG_COMM,IERR) - time_barrier_e=time_barrier_e+MPI_Wtime()-time00 - time00=MPI_Wtime() - call MPI_Reduce(enebuff(0),energia(0),n_ene+1, - & MPI_DOUBLE_PRECISION,MPI_SUM,king,FG_COMM,IERR) -#ifdef DEBUG - write (iout,*) "energies after REDUCE" - call enerprint(energia) - call flush(iout) -#endif - time_Reduce=time_Reduce+MPI_Wtime()-time00 - endif - if (fg_rank.eq.0) then -#endif -#ifdef TSCSC - evdw=energia(22)+wsct*energia(23) -#else - evdw=energia(1) -#endif -#ifdef SCP14 - evdw2=energia(2)+energia(18) - evdw2_14=energia(18) -#else - evdw2=energia(2) -#endif -#ifdef SPLITELE - ees=energia(3) - evdw1=energia(16) -#else - ees=energia(3) - evdw1=0.0d0 -#endif - ecorr=energia(4) - ecorr5=energia(5) - ecorr6=energia(6) - eel_loc=energia(7) - eello_turn3=energia(8) - eello_turn4=energia(9) - eturn6=energia(10) - ebe=energia(11) - escloc=energia(12) - etors=energia(13) - etors_d=energia(14) - ehpb=energia(15) - edihcnstr=energia(19) - estr=energia(17) - Uconst=energia(20) - esccor=energia(21) - ehomology_constr=energia(24) -#ifdef SPLITELE - etot=wsc*evdw+wscp*evdw2+welec*ees+wvdwpp*evdw1 - & +wang*ebe+wtor*etors+wscloc*escloc - & +wstrain*ehpb+wcorr*ecorr+wcorr5*ecorr5 - & +wcorr6*ecorr6+wturn4*eello_turn4+wturn3*eello_turn3 - & +wturn6*eturn6+wel_loc*eel_loc+edihcnstr+wtor_d*etors_d - & +wbond*estr+Uconst+wsccor*esccor+ehomology_constr -#else - etot=wsc*evdw+wscp*evdw2+welec*(ees+evdw1) - & +wang*ebe+wtor*etors+wscloc*escloc - & +wstrain*ehpb+wcorr*ecorr+wcorr5*ecorr5 - & +wcorr6*ecorr6+wturn4*eello_turn4+wturn3*eello_turn3 - & +wturn6*eturn6+wel_loc*eel_loc+edihcnstr+wtor_d*etors_d - & +wbond*estr+Uconst+wsccor*esccor+ehomology_constr -#endif - energia(0)=etot -c detecting NaNQ -#ifdef ISNAN -#ifdef AIX - if (isnan(etot).ne.0) energia(0)=1.0d+99 -#else - if (isnan(etot)) energia(0)=1.0d+99 -#endif -#else - i=0 -#ifdef WINPGI - idumm=proc_proc(etot,i) -#else - call proc_proc(etot,i) -#endif - if(i.eq.1)energia(0)=1.0d+99 -#endif -#ifdef MPI - endif -#endif - return - end -c------------------------------------------------------------------------------- - subroutine sum_gradient - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifndef ISNAN - external proc_proc -#ifdef WINPGI -cMS$ATTRIBUTES C :: proc_proc -#endif -#endif -#ifdef MPI - include 'mpif.h' -#endif - double precision gradbufc(3,maxres),gradbufx(3,maxres), - & glocbuf(4*maxres),gradbufc_sum(3,maxres),gloc_scbuf(3,maxres) - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.CONTROL' - include 'COMMON.TIME1' - include 'COMMON.MAXGRAD' - include 'COMMON.SCCOR' -#ifdef TIMING -#ifdef MPI - time01=MPI_Wtime() -#else - time01=tcpu() -#endif -#endif -#ifdef DEBUG - write (iout,*) "sum_gradient gvdwc, gvdwx" - do i=1,nres - write (iout,'(i3,3f10.5,5x,3f10.5,5x,3f10.5,5x,3f10.5)') - & i,(gvdwx(j,i),j=1,3),(gvdwcT(j,i),j=1,3),(gvdwc(j,i),j=1,3), - & (gvdwcT(j,i),j=1,3) - enddo - call flush(iout) -#endif -#ifdef MPI -C FG slaves call the following matching MPI_Bcast in ERGASTULUM - if (nfgtasks.gt.1 .and. fg_rank.eq.0) - & call MPI_Bcast(1,1,MPI_INTEGER,king,FG_COMM,IERROR) -#endif -C -C 9/29/08 AL Transform parts of gradients in site coordinates to the gradient -C in virtual-bond-vector coordinates -C -#ifdef DEBUG -c write (iout,*) "gel_loc gel_loc_long and gel_loc_loc" -c do i=1,nres-1 -c write (iout,'(i5,3f10.5,2x,3f10.5,2x,f10.5)') -c & i,(gel_loc(j,i),j=1,3),(gel_loc_long(j,i),j=1,3),gel_loc_loc(i) -c enddo -c write (iout,*) "gel_loc_tur3 gel_loc_turn4" -c do i=1,nres-1 -c write (iout,'(i5,3f10.5,2x,f10.5)') -c & i,(gcorr4_turn(j,i),j=1,3),gel_loc_turn4(i) -c enddo - write (iout,*) "gradcorr5 gradcorr5_long gradcorr5_loc" - do i=1,nres - write (iout,'(i3,3f10.5,5x,3f10.5,5x,f10.5)') - & i,(gradcorr5(j,i),j=1,3),(gradcorr5_long(j,i),j=1,3), - & g_corr5_loc(i) - enddo - call flush(iout) -#endif -#ifdef SPLITELE -#ifdef TSCSC - do i=1,nct - do j=1,3 - gradbufc(j,i)=wsc*gvdwc(j,i)+wsc*wscT*gvdwcT(j,i)+ - & wscp*(gvdwc_scp(j,i)+gvdwc_scpp(j,i))+ - & welec*gelc_long(j,i)+wvdwpp*gvdwpp(j,i)+ - & wel_loc*gel_loc_long(j,i)+ - & wcorr*gradcorr_long(j,i)+ - & wcorr5*gradcorr5_long(j,i)+ - & wcorr6*gradcorr6_long(j,i)+ - & wturn6*gcorr6_turn_long(j,i)+ - & wstrain*ghpbc(j,i) - enddo - enddo -#else - do i=1,nct - do j=1,3 - gradbufc(j,i)=wsc*gvdwc(j,i)+ - & wscp*(gvdwc_scp(j,i)+gvdwc_scpp(j,i))+ - & welec*gelc_long(j,i)+wvdwpp*gvdwpp(j,i)+ - & wel_loc*gel_loc_long(j,i)+ - & wcorr*gradcorr_long(j,i)+ - & wcorr5*gradcorr5_long(j,i)+ - & wcorr6*gradcorr6_long(j,i)+ - & wturn6*gcorr6_turn_long(j,i)+ - & wstrain*ghpbc(j,i) - enddo - enddo -#endif -#else - do i=1,nct - do j=1,3 - gradbufc(j,i)=wsc*gvdwc(j,i)+ - & wscp*(gvdwc_scp(j,i)+gvdwc_scpp(j,i))+ - & welec*gelc_long(j,i)+ - & wbond*gradb(j,i)+ - & wel_loc*gel_loc_long(j,i)+ - & wcorr*gradcorr_long(j,i)+ - & wcorr5*gradcorr5_long(j,i)+ - & wcorr6*gradcorr6_long(j,i)+ - & wturn6*gcorr6_turn_long(j,i)+ - & wstrain*ghpbc(j,i) - enddo - enddo -#endif -#ifdef MPI - if (nfgtasks.gt.1) then - time00=MPI_Wtime() -#ifdef DEBUG - write (iout,*) "gradbufc before allreduce" - do i=1,nres - write (iout,'(i3,3f10.5)') i,(gradbufc(j,i),j=1,3) - enddo - call flush(iout) -#endif - do i=1,nres - do j=1,3 - gradbufc_sum(j,i)=gradbufc(j,i) - enddo - enddo -c call MPI_AllReduce(gradbufc(1,1),gradbufc_sum(1,1),3*nres, -c & MPI_DOUBLE_PRECISION,MPI_SUM,FG_COMM,IERR) -c time_reduce=time_reduce+MPI_Wtime()-time00 -#ifdef DEBUG -c write (iout,*) "gradbufc_sum after allreduce" -c do i=1,nres -c write (iout,'(i3,3f10.5)') i,(gradbufc_sum(j,i),j=1,3) -c enddo -c call flush(iout) -#endif -#ifdef TIMING -c time_allreduce=time_allreduce+MPI_Wtime()-time00 -#endif - do i=nnt,nres - do k=1,3 - gradbufc(k,i)=0.0d0 - enddo - enddo -#ifdef DEBUG - write (iout,*) "igrad_start",igrad_start," igrad_end",igrad_end - write (iout,*) (i," jgrad_start",jgrad_start(i), - & " jgrad_end ",jgrad_end(i), - & i=igrad_start,igrad_end) -#endif -c -c Obsolete and inefficient code; we can make the effort O(n) and, therefore, -c do not parallelize this part. -c -c do i=igrad_start,igrad_end -c do j=jgrad_start(i),jgrad_end(i) -c do k=1,3 -c gradbufc(k,i)=gradbufc(k,i)+gradbufc_sum(k,j) -c enddo -c enddo -c enddo - do j=1,3 - gradbufc(j,nres-1)=gradbufc_sum(j,nres) - enddo - do i=nres-2,nnt,-1 - do j=1,3 - gradbufc(j,i)=gradbufc(j,i+1)+gradbufc_sum(j,i+1) - enddo - enddo -#ifdef DEBUG - write (iout,*) "gradbufc after summing" - do i=1,nres - write (iout,'(i3,3f10.5)') i,(gradbufc(j,i),j=1,3) - enddo - call flush(iout) -#endif - else -#endif -#ifdef DEBUG - write (iout,*) "gradbufc" - do i=1,nres - write (iout,'(i3,3f10.5)') i,(gradbufc(j,i),j=1,3) - enddo - call flush(iout) -#endif - do i=1,nres - do j=1,3 - gradbufc_sum(j,i)=gradbufc(j,i) - gradbufc(j,i)=0.0d0 - enddo - enddo - do j=1,3 - gradbufc(j,nres-1)=gradbufc_sum(j,nres) - enddo - do i=nres-2,nnt,-1 - do j=1,3 - gradbufc(j,i)=gradbufc(j,i+1)+gradbufc_sum(j,i+1) - enddo - enddo -c do i=nnt,nres-1 -c do k=1,3 -c gradbufc(k,i)=0.0d0 -c enddo -c do j=i+1,nres -c do k=1,3 -c gradbufc(k,i)=gradbufc(k,i)+gradbufc(k,j) -c enddo -c enddo -c enddo -#ifdef DEBUG - write (iout,*) "gradbufc after summing" - do i=1,nres - write (iout,'(i3,3f10.5)') i,(gradbufc(j,i),j=1,3) - enddo - call flush(iout) -#endif -#ifdef MPI - endif -#endif - do k=1,3 - gradbufc(k,nres)=0.0d0 - enddo - do i=1,nct - do j=1,3 -#ifdef SPLITELE - gradc(j,i,icg)=gradbufc(j,i)+welec*gelc(j,i)+ - & wel_loc*gel_loc(j,i)+ - & 0.5d0*(wscp*gvdwc_scpp(j,i)+ - & welec*gelc_long(j,i)+wvdwpp*gvdwpp(j,i)+ - & wel_loc*gel_loc_long(j,i)+ - & wcorr*gradcorr_long(j,i)+ - & wcorr5*gradcorr5_long(j,i)+ - & wcorr6*gradcorr6_long(j,i)+ - & wturn6*gcorr6_turn_long(j,i))+ - & wbond*gradb(j,i)+ - & wcorr*gradcorr(j,i)+ - & wturn3*gcorr3_turn(j,i)+ - & wturn4*gcorr4_turn(j,i)+ - & wcorr5*gradcorr5(j,i)+ - & wcorr6*gradcorr6(j,i)+ - & wturn6*gcorr6_turn(j,i)+ - & wsccor*gsccorc(j,i) - & +wscloc*gscloc(j,i) -#else - gradc(j,i,icg)=gradbufc(j,i)+welec*gelc(j,i)+ - & wel_loc*gel_loc(j,i)+ - & 0.5d0*(wscp*gvdwc_scpp(j,i)+ - & welec*gelc_long(j,i)+ - & wel_loc*gel_loc_long(j,i)+ - & wcorr*gcorr_long(j,i)+ - & wcorr5*gradcorr5_long(j,i)+ - & wcorr6*gradcorr6_long(j,i)+ - & wturn6*gcorr6_turn_long(j,i))+ - & wbond*gradb(j,i)+ - & wcorr*gradcorr(j,i)+ - & wturn3*gcorr3_turn(j,i)+ - & wturn4*gcorr4_turn(j,i)+ - & wcorr5*gradcorr5(j,i)+ - & wcorr6*gradcorr6(j,i)+ - & wturn6*gcorr6_turn(j,i)+ - & wsccor*gsccorc(j,i) - & +wscloc*gscloc(j,i) -#endif -#ifdef TSCSC - gradx(j,i,icg)=wsc*gvdwx(j,i)+wsc*wscT*gvdwxT(j,i)+ - & wscp*gradx_scp(j,i)+ - & wbond*gradbx(j,i)+ - & wstrain*ghpbx(j,i)+wcorr*gradxorr(j,i)+ - & wsccor*gsccorx(j,i) - & +wscloc*gsclocx(j,i) -#else - gradx(j,i,icg)=wsc*gvdwx(j,i)+wscp*gradx_scp(j,i)+ - & wbond*gradbx(j,i)+ - & wstrain*ghpbx(j,i)+wcorr*gradxorr(j,i)+ - & wsccor*gsccorx(j,i) - & +wscloc*gsclocx(j,i) -#endif - enddo - enddo -#ifdef DEBUG - write (iout,*) "gloc before adding corr" - do i=1,4*nres - write (iout,*) i,gloc(i,icg) - enddo -#endif - do i=1,nres-3 - gloc(i,icg)=gloc(i,icg)+wcorr*gcorr_loc(i) - & +wcorr5*g_corr5_loc(i) - & +wcorr6*g_corr6_loc(i) - & +wturn4*gel_loc_turn4(i) - & +wturn3*gel_loc_turn3(i) - & +wturn6*gel_loc_turn6(i) - & +wel_loc*gel_loc_loc(i) - enddo -#ifdef DEBUG - write (iout,*) "gloc after adding corr" - do i=1,4*nres - write (iout,*) i,gloc(i,icg) - enddo -#endif -#ifdef MPI - if (nfgtasks.gt.1) then - do j=1,3 - do i=1,nres - gradbufc(j,i)=gradc(j,i,icg) - gradbufx(j,i)=gradx(j,i,icg) - enddo - enddo - do i=1,4*nres - glocbuf(i)=gloc(i,icg) - enddo -#ifdef DEBUG - write (iout,*) "gloc_sc before reduce" - do i=1,nres - do j=1,3 - write (iout,*) i,j,gloc_sc(j,i,icg) - enddo - enddo -#endif - do i=1,nres - do j=1,3 - gloc_scbuf(j,i)=gloc_sc(j,i,icg) - enddo - enddo - time00=MPI_Wtime() - call MPI_Barrier(FG_COMM,IERR) - time_barrier_g=time_barrier_g+MPI_Wtime()-time00 - time00=MPI_Wtime() - call MPI_Reduce(gradbufc(1,1),gradc(1,1,icg),3*nres, - & MPI_DOUBLE_PRECISION,MPI_SUM,king,FG_COMM,IERR) - call MPI_Reduce(gradbufx(1,1),gradx(1,1,icg),3*nres, - & MPI_DOUBLE_PRECISION,MPI_SUM,king,FG_COMM,IERR) - call MPI_Reduce(glocbuf(1),gloc(1,icg),4*nres, - & MPI_DOUBLE_PRECISION,MPI_SUM,king,FG_COMM,IERR) - call MPI_Reduce(gloc_scbuf(1,1),gloc_sc(1,1,icg),3*nres, - & MPI_DOUBLE_PRECISION,MPI_SUM,king,FG_COMM,IERR) - time_reduce=time_reduce+MPI_Wtime()-time00 -#ifdef DEBUG - write (iout,*) "gloc_sc after reduce" - do i=1,nres - do j=1,3 - write (iout,*) i,j,gloc_sc(j,i,icg) - enddo - enddo -#endif -#ifdef DEBUG - write (iout,*) "gloc after reduce" - do i=1,4*nres - write (iout,*) i,gloc(i,icg) - enddo -#endif - endif -#endif - if (gnorm_check) then -c -c Compute the maximum elements of the gradient -c - gvdwc_max=0.0d0 - gvdwc_scp_max=0.0d0 - gelc_max=0.0d0 - gvdwpp_max=0.0d0 - gradb_max=0.0d0 - ghpbc_max=0.0d0 - gradcorr_max=0.0d0 - gel_loc_max=0.0d0 - gcorr3_turn_max=0.0d0 - gcorr4_turn_max=0.0d0 - gradcorr5_max=0.0d0 - gradcorr6_max=0.0d0 - gcorr6_turn_max=0.0d0 - gsccorc_max=0.0d0 - gscloc_max=0.0d0 - gvdwx_max=0.0d0 - gradx_scp_max=0.0d0 - ghpbx_max=0.0d0 - gradxorr_max=0.0d0 - gsccorx_max=0.0d0 - gsclocx_max=0.0d0 - do i=1,nct - gvdwc_norm=dsqrt(scalar(gvdwc(1,i),gvdwc(1,i))) - if (gvdwc_norm.gt.gvdwc_max) gvdwc_max=gvdwc_norm -#ifdef TSCSC - gvdwc_norm=dsqrt(scalar(gvdwcT(1,i),gvdwcT(1,i))) - if (gvdwc_norm.gt.gvdwc_max) gvdwc_max=gvdwc_norm -#endif - gvdwc_scp_norm=dsqrt(scalar(gvdwc_scp(1,i),gvdwc_scp(1,i))) - if (gvdwc_scp_norm.gt.gvdwc_scp_max) - & gvdwc_scp_max=gvdwc_scp_norm - gelc_norm=dsqrt(scalar(gelc(1,i),gelc(1,i))) - if (gelc_norm.gt.gelc_max) gelc_max=gelc_norm - gvdwpp_norm=dsqrt(scalar(gvdwpp(1,i),gvdwpp(1,i))) - if (gvdwpp_norm.gt.gvdwpp_max) gvdwpp_max=gvdwpp_norm - gradb_norm=dsqrt(scalar(gradb(1,i),gradb(1,i))) - if (gradb_norm.gt.gradb_max) gradb_max=gradb_norm - ghpbc_norm=dsqrt(scalar(ghpbc(1,i),ghpbc(1,i))) - if (ghpbc_norm.gt.ghpbc_max) ghpbc_max=ghpbc_norm - gradcorr_norm=dsqrt(scalar(gradcorr(1,i),gradcorr(1,i))) - if (gradcorr_norm.gt.gradcorr_max) gradcorr_max=gradcorr_norm - gel_loc_norm=dsqrt(scalar(gel_loc(1,i),gel_loc(1,i))) - if (gel_loc_norm.gt.gel_loc_max) gel_loc_max=gel_loc_norm - gcorr3_turn_norm=dsqrt(scalar(gcorr3_turn(1,i), - & gcorr3_turn(1,i))) - if (gcorr3_turn_norm.gt.gcorr3_turn_max) - & gcorr3_turn_max=gcorr3_turn_norm - gcorr4_turn_norm=dsqrt(scalar(gcorr4_turn(1,i), - & gcorr4_turn(1,i))) - if (gcorr4_turn_norm.gt.gcorr4_turn_max) - & gcorr4_turn_max=gcorr4_turn_norm - gradcorr5_norm=dsqrt(scalar(gradcorr5(1,i),gradcorr5(1,i))) - if (gradcorr5_norm.gt.gradcorr5_max) - & gradcorr5_max=gradcorr5_norm - gradcorr6_norm=dsqrt(scalar(gradcorr6(1,i),gradcorr6(1,i))) - if (gradcorr6_norm.gt.gradcorr6_max) gcorr6_max=gradcorr6_norm - gcorr6_turn_norm=dsqrt(scalar(gcorr6_turn(1,i), - & gcorr6_turn(1,i))) - if (gcorr6_turn_norm.gt.gcorr6_turn_max) - & gcorr6_turn_max=gcorr6_turn_norm - gsccorr_norm=dsqrt(scalar(gsccorc(1,i),gsccorc(1,i))) - if (gsccorr_norm.gt.gsccorr_max) gsccorr_max=gsccorr_norm - gscloc_norm=dsqrt(scalar(gscloc(1,i),gscloc(1,i))) - if (gscloc_norm.gt.gscloc_max) gscloc_max=gscloc_norm - gvdwx_norm=dsqrt(scalar(gvdwx(1,i),gvdwx(1,i))) - if (gvdwx_norm.gt.gvdwx_max) gvdwx_max=gvdwx_norm -#ifdef TSCSC - gvdwx_norm=dsqrt(scalar(gvdwxT(1,i),gvdwxT(1,i))) - if (gvdwx_norm.gt.gvdwx_max) gvdwx_max=gvdwx_norm -#endif - gradx_scp_norm=dsqrt(scalar(gradx_scp(1,i),gradx_scp(1,i))) - if (gradx_scp_norm.gt.gradx_scp_max) - & gradx_scp_max=gradx_scp_norm - ghpbx_norm=dsqrt(scalar(ghpbx(1,i),ghpbx(1,i))) - if (ghpbx_norm.gt.ghpbx_max) ghpbx_max=ghpbx_norm - gradxorr_norm=dsqrt(scalar(gradxorr(1,i),gradxorr(1,i))) - if (gradxorr_norm.gt.gradxorr_max) gradxorr_max=gradxorr_norm - gsccorrx_norm=dsqrt(scalar(gsccorx(1,i),gsccorx(1,i))) - if (gsccorrx_norm.gt.gsccorrx_max) gsccorrx_max=gsccorrx_norm - gsclocx_norm=dsqrt(scalar(gsclocx(1,i),gsclocx(1,i))) - if (gsclocx_norm.gt.gsclocx_max) gsclocx_max=gsclocx_norm - enddo - if (gradout) then -#ifdef AIX - open(istat,file=statname,position="append") -#else - open(istat,file=statname,access="append") -#endif - write (istat,'(1h#,21f10.2)') gvdwc_max,gvdwc_scp_max, - & gelc_max,gvdwpp_max,gradb_max,ghpbc_max, - & gradcorr_max,gel_loc_max,gcorr3_turn_max,gcorr4_turn_max, - & gradcorr5_max,gradcorr6_max,gcorr6_turn_max,gsccorc_max, - & gscloc_max,gvdwx_max,gradx_scp_max,ghpbx_max,gradxorr_max, - & gsccorx_max,gsclocx_max - close(istat) - if (gvdwc_max.gt.1.0d4) then - write (iout,*) "gvdwc gvdwx gradb gradbx" - do i=nnt,nct - write(iout,'(i5,4(3f10.2,5x))') i,(gvdwc(j,i),gvdwx(j,i), - & gradb(j,i),gradbx(j,i),j=1,3) - enddo - call pdbout(0.0d0,'cipiszcze',iout) - call flush(iout) - endif - endif - endif -#ifdef DEBUG - write (iout,*) "gradc gradx gloc" - do i=1,nres - write (iout,'(i5,3f10.5,5x,3f10.5,5x,f10.5)') - & i,(gradc(j,i,icg),j=1,3),(gradx(j,i,icg),j=1,3),gloc(i,icg) - enddo -#endif -#ifdef TIMING -#ifdef MPI - time_sumgradient=time_sumgradient+MPI_Wtime()-time01 -#else - time_sumgradient=time_sumgradient+tcpu()-time01 -#endif -#endif - return - end -c------------------------------------------------------------------------------- - subroutine rescale_weights(t_bath) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - double precision kfac /2.4d0/ - double precision x,x2,x3,x4,x5,licznik /1.12692801104297249644/ -c facT=temp0/t_bath -c facT=2*temp0/(t_bath+temp0) - if (rescale_mode.eq.0) then - facT=1.0d0 - facT2=1.0d0 - facT3=1.0d0 - facT4=1.0d0 - facT5=1.0d0 - else if (rescale_mode.eq.1) then - facT=kfac/(kfac-1.0d0+t_bath/temp0) - facT2=kfac**2/(kfac**2-1.0d0+(t_bath/temp0)**2) - facT3=kfac**3/(kfac**3-1.0d0+(t_bath/temp0)**3) - facT4=kfac**4/(kfac**4-1.0d0+(t_bath/temp0)**4) - facT5=kfac**5/(kfac**5-1.0d0+(t_bath/temp0)**5) - else if (rescale_mode.eq.2) then - x=t_bath/temp0 - x2=x*x - x3=x2*x - x4=x3*x - x5=x4*x - facT=licznik/dlog(dexp(x)+dexp(-x)) - facT2=licznik/dlog(dexp(x2)+dexp(-x2)) - facT3=licznik/dlog(dexp(x3)+dexp(-x3)) - facT4=licznik/dlog(dexp(x4)+dexp(-x4)) - facT5=licznik/dlog(dexp(x5)+dexp(-x5)) - else - write (iout,*) "Wrong RESCALE_MODE",rescale_mode - write (*,*) "Wrong RESCALE_MODE",rescale_mode -#ifdef MPI - call MPI_Finalize(MPI_COMM_WORLD,IERROR) -#endif - stop 555 - endif - welec=weights(3)*fact - wcorr=weights(4)*fact3 - wcorr5=weights(5)*fact4 - wcorr6=weights(6)*fact5 - wel_loc=weights(7)*fact2 - wturn3=weights(8)*fact2 - wturn4=weights(9)*fact3 - wturn6=weights(10)*fact5 - wtor=weights(13)*fact - wtor_d=weights(14)*fact2 - wsccor=weights(21)*fact -#ifdef TSCSC -c wsct=t_bath/temp0 - wsct=(320.0+80.0*dtanh((t_bath-320.0)/80.0))/320.0 -#endif - return - end -C------------------------------------------------------------------------ - subroutine enerprint(energia) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.MD' - double precision energia(0:n_ene) - etot=energia(0) -#ifdef TSCSC - evdw=energia(22)+wsct*energia(23) -#else - evdw=energia(1) -#endif - evdw2=energia(2) -#ifdef SCP14 - evdw2=energia(2)+energia(18) -#else - evdw2=energia(2) -#endif - ees=energia(3) -#ifdef SPLITELE - evdw1=energia(16) -#endif - ecorr=energia(4) - ecorr5=energia(5) - ecorr6=energia(6) - eel_loc=energia(7) - eello_turn3=energia(8) - eello_turn4=energia(9) - eello_turn6=energia(10) - ebe=energia(11) - escloc=energia(12) - etors=energia(13) - etors_d=energia(14) - ehpb=energia(15) - edihcnstr=energia(19) - estr=energia(17) - Uconst=energia(20) - esccor=energia(21) - ehomology_constr=energia(24) - -#ifdef SPLITELE - write (iout,10) evdw,wsc,evdw2,wscp,ees,welec,evdw1,wvdwpp, - & estr,wbond,ebe,wang, - & escloc,wscloc,etors,wtor,etors_d,wtor_d,ehpb,wstrain, - & ecorr,wcorr, - & ecorr5,wcorr5,ecorr6,wcorr6,eel_loc,wel_loc,eello_turn3,wturn3, - & eello_turn4,wturn4,eello_turn6,wturn6,esccor,wsccor, - & edihcnstr,ehomology_constr, ebr*nss, - & Uconst,etot - 10 format (/'Virtual-chain energies:'// - & 'EVDW= ',1pE16.6,' WEIGHT=',1pE16.6,' (SC-SC)'/ - & 'EVDW2= ',1pE16.6,' WEIGHT=',1pE16.6,' (SC-p)'/ - & 'EES= ',1pE16.6,' WEIGHT=',1pE16.6,' (p-p)'/ - & 'EVDWPP=',1pE16.6,' WEIGHT=',1pE16.6,' (p-p VDW)'/ - & 'ESTR= ',1pE16.6,' WEIGHT=',1pE16.6,' (stretching)'/ - & 'EBE= ',1pE16.6,' WEIGHT=',1pE16.6,' (bending)'/ - & 'ESC= ',1pE16.6,' WEIGHT=',1pE16.6,' (SC local)'/ - & 'ETORS= ',1pE16.6,' WEIGHT=',1pE16.6,' (torsional)'/ - & 'ETORSD=',1pE16.6,' WEIGHT=',1pE16.6,' (double torsional)'/ - & 'EHPB= ',1pE16.6,' WEIGHT=',1pE16.6, - & ' (SS bridges & dist. cnstr.)'/ - & 'ECORR4=',1pE16.6,' WEIGHT=',1pE16.6,' (multi-body)'/ - & 'ECORR5=',1pE16.6,' WEIGHT=',1pE16.6,' (multi-body)'/ - & 'ECORR6=',1pE16.6,' WEIGHT=',1pE16.6,' (multi-body)'/ - & 'EELLO= ',1pE16.6,' WEIGHT=',1pE16.6,' (electrostatic-local)'/ - & 'ETURN3=',1pE16.6,' WEIGHT=',1pE16.6,' (turns, 3rd order)'/ - & 'ETURN4=',1pE16.6,' WEIGHT=',1pE16.6,' (turns, 4th order)'/ - & 'ETURN6=',1pE16.6,' WEIGHT=',1pE16.6,' (turns, 6th order)'/ - & 'ESCCOR=',1pE16.6,' WEIGHT=',1pE16.6,' (backbone-rotamer corr)'/ - & 'EDIHC= ',1pE16.6,' (dihedral angle constraints)'/ - & 'H_CONS=',1pE16.6,' (Homology model constraints energy)'/ - & 'ESS= ',1pE16.6,' (disulfide-bridge intrinsic energy)'/ - & 'UCONST= ',1pE16.6,' (Constraint energy)'/ - & 'ETOT= ',1pE16.6,' (total)') -#else - write (iout,10) evdw,wsc,evdw2,wscp,ees,welec, - & estr,wbond,ebe,wang, - & escloc,wscloc,etors,wtor,etors_d,wtor_d,ehpb,wstrain, - & ecorr,wcorr, - & ecorr5,wcorr5,ecorr6,wcorr6,eel_loc,wel_loc,eello_turn3,wturn3, - & eello_turn4,wturn4,eello_turn6,wturn6,esccor,wsccro,edihcnstr, - & ehomology_constr,ebr*nss,Uconst,etot - 10 format (/'Virtual-chain energies:'// - & 'EVDW= ',1pE16.6,' WEIGHT=',1pD16.6,' (SC-SC)'/ - & 'EVDW2= ',1pE16.6,' WEIGHT=',1pD16.6,' (SC-p)'/ - & 'EES= ',1pE16.6,' WEIGHT=',1pD16.6,' (p-p)'/ - & 'ESTR= ',1pE16.6,' WEIGHT=',1pD16.6,' (stretching)'/ - & 'EBE= ',1pE16.6,' WEIGHT=',1pD16.6,' (bending)'/ - & 'ESC= ',1pE16.6,' WEIGHT=',1pD16.6,' (SC local)'/ - & 'ETORS= ',1pE16.6,' WEIGHT=',1pD16.6,' (torsional)'/ - & 'ETORSD=',1pE16.6,' WEIGHT=',1pD16.6,' (double torsional)'/ - & 'EHBP= ',1pE16.6,' WEIGHT=',1pD16.6, - & ' (SS bridges & dist. cnstr.)'/ - & 'ECORR4=',1pE16.6,' WEIGHT=',1pD16.6,' (multi-body)'/ - & 'ECORR5=',1pE16.6,' WEIGHT=',1pD16.6,' (multi-body)'/ - & 'ECORR6=',1pE16.6,' WEIGHT=',1pD16.6,' (multi-body)'/ - & 'EELLO= ',1pE16.6,' WEIGHT=',1pD16.6,' (electrostatic-local)'/ - & 'ETURN3=',1pE16.6,' WEIGHT=',1pD16.6,' (turns, 3rd order)'/ - & 'ETURN4=',1pE16.6,' WEIGHT=',1pD16.6,' (turns, 4th order)'/ - & 'ETURN6=',1pE16.6,' WEIGHT=',1pD16.6,' (turns, 6th order)'/ - & 'ESCCOR=',1pE16.6,' WEIGHT=',1pD16.6,' (backbone-rotamer corr)'/ - & 'EDIHC= ',1pE16.6,' (dihedral angle constraints)'/ - & 'H_CONS=',1pE16.6,' (Homology model constraints energy)'/ - & 'ESS= ',1pE16.6,' (disulfide-bridge intrinsic energy)'/ - & 'UCONST=',1pE16.6,' (Constraint energy)'/ - & 'ETOT= ',1pE16.6,' (total)') -#endif - return - end -C----------------------------------------------------------------------- - subroutine elj(evdw,evdw_p,evdw_m) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the LJ potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - parameter (accur=1.0d-10) - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.TORSION' - include 'COMMON.SBRIDGE' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.CONTACTS' - dimension gg(3) -c write(iout,*)'Entering ELJ nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) -C Change 12/1/95 - num_conti=0 -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) -cd write (iout,*) 'i=',i,' iint=',iint,' istart=',istart(i,iint), -cd & 'iend=',iend(i,iint) - do j=istart(i,iint),iend(i,iint) - itypj=itype(j) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi -C Change 12/1/95 to calculate four-body interactions - rij=xj*xj+yj*yj+zj*zj - rrij=1.0D0/rij -c write (iout,*)'i=',i,' j=',j,' itypi=',itypi,' itypj=',itypj - eps0ij=eps(itypi,itypj) - fac=rrij**expon2 - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=e1+e2 -cd sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) -cd epsi=bb(itypi,itypj)**2/aa(itypi,itypj) -cd write (iout,'(2(a3,i3,2x),6(1pd12.4)/2(3(1pd12.4),5x)/)') -cd & restyp(itypi),i,restyp(itypj),j,aa(itypi,itypj), -cd & bb(itypi,itypj),1.0D0/dsqrt(rrij),evdwij,epsi,sigm, -cd & (c(k,i),k=1,3),(c(k,j),k=1,3) -#ifdef TSCSC - if (bb(itypi,itypj).gt.0) then - evdw_p=evdw_p+evdwij - else - evdw_m=evdw_m+evdwij - endif -#else - evdw=evdw+evdwij -#endif -C -C Calculate the components of the gradient in DC and X -C - fac=-rrij*(e1+evdwij) - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac -#ifdef TSCSC - if (bb(itypi,itypj).gt.0.0d0) then - do k=1,3 - gvdwx(k,i)=gvdwx(k,i)-gg(k) - gvdwx(k,j)=gvdwx(k,j)+gg(k) - gvdwc(k,i)=gvdwc(k,i)-gg(k) - gvdwc(k,j)=gvdwc(k,j)+gg(k) - enddo - else - do k=1,3 - gvdwxT(k,i)=gvdwxT(k,i)-gg(k) - gvdwxT(k,j)=gvdwxT(k,j)+gg(k) - gvdwcT(k,i)=gvdwcT(k,i)-gg(k) - gvdwcT(k,j)=gvdwcT(k,j)+gg(k) - enddo - endif -#else - do k=1,3 - gvdwx(k,i)=gvdwx(k,i)-gg(k) - gvdwx(k,j)=gvdwx(k,j)+gg(k) - gvdwc(k,i)=gvdwc(k,i)-gg(k) - gvdwc(k,j)=gvdwc(k,j)+gg(k) - enddo -#endif -cgrad do k=i,j-1 -cgrad do l=1,3 -cgrad gvdwc(l,k)=gvdwc(l,k)+gg(l) -cgrad enddo -cgrad enddo -C -C 12/1/95, revised on 5/20/97 -C -C Calculate the contact function. The ith column of the array JCONT will -C contain the numbers of atoms that make contacts with the atom I (of numbers -C greater than I). The arrays FACONT and GACONT will contain the values of -C the contact function and its derivative. -C -C Uncomment next line, if the correlation interactions include EVDW explicitly. -c if (j.gt.i+1 .and. evdwij.le.0.0D0) then -C Uncomment next line, if the correlation interactions are contact function only - if (j.gt.i+1.and. eps0ij.gt.0.0D0) then - rij=dsqrt(rij) - sigij=sigma(itypi,itypj) - r0ij=rs0(itypi,itypj) -C -C Check whether the SC's are not too far to make a contact. -C - rcut=1.5d0*r0ij - call gcont(rij,rcut,1.0d0,0.2d0*rcut,fcont,fprimcont) -C Add a new contact, if the SC's are close enough, but not too close (ri' -cgrad do k=1,3 -cgrad ggg(k)=-ggg(k) -C Uncomment following line for SC-p interactions -c gradx_scp(k,j)=gradx_scp(k,j)-ggg(k) -cgrad enddo -cgrad endif -cgrad do k=1,3 -cgrad gvdwc_scp(k,i)=gvdwc_scp(k,i)-0.5D0*ggg(k) -cgrad enddo -cgrad kstart=min0(i+1,j) -cgrad kend=max0(i-1,j-1) -cd write (iout,*) 'i=',i,' j=',j,' kstart=',kstart,' kend=',kend -cd write (iout,*) ggg(1),ggg(2),ggg(3) -cgrad do k=kstart,kend -cgrad do l=1,3 -cgrad gvdwc_scp(l,k)=gvdwc_scp(l,k)-ggg(l) -cgrad enddo -cgrad enddo - do k=1,3 - gvdwc_scpp(k,i)=gvdwc_scpp(k,i)-ggg(k) - gvdwc_scp(k,j)=gvdwc_scp(k,j)+ggg(k) - enddo - enddo - - enddo ! iint - enddo ! i - return - end -C----------------------------------------------------------------------------- - subroutine escp(evdw2,evdw2_14) -C -C This subroutine calculates the excluded-volume interaction energy between -C peptide-group centers and side chains and its gradient in virtual-bond and -C side-chain vectors. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.IOUNITS' - include 'COMMON.CONTROL' - dimension ggg(3) - evdw2=0.0D0 - evdw2_14=0.0d0 -cd print '(a)','Enter ESCP' -cd write (iout,*) 'iatscp_s=',iatscp_s,' iatscp_e=',iatscp_e - do i=iatscp_s,iatscp_e - iteli=itel(i) - xi=0.5D0*(c(1,i)+c(1,i+1)) - yi=0.5D0*(c(2,i)+c(2,i+1)) - zi=0.5D0*(c(3,i)+c(3,i+1)) - - do iint=1,nscp_gr(i) - - do j=iscpstart(i,iint),iscpend(i,iint) - itypj=itype(j) -C Uncomment following three lines for SC-p interactions -c xj=c(1,nres+j)-xi -c yj=c(2,nres+j)-yi -c zj=c(3,nres+j)-zi -C Uncomment following three lines for Ca-p interactions - xj=c(1,j)-xi - yj=c(2,j)-yi - zj=c(3,j)-zi - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - fac=rrij**expon2 - e1=fac*fac*aad(itypj,iteli) - e2=fac*bad(itypj,iteli) - if (iabs(j-i) .le. 2) then - e1=scal14*e1 - e2=scal14*e2 - evdw2_14=evdw2_14+e1+e2 - endif - evdwij=e1+e2 - evdw2=evdw2+evdwij - if (energy_dec) write (iout,'(a6,2i5,0pf7.3)') - & 'evdw2',i,j,evdwij -C -C Calculate contributions to the gradient in the virtual-bond and SC vectors. -C - fac=-(evdwij+e1)*rrij - ggg(1)=xj*fac - ggg(2)=yj*fac - ggg(3)=zj*fac -cgrad if (j.lt.i) then -cd write (iout,*) 'ji' -cgrad do k=1,3 -cgrad ggg(k)=-ggg(k) -C Uncomment following line for SC-p interactions -ccgrad gradx_scp(k,j)=gradx_scp(k,j)-ggg(k) -c gradx_scp(k,j)=gradx_scp(k,j)+ggg(k) -cgrad enddo -cgrad endif -cgrad do k=1,3 -cgrad gvdwc_scp(k,i)=gvdwc_scp(k,i)-0.5D0*ggg(k) -cgrad enddo -cgrad kstart=min0(i+1,j) -cgrad kend=max0(i-1,j-1) -cd write (iout,*) 'i=',i,' j=',j,' kstart=',kstart,' kend=',kend -cd write (iout,*) ggg(1),ggg(2),ggg(3) -cgrad do k=kstart,kend -cgrad do l=1,3 -cgrad gvdwc_scp(l,k)=gvdwc_scp(l,k)-ggg(l) -cgrad enddo -cgrad enddo - do k=1,3 - gvdwc_scpp(k,i)=gvdwc_scpp(k,i)-ggg(k) - gvdwc_scp(k,j)=gvdwc_scp(k,j)+ggg(k) - enddo - enddo - - enddo ! iint - enddo ! i - do i=1,nct - do j=1,3 - gvdwc_scp(j,i)=expon*gvdwc_scp(j,i) - gvdwc_scpp(j,i)=expon*gvdwc_scpp(j,i) - gradx_scp(j,i)=expon*gradx_scp(j,i) - enddo - enddo -C****************************************************************************** -C -C N O T E !!! -C -C To save time the factor EXPON has been extracted from ALL components -C of GVDWC and GRADX. Remember to multiply them by this factor before further -C use! -C -C****************************************************************************** - return - end -C-------------------------------------------------------------------------- - subroutine edis(ehpb) -C -C Evaluate bridge-strain energy and its gradient in virtual-bond and SC vectors. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - dimension ggg(3) - ehpb=0.0D0 -cd write(iout,*)'edis: nhpb=',nhpb,' fbr=',fbr -cd write(iout,*)'link_start=',link_start,' link_end=',link_end - if (link_end.eq.0) return - do i=link_start,link_end -C If ihpb(i) and jhpb(i) > NRES, this is a SC-SC distance, otherwise a -C CA-CA distance used in regularization of structure. - ii=ihpb(i) - jj=jhpb(i) -C iii and jjj point to the residues for which the distance is assigned. - if (ii.gt.nres) then - iii=ii-nres - jjj=jj-nres - else - iii=ii - jjj=jj - endif -c write (iout,*) "i",i," ii",ii," iii",iii," jj",jj," jjj",jjj, -c & dhpb(i),dhpb1(i),forcon(i) -C 24/11/03 AL: SS bridges handled separately because of introducing a specific -C distance and angle dependent SS bond potential. -cmc if (ii.gt.nres .and. itype(iii).eq.1 .and. itype(jjj).eq.1) then -C 18/07/06 MC: Use the convention that the first nss pairs are SS bonds - if (.not.dyn_ss .and. i.le.nss) then -C 15/02/13 CC dynamic SSbond - additional check - if (ii.gt.nres - & .and. itype(iii).eq.1 .and. itype(jjj).eq.1) then - call ssbond_ene(iii,jjj,eij) - ehpb=ehpb+2*eij - endif -cd write (iout,*) "eij",eij - else if (ii.gt.nres .and. jj.gt.nres) then -c Restraints from contact prediction - dd=dist(ii,jj) - if (dhpb1(i).gt.0.0d0) then - ehpb=ehpb+2*forcon(i)*gnmr1(dd,dhpb(i),dhpb1(i)) - fac=forcon(i)*gnmr1prim(dd,dhpb(i),dhpb1(i))/dd -c write (iout,*) "beta nmr", -c & dd,2*forcon(i)*gnmr1(dd,dhpb(i),dhpb1(i)) - else - dd=dist(ii,jj) - rdis=dd-dhpb(i) -C Get the force constant corresponding to this distance. - waga=forcon(i) -C Calculate the contribution to energy. - ehpb=ehpb+waga*rdis*rdis -c write (iout,*) "beta reg",dd,waga*rdis*rdis -C -C Evaluate gradient. -C - fac=waga*rdis/dd - endif - do j=1,3 - ggg(j)=fac*(c(j,jj)-c(j,ii)) - enddo - do j=1,3 - ghpbx(j,iii)=ghpbx(j,iii)-ggg(j) - ghpbx(j,jjj)=ghpbx(j,jjj)+ggg(j) - enddo - do k=1,3 - ghpbc(k,jjj)=ghpbc(k,jjj)+ggg(k) - ghpbc(k,iii)=ghpbc(k,iii)-ggg(k) - enddo - else -C Calculate the distance between the two points and its difference from the -C target distance. - dd=dist(ii,jj) - if (dhpb1(i).gt.0.0d0) then - ehpb=ehpb+2*forcon(i)*gnmr1(dd,dhpb(i),dhpb1(i)) - fac=forcon(i)*gnmr1prim(dd,dhpb(i),dhpb1(i))/dd -c write (iout,*) "alph nmr", -c & dd,2*forcon(i)*gnmr1(dd,dhpb(i),dhpb1(i)) - else - rdis=dd-dhpb(i) -C Get the force constant corresponding to this distance. - waga=forcon(i) -C Calculate the contribution to energy. - ehpb=ehpb+waga*rdis*rdis -c write (iout,*) "alpha reg",dd,waga*rdis*rdis -C -C Evaluate gradient. -C - fac=waga*rdis/dd - endif -cd print *,'i=',i,' ii=',ii,' jj=',jj,' dhpb=',dhpb(i),' dd=',dd, -cd & ' waga=',waga,' fac=',fac - do j=1,3 - ggg(j)=fac*(c(j,jj)-c(j,ii)) - enddo -cd print '(i3,3(1pe14.5))',i,(ggg(j),j=1,3) -C If this is a SC-SC distance, we need to calculate the contributions to the -C Cartesian gradient in the SC vectors (ghpbx). - if (iii.lt.ii) then - do j=1,3 - ghpbx(j,iii)=ghpbx(j,iii)-ggg(j) - ghpbx(j,jjj)=ghpbx(j,jjj)+ggg(j) - enddo - endif -cgrad do j=iii,jjj-1 -cgrad do k=1,3 -cgrad ghpbc(k,j)=ghpbc(k,j)+ggg(k) -cgrad enddo -cgrad enddo - do k=1,3 - ghpbc(k,jjj)=ghpbc(k,jjj)+ggg(k) - ghpbc(k,iii)=ghpbc(k,iii)-ggg(k) - enddo - endif - enddo - ehpb=0.5D0*ehpb - return - end -C-------------------------------------------------------------------------- - subroutine ssbond_ene(i,j,eij) -C -C Calculate the distance and angle dependent SS-bond potential energy -C using a free-energy function derived based on RHF/6-31G** ab initio -C calculations of diethyl disulfide. -C -C A. Liwo and U. Kozlowska, 11/24/03 -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - double precision erij(3),dcosom1(3),dcosom2(3),gg(3) - itypi=itype(i) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) -c dsci_inv=dsc_inv(itypi) - dsci_inv=vbld_inv(nres+i) - itypj=itype(j) -c dscj_inv=dsc_inv(itypj) - dscj_inv=vbld_inv(nres+j) - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) - erij(1)=xj*rij - erij(2)=yj*rij - erij(3)=zj*rij - om1=dxi*erij(1)+dyi*erij(2)+dzi*erij(3) - om2=dxj*erij(1)+dyj*erij(2)+dzj*erij(3) - om12=dxi*dxj+dyi*dyj+dzi*dzj - do k=1,3 - dcosom1(k)=rij*(dc_norm(k,nres+i)-om1*erij(k)) - dcosom2(k)=rij*(dc_norm(k,nres+j)-om2*erij(k)) - enddo - rij=1.0d0/rij - deltad=rij-d0cm - deltat1=1.0d0-om1 - deltat2=1.0d0+om2 - deltat12=om2-om1+2.0d0 - cosphi=om12-om1*om2 - eij=akcm*deltad*deltad+akth*(deltat1*deltat1+deltat2*deltat2) - & +akct*deltad*deltat12+ebr - & +v1ss*cosphi+v2ss*cosphi*cosphi+v3ss*cosphi*cosphi*cosphi -c write(iout,*) i,j,"rij",rij,"d0cm",d0cm," akcm",akcm," akth",akth, -c & " akct",akct," deltad",deltad," deltat",deltat1,deltat2, -c & " deltat12",deltat12," eij",eij - ed=2*akcm*deltad+akct*deltat12 - pom1=akct*deltad - pom2=v1ss+2*v2ss*cosphi+3*v3ss*cosphi*cosphi - eom1=-2*akth*deltat1-pom1-om2*pom2 - eom2= 2*akth*deltat2+pom1-om1*pom2 - eom12=pom2 - do k=1,3 - ggk=ed*erij(k)+eom1*dcosom1(k)+eom2*dcosom2(k) - ghpbx(k,i)=ghpbx(k,i)-ggk - & +(eom12*(dc_norm(k,nres+j)-om12*dc_norm(k,nres+i)) - & +eom1*(erij(k)-om1*dc_norm(k,nres+i)))*dsci_inv - ghpbx(k,j)=ghpbx(k,j)+ggk - & +(eom12*(dc_norm(k,nres+i)-om12*dc_norm(k,nres+j)) - & +eom2*(erij(k)-om2*dc_norm(k,nres+j)))*dscj_inv - ghpbc(k,i)=ghpbc(k,i)-ggk - ghpbc(k,j)=ghpbc(k,j)+ggk - enddo -C -C Calculate the components of the gradient in DC and X -C -cgrad do k=i,j-1 -cgrad do l=1,3 -cgrad ghpbc(l,k)=ghpbc(l,k)+gg(l) -cgrad enddo -cgrad enddo - return - end -C-------------------------------------------------------------------------- - subroutine ebond(estr) -c -c Evaluate the energy of stretching of the CA-CA and CA-SC virtual bonds -c - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.LOCAL' - include 'COMMON.GEO' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.FFIELD' - include 'COMMON.CONTROL' - include 'COMMON.SETUP' - double precision u(3),ud(3) - estr=0.0d0 - do i=ibondp_start,ibondp_end - diff = vbld(i)-vbldp0 -c write (iout,*) i,vbld(i),vbldp0,diff,AKP*diff*diff - estr=estr+diff*diff - do j=1,3 - gradb(j,i-1)=AKP*diff*dc(j,i-1)/vbld(i) - enddo -c write (iout,'(i5,3f10.5)') i,(gradb(j,i-1),j=1,3) - enddo - estr=0.5d0*AKP*estr -c -c 09/18/07 AL: multimodal bond potential based on AM1 CA-SC PMF's included -c - do i=ibond_start,ibond_end - iti=itype(i) - if (iti.ne.10) then - nbi=nbondterm(iti) - if (nbi.eq.1) then - diff=vbld(i+nres)-vbldsc0(1,iti) -c write (iout,*) i,iti,vbld(i+nres),vbldsc0(1,iti),diff, -c & AKSC(1,iti),AKSC(1,iti)*diff*diff - estr=estr+0.5d0*AKSC(1,iti)*diff*diff - do j=1,3 - gradbx(j,i)=AKSC(1,iti)*diff*dc(j,i+nres)/vbld(i+nres) - enddo - else - do j=1,nbi - diff=vbld(i+nres)-vbldsc0(j,iti) - ud(j)=aksc(j,iti)*diff - u(j)=abond0(j,iti)+0.5d0*ud(j)*diff - enddo - uprod=u(1) - do j=2,nbi - uprod=uprod*u(j) - enddo - usum=0.0d0 - usumsqder=0.0d0 - do j=1,nbi - uprod1=1.0d0 - uprod2=1.0d0 - do k=1,nbi - if (k.ne.j) then - uprod1=uprod1*u(k) - uprod2=uprod2*u(k)*u(k) - endif - enddo - usum=usum+uprod1 - usumsqder=usumsqder+ud(j)*uprod2 - enddo - estr=estr+uprod/usum - do j=1,3 - gradbx(j,i)=usumsqder/(usum*usum)*dc(j,i+nres)/vbld(i+nres) - enddo - endif - endif - enddo - return - end -#ifdef CRYST_THETA -C-------------------------------------------------------------------------- - subroutine ebend(etheta) -C -C Evaluate the virtual-bond-angle energy given the virtual-bond dihedral -C angles gamma and its derivatives in consecutive thetas and gammas. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.LOCAL' - include 'COMMON.GEO' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.FFIELD' - include 'COMMON.CONTROL' - common /calcthet/ term1,term2,termm,diffak,ratak, - & ak,aktc,termpre,termexp,sigc,sig0i,time11,time12,sigcsq, - & delthe0,sig0inv,sigtc,sigsqtc,delthec,it - double precision y(2),z(2) - delta=0.02d0*pi -c time11=dexp(-2*time) -c time12=1.0d0 - etheta=0.0D0 -c write (*,'(a,i2)') 'EBEND ICG=',icg - do i=ithet_start,ithet_end -C Zero the energy function and its derivative at 0 or pi. - call splinthet(theta(i),0.5d0*delta,ss,ssd) - it=itype(i-1) - if (i.gt.3) then -#ifdef OSF - phii=phi(i) - if (phii.ne.phii) phii=150.0 -#else - phii=phi(i) -#endif - y(1)=dcos(phii) - y(2)=dsin(phii) - else - y(1)=0.0D0 - y(2)=0.0D0 - endif - if (i.lt.nres) then -#ifdef OSF - phii1=phi(i+1) - if (phii1.ne.phii1) phii1=150.0 - phii1=pinorm(phii1) - z(1)=cos(phii1) -#else - phii1=phi(i+1) - z(1)=dcos(phii1) -#endif - z(2)=dsin(phii1) - else - z(1)=0.0D0 - z(2)=0.0D0 - endif -C Calculate the "mean" value of theta from the part of the distribution -C dependent on the adjacent virtual-bond-valence angles (gamma1 & gamma2). -C In following comments this theta will be referred to as t_c. - thet_pred_mean=0.0d0 - do k=1,2 - athetk=athet(k,it) - bthetk=bthet(k,it) - thet_pred_mean=thet_pred_mean+athetk*y(k)+bthetk*z(k) - enddo - dthett=thet_pred_mean*ssd - thet_pred_mean=thet_pred_mean*ss+a0thet(it) -C Derivatives of the "mean" values in gamma1 and gamma2. - dthetg1=(-athet(1,it)*y(2)+athet(2,it)*y(1))*ss - dthetg2=(-bthet(1,it)*z(2)+bthet(2,it)*z(1))*ss - if (theta(i).gt.pi-delta) then - call theteng(pi-delta,thet_pred_mean,theta0(it),f0,fprim0, - & E_tc0) - call mixder(pi-delta,thet_pred_mean,theta0(it),fprim_tc0) - call theteng(pi,thet_pred_mean,theta0(it),f1,fprim1,E_tc1) - call spline1(theta(i),pi-delta,delta,f0,f1,fprim0,ethetai, - & E_theta) - call spline2(theta(i),pi-delta,delta,E_tc0,E_tc1,fprim_tc0, - & E_tc) - else if (theta(i).lt.delta) then - call theteng(delta,thet_pred_mean,theta0(it),f0,fprim0,E_tc0) - call theteng(0.0d0,thet_pred_mean,theta0(it),f1,fprim1,E_tc1) - call spline1(theta(i),delta,-delta,f0,f1,fprim0,ethetai, - & E_theta) - call mixder(delta,thet_pred_mean,theta0(it),fprim_tc0) - call spline2(theta(i),delta,-delta,E_tc0,E_tc1,fprim_tc0, - & E_tc) - else - call theteng(theta(i),thet_pred_mean,theta0(it),ethetai, - & E_theta,E_tc) - endif - etheta=etheta+ethetai - if (energy_dec) write (iout,'(a6,i5,0pf7.3)') - & 'ebend',i,ethetai - if (i.gt.3) gloc(i-3,icg)=gloc(i-3,icg)+wang*E_tc*dthetg1 - if (i.lt.nres) gloc(i-2,icg)=gloc(i-2,icg)+wang*E_tc*dthetg2 - gloc(nphi+i-2,icg)=wang*(E_theta+E_tc*dthett)+gloc(nphi+i-2,icg) - enddo -C Ufff.... We've done all this!!! - return - end -C--------------------------------------------------------------------------- - subroutine theteng(thetai,thet_pred_mean,theta0i,ethetai,E_theta, - & E_tc) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.LOCAL' - include 'COMMON.IOUNITS' - common /calcthet/ term1,term2,termm,diffak,ratak, - & ak,aktc,termpre,termexp,sigc,sig0i,time11,time12,sigcsq, - & delthe0,sig0inv,sigtc,sigsqtc,delthec,it -C Calculate the contributions to both Gaussian lobes. -C 6/6/97 - Deform the Gaussians using the factor of 1/(1+time) -C The "polynomial part" of the "standard deviation" of this part of -C the distribution. - sig=polthet(3,it) - do j=2,0,-1 - sig=sig*thet_pred_mean+polthet(j,it) - enddo -C Derivative of the "interior part" of the "standard deviation of the" -C gamma-dependent Gaussian lobe in t_c. - sigtc=3*polthet(3,it) - do j=2,1,-1 - sigtc=sigtc*thet_pred_mean+j*polthet(j,it) - enddo - sigtc=sig*sigtc -C Set the parameters of both Gaussian lobes of the distribution. -C "Standard deviation" of the gamma-dependent Gaussian lobe (sigtc) - fac=sig*sig+sigc0(it) - sigcsq=fac+fac - sigc=1.0D0/sigcsq -C Following variable (sigsqtc) is -(1/2)d[sigma(t_c)**(-2))]/dt_c - sigsqtc=-4.0D0*sigcsq*sigtc -c print *,i,sig,sigtc,sigsqtc -C Following variable (sigtc) is d[sigma(t_c)]/dt_c - sigtc=-sigtc/(fac*fac) -C Following variable is sigma(t_c)**(-2) - sigcsq=sigcsq*sigcsq - sig0i=sig0(it) - sig0inv=1.0D0/sig0i**2 - delthec=thetai-thet_pred_mean - delthe0=thetai-theta0i - term1=-0.5D0*sigcsq*delthec*delthec - term2=-0.5D0*sig0inv*delthe0*delthe0 -C Following fuzzy logic is to avoid underflows in dexp and subsequent INFs and -C NaNs in taking the logarithm. We extract the largest exponent which is added -C to the energy (this being the log of the distribution) at the end of energy -C term evaluation for this virtual-bond angle. - if (term1.gt.term2) then - termm=term1 - term2=dexp(term2-termm) - term1=1.0d0 - else - termm=term2 - term1=dexp(term1-termm) - term2=1.0d0 - endif -C The ratio between the gamma-independent and gamma-dependent lobes of -C the distribution is a Gaussian function of thet_pred_mean too. - diffak=gthet(2,it)-thet_pred_mean - ratak=diffak/gthet(3,it)**2 - ak=dexp(gthet(1,it)-0.5D0*diffak*ratak) -C Let's differentiate it in thet_pred_mean NOW. - aktc=ak*ratak -C Now put together the distribution terms to make complete distribution. - termexp=term1+ak*term2 - termpre=sigc+ak*sig0i -C Contribution of the bending energy from this theta is just the -log of -C the sum of the contributions from the two lobes and the pre-exponential -C factor. Simple enough, isn't it? - ethetai=(-dlog(termexp)-termm+dlog(termpre)) -C NOW the derivatives!!! -C 6/6/97 Take into account the deformation. - E_theta=(delthec*sigcsq*term1 - & +ak*delthe0*sig0inv*term2)/termexp - E_tc=((sigtc+aktc*sig0i)/termpre - & -((delthec*sigcsq+delthec*delthec*sigsqtc)*term1+ - & aktc*term2)/termexp) - return - end -c----------------------------------------------------------------------------- - subroutine mixder(thetai,thet_pred_mean,theta0i,E_tc_t) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.LOCAL' - include 'COMMON.IOUNITS' - common /calcthet/ term1,term2,termm,diffak,ratak, - & ak,aktc,termpre,termexp,sigc,sig0i,time11,time12,sigcsq, - & delthe0,sig0inv,sigtc,sigsqtc,delthec,it - delthec=thetai-thet_pred_mean - delthe0=thetai-theta0i -C "Thank you" to MAPLE (probably spared one day of hand-differentiation). - t3 = thetai-thet_pred_mean - t6 = t3**2 - t9 = term1 - t12 = t3*sigcsq - t14 = t12+t6*sigsqtc - t16 = 1.0d0 - t21 = thetai-theta0i - t23 = t21**2 - t26 = term2 - t27 = t21*t26 - t32 = termexp - t40 = t32**2 - E_tc_t = -((sigcsq+2.D0*t3*sigsqtc)*t9-t14*sigcsq*t3*t16*t9 - & -aktc*sig0inv*t27)/t32+(t14*t9+aktc*t26)/t40 - & *(-t12*t9-ak*sig0inv*t27) - return - end -#else -C-------------------------------------------------------------------------- - subroutine ebend(etheta) -C -C Evaluate the virtual-bond-angle energy given the virtual-bond dihedral -C angles gamma and its derivatives in consecutive thetas and gammas. -C ab initio-derived potentials from -c Kozlowska et al., J. Phys.: Condens. Matter 19 (2007) 285203 -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.LOCAL' - include 'COMMON.GEO' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.FFIELD' - include 'COMMON.CONTROL' - double precision coskt(mmaxtheterm),sinkt(mmaxtheterm), - & cosph1(maxsingle),sinph1(maxsingle),cosph2(maxsingle), - & sinph2(maxsingle),cosph1ph2(maxdouble,maxdouble), - & sinph1ph2(maxdouble,maxdouble) - logical lprn /.false./, lprn1 /.false./ - etheta=0.0D0 - do i=ithet_start,ithet_end - dethetai=0.0d0 - dephii=0.0d0 - dephii1=0.0d0 - theti2=0.5d0*theta(i) - ityp2=ithetyp(itype(i-1)) - do k=1,nntheterm - coskt(k)=dcos(k*theti2) - sinkt(k)=dsin(k*theti2) - enddo - if (i.gt.3) then -#ifdef OSF - phii=phi(i) - if (phii.ne.phii) phii=150.0 -#else - phii=phi(i) -#endif - ityp1=ithetyp(itype(i-2)) - do k=1,nsingle - cosph1(k)=dcos(k*phii) - sinph1(k)=dsin(k*phii) - enddo - else - phii=0.0d0 - ityp1=nthetyp+1 - do k=1,nsingle - cosph1(k)=0.0d0 - sinph1(k)=0.0d0 - enddo - endif - if (i.lt.nres) then -#ifdef OSF - phii1=phi(i+1) - if (phii1.ne.phii1) phii1=150.0 - phii1=pinorm(phii1) -#else - phii1=phi(i+1) -#endif - ityp3=ithetyp(itype(i)) - do k=1,nsingle - cosph2(k)=dcos(k*phii1) - sinph2(k)=dsin(k*phii1) - enddo - else - phii1=0.0d0 - ityp3=nthetyp+1 - do k=1,nsingle - cosph2(k)=0.0d0 - sinph2(k)=0.0d0 - enddo - endif - ethetai=aa0thet(ityp1,ityp2,ityp3) - do k=1,ndouble - do l=1,k-1 - ccl=cosph1(l)*cosph2(k-l) - ssl=sinph1(l)*sinph2(k-l) - scl=sinph1(l)*cosph2(k-l) - csl=cosph1(l)*sinph2(k-l) - cosph1ph2(l,k)=ccl-ssl - cosph1ph2(k,l)=ccl+ssl - sinph1ph2(l,k)=scl+csl - sinph1ph2(k,l)=scl-csl - enddo - enddo - if (lprn) then - write (iout,*) "i",i," ityp1",ityp1," ityp2",ityp2, - & " ityp3",ityp3," theti2",theti2," phii",phii," phii1",phii1 - write (iout,*) "coskt and sinkt" - do k=1,nntheterm - write (iout,*) k,coskt(k),sinkt(k) - enddo - endif - do k=1,ntheterm - ethetai=ethetai+aathet(k,ityp1,ityp2,ityp3)*sinkt(k) - dethetai=dethetai+0.5d0*k*aathet(k,ityp1,ityp2,ityp3) - & *coskt(k) - if (lprn) - & write (iout,*) "k",k," aathet",aathet(k,ityp1,ityp2,ityp3), - & " ethetai",ethetai - enddo - if (lprn) then - write (iout,*) "cosph and sinph" - do k=1,nsingle - write (iout,*) k,cosph1(k),sinph1(k),cosph2(k),sinph2(k) - enddo - write (iout,*) "cosph1ph2 and sinph2ph2" - do k=2,ndouble - do l=1,k-1 - write (iout,*) l,k,cosph1ph2(l,k),cosph1ph2(k,l), - & sinph1ph2(l,k),sinph1ph2(k,l) - enddo - enddo - write(iout,*) "ethetai",ethetai - endif - do m=1,ntheterm2 - do k=1,nsingle - aux=bbthet(k,m,ityp1,ityp2,ityp3)*cosph1(k) - & +ccthet(k,m,ityp1,ityp2,ityp3)*sinph1(k) - & +ddthet(k,m,ityp1,ityp2,ityp3)*cosph2(k) - & +eethet(k,m,ityp1,ityp2,ityp3)*sinph2(k) - ethetai=ethetai+sinkt(m)*aux - dethetai=dethetai+0.5d0*m*aux*coskt(m) - dephii=dephii+k*sinkt(m)*( - & ccthet(k,m,ityp1,ityp2,ityp3)*cosph1(k)- - & bbthet(k,m,ityp1,ityp2,ityp3)*sinph1(k)) - dephii1=dephii1+k*sinkt(m)*( - & eethet(k,m,ityp1,ityp2,ityp3)*cosph2(k)- - & ddthet(k,m,ityp1,ityp2,ityp3)*sinph2(k)) - if (lprn) - & write (iout,*) "m",m," k",k," bbthet", - & bbthet(k,m,ityp1,ityp2,ityp3)," ccthet", - & ccthet(k,m,ityp1,ityp2,ityp3)," ddthet", - & ddthet(k,m,ityp1,ityp2,ityp3)," eethet", - & eethet(k,m,ityp1,ityp2,ityp3)," ethetai",ethetai - enddo - enddo - if (lprn) - & write(iout,*) "ethetai",ethetai - do m=1,ntheterm3 - do k=2,ndouble - do l=1,k-1 - aux=ffthet(l,k,m,ityp1,ityp2,ityp3)*cosph1ph2(l,k)+ - & ffthet(k,l,m,ityp1,ityp2,ityp3)*cosph1ph2(k,l)+ - & ggthet(l,k,m,ityp1,ityp2,ityp3)*sinph1ph2(l,k)+ - & ggthet(k,l,m,ityp1,ityp2,ityp3)*sinph1ph2(k,l) - ethetai=ethetai+sinkt(m)*aux - dethetai=dethetai+0.5d0*m*coskt(m)*aux - dephii=dephii+l*sinkt(m)*( - & -ffthet(l,k,m,ityp1,ityp2,ityp3)*sinph1ph2(l,k)- - & ffthet(k,l,m,ityp1,ityp2,ityp3)*sinph1ph2(k,l)+ - & ggthet(l,k,m,ityp1,ityp2,ityp3)*cosph1ph2(l,k)+ - & ggthet(k,l,m,ityp1,ityp2,ityp3)*cosph1ph2(k,l)) - dephii1=dephii1+(k-l)*sinkt(m)*( - & -ffthet(l,k,m,ityp1,ityp2,ityp3)*sinph1ph2(l,k)+ - & ffthet(k,l,m,ityp1,ityp2,ityp3)*sinph1ph2(k,l)+ - & ggthet(l,k,m,ityp1,ityp2,ityp3)*cosph1ph2(l,k)- - & ggthet(k,l,m,ityp1,ityp2,ityp3)*cosph1ph2(k,l)) - if (lprn) then - write (iout,*) "m",m," k",k," l",l," ffthet", - & ffthet(l,k,m,ityp1,ityp2,ityp3), - & ffthet(k,l,m,ityp1,ityp2,ityp3)," ggthet", - & ggthet(l,k,m,ityp1,ityp2,ityp3), - & ggthet(k,l,m,ityp1,ityp2,ityp3)," ethetai",ethetai - write (iout,*) cosph1ph2(l,k)*sinkt(m), - & cosph1ph2(k,l)*sinkt(m), - & sinph1ph2(l,k)*sinkt(m),sinph1ph2(k,l)*sinkt(m) - endif - enddo - enddo - enddo -10 continue -c lprn1=.true. - if (lprn1) write (iout,'(a4,i2,3f8.1,9h ethetai ,f10.5)') - & 'ebe', i,theta(i)*rad2deg,phii*rad2deg, - & phii1*rad2deg,ethetai -c lprn1=.false. - etheta=etheta+ethetai - if (i.gt.3) gloc(i-3,icg)=gloc(i-3,icg)+wang*dephii - if (i.lt.nres) gloc(i-2,icg)=gloc(i-2,icg)+wang*dephii1 - gloc(nphi+i-2,icg)=gloc(nphi+i-2,icg)+wang*dethetai - enddo - return - end -#endif -#ifdef CRYST_SC -c----------------------------------------------------------------------------- - subroutine esc(escloc) -C Calculate the local energy of a side chain and its derivatives in the -C corresponding virtual-bond valence angles THETA and the spherical angles -C ALPHA and OMEGA. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.FFIELD' - include 'COMMON.CONTROL' - double precision x(3),dersc(3),xemp(3),dersc0(3),dersc1(3), - & ddersc0(3),ddummy(3),xtemp(3),temp(3) - common /sccalc/ time11,time12,time112,theti,it,nlobit - delta=0.02d0*pi - escloc=0.0D0 -c write (iout,'(a)') 'ESC' - do i=loc_start,loc_end - it=itype(i) - if (it.eq.10) goto 1 - nlobit=nlob(it) -c print *,'i=',i,' it=',it,' nlobit=',nlobit -c write (iout,*) 'i=',i,' ssa=',ssa,' ssad=',ssad - theti=theta(i+1)-pipol - x(1)=dtan(theti) - x(2)=alph(i) - x(3)=omeg(i) - - if (x(2).gt.pi-delta) then - xtemp(1)=x(1) - xtemp(2)=pi-delta - xtemp(3)=x(3) - call enesc(xtemp,escloci0,dersc0,ddersc0,.true.) - xtemp(2)=pi - call enesc(xtemp,escloci1,dersc1,ddummy,.false.) - call spline1(x(2),pi-delta,delta,escloci0,escloci1,dersc0(2), - & escloci,dersc(2)) - call spline2(x(2),pi-delta,delta,dersc0(1),dersc1(1), - & ddersc0(1),dersc(1)) - call spline2(x(2),pi-delta,delta,dersc0(3),dersc1(3), - & ddersc0(3),dersc(3)) - xtemp(2)=pi-delta - call enesc_bound(xtemp,esclocbi0,dersc0,dersc12,.true.) - xtemp(2)=pi - call enesc_bound(xtemp,esclocbi1,dersc1,chuju,.false.) - call spline1(x(2),pi-delta,delta,esclocbi0,esclocbi1, - & dersc0(2),esclocbi,dersc02) - call spline2(x(2),pi-delta,delta,dersc0(1),dersc1(1), - & dersc12,dersc01) - call splinthet(x(2),0.5d0*delta,ss,ssd) - dersc0(1)=dersc01 - dersc0(2)=dersc02 - dersc0(3)=0.0d0 - do k=1,3 - dersc(k)=ss*dersc(k)+(1.0d0-ss)*dersc0(k) - enddo - dersc(2)=dersc(2)+ssd*(escloci-esclocbi) -c write (iout,*) 'i=',i,x(2)*rad2deg,escloci0,escloci, -c & esclocbi,ss,ssd - escloci=ss*escloci+(1.0d0-ss)*esclocbi -c escloci=esclocbi -c write (iout,*) escloci - else if (x(2).lt.delta) then - xtemp(1)=x(1) - xtemp(2)=delta - xtemp(3)=x(3) - call enesc(xtemp,escloci0,dersc0,ddersc0,.true.) - xtemp(2)=0.0d0 - call enesc(xtemp,escloci1,dersc1,ddummy,.false.) - call spline1(x(2),delta,-delta,escloci0,escloci1,dersc0(2), - & escloci,dersc(2)) - call spline2(x(2),delta,-delta,dersc0(1),dersc1(1), - & ddersc0(1),dersc(1)) - call spline2(x(2),delta,-delta,dersc0(3),dersc1(3), - & ddersc0(3),dersc(3)) - xtemp(2)=delta - call enesc_bound(xtemp,esclocbi0,dersc0,dersc12,.true.) - xtemp(2)=0.0d0 - call enesc_bound(xtemp,esclocbi1,dersc1,chuju,.false.) - call spline1(x(2),delta,-delta,esclocbi0,esclocbi1, - & dersc0(2),esclocbi,dersc02) - call spline2(x(2),delta,-delta,dersc0(1),dersc1(1), - & dersc12,dersc01) - dersc0(1)=dersc01 - dersc0(2)=dersc02 - dersc0(3)=0.0d0 - call splinthet(x(2),0.5d0*delta,ss,ssd) - do k=1,3 - dersc(k)=ss*dersc(k)+(1.0d0-ss)*dersc0(k) - enddo - dersc(2)=dersc(2)+ssd*(escloci-esclocbi) -c write (iout,*) 'i=',i,x(2)*rad2deg,escloci0,escloci, -c & esclocbi,ss,ssd - escloci=ss*escloci+(1.0d0-ss)*esclocbi -c write (iout,*) escloci - else - call enesc(x,escloci,dersc,ddummy,.false.) - endif - - escloc=escloc+escloci - if (energy_dec) write (iout,'(a6,i5,0pf7.3)') - & 'escloc',i,escloci -c write (iout,*) 'i=',i,' escloci=',escloci,' dersc=',dersc - - gloc(nphi+i-1,icg)=gloc(nphi+i-1,icg)+ - & wscloc*dersc(1) - gloc(ialph(i,1),icg)=wscloc*dersc(2) - gloc(ialph(i,1)+nside,icg)=wscloc*dersc(3) - 1 continue - enddo - return - end -C--------------------------------------------------------------------------- - subroutine enesc(x,escloci,dersc,ddersc,mixed) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.IOUNITS' - common /sccalc/ time11,time12,time112,theti,it,nlobit - double precision x(3),z(3),Ax(3,maxlob,-1:1),dersc(3),ddersc(3) - double precision contr(maxlob,-1:1) - logical mixed -c write (iout,*) 'it=',it,' nlobit=',nlobit - escloc_i=0.0D0 - do j=1,3 - dersc(j)=0.0D0 - if (mixed) ddersc(j)=0.0d0 - enddo - x3=x(3) - -C Because of periodicity of the dependence of the SC energy in omega we have -C to add up the contributions from x(3)-2*pi, x(3), and x(3+2*pi). -C To avoid underflows, first compute & store the exponents. - - do iii=-1,1 - - x(3)=x3+iii*dwapi - - do j=1,nlobit - do k=1,3 - z(k)=x(k)-censc(k,j,it) - enddo - do k=1,3 - Axk=0.0D0 - do l=1,3 - Axk=Axk+gaussc(l,k,j,it)*z(l) - enddo - Ax(k,j,iii)=Axk - enddo - expfac=0.0D0 - do k=1,3 - expfac=expfac+Ax(k,j,iii)*z(k) - enddo - contr(j,iii)=expfac - enddo ! j - - enddo ! iii - - x(3)=x3 -C As in the case of ebend, we want to avoid underflows in exponentiation and -C subsequent NaNs and INFs in energy calculation. -C Find the largest exponent - emin=contr(1,-1) - do iii=-1,1 - do j=1,nlobit - if (emin.gt.contr(j,iii)) emin=contr(j,iii) - enddo - enddo - emin=0.5D0*emin -cd print *,'it=',it,' emin=',emin - -C Compute the contribution to SC energy and derivatives - do iii=-1,1 - - do j=1,nlobit -#ifdef OSF - adexp=bsc(j,it)-0.5D0*contr(j,iii)+emin - if(adexp.ne.adexp) adexp=1.0 - expfac=dexp(adexp) -#else - expfac=dexp(bsc(j,it)-0.5D0*contr(j,iii)+emin) -#endif -cd print *,'j=',j,' expfac=',expfac - escloc_i=escloc_i+expfac - do k=1,3 - dersc(k)=dersc(k)+Ax(k,j,iii)*expfac - enddo - if (mixed) then - do k=1,3,2 - ddersc(k)=ddersc(k)+(-Ax(2,j,iii)*Ax(k,j,iii) - & +gaussc(k,2,j,it))*expfac - enddo - endif - enddo - - enddo ! iii - - dersc(1)=dersc(1)/cos(theti)**2 - ddersc(1)=ddersc(1)/cos(theti)**2 - ddersc(3)=ddersc(3) - - escloci=-(dlog(escloc_i)-emin) - do j=1,3 - dersc(j)=dersc(j)/escloc_i - enddo - if (mixed) then - do j=1,3,2 - ddersc(j)=(ddersc(j)/escloc_i+dersc(2)*dersc(j)) - enddo - endif - return - end -C------------------------------------------------------------------------------ - subroutine enesc_bound(x,escloci,dersc,dersc12,mixed) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.IOUNITS' - common /sccalc/ time11,time12,time112,theti,it,nlobit - double precision x(3),z(3),Ax(3,maxlob),dersc(3) - double precision contr(maxlob) - logical mixed - - escloc_i=0.0D0 - - do j=1,3 - dersc(j)=0.0D0 - enddo - - do j=1,nlobit - do k=1,2 - z(k)=x(k)-censc(k,j,it) - enddo - z(3)=dwapi - do k=1,3 - Axk=0.0D0 - do l=1,3 - Axk=Axk+gaussc(l,k,j,it)*z(l) - enddo - Ax(k,j)=Axk - enddo - expfac=0.0D0 - do k=1,3 - expfac=expfac+Ax(k,j)*z(k) - enddo - contr(j)=expfac - enddo ! j - -C As in the case of ebend, we want to avoid underflows in exponentiation and -C subsequent NaNs and INFs in energy calculation. -C Find the largest exponent - emin=contr(1) - do j=1,nlobit - if (emin.gt.contr(j)) emin=contr(j) - enddo - emin=0.5D0*emin - -C Compute the contribution to SC energy and derivatives - - dersc12=0.0d0 - do j=1,nlobit - expfac=dexp(bsc(j,it)-0.5D0*contr(j)+emin) - escloc_i=escloc_i+expfac - do k=1,2 - dersc(k)=dersc(k)+Ax(k,j)*expfac - enddo - if (mixed) dersc12=dersc12+(-Ax(2,j)*Ax(1,j) - & +gaussc(1,2,j,it))*expfac - dersc(3)=0.0d0 - enddo - - dersc(1)=dersc(1)/cos(theti)**2 - dersc12=dersc12/cos(theti)**2 - escloci=-(dlog(escloc_i)-emin) - do j=1,2 - dersc(j)=dersc(j)/escloc_i - enddo - if (mixed) dersc12=(dersc12/escloc_i+dersc(2)*dersc(1)) - return - end -#else -c---------------------------------------------------------------------------------- - subroutine esc(escloc) -C Calculate the local energy of a side chain and its derivatives in the -C corresponding virtual-bond valence angles THETA and the spherical angles -C ALPHA and OMEGA derived from AM1 all-atom calculations. -C added by Urszula Kozlowska. 07/11/2007 -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.VAR' - include 'COMMON.SCROT' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.FFIELD' - include 'COMMON.CONTROL' - include 'COMMON.VECTORS' - double precision x_prime(3),y_prime(3),z_prime(3) - & , sumene,dsc_i,dp2_i,x(65), - & xx,yy,zz,sumene1,sumene2,sumene3,sumene4,s1,s1_6,s2,s2_6, - & de_dxx,de_dyy,de_dzz,de_dt - double precision s1_t,s1_6_t,s2_t,s2_6_t - double precision - & dXX_Ci1(3),dYY_Ci1(3),dZZ_Ci1(3),dXX_Ci(3), - & dYY_Ci(3),dZZ_Ci(3),dXX_XYZ(3),dYY_XYZ(3),dZZ_XYZ(3), - & dt_dCi(3),dt_dCi1(3) - common /sccalc/ time11,time12,time112,theti,it,nlobit - delta=0.02d0*pi - escloc=0.0D0 - do i=loc_start,loc_end - costtab(i+1) =dcos(theta(i+1)) - sinttab(i+1) =dsqrt(1-costtab(i+1)*costtab(i+1)) - cost2tab(i+1)=dsqrt(0.5d0*(1.0d0+costtab(i+1))) - sint2tab(i+1)=dsqrt(0.5d0*(1.0d0-costtab(i+1))) - cosfac2=0.5d0/(1.0d0+costtab(i+1)) - cosfac=dsqrt(cosfac2) - sinfac2=0.5d0/(1.0d0-costtab(i+1)) - sinfac=dsqrt(sinfac2) - it=itype(i) - if (it.eq.10) goto 1 -c -C Compute the axes of tghe local cartesian coordinates system; store in -c x_prime, y_prime and z_prime -c - do j=1,3 - x_prime(j) = 0.00 - y_prime(j) = 0.00 - z_prime(j) = 0.00 - enddo -C write(2,*) "dc_norm", dc_norm(1,i+nres),dc_norm(2,i+nres), -C & dc_norm(3,i+nres) - do j = 1,3 - x_prime(j) = (dc_norm(j,i) - dc_norm(j,i-1))*cosfac - y_prime(j) = (dc_norm(j,i) + dc_norm(j,i-1))*sinfac - enddo - do j = 1,3 - z_prime(j) = -uz(j,i-1) - enddo -c write (2,*) "i",i -c write (2,*) "x_prime",(x_prime(j),j=1,3) -c write (2,*) "y_prime",(y_prime(j),j=1,3) -c write (2,*) "z_prime",(z_prime(j),j=1,3) -c write (2,*) "xx",scalar(x_prime(1),x_prime(1)), -c & " xy",scalar(x_prime(1),y_prime(1)), -c & " xz",scalar(x_prime(1),z_prime(1)), -c & " yy",scalar(y_prime(1),y_prime(1)), -c & " yz",scalar(y_prime(1),z_prime(1)), -c & " zz",scalar(z_prime(1),z_prime(1)) -c -C Transform the unit vector of the ith side-chain centroid, dC_norm(*,i), -C to local coordinate system. Store in xx, yy, zz. -c - xx=0.0d0 - yy=0.0d0 - zz=0.0d0 - do j = 1,3 - xx = xx + x_prime(j)*dc_norm(j,i+nres) - yy = yy + y_prime(j)*dc_norm(j,i+nres) - zz = zz + z_prime(j)*dc_norm(j,i+nres) - enddo - - xxtab(i)=xx - yytab(i)=yy - zztab(i)=zz -C -C Compute the energy of the ith side cbain -C -c write (2,*) "xx",xx," yy",yy," zz",zz - it=itype(i) - do j = 1,65 - x(j) = sc_parmin(j,it) - enddo -#ifdef CHECK_COORD -Cc diagnostics - remove later - xx1 = dcos(alph(2)) - yy1 = dsin(alph(2))*dcos(omeg(2)) - zz1 = -dsin(alph(2))*dsin(omeg(2)) - write(2,'(3f8.1,3f9.3,1x,3f9.3)') - & alph(2)*rad2deg,omeg(2)*rad2deg,theta(3)*rad2deg,xx,yy,zz, - & xx1,yy1,zz1 -C," --- ", xx_w,yy_w,zz_w -c end diagnostics -#endif - sumene1= x(1)+ x(2)*xx+ x(3)*yy+ x(4)*zz+ x(5)*xx**2 - & + x(6)*yy**2+ x(7)*zz**2+ x(8)*xx*zz+ x(9)*xx*yy - & + x(10)*yy*zz - sumene2= x(11) + x(12)*xx + x(13)*yy + x(14)*zz + x(15)*xx**2 - & + x(16)*yy**2 + x(17)*zz**2 + x(18)*xx*zz + x(19)*xx*yy - & + x(20)*yy*zz - sumene3= x(21) +x(22)*xx +x(23)*yy +x(24)*zz +x(25)*xx**2 - & +x(26)*yy**2 +x(27)*zz**2 +x(28)*xx*zz +x(29)*xx*yy - & +x(30)*yy*zz +x(31)*xx**3 +x(32)*yy**3 +x(33)*zz**3 - & +x(34)*(xx**2)*yy +x(35)*(xx**2)*zz +x(36)*(yy**2)*xx - & +x(37)*(yy**2)*zz +x(38)*(zz**2)*xx +x(39)*(zz**2)*yy - & +x(40)*xx*yy*zz - sumene4= x(41) +x(42)*xx +x(43)*yy +x(44)*zz +x(45)*xx**2 - & +x(46)*yy**2 +x(47)*zz**2 +x(48)*xx*zz +x(49)*xx*yy - & +x(50)*yy*zz +x(51)*xx**3 +x(52)*yy**3 +x(53)*zz**3 - & +x(54)*(xx**2)*yy +x(55)*(xx**2)*zz +x(56)*(yy**2)*xx - & +x(57)*(yy**2)*zz +x(58)*(zz**2)*xx +x(59)*(zz**2)*yy - & +x(60)*xx*yy*zz - dsc_i = 0.743d0+x(61) - dp2_i = 1.9d0+x(62) - dscp1=dsqrt(dsc_i**2+dp2_i**2-2*dsc_i*dp2_i - & *(xx*cost2tab(i+1)+yy*sint2tab(i+1))) - dscp2=dsqrt(dsc_i**2+dp2_i**2-2*dsc_i*dp2_i - & *(xx*cost2tab(i+1)-yy*sint2tab(i+1))) - s1=(1+x(63))/(0.1d0 + dscp1) - s1_6=(1+x(64))/(0.1d0 + dscp1**6) - s2=(1+x(65))/(0.1d0 + dscp2) - s2_6=(1+x(65))/(0.1d0 + dscp2**6) - sumene = ( sumene3*sint2tab(i+1) + sumene1)*(s1+s1_6) - & + (sumene4*cost2tab(i+1) +sumene2)*(s2+s2_6) -c write(2,'(i2," sumene",7f9.3)') i,sumene1,sumene2,sumene3, -c & sumene4, -c & dscp1,dscp2,sumene -c sumene = enesc(x,xx,yy,zz,cost2tab(i+1),sint2tab(i+1)) - escloc = escloc + sumene -c write (2,*) "i",i," escloc",sumene,escloc -#ifdef DEBUG -C -C This section to check the numerical derivatives of the energy of ith side -C chain in xx, yy, zz, and theta. Use the -DDEBUG compiler option or insert -C #define DEBUG in the code to turn it on. -C - write (2,*) "sumene =",sumene - aincr=1.0d-7 - xxsave=xx - xx=xx+aincr - write (2,*) xx,yy,zz - sumenep = enesc(x,xx,yy,zz,cost2tab(i+1),sint2tab(i+1)) - de_dxx_num=(sumenep-sumene)/aincr - xx=xxsave - write (2,*) "xx+ sumene from enesc=",sumenep - yysave=yy - yy=yy+aincr - write (2,*) xx,yy,zz - sumenep = enesc(x,xx,yy,zz,cost2tab(i+1),sint2tab(i+1)) - de_dyy_num=(sumenep-sumene)/aincr - yy=yysave - write (2,*) "yy+ sumene from enesc=",sumenep - zzsave=zz - zz=zz+aincr - write (2,*) xx,yy,zz - sumenep = enesc(x,xx,yy,zz,cost2tab(i+1),sint2tab(i+1)) - de_dzz_num=(sumenep-sumene)/aincr - zz=zzsave - write (2,*) "zz+ sumene from enesc=",sumenep - costsave=cost2tab(i+1) - sintsave=sint2tab(i+1) - cost2tab(i+1)=dcos(0.5d0*(theta(i+1)+aincr)) - sint2tab(i+1)=dsin(0.5d0*(theta(i+1)+aincr)) - sumenep = enesc(x,xx,yy,zz,cost2tab(i+1),sint2tab(i+1)) - de_dt_num=(sumenep-sumene)/aincr - write (2,*) " t+ sumene from enesc=",sumenep - cost2tab(i+1)=costsave - sint2tab(i+1)=sintsave -C End of diagnostics section. -#endif -C -C Compute the gradient of esc -C - pom_s1=(1.0d0+x(63))/(0.1d0 + dscp1)**2 - pom_s16=6*(1.0d0+x(64))/(0.1d0 + dscp1**6)**2 - pom_s2=(1.0d0+x(65))/(0.1d0 + dscp2)**2 - pom_s26=6*(1.0d0+x(65))/(0.1d0 + dscp2**6)**2 - pom_dx=dsc_i*dp2_i*cost2tab(i+1) - pom_dy=dsc_i*dp2_i*sint2tab(i+1) - pom_dt1=-0.5d0*dsc_i*dp2_i*(xx*sint2tab(i+1)-yy*cost2tab(i+1)) - pom_dt2=-0.5d0*dsc_i*dp2_i*(xx*sint2tab(i+1)+yy*cost2tab(i+1)) - pom1=(sumene3*sint2tab(i+1)+sumene1) - & *(pom_s1/dscp1+pom_s16*dscp1**4) - pom2=(sumene4*cost2tab(i+1)+sumene2) - & *(pom_s2/dscp2+pom_s26*dscp2**4) - sumene1x=x(2)+2*x(5)*xx+x(8)*zz+ x(9)*yy - sumene3x=x(22)+2*x(25)*xx+x(28)*zz+x(29)*yy+3*x(31)*xx**2 - & +2*x(34)*xx*yy +2*x(35)*xx*zz +x(36)*(yy**2) +x(38)*(zz**2) - & +x(40)*yy*zz - sumene2x=x(12)+2*x(15)*xx+x(18)*zz+ x(19)*yy - sumene4x=x(42)+2*x(45)*xx +x(48)*zz +x(49)*yy +3*x(51)*xx**2 - & +2*x(54)*xx*yy+2*x(55)*xx*zz+x(56)*(yy**2)+x(58)*(zz**2) - & +x(60)*yy*zz - de_dxx =(sumene1x+sumene3x*sint2tab(i+1))*(s1+s1_6) - & +(sumene2x+sumene4x*cost2tab(i+1))*(s2+s2_6) - & +(pom1+pom2)*pom_dx -#ifdef DEBUG - write(2,*), "de_dxx = ", de_dxx,de_dxx_num -#endif -C - sumene1y=x(3) + 2*x(6)*yy + x(9)*xx + x(10)*zz - sumene3y=x(23) +2*x(26)*yy +x(29)*xx +x(30)*zz +3*x(32)*yy**2 - & +x(34)*(xx**2) +2*x(36)*yy*xx +2*x(37)*yy*zz +x(39)*(zz**2) - & +x(40)*xx*zz - sumene2y=x(13) + 2*x(16)*yy + x(19)*xx + x(20)*zz - sumene4y=x(43)+2*x(46)*yy+x(49)*xx +x(50)*zz - & +3*x(52)*yy**2+x(54)*xx**2+2*x(56)*yy*xx +2*x(57)*yy*zz - & +x(59)*zz**2 +x(60)*xx*zz - de_dyy =(sumene1y+sumene3y*sint2tab(i+1))*(s1+s1_6) - & +(sumene2y+sumene4y*cost2tab(i+1))*(s2+s2_6) - & +(pom1-pom2)*pom_dy -#ifdef DEBUG - write(2,*), "de_dyy = ", de_dyy,de_dyy_num -#endif -C - de_dzz =(x(24) +2*x(27)*zz +x(28)*xx +x(30)*yy - & +3*x(33)*zz**2 +x(35)*xx**2 +x(37)*yy**2 +2*x(38)*zz*xx - & +2*x(39)*zz*yy +x(40)*xx*yy)*sint2tab(i+1)*(s1+s1_6) - & +(x(4) + 2*x(7)*zz+ x(8)*xx + x(10)*yy)*(s1+s1_6) - & +(x(44)+2*x(47)*zz +x(48)*xx +x(50)*yy +3*x(53)*zz**2 - & +x(55)*xx**2 +x(57)*(yy**2)+2*x(58)*zz*xx +2*x(59)*zz*yy - & +x(60)*xx*yy)*cost2tab(i+1)*(s2+s2_6) - & + ( x(14) + 2*x(17)*zz+ x(18)*xx + x(20)*yy)*(s2+s2_6) -#ifdef DEBUG - write(2,*), "de_dzz = ", de_dzz,de_dzz_num -#endif -C - de_dt = 0.5d0*sumene3*cost2tab(i+1)*(s1+s1_6) - & -0.5d0*sumene4*sint2tab(i+1)*(s2+s2_6) - & +pom1*pom_dt1+pom2*pom_dt2 -#ifdef DEBUG - write(2,*), "de_dt = ", de_dt,de_dt_num -#endif -c -C - cossc=scalar(dc_norm(1,i),dc_norm(1,i+nres)) - cossc1=scalar(dc_norm(1,i-1),dc_norm(1,i+nres)) - cosfac2xx=cosfac2*xx - sinfac2yy=sinfac2*yy - do k = 1,3 - dt_dCi(k) = -(dc_norm(k,i-1)+costtab(i+1)*dc_norm(k,i))* - & vbld_inv(i+1) - dt_dCi1(k)= -(dc_norm(k,i)+costtab(i+1)*dc_norm(k,i-1))* - & vbld_inv(i) - pom=(dC_norm(k,i+nres)-cossc*dC_norm(k,i))*vbld_inv(i+1) - pom1=(dC_norm(k,i+nres)-cossc1*dC_norm(k,i-1))*vbld_inv(i) -c write (iout,*) "i",i," k",k," pom",pom," pom1",pom1, -c & " dt_dCi",dt_dCi(k)," dt_dCi1",dt_dCi1(k) -c write (iout,*) "dC_norm",(dC_norm(j,i),j=1,3), -c & (dC_norm(j,i-1),j=1,3)," vbld_inv",vbld_inv(i+1),vbld_inv(i) - dXX_Ci(k)=pom*cosfac-dt_dCi(k)*cosfac2xx - dXX_Ci1(k)=-pom1*cosfac-dt_dCi1(k)*cosfac2xx - dYY_Ci(k)=pom*sinfac+dt_dCi(k)*sinfac2yy - dYY_Ci1(k)=pom1*sinfac+dt_dCi1(k)*sinfac2yy - dZZ_Ci1(k)=0.0d0 - dZZ_Ci(k)=0.0d0 - do j=1,3 - dZZ_Ci(k)=dZZ_Ci(k)-uzgrad(j,k,2,i-1)*dC_norm(j,i+nres) - dZZ_Ci1(k)=dZZ_Ci1(k)-uzgrad(j,k,1,i-1)*dC_norm(j,i+nres) - enddo - - dXX_XYZ(k)=vbld_inv(i+nres)*(x_prime(k)-xx*dC_norm(k,i+nres)) - dYY_XYZ(k)=vbld_inv(i+nres)*(y_prime(k)-yy*dC_norm(k,i+nres)) - dZZ_XYZ(k)=vbld_inv(i+nres)*(z_prime(k)-zz*dC_norm(k,i+nres)) -c - dt_dCi(k) = -dt_dCi(k)/sinttab(i+1) - dt_dCi1(k)= -dt_dCi1(k)/sinttab(i+1) - enddo - - do k=1,3 - dXX_Ctab(k,i)=dXX_Ci(k) - dXX_C1tab(k,i)=dXX_Ci1(k) - dYY_Ctab(k,i)=dYY_Ci(k) - dYY_C1tab(k,i)=dYY_Ci1(k) - dZZ_Ctab(k,i)=dZZ_Ci(k) - dZZ_C1tab(k,i)=dZZ_Ci1(k) - dXX_XYZtab(k,i)=dXX_XYZ(k) - dYY_XYZtab(k,i)=dYY_XYZ(k) - dZZ_XYZtab(k,i)=dZZ_XYZ(k) - enddo - - do k = 1,3 -c write (iout,*) "k",k," dxx_ci1",dxx_ci1(k)," dyy_ci1", -c & dyy_ci1(k)," dzz_ci1",dzz_ci1(k) -c write (iout,*) "k",k," dxx_ci",dxx_ci(k)," dyy_ci", -c & dyy_ci(k)," dzz_ci",dzz_ci(k) -c write (iout,*) "k",k," dt_dci",dt_dci(k)," dt_dci", -c & dt_dci(k) -c write (iout,*) "k",k," dxx_XYZ",dxx_XYZ(k)," dyy_XYZ", -c & dyy_XYZ(k)," dzz_XYZ",dzz_XYZ(k) - gscloc(k,i-1)=gscloc(k,i-1)+de_dxx*dxx_ci1(k) - & +de_dyy*dyy_ci1(k)+de_dzz*dzz_ci1(k)+de_dt*dt_dCi1(k) - gscloc(k,i)=gscloc(k,i)+de_dxx*dxx_Ci(k) - & +de_dyy*dyy_Ci(k)+de_dzz*dzz_Ci(k)+de_dt*dt_dCi(k) - gsclocx(k,i)= de_dxx*dxx_XYZ(k) - & +de_dyy*dyy_XYZ(k)+de_dzz*dzz_XYZ(k) - enddo -c write(iout,*) "ENERGY GRAD = ", (gscloc(k,i-1),k=1,3), -c & (gscloc(k,i),k=1,3),(gsclocx(k,i),k=1,3) - -C to check gradient call subroutine check_grad - - 1 continue - enddo - return - end -c------------------------------------------------------------------------------ - double precision function enesc(x,xx,yy,zz,cost2,sint2) - implicit none - double precision x(65),xx,yy,zz,cost2,sint2,sumene1,sumene2, - & sumene3,sumene4,sumene,dsc_i,dp2_i,dscp1,dscp2,s1,s1_6,s2,s2_6 - sumene1= x(1)+ x(2)*xx+ x(3)*yy+ x(4)*zz+ x(5)*xx**2 - & + x(6)*yy**2+ x(7)*zz**2+ x(8)*xx*zz+ x(9)*xx*yy - & + x(10)*yy*zz - sumene2= x(11) + x(12)*xx + x(13)*yy + x(14)*zz + x(15)*xx**2 - & + x(16)*yy**2 + x(17)*zz**2 + x(18)*xx*zz + x(19)*xx*yy - & + x(20)*yy*zz - sumene3= x(21) +x(22)*xx +x(23)*yy +x(24)*zz +x(25)*xx**2 - & +x(26)*yy**2 +x(27)*zz**2 +x(28)*xx*zz +x(29)*xx*yy - & +x(30)*yy*zz +x(31)*xx**3 +x(32)*yy**3 +x(33)*zz**3 - & +x(34)*(xx**2)*yy +x(35)*(xx**2)*zz +x(36)*(yy**2)*xx - & +x(37)*(yy**2)*zz +x(38)*(zz**2)*xx +x(39)*(zz**2)*yy - & +x(40)*xx*yy*zz - sumene4= x(41) +x(42)*xx +x(43)*yy +x(44)*zz +x(45)*xx**2 - & +x(46)*yy**2 +x(47)*zz**2 +x(48)*xx*zz +x(49)*xx*yy - & +x(50)*yy*zz +x(51)*xx**3 +x(52)*yy**3 +x(53)*zz**3 - & +x(54)*(xx**2)*yy +x(55)*(xx**2)*zz +x(56)*(yy**2)*xx - & +x(57)*(yy**2)*zz +x(58)*(zz**2)*xx +x(59)*(zz**2)*yy - & +x(60)*xx*yy*zz - dsc_i = 0.743d0+x(61) - dp2_i = 1.9d0+x(62) - dscp1=dsqrt(dsc_i**2+dp2_i**2-2*dsc_i*dp2_i - & *(xx*cost2+yy*sint2)) - dscp2=dsqrt(dsc_i**2+dp2_i**2-2*dsc_i*dp2_i - & *(xx*cost2-yy*sint2)) - s1=(1+x(63))/(0.1d0 + dscp1) - s1_6=(1+x(64))/(0.1d0 + dscp1**6) - s2=(1+x(65))/(0.1d0 + dscp2) - s2_6=(1+x(65))/(0.1d0 + dscp2**6) - sumene = ( sumene3*sint2 + sumene1)*(s1+s1_6) - & + (sumene4*cost2 +sumene2)*(s2+s2_6) - enesc=sumene - return - end -#endif -c------------------------------------------------------------------------------ - subroutine gcont(rij,r0ij,eps0ij,delta,fcont,fprimcont) -C -C This procedure calculates two-body contact function g(rij) and its derivative: -C -C eps0ij ! x < -1 -C g(rij) = esp0ij*(-0.9375*x+0.625*x**3-0.1875*x**5) ! -1 =< x =< 1 -C 0 ! x > 1 -C -C where x=(rij-r0ij)/delta -C -C rij - interbody distance, r0ij - contact distance, eps0ij - contact energy -C - implicit none - double precision rij,r0ij,eps0ij,fcont,fprimcont - double precision x,x2,x4,delta -c delta=0.02D0*r0ij -c delta=0.2D0*r0ij - x=(rij-r0ij)/delta - if (x.lt.-1.0D0) then - fcont=eps0ij - fprimcont=0.0D0 - else if (x.le.1.0D0) then - x2=x*x - x4=x2*x2 - fcont=eps0ij*(x*(-0.9375D0+0.6250D0*x2-0.1875D0*x4)+0.5D0) - fprimcont=eps0ij * (-0.9375D0+1.8750D0*x2-0.9375D0*x4)/delta - else - fcont=0.0D0 - fprimcont=0.0D0 - endif - return - end -c------------------------------------------------------------------------------ - subroutine splinthet(theti,delta,ss,ssder) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.GEO' - thetup=pi-delta - thetlow=delta - if (theti.gt.pipol) then - call gcont(theti,thetup,1.0d0,delta,ss,ssder) - else - call gcont(-theti,-thetlow,1.0d0,delta,ss,ssder) - ssder=-ssder - endif - return - end -c------------------------------------------------------------------------------ - subroutine spline1(x,x0,delta,f0,f1,fprim0,f,fprim) - implicit none - double precision x,x0,delta,f0,f1,fprim0,f,fprim - double precision ksi,ksi2,ksi3,a1,a2,a3 - a1=fprim0*delta/(f1-f0) - a2=3.0d0-2.0d0*a1 - a3=a1-2.0d0 - ksi=(x-x0)/delta - ksi2=ksi*ksi - ksi3=ksi2*ksi - f=f0+(f1-f0)*ksi*(a1+ksi*(a2+a3*ksi)) - fprim=(f1-f0)/delta*(a1+ksi*(2*a2+3*ksi*a3)) - return - end -c------------------------------------------------------------------------------ - subroutine spline2(x,x0,delta,f0x,f1x,fprim0x,fx) - implicit none - double precision x,x0,delta,f0x,f1x,fprim0x,fx - double precision ksi,ksi2,ksi3,a1,a2,a3 - ksi=(x-x0)/delta - ksi2=ksi*ksi - ksi3=ksi2*ksi - a1=fprim0x*delta - a2=3*(f1x-f0x)-2*fprim0x*delta - a3=fprim0x*delta-2*(f1x-f0x) - fx=f0x+a1*ksi+a2*ksi2+a3*ksi3 - return - end -C----------------------------------------------------------------------------- -#ifdef CRYST_TOR -C----------------------------------------------------------------------------- - subroutine etor(etors,edihcnstr) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.TORSION' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.CHAIN' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.TORCNSTR' - include 'COMMON.CONTROL' - logical lprn -C Set lprn=.true. for debugging - lprn=.false. -c lprn=.true. - etors=0.0D0 - do i=iphi_start,iphi_end - etors_ii=0.0D0 - itori=itortyp(itype(i-2)) - itori1=itortyp(itype(i-1)) - phii=phi(i) - gloci=0.0D0 -C Proline-Proline pair is a special case... - if (itori.eq.3 .and. itori1.eq.3) then - if (phii.gt.-dwapi3) then - cosphi=dcos(3*phii) - fac=1.0D0/(1.0D0-cosphi) - etorsi=v1(1,3,3)*fac - etorsi=etorsi+etorsi - etors=etors+etorsi-v1(1,3,3) - if (energy_dec) etors_ii=etors_ii+etorsi-v1(1,3,3) - gloci=gloci-3*fac*etorsi*dsin(3*phii) - endif - do j=1,3 - v1ij=v1(j+1,itori,itori1) - v2ij=v2(j+1,itori,itori1) - cosphi=dcos(j*phii) - sinphi=dsin(j*phii) - etors=etors+v1ij*cosphi+v2ij*sinphi+dabs(v1ij)+dabs(v2ij) - if (energy_dec) etors_ii=etors_ii+ - & v2ij*sinphi+dabs(v1ij)+dabs(v2ij) - gloci=gloci+j*(v2ij*cosphi-v1ij*sinphi) - enddo - else - do j=1,nterm_old - v1ij=v1(j,itori,itori1) - v2ij=v2(j,itori,itori1) - cosphi=dcos(j*phii) - sinphi=dsin(j*phii) - etors=etors+v1ij*cosphi+v2ij*sinphi+dabs(v1ij)+dabs(v2ij) - if (energy_dec) etors_ii=etors_ii+ - & v1ij*cosphi+v2ij*sinphi+dabs(v1ij)+dabs(v2ij) - gloci=gloci+j*(v2ij*cosphi-v1ij*sinphi) - enddo - endif - if (energy_dec) write (iout,'(a6,i5,0pf7.3)') - & 'etor',i,etors_ii - if (lprn) - & write (iout,'(2(a3,2x,i3,2x),2i3,6f8.3/26x,6f8.3/)') - & restyp(itype(i-2)),i-2,restyp(itype(i-1)),i-1,itori,itori1, - & (v1(j,itori,itori1),j=1,6),(v2(j,itori,itori1),j=1,6) - gloc(i-3,icg)=gloc(i-3,icg)+wtor*gloci - write (iout,*) 'i=',i,' gloc=',gloc(i-3,icg) - enddo -! 6/20/98 - dihedral angle constraints - edihcnstr=0.0d0 - do i=1,ndih_constr - itori=idih_constr(i) - phii=phi(itori) - difi=phii-phi0(i) - if (difi.gt.drange(i)) then - difi=difi-drange(i) - edihcnstr=edihcnstr+0.25d0*ftors*difi**4 - gloc(itori-3,icg)=gloc(itori-3,icg)+ftors*difi**3 - else if (difi.lt.-drange(i)) then - difi=difi+drange(i) - edihcnstr=edihcnstr+0.25d0*ftors*difi**4 - gloc(itori-3,icg)=gloc(itori-3,icg)+ftors*difi**3 - endif -! write (iout,'(2i5,2f8.3,2e14.5)') i,itori,rad2deg*phii, -! & rad2deg*difi,0.25d0*ftors*difi**4,gloc(itori-3,icg) - enddo -! write (iout,*) 'edihcnstr',edihcnstr - return - end -c------------------------------------------------------------------------------ -c LICZENIE WIEZOW Z ROWNANIA ENERGII MODELLERA - subroutine e_modeller(ehomology_constr) - ehomology_constr=0.0 - write (iout,*) "!!!!!UWAGA, JESTEM W DZIWNEJ PETLI, TEST!!!!!" - return - end -C !!!!!!!! NIE CZYTANE !!!!!!!!!!! - -c------------------------------------------------------------------------------ - subroutine etor_d(etors_d) - etors_d=0.0d0 - return - end -c---------------------------------------------------------------------------- -#else - subroutine etor(etors,edihcnstr) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.TORSION' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.CHAIN' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.TORCNSTR' - include 'COMMON.CONTROL' - logical lprn -C Set lprn=.true. for debugging - lprn=.false. -c lprn=.true. - etors=0.0D0 - do i=iphi_start,iphi_end - etors_ii=0.0D0 - itori=itortyp(itype(i-2)) - itori1=itortyp(itype(i-1)) - phii=phi(i) - gloci=0.0D0 -C Regular cosine and sine terms - do j=1,nterm(itori,itori1) - v1ij=v1(j,itori,itori1) - v2ij=v2(j,itori,itori1) - cosphi=dcos(j*phii) - sinphi=dsin(j*phii) - etors=etors+v1ij*cosphi+v2ij*sinphi - if (energy_dec) etors_ii=etors_ii+ - & v1ij*cosphi+v2ij*sinphi - gloci=gloci+j*(v2ij*cosphi-v1ij*sinphi) - enddo -C Lorentz terms -C v1 -C E = SUM ----------------------------------- - v1 -C [v2 cos(phi/2)+v3 sin(phi/2)]^2 + 1 -C - cosphi=dcos(0.5d0*phii) - sinphi=dsin(0.5d0*phii) - do j=1,nlor(itori,itori1) - vl1ij=vlor1(j,itori,itori1) - vl2ij=vlor2(j,itori,itori1) - vl3ij=vlor3(j,itori,itori1) - pom=vl2ij*cosphi+vl3ij*sinphi - pom1=1.0d0/(pom*pom+1.0d0) - etors=etors+vl1ij*pom1 - if (energy_dec) etors_ii=etors_ii+ - & vl1ij*pom1 - pom=-pom*pom1*pom1 - gloci=gloci+vl1ij*(vl3ij*cosphi-vl2ij*sinphi)*pom - enddo -C Subtract the constant term - etors=etors-v0(itori,itori1) - if (energy_dec) write (iout,'(a6,i5,0pf7.3)') - & 'etor',i,etors_ii-v0(itori,itori1) - if (lprn) - & write (iout,'(2(a3,2x,i3,2x),2i3,6f8.3/26x,6f8.3/)') - & restyp(itype(i-2)),i-2,restyp(itype(i-1)),i-1,itori,itori1, - & (v1(j,itori,itori1),j=1,6),(v2(j,itori,itori1),j=1,6) - gloc(i-3,icg)=gloc(i-3,icg)+wtor*gloci -c write (iout,*) 'i=',i,' gloc=',gloc(i-3,icg) - enddo -! 6/20/98 - dihedral angle constraints - edihcnstr=0.0d0 -c do i=1,ndih_constr - do i=idihconstr_start,idihconstr_end - itori=idih_constr(i) - phii=phi(itori) - difi=pinorm(phii-phi0(i)) - if (difi.gt.drange(i)) then - difi=difi-drange(i) - edihcnstr=edihcnstr+0.25d0*ftors*difi**4 - gloc(itori-3,icg)=gloc(itori-3,icg)+ftors*difi**3 - else if (difi.lt.-drange(i)) then - difi=difi+drange(i) - edihcnstr=edihcnstr+0.25d0*ftors*difi**4 - gloc(itori-3,icg)=gloc(itori-3,icg)+ftors*difi**3 - else - difi=0.0 - endif -c write (iout,*) "gloci", gloc(i-3,icg) -cd write (iout,'(2i5,4f8.3,2e14.5)') i,itori,rad2deg*phii, -cd & rad2deg*phi0(i), rad2deg*drange(i), -cd & rad2deg*difi,0.25d0*ftors*difi**4,gloc(itori-3,icg) - enddo -cd write (iout,*) 'edihcnstr',edihcnstr - return - end -c---------------------------------------------------------------------------- -c LICZENIE WIEZOW Z ROWNANIA ENERGII MODELLERA - subroutine e_modeller(ehomology_constr) - implicit real*8 (a-h,o-z) - - integer nnn, i, j, k, ki, irec, l - integer katy, odleglosci, test7 - real*8 odleg, odleg2, odleg3, kat, kat2, kat3 - real*8 distance(799,799,19), dih_diff(799,19) - real*8 distancek(19), min_odl(799,799) - - - include 'DIMENSIONS' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.GEO' - include 'COMMON.DERIV' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.MD' - include 'COMMON.CONTROL' - - - do i=1,19 - distancek(i)=9999999.9 - enddo - - - odleg=0.0 - odleg2=0.0 - kat=0.0 - kat2=0.0 - -c LICZENIE WKLADU DO ENERGI POCHODZACEGO Z WIEZOW NA ODLEGLOSCI - do i=1, lim_odl-1 - do j=i+2, lim_odl+1 - do k=1,constr_homology - distance(i,j,k)=(odl(i,j,k)-dist(i+1,j+1)) - distancek(k)=waga_dist*((distance(i,j,k)**2)/ - & (2*(sigma_odl(i,j,k))**2)) - enddo - - min_odl(i,j)=minval(distancek) - - do k=1,constr_homology - odleg3=-waga_dist*((distance(i,j,k)**2)/ - & (2*(sigma_odl(i,j,k))**2)) - odleg2=odleg2+dexp(odleg3+min_odl(i,j)) - - write(iout,779) i,j,k, "odleg2=",odleg2, "odleg3=", odleg3, - & "dEXP(odleg3)=", dEXP(odleg3),"distance(i,j,k)^2=", - & distance(i,j,k)**2, "dist(i+1,j+1)=", dist(i+1,j+1), - & "sigma_odl(i,j,k)=", sigma_odl(i,j,k) - - enddo - odleg=odleg-dLOG(odleg2/constr_homology)+min_odl(i,j) - write(iout,778)"TEST: odleg2=", odleg2, "DLOG(odleg2)=", - & dLOG(odleg2),"-odleg=", -odleg - - odleg2=0.0 - enddo - enddo - -c LICZENIE WKLADU DO ENERGI POCHODZACEGO Z WIEZOW NA KATY W - do i=1, lim_dih - do k=1,constr_homology - dih_diff(i,k)=(dih(i,k)-beta(i+1,i+2,i+3,i+4)) - if (dih_diff(i,k).gt.3.14159) dih_diff(i,k)= - & -(6.28318-dih_diff(i,k)) - if (dih_diff(i,k).lt.-3.14159) dih_diff(i,k)= - & 6.28318+dih_diff(i,k) - - kat3=-waga_angle*((dih_diff(i,k)**2)/ - & (2*(sigma_dih(i,k))**2)) -c write(iout,*) "w(i,k)=",w(i,k),"beta=",beta(i+1,i+2,i+3,i+4) - kat2=kat2+dexp(kat3) -c write(iout,*) "kat2=", kat2, "exp(kat3)=", exp(kat3) -c write(*,*)"" - enddo - kat=kat-dLOG(kat2/constr_homology) - -ccc write(iout,778)"TEST: kat2=", kat2, "DLOG(kat2)=", -ccc & dLOG(kat2), "-kat=", -kat - - kat2=0.0 - enddo - - write(iout,748) "2odleg=", odleg, "kat=", kat,"suma=",odleg+kat - - - -c ---------------------------------------------------------------------- -c LICZENIE GRADIENTU -c ---------------------------------------------------------------------- - - sum_godl=0.0 - sum_sgodl=0.0 - -c GRADIENT DLA ODLEGLOSCI - do i=1, lim_odl-1 - do j=i+2, lim_odl+1 - do k=1,constr_homology - godl=dexp(((-(distance(i,j,k)**2)/(2*(sigma_odl(i,j,k))**2)) - & *waga_dist)+min_odl(i,j)) - sgodl=godl*((-((distance(i,j,k))/ - & ((sigma_odl(i,j,k))**2)))*waga_dist) - - sum_godl=sum_godl+godl - sum_sgodl=sum_sgodl+sgodl - -c sgodl2=sgodl2+sgodl -c write(iout,*) i, j, k, distance(i,j,k), "W GRADIENCIE1" -c write(iout,*) "constr_homology=",constr_homology -c write(iout,*) i, j, k, "TEST K" - enddo - - grad_odl3=((1/sum_godl)*sum_sgodl) - & /dist(i+1,j+1) - sum_godl=0.0 - sum_sgodl=0.0 - - -c write(iout,*) i, j, k, distance(i,j,k), "W GRADIENCIE2" -c write(iout,*) (distance(i,j,k)**2), (2*(sigma_odl(i,j,k))**2), -c & (-(distance(i,j,k)**2)/(2*(sigma_odl(i,j,k))**2)) - -ccc write(iout,*) godl, sgodl, grad_odl3 - -c grad_odl=grad_odl+grad_odl3 - - do jik=1,3 - ggodl=grad_odl3*(c(jik,i+1)-c(jik,j+1)) -ccc write(iout,*) c(jik,i+1), c(jik,j+1), (c(jik,i+1)-c(jik,j+1)) -ccc write(iout,746) "GRAD_ODL_1", i, j, jik, ggodl, -ccc & ghpbc(jik,i+1), ghpbc(jik,j+1) - ghpbc(jik,i+1)=ghpbc(jik,i+1)+ggodl - ghpbc(jik,j+1)=ghpbc(jik,j+1)-ggodl -ccc write(iout,746) "GRAD_ODL_2", i, j, jik, ggodl, -ccc & ghpbc(jik,i+1), ghpbc(jik,j+1) - - enddo - - enddo - enddo - - -c GRADIENT DLA KATOW - sum_gdih=0.0 - sum_sgdih=0.0 - do i=1, lim_dih - do k=1,constr_homology - gdih=dexp((-(dih_diff(i,k)**2)/(2*(sigma_dih(i,k))**2)) - & *waga_angle) - sgdih=gdih*((-((dih_diff(i,k))/ - & ((sigma_dih(i,k))**2)))*waga_angle) - - sum_gdih=sum_gdih+gdih - sum_sgdih=sum_sgdih+sgdih - enddo - grad_dih3=((1.0/sum_gdih)*sum_sgdih) - sum_gdih=0.0 - sum_sgdih=0.0 - -c write(iout,*)i,k,gdih,sgdih,beta(i+1,i+2,i+3,i+4),grad_dih3 -ccc write(iout,747) "GRAD_KAT_1", i, nphi, icg, grad_dih3, -ccc & gloc(nphi+i-3,icg) - gloc(i+1,icg)=gloc(i+1,icg)+grad_dih3 -ccc write(iout,747) "GRAD_KAT_2", i, nphi, icg, grad_dih3, -ccc & gloc(nphi+i-3,icg) - - enddo - - -c CALKOWITY WKLAD DO ENERGII WYNIKAJACY Z WIEZOW - ehomology_constr=odleg+kat - return - - 748 format(a8,f12.3,a6,f12.3,a7,f12.3) - 747 format(a12,i4,i4,i4,f8.3,f8.3) - 746 format(a12,i4,i4,i4,f8.3,f8.3,f8.3) - 778 format(a7,1X,f10.3,1X,a4,1X,f10.3,1X,a5,1X,f10.3) - 779 format(i3,1X,i3,1X,i2,1X,a7,1X,f7.3,1X,a7,1X,f7.3,1X,a13,1X, - & f7.3,1X,a17,1X,f9.3,1X,a10,1X,f8.3,1X,a10,1X,f8.3) - end - -c------------------------------------------------------------------------------ - - - - - subroutine etor_d(etors_d) -C 6/23/01 Compute double torsional energy - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.TORSION' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.CHAIN' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.TORCNSTR' - logical lprn -C Set lprn=.true. for debugging - lprn=.false. -c lprn=.true. - etors_d=0.0D0 - do i=iphid_start,iphid_end - itori=itortyp(itype(i-2)) - itori1=itortyp(itype(i-1)) - itori2=itortyp(itype(i)) - phii=phi(i) - phii1=phi(i+1) - gloci1=0.0D0 - gloci2=0.0D0 - do j=1,ntermd_1(itori,itori1,itori2) - v1cij=v1c(1,j,itori,itori1,itori2) - v1sij=v1s(1,j,itori,itori1,itori2) - v2cij=v1c(2,j,itori,itori1,itori2) - v2sij=v1s(2,j,itori,itori1,itori2) - cosphi1=dcos(j*phii) - sinphi1=dsin(j*phii) - cosphi2=dcos(j*phii1) - sinphi2=dsin(j*phii1) - etors_d=etors_d+v1cij*cosphi1+v1sij*sinphi1+ - & v2cij*cosphi2+v2sij*sinphi2 - gloci1=gloci1+j*(v1sij*cosphi1-v1cij*sinphi1) - gloci2=gloci2+j*(v2sij*cosphi2-v2cij*sinphi2) - enddo - do k=2,ntermd_2(itori,itori1,itori2) - do l=1,k-1 - v1cdij = v2c(k,l,itori,itori1,itori2) - v2cdij = v2c(l,k,itori,itori1,itori2) - v1sdij = v2s(k,l,itori,itori1,itori2) - v2sdij = v2s(l,k,itori,itori1,itori2) - cosphi1p2=dcos(l*phii+(k-l)*phii1) - cosphi1m2=dcos(l*phii-(k-l)*phii1) - sinphi1p2=dsin(l*phii+(k-l)*phii1) - sinphi1m2=dsin(l*phii-(k-l)*phii1) - etors_d=etors_d+v1cdij*cosphi1p2+v2cdij*cosphi1m2+ - & v1sdij*sinphi1p2+v2sdij*sinphi1m2 - gloci1=gloci1+l*(v1sdij*cosphi1p2+v2sdij*cosphi1m2 - & -v1cdij*sinphi1p2-v2cdij*sinphi1m2) - gloci2=gloci2+(k-l)*(v1sdij*cosphi1p2-v2sdij*cosphi1m2 - & -v1cdij*sinphi1p2+v2cdij*sinphi1m2) - enddo - enddo - gloc(i-3,icg)=gloc(i-3,icg)+wtor_d*gloci1 - gloc(i-2,icg)=gloc(i-2,icg)+wtor_d*gloci2 -c write (iout,*) "gloci", gloc(i-3,icg) - enddo - return - end -#endif -c------------------------------------------------------------------------------ - subroutine eback_sc_corr(esccor) -c 7/21/2007 Correlations between the backbone-local and side-chain-local -c conformational states; temporarily implemented as differences -c between UNRES torsional potentials (dependent on three types of -c residues) and the torsional potentials dependent on all 20 types -c of residues computed from AM1 energy surfaces of terminally-blocked -c amino-acid residues. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.TORSION' - include 'COMMON.SCCOR' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.CHAIN' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.CONTROL' - logical lprn -C Set lprn=.true. for debugging - lprn=.false. -c lprn=.true. -c write (iout,*) "EBACK_SC_COR",iphi_start,iphi_end,nterm_sccor - esccor=0.0D0 - do i=itau_start,itau_end - esccor_ii=0.0D0 - isccori=isccortyp(itype(i-2)) - isccori1=isccortyp(itype(i-1)) - phii=phi(i) -cccc Added 9 May 2012 -cc Tauangle is torsional engle depending on the value of first digit -c(see comment below) -cc Omicron is flat angle depending on the value of first digit -c(see comment below) - - - do intertyp=1,3 !intertyp -cc Added 09 May 2012 (Adasko) -cc Intertyp means interaction type of backbone mainchain correlation: -c 1 = SC...Ca...Ca...Ca -c 2 = Ca...Ca...Ca...SC -c 3 = SC...Ca...Ca...SCi - gloci=0.0D0 - if (((intertyp.eq.3).and.((itype(i-2).eq.10).or. - & (itype(i-1).eq.10).or.(itype(i-2).eq.21).or. - & (itype(i-1).eq.21))) - & .or. ((intertyp.eq.1).and.((itype(i-2).eq.10) - & .or.(itype(i-2).eq.21))) - & .or.((intertyp.eq.2).and.((itype(i-1).eq.10).or. - & (itype(i-1).eq.21)))) cycle - if ((intertyp.eq.2).and.(i.eq.4).and.(itype(1).eq.21)) cycle - if ((intertyp.eq.1).and.(i.eq.nres).and.(itype(nres).eq.21)) - & cycle - do j=1,nterm_sccor(isccori,isccori1) - v1ij=v1sccor(j,intertyp,isccori,isccori1) - v2ij=v2sccor(j,intertyp,isccori,isccori1) - cosphi=dcos(j*tauangle(intertyp,i)) - sinphi=dsin(j*tauangle(intertyp,i)) - esccor=esccor+v1ij*cosphi+v2ij*sinphi - gloci=gloci+j*(v2ij*cosphi-v1ij*sinphi) - enddo - gloc_sc(intertyp,i-3,icg)=gloc_sc(intertyp,i-3,icg)+wsccor*gloci -c write (iout,*) "WTF",intertyp,i,itype(i),v1ij*cosphi+v2ij*sinphi -c &gloc_sc(intertyp,i-3,icg) - if (lprn) - & write (iout,'(2(a3,2x,i3,2x),2i3,6f8.3/26x,6f8.3/)') - & restyp(itype(i-2)),i-2,restyp(itype(i-1)),i-1,itori,itori1, - & (v1sccor(j,intertyp,itori,itori1),j=1,6) - & ,(v2sccor(j,intertyp,itori,itori1),j=1,6) - gsccor_loc(i-3)=gsccor_loc(i-3)+gloci - enddo !intertyp - enddo -c do i=1,nres -c write (iout,*) "W@T@F", gloc_sc(1,i,icg),gloc(i,icg) -c enddo - return - end -c---------------------------------------------------------------------------- - subroutine multibody(ecorr) -C This subroutine calculates multi-body contributions to energy following -C the idea of Skolnick et al. If side chains I and J make a contact and -C at the same time side chains I+1 and J+1 make a contact, an extra -C contribution equal to sqrt(eps(i,j)*eps(i+1,j+1)) is added. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - double precision gx(3),gx1(3) - logical lprn - -C Set lprn=.true. for debugging - lprn=.false. - - if (lprn) then - write (iout,'(a)') 'Contact function values:' - do i=nnt,nct-2 - write (iout,'(i2,20(1x,i2,f10.5))') - & i,(jcont(j,i),facont(j,i),j=1,num_cont(i)) - enddo - endif - ecorr=0.0D0 - do i=nnt,nct - do j=1,3 - gradcorr(j,i)=0.0D0 - gradxorr(j,i)=0.0D0 - enddo - enddo - do i=nnt,nct-2 - - DO ISHIFT = 3,4 - - i1=i+ishift - num_conti=num_cont(i) - num_conti1=num_cont(i1) - do jj=1,num_conti - j=jcont(jj,i) - do kk=1,num_conti1 - j1=jcont(kk,i1) - if (j1.eq.j+ishift .or. j1.eq.j-ishift) then -cd write(iout,*)'i=',i,' j=',j,' i1=',i1,' j1=',j1, -cd & ' ishift=',ishift -C Contacts I--J and I+ISHIFT--J+-ISHIFT1 occur simultaneously. -C The system gains extra energy. - ecorr=ecorr+esccorr(i,j,i1,j1,jj,kk) - endif ! j1==j+-ishift - enddo ! kk - enddo ! jj - - ENDDO ! ISHIFT - - enddo ! i - return - end -c------------------------------------------------------------------------------ - double precision function esccorr(i,j,k,l,jj,kk) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - double precision gx(3),gx1(3) - logical lprn - lprn=.false. - eij=facont(jj,i) - ekl=facont(kk,k) -cd write (iout,'(4i5,3f10.5)') i,j,k,l,eij,ekl,-eij*ekl -C Calculate the multi-body contribution to energy. -C Calculate multi-body contributions to the gradient. -cd write (iout,'(2(2i3,3f10.5))')i,j,(gacont(m,jj,i),m=1,3), -cd & k,l,(gacont(m,kk,k),m=1,3) - do m=1,3 - gx(m) =ekl*gacont(m,jj,i) - gx1(m)=eij*gacont(m,kk,k) - gradxorr(m,i)=gradxorr(m,i)-gx(m) - gradxorr(m,j)=gradxorr(m,j)+gx(m) - gradxorr(m,k)=gradxorr(m,k)-gx1(m) - gradxorr(m,l)=gradxorr(m,l)+gx1(m) - enddo - do m=i,j-1 - do ll=1,3 - gradcorr(ll,m)=gradcorr(ll,m)+gx(ll) - enddo - enddo - do m=k,l-1 - do ll=1,3 - gradcorr(ll,m)=gradcorr(ll,m)+gx1(ll) - enddo - enddo - esccorr=-eij*ekl - return - end -c------------------------------------------------------------------------------ - subroutine multibody_hb(ecorr,ecorr5,ecorr6,n_corr,n_corr1) -C This subroutine calculates multi-body contributions to hydrogen-bonding - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' -#ifdef MPI - include "mpif.h" - parameter (max_cont=maxconts) - parameter (max_dim=26) - integer source,CorrelType,CorrelID,CorrelType1,CorrelID1,Error - double precision zapas(max_dim,maxconts,max_fg_procs), - & zapas_recv(max_dim,maxconts,max_fg_procs) - common /przechowalnia/ zapas - integer status(MPI_STATUS_SIZE),req(maxconts*2), - & status_array(MPI_STATUS_SIZE,maxconts*2) -#endif - include 'COMMON.SETUP' - include 'COMMON.FFIELD' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.CONTROL' - include 'COMMON.LOCAL' - double precision gx(3),gx1(3),time00 - logical lprn,ldone - -C Set lprn=.true. for debugging - lprn=.false. -#ifdef MPI - n_corr=0 - n_corr1=0 - if (nfgtasks.le.1) goto 30 - if (lprn) then - write (iout,'(a)') 'Contact function values before RECEIVE:' - do i=nnt,nct-2 - write (iout,'(2i3,50(1x,i2,f5.2))') - & i,num_cont_hb(i),(jcont_hb(j,i),facont_hb(j,i), - & j=1,num_cont_hb(i)) - enddo - endif - call flush(iout) - do i=1,ntask_cont_from - ncont_recv(i)=0 - enddo - do i=1,ntask_cont_to - ncont_sent(i)=0 - enddo -c write (iout,*) "ntask_cont_from",ntask_cont_from," ntask_cont_to", -c & ntask_cont_to -C Make the list of contacts to send to send to other procesors -c write (iout,*) "limits",max0(iturn4_end-1,iatel_s),iturn3_end -c call flush(iout) - do i=iturn3_start,iturn3_end -c write (iout,*) "make contact list turn3",i," num_cont", -c & num_cont_hb(i) - call add_hb_contact(i,i+2,iturn3_sent_local(1,i)) - enddo - do i=iturn4_start,iturn4_end -c write (iout,*) "make contact list turn4",i," num_cont", -c & num_cont_hb(i) - call add_hb_contact(i,i+3,iturn4_sent_local(1,i)) - enddo - do ii=1,nat_sent - i=iat_sent(ii) -c write (iout,*) "make contact list longrange",i,ii," num_cont", -c & num_cont_hb(i) - do j=1,num_cont_hb(i) - do k=1,4 - jjc=jcont_hb(j,i) - iproc=iint_sent_local(k,jjc,ii) -c write (iout,*) "i",i," j",j," k",k," jjc",jjc," iproc",iproc - if (iproc.gt.0) then - ncont_sent(iproc)=ncont_sent(iproc)+1 - nn=ncont_sent(iproc) - zapas(1,nn,iproc)=i - zapas(2,nn,iproc)=jjc - zapas(3,nn,iproc)=facont_hb(j,i) - zapas(4,nn,iproc)=ees0p(j,i) - zapas(5,nn,iproc)=ees0m(j,i) - zapas(6,nn,iproc)=gacont_hbr(1,j,i) - zapas(7,nn,iproc)=gacont_hbr(2,j,i) - zapas(8,nn,iproc)=gacont_hbr(3,j,i) - zapas(9,nn,iproc)=gacontm_hb1(1,j,i) - zapas(10,nn,iproc)=gacontm_hb1(2,j,i) - zapas(11,nn,iproc)=gacontm_hb1(3,j,i) - zapas(12,nn,iproc)=gacontp_hb1(1,j,i) - zapas(13,nn,iproc)=gacontp_hb1(2,j,i) - zapas(14,nn,iproc)=gacontp_hb1(3,j,i) - zapas(15,nn,iproc)=gacontm_hb2(1,j,i) - zapas(16,nn,iproc)=gacontm_hb2(2,j,i) - zapas(17,nn,iproc)=gacontm_hb2(3,j,i) - zapas(18,nn,iproc)=gacontp_hb2(1,j,i) - zapas(19,nn,iproc)=gacontp_hb2(2,j,i) - zapas(20,nn,iproc)=gacontp_hb2(3,j,i) - zapas(21,nn,iproc)=gacontm_hb3(1,j,i) - zapas(22,nn,iproc)=gacontm_hb3(2,j,i) - zapas(23,nn,iproc)=gacontm_hb3(3,j,i) - zapas(24,nn,iproc)=gacontp_hb3(1,j,i) - zapas(25,nn,iproc)=gacontp_hb3(2,j,i) - zapas(26,nn,iproc)=gacontp_hb3(3,j,i) - endif - enddo - enddo - enddo - if (lprn) then - write (iout,*) - & "Numbers of contacts to be sent to other processors", - & (ncont_sent(i),i=1,ntask_cont_to) - write (iout,*) "Contacts sent" - do ii=1,ntask_cont_to - nn=ncont_sent(ii) - iproc=itask_cont_to(ii) - write (iout,*) nn," contacts to processor",iproc, - & " of CONT_TO_COMM group" - do i=1,nn - write(iout,'(2f5.0,4f10.5)')(zapas(j,i,ii),j=1,5) - enddo - enddo - call flush(iout) - endif - CorrelType=477 - CorrelID=fg_rank+1 - CorrelType1=478 - CorrelID1=nfgtasks+fg_rank+1 - ireq=0 -C Receive the numbers of needed contacts from other processors - do ii=1,ntask_cont_from - iproc=itask_cont_from(ii) - ireq=ireq+1 - call MPI_Irecv(ncont_recv(ii),1,MPI_INTEGER,iproc,CorrelType, - & FG_COMM,req(ireq),IERR) - enddo -c write (iout,*) "IRECV ended" -c call flush(iout) -C Send the number of contacts needed by other processors - do ii=1,ntask_cont_to - iproc=itask_cont_to(ii) - ireq=ireq+1 - call MPI_Isend(ncont_sent(ii),1,MPI_INTEGER,iproc,CorrelType, - & FG_COMM,req(ireq),IERR) - enddo -c write (iout,*) "ISEND ended" -c write (iout,*) "number of requests (nn)",ireq - call flush(iout) - if (ireq.gt.0) - & call MPI_Waitall(ireq,req,status_array,ierr) -c write (iout,*) -c & "Numbers of contacts to be received from other processors", -c & (ncont_recv(i),i=1,ntask_cont_from) -c call flush(iout) -C Receive contacts - ireq=0 - do ii=1,ntask_cont_from - iproc=itask_cont_from(ii) - nn=ncont_recv(ii) -c write (iout,*) "Receiving",nn," contacts from processor",iproc, -c & " of CONT_TO_COMM group" - call flush(iout) - if (nn.gt.0) then - ireq=ireq+1 - call MPI_Irecv(zapas_recv(1,1,ii),nn*max_dim, - & MPI_DOUBLE_PRECISION,iproc,CorrelType1,FG_COMM,req(ireq),IERR) -c write (iout,*) "ireq,req",ireq,req(ireq) - endif - enddo -C Send the contacts to processors that need them - do ii=1,ntask_cont_to - iproc=itask_cont_to(ii) - nn=ncont_sent(ii) -c write (iout,*) nn," contacts to processor",iproc, -c & " of CONT_TO_COMM group" - if (nn.gt.0) then - ireq=ireq+1 - call MPI_Isend(zapas(1,1,ii),nn*max_dim,MPI_DOUBLE_PRECISION, - & iproc,CorrelType1,FG_COMM,req(ireq),IERR) -c write (iout,*) "ireq,req",ireq,req(ireq) -c do i=1,nn -c write(iout,'(2f5.0,4f10.5)')(zapas(j,i,ii),j=1,5) -c enddo - endif - enddo -c write (iout,*) "number of requests (contacts)",ireq -c write (iout,*) "req",(req(i),i=1,4) -c call flush(iout) - if (ireq.gt.0) - & call MPI_Waitall(ireq,req,status_array,ierr) - do iii=1,ntask_cont_from - iproc=itask_cont_from(iii) - nn=ncont_recv(iii) - if (lprn) then - write (iout,*) "Received",nn," contacts from processor",iproc, - & " of CONT_FROM_COMM group" - call flush(iout) - do i=1,nn - write(iout,'(2f5.0,4f10.5)')(zapas_recv(j,i,iii),j=1,5) - enddo - call flush(iout) - endif - do i=1,nn - ii=zapas_recv(1,i,iii) -c Flag the received contacts to prevent double-counting - jj=-zapas_recv(2,i,iii) -c write (iout,*) "iii",iii," i",i," ii",ii," jj",jj -c call flush(iout) - nnn=num_cont_hb(ii)+1 - num_cont_hb(ii)=nnn - jcont_hb(nnn,ii)=jj - facont_hb(nnn,ii)=zapas_recv(3,i,iii) - ees0p(nnn,ii)=zapas_recv(4,i,iii) - ees0m(nnn,ii)=zapas_recv(5,i,iii) - gacont_hbr(1,nnn,ii)=zapas_recv(6,i,iii) - gacont_hbr(2,nnn,ii)=zapas_recv(7,i,iii) - gacont_hbr(3,nnn,ii)=zapas_recv(8,i,iii) - gacontm_hb1(1,nnn,ii)=zapas_recv(9,i,iii) - gacontm_hb1(2,nnn,ii)=zapas_recv(10,i,iii) - gacontm_hb1(3,nnn,ii)=zapas_recv(11,i,iii) - gacontp_hb1(1,nnn,ii)=zapas_recv(12,i,iii) - gacontp_hb1(2,nnn,ii)=zapas_recv(13,i,iii) - gacontp_hb1(3,nnn,ii)=zapas_recv(14,i,iii) - gacontm_hb2(1,nnn,ii)=zapas_recv(15,i,iii) - gacontm_hb2(2,nnn,ii)=zapas_recv(16,i,iii) - gacontm_hb2(3,nnn,ii)=zapas_recv(17,i,iii) - gacontp_hb2(1,nnn,ii)=zapas_recv(18,i,iii) - gacontp_hb2(2,nnn,ii)=zapas_recv(19,i,iii) - gacontp_hb2(3,nnn,ii)=zapas_recv(20,i,iii) - gacontm_hb3(1,nnn,ii)=zapas_recv(21,i,iii) - gacontm_hb3(2,nnn,ii)=zapas_recv(22,i,iii) - gacontm_hb3(3,nnn,ii)=zapas_recv(23,i,iii) - gacontp_hb3(1,nnn,ii)=zapas_recv(24,i,iii) - gacontp_hb3(2,nnn,ii)=zapas_recv(25,i,iii) - gacontp_hb3(3,nnn,ii)=zapas_recv(26,i,iii) - enddo - enddo - call flush(iout) - if (lprn) then - write (iout,'(a)') 'Contact function values after receive:' - do i=nnt,nct-2 - write (iout,'(2i3,50(1x,i3,f5.2))') - & i,num_cont_hb(i),(jcont_hb(j,i),facont_hb(j,i), - & j=1,num_cont_hb(i)) - enddo - call flush(iout) - endif - 30 continue -#endif - if (lprn) then - write (iout,'(a)') 'Contact function values:' - do i=nnt,nct-2 - write (iout,'(2i3,50(1x,i3,f5.2))') - & i,num_cont_hb(i),(jcont_hb(j,i),facont_hb(j,i), - & j=1,num_cont_hb(i)) - enddo - endif - ecorr=0.0D0 -C Remove the loop below after debugging !!! - do i=nnt,nct - do j=1,3 - gradcorr(j,i)=0.0D0 - gradxorr(j,i)=0.0D0 - enddo - enddo -C Calculate the local-electrostatic correlation terms - do i=min0(iatel_s,iturn4_start),max0(iatel_e,iturn3_end) - i1=i+1 - num_conti=num_cont_hb(i) - num_conti1=num_cont_hb(i+1) - do jj=1,num_conti - j=jcont_hb(jj,i) - jp=iabs(j) - do kk=1,num_conti1 - j1=jcont_hb(kk,i1) - jp1=iabs(j1) -c write (iout,*) 'i=',i,' j=',j,' i1=',i1,' j1=',j1, -c & ' jj=',jj,' kk=',kk - if ((j.gt.0 .and. j1.gt.0 .or. j.gt.0 .and. j1.lt.0 - & .or. j.lt.0 .and. j1.gt.0) .and. - & (jp1.eq.jp+1 .or. jp1.eq.jp-1)) then -C Contacts I-J and (I+1)-(J+1) or (I+1)-(J-1) occur simultaneously. -C The system gains extra energy. - ecorr=ecorr+ehbcorr(i,jp,i+1,jp1,jj,kk,0.72D0,0.32D0) - if (energy_dec) write (iout,'(a6,2i5,0pf7.3)') - & 'ecorrh',i,j,ehbcorr(i,j,i+1,j1,jj,kk,0.72D0,0.32D0) - n_corr=n_corr+1 - else if (j1.eq.j) then -C Contacts I-J and I-(J+1) occur simultaneously. -C The system loses extra energy. -c ecorr=ecorr+ehbcorr(i,j,i+1,j,jj,kk,0.60D0,-0.40D0) - endif - enddo ! kk - do kk=1,num_conti - j1=jcont_hb(kk,i) -c write (iout,*) 'i=',i,' j=',j,' i1=',i1,' j1=',j1, -c & ' jj=',jj,' kk=',kk - if (j1.eq.j+1) then -C Contacts I-J and (I+1)-J occur simultaneously. -C The system loses extra energy. -c ecorr=ecorr+ehbcorr(i,j,i,j+1,jj,kk,0.60D0,-0.40D0) - endif ! j1==j+1 - enddo ! kk - enddo ! jj - enddo ! i - return - end -c------------------------------------------------------------------------------ - subroutine add_hb_contact(ii,jj,itask) - implicit real*8 (a-h,o-z) - include "DIMENSIONS" - include "COMMON.IOUNITS" - integer max_cont - integer max_dim - parameter (max_cont=maxconts) - parameter (max_dim=26) - include "COMMON.CONTACTS" - double precision zapas(max_dim,maxconts,max_fg_procs), - & zapas_recv(max_dim,maxconts,max_fg_procs) - common /przechowalnia/ zapas - integer i,j,ii,jj,iproc,itask(4),nn -c write (iout,*) "itask",itask - do i=1,2 - iproc=itask(i) - if (iproc.gt.0) then - do j=1,num_cont_hb(ii) - jjc=jcont_hb(j,ii) -c write (iout,*) "i",ii," j",jj," jjc",jjc - if (jjc.eq.jj) then - ncont_sent(iproc)=ncont_sent(iproc)+1 - nn=ncont_sent(iproc) - zapas(1,nn,iproc)=ii - zapas(2,nn,iproc)=jjc - zapas(3,nn,iproc)=facont_hb(j,ii) - zapas(4,nn,iproc)=ees0p(j,ii) - zapas(5,nn,iproc)=ees0m(j,ii) - zapas(6,nn,iproc)=gacont_hbr(1,j,ii) - zapas(7,nn,iproc)=gacont_hbr(2,j,ii) - zapas(8,nn,iproc)=gacont_hbr(3,j,ii) - zapas(9,nn,iproc)=gacontm_hb1(1,j,ii) - zapas(10,nn,iproc)=gacontm_hb1(2,j,ii) - zapas(11,nn,iproc)=gacontm_hb1(3,j,ii) - zapas(12,nn,iproc)=gacontp_hb1(1,j,ii) - zapas(13,nn,iproc)=gacontp_hb1(2,j,ii) - zapas(14,nn,iproc)=gacontp_hb1(3,j,ii) - zapas(15,nn,iproc)=gacontm_hb2(1,j,ii) - zapas(16,nn,iproc)=gacontm_hb2(2,j,ii) - zapas(17,nn,iproc)=gacontm_hb2(3,j,ii) - zapas(18,nn,iproc)=gacontp_hb2(1,j,ii) - zapas(19,nn,iproc)=gacontp_hb2(2,j,ii) - zapas(20,nn,iproc)=gacontp_hb2(3,j,ii) - zapas(21,nn,iproc)=gacontm_hb3(1,j,ii) - zapas(22,nn,iproc)=gacontm_hb3(2,j,ii) - zapas(23,nn,iproc)=gacontm_hb3(3,j,ii) - zapas(24,nn,iproc)=gacontp_hb3(1,j,ii) - zapas(25,nn,iproc)=gacontp_hb3(2,j,ii) - zapas(26,nn,iproc)=gacontp_hb3(3,j,ii) - exit - endif - enddo - endif - enddo - return - end -c------------------------------------------------------------------------------ - subroutine multibody_eello(ecorr,ecorr5,ecorr6,eturn6,n_corr, - & n_corr1) -C This subroutine calculates multi-body contributions to hydrogen-bonding - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' -#ifdef MPI - include "mpif.h" - parameter (max_cont=maxconts) - parameter (max_dim=70) - integer source,CorrelType,CorrelID,CorrelType1,CorrelID1,Error - double precision zapas(max_dim,maxconts,max_fg_procs), - & zapas_recv(max_dim,maxconts,max_fg_procs) - common /przechowalnia/ zapas - integer status(MPI_STATUS_SIZE),req(maxconts*2), - & status_array(MPI_STATUS_SIZE,maxconts*2) -#endif - include 'COMMON.SETUP' - include 'COMMON.FFIELD' - include 'COMMON.DERIV' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.CHAIN' - include 'COMMON.CONTROL' - double precision gx(3),gx1(3) - integer num_cont_hb_old(maxres) - logical lprn,ldone - double precision eello4,eello5,eelo6,eello_turn6 - external eello4,eello5,eello6,eello_turn6 -C Set lprn=.true. for debugging - lprn=.false. - eturn6=0.0d0 -#ifdef MPI - do i=1,nres - num_cont_hb_old(i)=num_cont_hb(i) - enddo - n_corr=0 - n_corr1=0 - if (nfgtasks.le.1) goto 30 - if (lprn) then - write (iout,'(a)') 'Contact function values before RECEIVE:' - do i=nnt,nct-2 - write (iout,'(2i3,50(1x,i2,f5.2))') - & i,num_cont_hb(i),(jcont_hb(j,i),facont_hb(j,i), - & j=1,num_cont_hb(i)) - enddo - endif - call flush(iout) - do i=1,ntask_cont_from - ncont_recv(i)=0 - enddo - do i=1,ntask_cont_to - ncont_sent(i)=0 - enddo -c write (iout,*) "ntask_cont_from",ntask_cont_from," ntask_cont_to", -c & ntask_cont_to -C Make the list of contacts to send to send to other procesors - do i=iturn3_start,iturn3_end -c write (iout,*) "make contact list turn3",i," num_cont", -c & num_cont_hb(i) - call add_hb_contact_eello(i,i+2,iturn3_sent_local(1,i)) - enddo - do i=iturn4_start,iturn4_end -c write (iout,*) "make contact list turn4",i," num_cont", -c & num_cont_hb(i) - call add_hb_contact_eello(i,i+3,iturn4_sent_local(1,i)) - enddo - do ii=1,nat_sent - i=iat_sent(ii) -c write (iout,*) "make contact list longrange",i,ii," num_cont", -c & num_cont_hb(i) - do j=1,num_cont_hb(i) - do k=1,4 - jjc=jcont_hb(j,i) - iproc=iint_sent_local(k,jjc,ii) -c write (iout,*) "i",i," j",j," k",k," jjc",jjc," iproc",iproc - if (iproc.ne.0) then - ncont_sent(iproc)=ncont_sent(iproc)+1 - nn=ncont_sent(iproc) - zapas(1,nn,iproc)=i - zapas(2,nn,iproc)=jjc - zapas(3,nn,iproc)=d_cont(j,i) - ind=3 - do kk=1,3 - ind=ind+1 - zapas(ind,nn,iproc)=grij_hb_cont(kk,j,i) - enddo - do kk=1,2 - do ll=1,2 - ind=ind+1 - zapas(ind,nn,iproc)=a_chuj(ll,kk,j,i) - enddo - enddo - do jj=1,5 - do kk=1,3 - do ll=1,2 - do mm=1,2 - ind=ind+1 - zapas(ind,nn,iproc)=a_chuj_der(mm,ll,kk,jj,j,i) - enddo - enddo - enddo - enddo - endif - enddo - enddo - enddo - if (lprn) then - write (iout,*) - & "Numbers of contacts to be sent to other processors", - & (ncont_sent(i),i=1,ntask_cont_to) - write (iout,*) "Contacts sent" - do ii=1,ntask_cont_to - nn=ncont_sent(ii) - iproc=itask_cont_to(ii) - write (iout,*) nn," contacts to processor",iproc, - & " of CONT_TO_COMM group" - do i=1,nn - write(iout,'(2f5.0,10f10.5)')(zapas(j,i,ii),j=1,10) - enddo - enddo - call flush(iout) - endif - CorrelType=477 - CorrelID=fg_rank+1 - CorrelType1=478 - CorrelID1=nfgtasks+fg_rank+1 - ireq=0 -C Receive the numbers of needed contacts from other processors - do ii=1,ntask_cont_from - iproc=itask_cont_from(ii) - ireq=ireq+1 - call MPI_Irecv(ncont_recv(ii),1,MPI_INTEGER,iproc,CorrelType, - & FG_COMM,req(ireq),IERR) - enddo -c write (iout,*) "IRECV ended" -c call flush(iout) -C Send the number of contacts needed by other processors - do ii=1,ntask_cont_to - iproc=itask_cont_to(ii) - ireq=ireq+1 - call MPI_Isend(ncont_sent(ii),1,MPI_INTEGER,iproc,CorrelType, - & FG_COMM,req(ireq),IERR) - enddo -c write (iout,*) "ISEND ended" -c write (iout,*) "number of requests (nn)",ireq - call flush(iout) - if (ireq.gt.0) - & call MPI_Waitall(ireq,req,status_array,ierr) -c write (iout,*) -c & "Numbers of contacts to be received from other processors", -c & (ncont_recv(i),i=1,ntask_cont_from) -c call flush(iout) -C Receive contacts - ireq=0 - do ii=1,ntask_cont_from - iproc=itask_cont_from(ii) - nn=ncont_recv(ii) -c write (iout,*) "Receiving",nn," contacts from processor",iproc, -c & " of CONT_TO_COMM group" - call flush(iout) - if (nn.gt.0) then - ireq=ireq+1 - call MPI_Irecv(zapas_recv(1,1,ii),nn*max_dim, - & MPI_DOUBLE_PRECISION,iproc,CorrelType1,FG_COMM,req(ireq),IERR) -c write (iout,*) "ireq,req",ireq,req(ireq) - endif - enddo -C Send the contacts to processors that need them - do ii=1,ntask_cont_to - iproc=itask_cont_to(ii) - nn=ncont_sent(ii) -c write (iout,*) nn," contacts to processor",iproc, -c & " of CONT_TO_COMM group" - if (nn.gt.0) then - ireq=ireq+1 - call MPI_Isend(zapas(1,1,ii),nn*max_dim,MPI_DOUBLE_PRECISION, - & iproc,CorrelType1,FG_COMM,req(ireq),IERR) -c write (iout,*) "ireq,req",ireq,req(ireq) -c do i=1,nn -c write(iout,'(2f5.0,4f10.5)')(zapas(j,i,ii),j=1,5) -c enddo - endif - enddo -c write (iout,*) "number of requests (contacts)",ireq -c write (iout,*) "req",(req(i),i=1,4) -c call flush(iout) - if (ireq.gt.0) - & call MPI_Waitall(ireq,req,status_array,ierr) - do iii=1,ntask_cont_from - iproc=itask_cont_from(iii) - nn=ncont_recv(iii) - if (lprn) then - write (iout,*) "Received",nn," contacts from processor",iproc, - & " of CONT_FROM_COMM group" - call flush(iout) - do i=1,nn - write(iout,'(2f5.0,10f10.5)')(zapas_recv(j,i,iii),j=1,10) - enddo - call flush(iout) - endif - do i=1,nn - ii=zapas_recv(1,i,iii) -c Flag the received contacts to prevent double-counting - jj=-zapas_recv(2,i,iii) -c write (iout,*) "iii",iii," i",i," ii",ii," jj",jj -c call flush(iout) - nnn=num_cont_hb(ii)+1 - num_cont_hb(ii)=nnn - jcont_hb(nnn,ii)=jj - d_cont(nnn,ii)=zapas_recv(3,i,iii) - ind=3 - do kk=1,3 - ind=ind+1 - grij_hb_cont(kk,nnn,ii)=zapas_recv(ind,i,iii) - enddo - do kk=1,2 - do ll=1,2 - ind=ind+1 - a_chuj(ll,kk,nnn,ii)=zapas_recv(ind,i,iii) - enddo - enddo - do jj=1,5 - do kk=1,3 - do ll=1,2 - do mm=1,2 - ind=ind+1 - a_chuj_der(mm,ll,kk,jj,nnn,ii)=zapas_recv(ind,i,iii) - enddo - enddo - enddo - enddo - enddo - enddo - call flush(iout) - if (lprn) then - write (iout,'(a)') 'Contact function values after receive:' - do i=nnt,nct-2 - write (iout,'(2i3,50(1x,i3,5f6.3))') - & i,num_cont_hb(i),(jcont_hb(j,i),d_cont(j,i), - & ((a_chuj(ll,kk,j,i),ll=1,2),kk=1,2),j=1,num_cont_hb(i)) - enddo - call flush(iout) - endif - 30 continue -#endif - if (lprn) then - write (iout,'(a)') 'Contact function values:' - do i=nnt,nct-2 - write (iout,'(2i3,50(1x,i2,5f6.3))') - & i,num_cont_hb(i),(jcont_hb(j,i),d_cont(j,i), - & ((a_chuj(ll,kk,j,i),ll=1,2),kk=1,2),j=1,num_cont_hb(i)) - enddo - endif - ecorr=0.0D0 - ecorr5=0.0d0 - ecorr6=0.0d0 -C Remove the loop below after debugging !!! - do i=nnt,nct - do j=1,3 - gradcorr(j,i)=0.0D0 - gradxorr(j,i)=0.0D0 - enddo - enddo -C Calculate the dipole-dipole interaction energies - if (wcorr6.gt.0.0d0 .or. wturn6.gt.0.0d0) then - do i=iatel_s,iatel_e+1 - num_conti=num_cont_hb(i) - do jj=1,num_conti - j=jcont_hb(jj,i) -#ifdef MOMENT - call dipole(i,j,jj) -#endif - enddo - enddo - endif -C Calculate the local-electrostatic correlation terms -c write (iout,*) "gradcorr5 in eello5 before loop" -c do iii=1,nres -c write (iout,'(i5,3f10.5)') -c & iii,(gradcorr5(jjj,iii),jjj=1,3) -c enddo - do i=min0(iatel_s,iturn4_start),max0(iatel_e+1,iturn3_end+1) -c write (iout,*) "corr loop i",i - i1=i+1 - num_conti=num_cont_hb(i) - num_conti1=num_cont_hb(i+1) - do jj=1,num_conti - j=jcont_hb(jj,i) - jp=iabs(j) - do kk=1,num_conti1 - j1=jcont_hb(kk,i1) - jp1=iabs(j1) -c write (iout,*) 'i=',i,' j=',j,' i1=',i1,' j1=',j1, -c & ' jj=',jj,' kk=',kk -c if (j1.eq.j+1 .or. j1.eq.j-1) then - if ((j.gt.0 .and. j1.gt.0 .or. j.gt.0 .and. j1.lt.0 - & .or. j.lt.0 .and. j1.gt.0) .and. - & (jp1.eq.jp+1 .or. jp1.eq.jp-1)) then -C Contacts I-J and (I+1)-(J+1) or (I+1)-(J-1) occur simultaneously. -C The system gains extra energy. - n_corr=n_corr+1 - sqd1=dsqrt(d_cont(jj,i)) - sqd2=dsqrt(d_cont(kk,i1)) - sred_geom = sqd1*sqd2 - IF (sred_geom.lt.cutoff_corr) THEN - call gcont(sred_geom,r0_corr,1.0D0,delt_corr, - & ekont,fprimcont) -cd write (iout,*) 'i=',i,' j=',jp,' i1=',i1,' j1=',jp1, -cd & ' jj=',jj,' kk=',kk - fac_prim1=0.5d0*sqd2/sqd1*fprimcont - fac_prim2=0.5d0*sqd1/sqd2*fprimcont - do l=1,3 - g_contij(l,1)=fac_prim1*grij_hb_cont(l,jj,i) - g_contij(l,2)=fac_prim2*grij_hb_cont(l,kk,i1) - enddo - n_corr1=n_corr1+1 -cd write (iout,*) 'sred_geom=',sred_geom, -cd & ' ekont=',ekont,' fprim=',fprimcont, -cd & ' fac_prim1',fac_prim1,' fac_prim2',fac_prim2 -cd write (iout,*) "g_contij",g_contij -cd write (iout,*) "grij_hb_cont i",grij_hb_cont(:,jj,i) -cd write (iout,*) "grij_hb_cont i1",grij_hb_cont(:,jj,i1) - call calc_eello(i,jp,i+1,jp1,jj,kk) - if (wcorr4.gt.0.0d0) - & ecorr=ecorr+eello4(i,jp,i+1,jp1,jj,kk) - if (energy_dec.and.wcorr4.gt.0.0d0) - 1 write (iout,'(a6,4i5,0pf7.3)') - 2 'ecorr4',i,j,i+1,j1,eello4(i,jp,i+1,jp1,jj,kk) -c write (iout,*) "gradcorr5 before eello5" -c do iii=1,nres -c write (iout,'(i5,3f10.5)') -c & iii,(gradcorr5(jjj,iii),jjj=1,3) -c enddo - if (wcorr5.gt.0.0d0) - & ecorr5=ecorr5+eello5(i,jp,i+1,jp1,jj,kk) -c write (iout,*) "gradcorr5 after eello5" -c do iii=1,nres -c write (iout,'(i5,3f10.5)') -c & iii,(gradcorr5(jjj,iii),jjj=1,3) -c enddo - if (energy_dec.and.wcorr5.gt.0.0d0) - 1 write (iout,'(a6,4i5,0pf7.3)') - 2 'ecorr5',i,j,i+1,j1,eello5(i,jp,i+1,jp1,jj,kk) -cd write(2,*)'wcorr6',wcorr6,' wturn6',wturn6 -cd write(2,*)'ijkl',i,jp,i+1,jp1 - if (wcorr6.gt.0.0d0 .and. (jp.ne.i+4 .or. jp1.ne.i+3 - & .or. wturn6.eq.0.0d0))then -cd write (iout,*) '******ecorr6: i,j,i+1,j1',i,j,i+1,j1 - ecorr6=ecorr6+eello6(i,jp,i+1,jp1,jj,kk) - if (energy_dec) write (iout,'(a6,4i5,0pf7.3)') - 1 'ecorr6',i,j,i+1,j1,eello6(i,jp,i+1,jp1,jj,kk) -cd write (iout,*) 'ecorr',ecorr,' ecorr5=',ecorr5, -cd & 'ecorr6=',ecorr6 -cd write (iout,'(4e15.5)') sred_geom, -cd & dabs(eello4(i,jp,i+1,jp1,jj,kk)), -cd & dabs(eello5(i,jp,i+1,jp1,jj,kk)), -cd & dabs(eello6(i,jp,i+1,jp1,jj,kk)) - else if (wturn6.gt.0.0d0 - & .and. (jp.eq.i+4 .and. jp1.eq.i+3)) then -cd write (iout,*) '******eturn6: i,j,i+1,j1',i,jip,i+1,jp1 - eturn6=eturn6+eello_turn6(i,jj,kk) - if (energy_dec) write (iout,'(a6,4i5,0pf7.3)') - 1 'eturn6',i,j,i+1,j1,eello_turn6(i,jj,kk) -cd write (2,*) 'multibody_eello:eturn6',eturn6 - endif - ENDIF -1111 continue - endif - enddo ! kk - enddo ! jj - enddo ! i - do i=1,nres - num_cont_hb(i)=num_cont_hb_old(i) - enddo -c write (iout,*) "gradcorr5 in eello5" -c do iii=1,nres -c write (iout,'(i5,3f10.5)') -c & iii,(gradcorr5(jjj,iii),jjj=1,3) -c enddo - return - end -c------------------------------------------------------------------------------ - subroutine add_hb_contact_eello(ii,jj,itask) - implicit real*8 (a-h,o-z) - include "DIMENSIONS" - include "COMMON.IOUNITS" - integer max_cont - integer max_dim - parameter (max_cont=maxconts) - parameter (max_dim=70) - include "COMMON.CONTACTS" - double precision zapas(max_dim,maxconts,max_fg_procs), - & zapas_recv(max_dim,maxconts,max_fg_procs) - common /przechowalnia/ zapas - integer i,j,ii,jj,iproc,itask(4),nn -c write (iout,*) "itask",itask - do i=1,2 - iproc=itask(i) - if (iproc.gt.0) then - do j=1,num_cont_hb(ii) - jjc=jcont_hb(j,ii) -c write (iout,*) "send turns i",ii," j",jj," jjc",jjc - if (jjc.eq.jj) then - ncont_sent(iproc)=ncont_sent(iproc)+1 - nn=ncont_sent(iproc) - zapas(1,nn,iproc)=ii - zapas(2,nn,iproc)=jjc - zapas(3,nn,iproc)=d_cont(j,ii) - ind=3 - do kk=1,3 - ind=ind+1 - zapas(ind,nn,iproc)=grij_hb_cont(kk,j,ii) - enddo - do kk=1,2 - do ll=1,2 - ind=ind+1 - zapas(ind,nn,iproc)=a_chuj(ll,kk,j,ii) - enddo - enddo - do jj=1,5 - do kk=1,3 - do ll=1,2 - do mm=1,2 - ind=ind+1 - zapas(ind,nn,iproc)=a_chuj_der(mm,ll,kk,jj,j,ii) - enddo - enddo - enddo - enddo - exit - endif - enddo - endif - enddo - return - end -c------------------------------------------------------------------------------ - double precision function ehbcorr(i,j,k,l,jj,kk,coeffp,coeffm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - double precision gx(3),gx1(3) - logical lprn - lprn=.false. - eij=facont_hb(jj,i) - ekl=facont_hb(kk,k) - ees0pij=ees0p(jj,i) - ees0pkl=ees0p(kk,k) - ees0mij=ees0m(jj,i) - ees0mkl=ees0m(kk,k) - ekont=eij*ekl - ees=-(coeffp*ees0pij*ees0pkl+coeffm*ees0mij*ees0mkl) -cd ees=-(coeffp*ees0pkl+coeffm*ees0mkl) -C Following 4 lines for diagnostics. -cd ees0pkl=0.0D0 -cd ees0pij=1.0D0 -cd ees0mkl=0.0D0 -cd ees0mij=1.0D0 -c write (iout,'(2(a,2i3,a,f10.5,a,2f10.5),a,f10.5,a,$)') -c & 'Contacts ',i,j, -c & ' eij',eij,' eesij',ees0pij,ees0mij,' and ',k,l -c & ,' fcont ',ekl,' eeskl',ees0pkl,ees0mkl,' energy=',ekont*ees, -c & 'gradcorr_long' -C Calculate the multi-body contribution to energy. -c ecorr=ecorr+ekont*ees -C Calculate multi-body contributions to the gradient. - coeffpees0pij=coeffp*ees0pij - coeffmees0mij=coeffm*ees0mij - coeffpees0pkl=coeffp*ees0pkl - coeffmees0mkl=coeffm*ees0mkl - do ll=1,3 -cgrad ghalfi=ees*ekl*gacont_hbr(ll,jj,i) - gradcorr(ll,i)=gradcorr(ll,i)!+0.5d0*ghalfi - & -ekont*(coeffpees0pkl*gacontp_hb1(ll,jj,i)+ - & coeffmees0mkl*gacontm_hb1(ll,jj,i)) - gradcorr(ll,j)=gradcorr(ll,j)!+0.5d0*ghalfi - & -ekont*(coeffpees0pkl*gacontp_hb2(ll,jj,i)+ - & coeffmees0mkl*gacontm_hb2(ll,jj,i)) -cgrad ghalfk=ees*eij*gacont_hbr(ll,kk,k) - gradcorr(ll,k)=gradcorr(ll,k)!+0.5d0*ghalfk - & -ekont*(coeffpees0pij*gacontp_hb1(ll,kk,k)+ - & coeffmees0mij*gacontm_hb1(ll,kk,k)) - gradcorr(ll,l)=gradcorr(ll,l)!+0.5d0*ghalfk - & -ekont*(coeffpees0pij*gacontp_hb2(ll,kk,k)+ - & coeffmees0mij*gacontm_hb2(ll,kk,k)) - gradlongij=ees*ekl*gacont_hbr(ll,jj,i)- - & ekont*(coeffpees0pkl*gacontp_hb3(ll,jj,i)+ - & coeffmees0mkl*gacontm_hb3(ll,jj,i)) - gradcorr_long(ll,j)=gradcorr_long(ll,j)+gradlongij - gradcorr_long(ll,i)=gradcorr_long(ll,i)-gradlongij - gradlongkl=ees*eij*gacont_hbr(ll,kk,k)- - & ekont*(coeffpees0pij*gacontp_hb3(ll,kk,k)+ - & coeffmees0mij*gacontm_hb3(ll,kk,k)) - gradcorr_long(ll,l)=gradcorr_long(ll,l)+gradlongkl - gradcorr_long(ll,k)=gradcorr_long(ll,k)-gradlongkl -c write (iout,'(2f10.5,2x,$)') gradlongij,gradlongkl - enddo -c write (iout,*) -cgrad do m=i+1,j-1 -cgrad do ll=1,3 -cgrad gradcorr(ll,m)=gradcorr(ll,m)+ -cgrad & ees*ekl*gacont_hbr(ll,jj,i)- -cgrad & ekont*(coeffp*ees0pkl*gacontp_hb3(ll,jj,i)+ -cgrad & coeffm*ees0mkl*gacontm_hb3(ll,jj,i)) -cgrad enddo -cgrad enddo -cgrad do m=k+1,l-1 -cgrad do ll=1,3 -cgrad gradcorr(ll,m)=gradcorr(ll,m)+ -cgrad & ees*eij*gacont_hbr(ll,kk,k)- -cgrad & ekont*(coeffp*ees0pij*gacontp_hb3(ll,kk,k)+ -cgrad & coeffm*ees0mij*gacontm_hb3(ll,kk,k)) -cgrad enddo -cgrad enddo -c write (iout,*) "ehbcorr",ekont*ees - ehbcorr=ekont*ees - return - end -#ifdef MOMENT -C--------------------------------------------------------------------------- - subroutine dipole(i,j,jj) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.FFIELD' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - dimension dipi(2,2),dipj(2,2),dipderi(2),dipderj(2),auxvec(2), - & auxmat(2,2) - iti1 = itortyp(itype(i+1)) - if (j.lt.nres-1) then - itj1 = itortyp(itype(j+1)) - else - itj1=ntortyp+1 - endif - do iii=1,2 - dipi(iii,1)=Ub2(iii,i) - dipderi(iii)=Ub2der(iii,i) - dipi(iii,2)=b1(iii,iti1) - dipj(iii,1)=Ub2(iii,j) - dipderj(iii)=Ub2der(iii,j) - dipj(iii,2)=b1(iii,itj1) - enddo - kkk=0 - do iii=1,2 - call matvec2(a_chuj(1,1,jj,i),dipj(1,iii),auxvec(1)) - do jjj=1,2 - kkk=kkk+1 - dip(kkk,jj,i)=scalar2(dipi(1,jjj),auxvec(1)) - enddo - enddo - do kkk=1,5 - do lll=1,3 - mmm=0 - do iii=1,2 - call matvec2(a_chuj_der(1,1,lll,kkk,jj,i),dipj(1,iii), - & auxvec(1)) - do jjj=1,2 - mmm=mmm+1 - dipderx(lll,kkk,mmm,jj,i)=scalar2(dipi(1,jjj),auxvec(1)) - enddo - enddo - enddo - enddo - call transpose2(a_chuj(1,1,jj,i),auxmat(1,1)) - call matvec2(auxmat(1,1),dipderi(1),auxvec(1)) - do iii=1,2 - dipderg(iii,jj,i)=scalar2(auxvec(1),dipj(1,iii)) - enddo - call matvec2(a_chuj(1,1,jj,i),dipderj(1),auxvec(1)) - do iii=1,2 - dipderg(iii+2,jj,i)=scalar2(auxvec(1),dipi(1,iii)) - enddo - return - end -#endif -C--------------------------------------------------------------------------- - subroutine calc_eello(i,j,k,l,jj,kk) -C -C This subroutine computes matrices and vectors needed to calculate -C the fourth-, fifth-, and sixth-order local-electrostatic terms. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.FFIELD' - double precision aa1(2,2),aa2(2,2),aa1t(2,2),aa2t(2,2), - & aa1tder(2,2,3,5),aa2tder(2,2,3,5),auxmat(2,2) - logical lprn - common /kutas/ lprn -cd write (iout,*) 'calc_eello: i=',i,' j=',j,' k=',k,' l=',l, -cd & ' jj=',jj,' kk=',kk -cd if (i.ne.2 .or. j.ne.4 .or. k.ne.3 .or. l.ne.5) return -cd write (iout,*) "a_chujij",((a_chuj(iii,jjj,jj,i),iii=1,2),jjj=1,2) -cd write (iout,*) "a_chujkl",((a_chuj(iii,jjj,kk,k),iii=1,2),jjj=1,2) - do iii=1,2 - do jjj=1,2 - aa1(iii,jjj)=a_chuj(iii,jjj,jj,i) - aa2(iii,jjj)=a_chuj(iii,jjj,kk,k) - enddo - enddo - call transpose2(aa1(1,1),aa1t(1,1)) - call transpose2(aa2(1,1),aa2t(1,1)) - do kkk=1,5 - do lll=1,3 - call transpose2(a_chuj_der(1,1,lll,kkk,jj,i), - & aa1tder(1,1,lll,kkk)) - call transpose2(a_chuj_der(1,1,lll,kkk,kk,k), - & aa2tder(1,1,lll,kkk)) - enddo - enddo - if (l.eq.j+1) then -C parallel orientation of the two CA-CA-CA frames. - if (i.gt.1) then - iti=itortyp(itype(i)) - else - iti=ntortyp+1 - endif - itk1=itortyp(itype(k+1)) - itj=itortyp(itype(j)) - if (l.lt.nres-1) then - itl1=itortyp(itype(l+1)) - else - itl1=ntortyp+1 - endif -C A1 kernel(j+1) A2T -cd do iii=1,2 -cd write (iout,'(3f10.5,5x,3f10.5)') -cd & (EUg(iii,jjj,k),jjj=1,2),(EUg(iii,jjj,l),jjj=1,2) -cd enddo - call kernel(aa1(1,1),aa2t(1,1),a_chuj_der(1,1,1,1,jj,i), - & aa2tder(1,1,1,1),1,.false.,EUg(1,1,l),EUgder(1,1,l), - & AEA(1,1,1),AEAderg(1,1,1),AEAderx(1,1,1,1,1,1)) -C Following matrices are needed only for 6-th order cumulants - IF (wcorr6.gt.0.0d0) THEN - call kernel(aa1(1,1),aa2t(1,1),a_chuj_der(1,1,1,1,jj,i), - & aa2tder(1,1,1,1),1,.false.,EUgC(1,1,l),EUgCder(1,1,l), - & AECA(1,1,1),AECAderg(1,1,1),AECAderx(1,1,1,1,1,1)) - call kernel(aa1(1,1),aa2t(1,1),a_chuj_der(1,1,1,1,jj,i), - & aa2tder(1,1,1,1),2,.false.,Ug2DtEUg(1,1,l), - & Ug2DtEUgder(1,1,1,l),ADtEA(1,1,1),ADtEAderg(1,1,1,1), - & ADtEAderx(1,1,1,1,1,1)) - lprn=.false. - call kernel(aa1(1,1),aa2t(1,1),a_chuj_der(1,1,1,1,jj,i), - & aa2tder(1,1,1,1),2,.false.,DtUg2EUg(1,1,l), - & DtUg2EUgder(1,1,1,l),ADtEA1(1,1,1),ADtEA1derg(1,1,1,1), - & ADtEA1derx(1,1,1,1,1,1)) - ENDIF -C End 6-th order cumulants -cd lprn=.false. -cd if (lprn) then -cd write (2,*) 'In calc_eello6' -cd do iii=1,2 -cd write (2,*) 'iii=',iii -cd do kkk=1,5 -cd write (2,*) 'kkk=',kkk -cd do jjj=1,2 -cd write (2,'(3(2f10.5),5x)') -cd & ((ADtEA1derx(jjj,mmm,lll,kkk,iii,1),mmm=1,2),lll=1,3) -cd enddo -cd enddo -cd enddo -cd endif - call transpose2(EUgder(1,1,k),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,1),EAEAderg(1,1,1,1)) - call transpose2(EUg(1,1,k),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,1),EAEA(1,1,1)) - call matmat2(auxmat(1,1),AEAderg(1,1,1),EAEAderg(1,1,2,1)) - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(auxmat(1,1),AEAderx(1,1,lll,kkk,iii,1), - & EAEAderx(1,1,lll,kkk,iii,1)) - enddo - enddo - enddo -C A1T kernel(i+1) A2 - call kernel(aa1t(1,1),aa2(1,1),aa1tder(1,1,1,1), - & a_chuj_der(1,1,1,1,kk,k),1,.false.,EUg(1,1,k),EUgder(1,1,k), - & AEA(1,1,2),AEAderg(1,1,2),AEAderx(1,1,1,1,1,2)) -C Following matrices are needed only for 6-th order cumulants - IF (wcorr6.gt.0.0d0) THEN - call kernel(aa1t(1,1),aa2(1,1),aa1tder(1,1,1,1), - & a_chuj_der(1,1,1,1,kk,k),1,.false.,EUgC(1,1,k),EUgCder(1,1,k), - & AECA(1,1,2),AECAderg(1,1,2),AECAderx(1,1,1,1,1,2)) - call kernel(aa1t(1,1),aa2(1,1),aa1tder(1,1,1,1), - & a_chuj_der(1,1,1,1,kk,k),2,.false.,Ug2DtEUg(1,1,k), - & Ug2DtEUgder(1,1,1,k),ADtEA(1,1,2),ADtEAderg(1,1,1,2), - & ADtEAderx(1,1,1,1,1,2)) - call kernel(aa1t(1,1),aa2(1,1),aa1tder(1,1,1,1), - & a_chuj_der(1,1,1,1,kk,k),2,.false.,DtUg2EUg(1,1,k), - & DtUg2EUgder(1,1,1,k),ADtEA1(1,1,2),ADtEA1derg(1,1,1,2), - & ADtEA1derx(1,1,1,1,1,2)) - ENDIF -C End 6-th order cumulants - call transpose2(EUgder(1,1,l),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,2),EAEAderg(1,1,1,2)) - call transpose2(EUg(1,1,l),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,2),EAEA(1,1,2)) - call matmat2(auxmat(1,1),AEAderg(1,1,2),EAEAderg(1,1,2,2)) - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(auxmat(1,1),AEAderx(1,1,lll,kkk,iii,2), - & EAEAderx(1,1,lll,kkk,iii,2)) - enddo - enddo - enddo -C AEAb1 and AEAb2 -C Calculate the vectors and their derivatives in virtual-bond dihedral angles. -C They are needed only when the fifth- or the sixth-order cumulants are -C indluded. - IF (wcorr5.gt.0.0d0 .or. wcorr6.gt.0.0d0) THEN - call transpose2(AEA(1,1,1),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,iti),AEAb1(1,1,1)) - call matvec2(auxmat(1,1),Ub2(1,i),AEAb2(1,1,1)) - call matvec2(auxmat(1,1),Ub2der(1,i),AEAb2derg(1,2,1,1)) - call transpose2(AEAderg(1,1,1),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,iti),AEAb1derg(1,1,1)) - call matvec2(auxmat(1,1),Ub2(1,i),AEAb2derg(1,1,1,1)) - call matvec2(AEA(1,1,1),b1(1,itk1),AEAb1(1,2,1)) - call matvec2(AEAderg(1,1,1),b1(1,itk1),AEAb1derg(1,2,1)) - call matvec2(AEA(1,1,1),Ub2(1,k+1),AEAb2(1,2,1)) - call matvec2(AEAderg(1,1,1),Ub2(1,k+1),AEAb2derg(1,1,2,1)) - call matvec2(AEA(1,1,1),Ub2der(1,k+1),AEAb2derg(1,2,2,1)) - call transpose2(AEA(1,1,2),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,itj),AEAb1(1,1,2)) - call matvec2(auxmat(1,1),Ub2(1,j),AEAb2(1,1,2)) - call matvec2(auxmat(1,1),Ub2der(1,j),AEAb2derg(1,2,1,2)) - call transpose2(AEAderg(1,1,2),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,itj),AEAb1derg(1,1,2)) - call matvec2(auxmat(1,1),Ub2(1,j),AEAb2derg(1,1,1,2)) - call matvec2(AEA(1,1,2),b1(1,itl1),AEAb1(1,2,2)) - call matvec2(AEAderg(1,1,2),b1(1,itl1),AEAb1derg(1,2,2)) - call matvec2(AEA(1,1,2),Ub2(1,l+1),AEAb2(1,2,2)) - call matvec2(AEAderg(1,1,2),Ub2(1,l+1),AEAb2derg(1,1,2,2)) - call matvec2(AEA(1,1,2),Ub2der(1,l+1),AEAb2derg(1,2,2,2)) -C Calculate the Cartesian derivatives of the vectors. - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call transpose2(AEAderx(1,1,lll,kkk,iii,1),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,iti), - & AEAb1derx(1,lll,kkk,iii,1,1)) - call matvec2(auxmat(1,1),Ub2(1,i), - & AEAb2derx(1,lll,kkk,iii,1,1)) - call matvec2(AEAderx(1,1,lll,kkk,iii,1),b1(1,itk1), - & AEAb1derx(1,lll,kkk,iii,2,1)) - call matvec2(AEAderx(1,1,lll,kkk,iii,1),Ub2(1,k+1), - & AEAb2derx(1,lll,kkk,iii,2,1)) - call transpose2(AEAderx(1,1,lll,kkk,iii,2),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,itj), - & AEAb1derx(1,lll,kkk,iii,1,2)) - call matvec2(auxmat(1,1),Ub2(1,j), - & AEAb2derx(1,lll,kkk,iii,1,2)) - call matvec2(AEAderx(1,1,lll,kkk,iii,2),b1(1,itl1), - & AEAb1derx(1,lll,kkk,iii,2,2)) - call matvec2(AEAderx(1,1,lll,kkk,iii,2),Ub2(1,l+1), - & AEAb2derx(1,lll,kkk,iii,2,2)) - enddo - enddo - enddo - ENDIF -C End vectors - else -C Antiparallel orientation of the two CA-CA-CA frames. - if (i.gt.1) then - iti=itortyp(itype(i)) - else - iti=ntortyp+1 - endif - itk1=itortyp(itype(k+1)) - itl=itortyp(itype(l)) - itj=itortyp(itype(j)) - if (j.lt.nres-1) then - itj1=itortyp(itype(j+1)) - else - itj1=ntortyp+1 - endif -C A2 kernel(j-1)T A1T - call kernel(aa1(1,1),aa2t(1,1),a_chuj_der(1,1,1,1,jj,i), - & aa2tder(1,1,1,1),1,.true.,EUg(1,1,j),EUgder(1,1,j), - & AEA(1,1,1),AEAderg(1,1,1),AEAderx(1,1,1,1,1,1)) -C Following matrices are needed only for 6-th order cumulants - IF (wcorr6.gt.0.0d0 .or. (wturn6.gt.0.0d0 .and. - & j.eq.i+4 .and. l.eq.i+3)) THEN - call kernel(aa1(1,1),aa2t(1,1),a_chuj_der(1,1,1,1,jj,i), - & aa2tder(1,1,1,1),1,.true.,EUgC(1,1,j),EUgCder(1,1,j), - & AECA(1,1,1),AECAderg(1,1,1),AECAderx(1,1,1,1,1,1)) - call kernel(aa2(1,1),aa2t(1,1),a_chuj_der(1,1,1,1,jj,i), - & aa2tder(1,1,1,1),2,.true.,Ug2DtEUg(1,1,j), - & Ug2DtEUgder(1,1,1,j),ADtEA(1,1,1),ADtEAderg(1,1,1,1), - & ADtEAderx(1,1,1,1,1,1)) - call kernel(aa1(1,1),aa2t(1,1),a_chuj_der(1,1,1,1,jj,i), - & aa2tder(1,1,1,1),2,.true.,DtUg2EUg(1,1,j), - & DtUg2EUgder(1,1,1,j),ADtEA1(1,1,1),ADtEA1derg(1,1,1,1), - & ADtEA1derx(1,1,1,1,1,1)) - ENDIF -C End 6-th order cumulants - call transpose2(EUgder(1,1,k),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,1),EAEAderg(1,1,1,1)) - call transpose2(EUg(1,1,k),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,1),EAEA(1,1,1)) - call matmat2(auxmat(1,1),AEAderg(1,1,1),EAEAderg(1,1,2,1)) - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(auxmat(1,1),AEAderx(1,1,lll,kkk,iii,1), - & EAEAderx(1,1,lll,kkk,iii,1)) - enddo - enddo - enddo -C A2T kernel(i+1)T A1 - call kernel(aa2t(1,1),aa1(1,1),aa2tder(1,1,1,1), - & a_chuj_der(1,1,1,1,jj,i),1,.true.,EUg(1,1,k),EUgder(1,1,k), - & AEA(1,1,2),AEAderg(1,1,2),AEAderx(1,1,1,1,1,2)) -C Following matrices are needed only for 6-th order cumulants - IF (wcorr6.gt.0.0d0 .or. (wturn6.gt.0.0d0 .and. - & j.eq.i+4 .and. l.eq.i+3)) THEN - call kernel(aa2t(1,1),aa1(1,1),aa2tder(1,1,1,1), - & a_chuj_der(1,1,1,1,jj,i),1,.true.,EUgC(1,1,k),EUgCder(1,1,k), - & AECA(1,1,2),AECAderg(1,1,2),AECAderx(1,1,1,1,1,2)) - call kernel(aa2t(1,1),aa1(1,1),aa2tder(1,1,1,1), - & a_chuj_der(1,1,1,1,jj,i),2,.true.,Ug2DtEUg(1,1,k), - & Ug2DtEUgder(1,1,1,k),ADtEA(1,1,2),ADtEAderg(1,1,1,2), - & ADtEAderx(1,1,1,1,1,2)) - call kernel(aa2t(1,1),aa1(1,1),aa2tder(1,1,1,1), - & a_chuj_der(1,1,1,1,jj,i),2,.true.,DtUg2EUg(1,1,k), - & DtUg2EUgder(1,1,1,k),ADtEA1(1,1,2),ADtEA1derg(1,1,1,2), - & ADtEA1derx(1,1,1,1,1,2)) - ENDIF -C End 6-th order cumulants - call transpose2(EUgder(1,1,j),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,1),EAEAderg(1,1,2,2)) - call transpose2(EUg(1,1,j),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,2),EAEA(1,1,2)) - call matmat2(auxmat(1,1),AEAderg(1,1,2),EAEAderg(1,1,2,2)) - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(auxmat(1,1),AEAderx(1,1,lll,kkk,iii,2), - & EAEAderx(1,1,lll,kkk,iii,2)) - enddo - enddo - enddo -C AEAb1 and AEAb2 -C Calculate the vectors and their derivatives in virtual-bond dihedral angles. -C They are needed only when the fifth- or the sixth-order cumulants are -C indluded. - IF (wcorr5.gt.0.0d0 .or. wcorr6.gt.0.0d0 .or. - & (wturn6.gt.0.0d0 .and. j.eq.i+4 .and. l.eq.i+3)) THEN - call transpose2(AEA(1,1,1),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,iti),AEAb1(1,1,1)) - call matvec2(auxmat(1,1),Ub2(1,i),AEAb2(1,1,1)) - call matvec2(auxmat(1,1),Ub2der(1,i),AEAb2derg(1,2,1,1)) - call transpose2(AEAderg(1,1,1),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,iti),AEAb1derg(1,1,1)) - call matvec2(auxmat(1,1),Ub2(1,i),AEAb2derg(1,1,1,1)) - call matvec2(AEA(1,1,1),b1(1,itk1),AEAb1(1,2,1)) - call matvec2(AEAderg(1,1,1),b1(1,itk1),AEAb1derg(1,2,1)) - call matvec2(AEA(1,1,1),Ub2(1,k+1),AEAb2(1,2,1)) - call matvec2(AEAderg(1,1,1),Ub2(1,k+1),AEAb2derg(1,1,2,1)) - call matvec2(AEA(1,1,1),Ub2der(1,k+1),AEAb2derg(1,2,2,1)) - call transpose2(AEA(1,1,2),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,itj1),AEAb1(1,1,2)) - call matvec2(auxmat(1,1),Ub2(1,l),AEAb2(1,1,2)) - call matvec2(auxmat(1,1),Ub2der(1,l),AEAb2derg(1,2,1,2)) - call transpose2(AEAderg(1,1,2),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,itl),AEAb1(1,1,2)) - call matvec2(auxmat(1,1),Ub2(1,l),AEAb2derg(1,1,1,2)) - call matvec2(AEA(1,1,2),b1(1,itj1),AEAb1(1,2,2)) - call matvec2(AEAderg(1,1,2),b1(1,itj1),AEAb1derg(1,2,2)) - call matvec2(AEA(1,1,2),Ub2(1,j),AEAb2(1,2,2)) - call matvec2(AEAderg(1,1,2),Ub2(1,j),AEAb2derg(1,1,2,2)) - call matvec2(AEA(1,1,2),Ub2der(1,j),AEAb2derg(1,2,2,2)) -C Calculate the Cartesian derivatives of the vectors. - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call transpose2(AEAderx(1,1,lll,kkk,iii,1),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,iti), - & AEAb1derx(1,lll,kkk,iii,1,1)) - call matvec2(auxmat(1,1),Ub2(1,i), - & AEAb2derx(1,lll,kkk,iii,1,1)) - call matvec2(AEAderx(1,1,lll,kkk,iii,1),b1(1,itk1), - & AEAb1derx(1,lll,kkk,iii,2,1)) - call matvec2(AEAderx(1,1,lll,kkk,iii,1),Ub2(1,k+1), - & AEAb2derx(1,lll,kkk,iii,2,1)) - call transpose2(AEAderx(1,1,lll,kkk,iii,2),auxmat(1,1)) - call matvec2(auxmat(1,1),b1(1,itl), - & AEAb1derx(1,lll,kkk,iii,1,2)) - call matvec2(auxmat(1,1),Ub2(1,l), - & AEAb2derx(1,lll,kkk,iii,1,2)) - call matvec2(AEAderx(1,1,lll,kkk,iii,2),b1(1,itj1), - & AEAb1derx(1,lll,kkk,iii,2,2)) - call matvec2(AEAderx(1,1,lll,kkk,iii,2),Ub2(1,j), - & AEAb2derx(1,lll,kkk,iii,2,2)) - enddo - enddo - enddo - ENDIF -C End vectors - endif - return - end -C--------------------------------------------------------------------------- - subroutine kernel(aa1,aa2t,aa1derx,aa2tderx,nderg,transp, - & KK,KKderg,AKA,AKAderg,AKAderx) - implicit none - integer nderg - logical transp - double precision aa1(2,2),aa2t(2,2),aa1derx(2,2,3,5), - & aa2tderx(2,2,3,5),KK(2,2),KKderg(2,2,nderg),AKA(2,2), - & AKAderg(2,2,nderg),AKAderx(2,2,3,5,2) - integer iii,kkk,lll - integer jjj,mmm - logical lprn - common /kutas/ lprn - call prodmat3(aa1(1,1),aa2t(1,1),KK(1,1),transp,AKA(1,1)) - do iii=1,nderg - call prodmat3(aa1(1,1),aa2t(1,1),KKderg(1,1,iii),transp, - & AKAderg(1,1,iii)) - enddo -cd if (lprn) write (2,*) 'In kernel' - do kkk=1,5 -cd if (lprn) write (2,*) 'kkk=',kkk - do lll=1,3 - call prodmat3(aa1derx(1,1,lll,kkk),aa2t(1,1), - & KK(1,1),transp,AKAderx(1,1,lll,kkk,1)) -cd if (lprn) then -cd write (2,*) 'lll=',lll -cd write (2,*) 'iii=1' -cd do jjj=1,2 -cd write (2,'(3(2f10.5),5x)') -cd & (AKAderx(jjj,mmm,lll,kkk,1),mmm=1,2) -cd enddo -cd endif - call prodmat3(aa1(1,1),aa2tderx(1,1,lll,kkk), - & KK(1,1),transp,AKAderx(1,1,lll,kkk,2)) -cd if (lprn) then -cd write (2,*) 'lll=',lll -cd write (2,*) 'iii=2' -cd do jjj=1,2 -cd write (2,'(3(2f10.5),5x)') -cd & (AKAderx(jjj,mmm,lll,kkk,2),mmm=1,2) -cd enddo -cd endif - enddo - enddo - return - end -C--------------------------------------------------------------------------- - double precision function eello4(i,j,k,l,jj,kk) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - double precision pizda(2,2),ggg1(3),ggg2(3) -cd if (i.ne.1 .or. j.ne.5 .or. k.ne.2 .or.l.ne.4) then -cd eello4=0.0d0 -cd return -cd endif -cd print *,'eello4:',i,j,k,l,jj,kk -cd write (2,*) 'i',i,' j',j,' k',k,' l',l -cd call checkint4(i,j,k,l,jj,kk,eel4_num) -cold eij=facont_hb(jj,i) -cold ekl=facont_hb(kk,k) -cold ekont=eij*ekl - eel4=-EAEA(1,1,1)-EAEA(2,2,1) -cd eel41=-EAEA(1,1,2)-EAEA(2,2,2) - gcorr_loc(k-1)=gcorr_loc(k-1) - & -ekont*(EAEAderg(1,1,1,1)+EAEAderg(2,2,1,1)) - if (l.eq.j+1) then - gcorr_loc(l-1)=gcorr_loc(l-1) - & -ekont*(EAEAderg(1,1,2,1)+EAEAderg(2,2,2,1)) - else - gcorr_loc(j-1)=gcorr_loc(j-1) - & -ekont*(EAEAderg(1,1,2,1)+EAEAderg(2,2,2,1)) - endif - do iii=1,2 - do kkk=1,5 - do lll=1,3 - derx(lll,kkk,iii)=-EAEAderx(1,1,lll,kkk,iii,1) - & -EAEAderx(2,2,lll,kkk,iii,1) -cd derx(lll,kkk,iii)=0.0d0 - enddo - enddo - enddo -cd gcorr_loc(l-1)=0.0d0 -cd gcorr_loc(j-1)=0.0d0 -cd gcorr_loc(k-1)=0.0d0 -cd eel4=1.0d0 -cd write (iout,*)'Contacts have occurred for peptide groups', -cd & i,j,' fcont:',eij,' eij',' and ',k,l, -cd & ' fcont ',ekl,' eel4=',eel4,' eel4_num',16*eel4_num - if (j.lt.nres-1) then - j1=j+1 - j2=j-1 - else - j1=j-1 - j2=j-2 - endif - if (l.lt.nres-1) then - l1=l+1 - l2=l-1 - else - l1=l-1 - l2=l-2 - endif - do ll=1,3 -cgrad ggg1(ll)=eel4*g_contij(ll,1) -cgrad ggg2(ll)=eel4*g_contij(ll,2) - glongij=eel4*g_contij(ll,1)+ekont*derx(ll,1,1) - glongkl=eel4*g_contij(ll,2)+ekont*derx(ll,1,2) -cgrad ghalf=0.5d0*ggg1(ll) - gradcorr(ll,i)=gradcorr(ll,i)+ekont*derx(ll,2,1) - gradcorr(ll,i+1)=gradcorr(ll,i+1)+ekont*derx(ll,3,1) - gradcorr(ll,j)=gradcorr(ll,j)+ekont*derx(ll,4,1) - gradcorr(ll,j1)=gradcorr(ll,j1)+ekont*derx(ll,5,1) - gradcorr_long(ll,j)=gradcorr_long(ll,j)+glongij - gradcorr_long(ll,i)=gradcorr_long(ll,i)-glongij -cgrad ghalf=0.5d0*ggg2(ll) - gradcorr(ll,k)=gradcorr(ll,k)+ekont*derx(ll,2,2) - gradcorr(ll,k+1)=gradcorr(ll,k+1)+ekont*derx(ll,3,2) - gradcorr(ll,l)=gradcorr(ll,l)+ekont*derx(ll,4,2) - gradcorr(ll,l1)=gradcorr(ll,l1)+ekont*derx(ll,5,2) - gradcorr_long(ll,l)=gradcorr_long(ll,l)+glongkl - gradcorr_long(ll,k)=gradcorr_long(ll,k)-glongkl - enddo -cgrad do m=i+1,j-1 -cgrad do ll=1,3 -cgrad gradcorr(ll,m)=gradcorr(ll,m)+ggg1(ll) -cgrad enddo -cgrad enddo -cgrad do m=k+1,l-1 -cgrad do ll=1,3 -cgrad gradcorr(ll,m)=gradcorr(ll,m)+ggg2(ll) -cgrad enddo -cgrad enddo -cgrad do m=i+2,j2 -cgrad do ll=1,3 -cgrad gradcorr(ll,m)=gradcorr(ll,m)+ekont*derx(ll,1,1) -cgrad enddo -cgrad enddo -cgrad do m=k+2,l2 -cgrad do ll=1,3 -cgrad gradcorr(ll,m)=gradcorr(ll,m)+ekont*derx(ll,1,2) -cgrad enddo -cgrad enddo -cd do iii=1,nres-3 -cd write (2,*) iii,gcorr_loc(iii) -cd enddo - eello4=ekont*eel4 -cd write (2,*) 'ekont',ekont -cd write (iout,*) 'eello4',ekont*eel4 - return - end -C--------------------------------------------------------------------------- - double precision function eello5(i,j,k,l,jj,kk) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - double precision pizda(2,2),auxmat(2,2),auxmat1(2,2),vv(2) - double precision ggg1(3),ggg2(3) -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -C C -C Parallel chains C -C C -C o o o o C -C /l\ / \ \ / \ / \ / C -C / \ / \ \ / \ / \ / C -C j| o |l1 | o | o| o | | o |o C -C \ |/k\| |/ \| / |/ \| |/ \| C -C \i/ \ / \ / / \ / \ C -C o k1 o C -C (I) (II) (III) (IV) C -C C -C eello5_1 eello5_2 eello5_3 eello5_4 C -C C -C Antiparallel chains C -C C -C o o o o C -C /j\ / \ \ / \ / \ / C -C / \ / \ \ / \ / \ / C -C j1| o |l | o | o| o | | o |o C -C \ |/k\| |/ \| / |/ \| |/ \| C -C \i/ \ / \ / / \ / \ C -C o k1 o C -C (I) (II) (III) (IV) C -C C -C eello5_1 eello5_2 eello5_3 eello5_4 C -C C -C o denotes a local interaction, vertical lines an electrostatic interaction. C -C C -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -cd if (i.ne.2 .or. j.ne.6 .or. k.ne.3 .or. l.ne.5) then -cd eello5=0.0d0 -cd return -cd endif -cd write (iout,*) -cd & 'EELLO5: Contacts have occurred for peptide groups',i,j, -cd & ' and',k,l - itk=itortyp(itype(k)) - itl=itortyp(itype(l)) - itj=itortyp(itype(j)) - eello5_1=0.0d0 - eello5_2=0.0d0 - eello5_3=0.0d0 - eello5_4=0.0d0 -cd call checkint5(i,j,k,l,jj,kk,eel5_1_num,eel5_2_num, -cd & eel5_3_num,eel5_4_num) - do iii=1,2 - do kkk=1,5 - do lll=1,3 - derx(lll,kkk,iii)=0.0d0 - enddo - enddo - enddo -cd eij=facont_hb(jj,i) -cd ekl=facont_hb(kk,k) -cd ekont=eij*ekl -cd write (iout,*)'Contacts have occurred for peptide groups', -cd & i,j,' fcont:',eij,' eij',' and ',k,l -cd goto 1111 -C Contribution from the graph I. -cd write (2,*) 'AEA ',AEA(1,1,1),AEA(2,1,1),AEA(1,2,1),AEA(2,2,1) -cd write (2,*) 'AEAb2',AEAb2(1,1,1),AEAb2(2,1,1) - call transpose2(EUg(1,1,k),auxmat(1,1)) - call matmat2(AEA(1,1,1),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - eello5_1=scalar2(AEAb2(1,1,1),Ub2(1,k)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,i)) -C Explicit gradient in virtual-dihedral angles. - if (i.gt.1) g_corr5_loc(i-1)=g_corr5_loc(i-1) - & +ekont*(scalar2(AEAb2derg(1,2,1,1),Ub2(1,k)) - & +0.5d0*scalar2(vv(1),Dtobr2der(1,i))) - call transpose2(EUgder(1,1,k),auxmat1(1,1)) - call matmat2(AEA(1,1,1),auxmat1(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - g_corr5_loc(k-1)=g_corr5_loc(k-1) - & +ekont*(scalar2(AEAb2(1,1,1),Ub2der(1,k)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,i))) - call matmat2(AEAderg(1,1,1),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - if (l.eq.j+1) then - if (l.lt.nres-1) g_corr5_loc(l-1)=g_corr5_loc(l-1) - & +ekont*(scalar2(AEAb2derg(1,1,1,1),Ub2(1,k)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,i))) - else - if (j.lt.nres-1) g_corr5_loc(j-1)=g_corr5_loc(j-1) - & +ekont*(scalar2(AEAb2derg(1,1,1,1),Ub2(1,k)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,i))) - endif -C Cartesian gradient - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(AEAderx(1,1,lll,kkk,iii,1),auxmat(1,1), - & pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - derx(lll,kkk,iii)=derx(lll,kkk,iii) - & +scalar2(AEAb2derx(1,lll,kkk,iii,1,1),Ub2(1,k)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,i)) - enddo - enddo - enddo -c goto 1112 -c1111 continue -C Contribution from graph II - call transpose2(EE(1,1,itk),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,1),pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - eello5_2=scalar2(AEAb1(1,2,1),b1(1,itk)) - & -0.5d0*scalar2(vv(1),Ctobr(1,k)) -C Explicit gradient in virtual-dihedral angles. - g_corr5_loc(k-1)=g_corr5_loc(k-1) - & -0.5d0*ekont*scalar2(vv(1),Ctobrder(1,k)) - call matmat2(auxmat(1,1),AEAderg(1,1,1),pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - if (l.eq.j+1) then - g_corr5_loc(l-1)=g_corr5_loc(l-1) - & +ekont*(scalar2(AEAb1derg(1,2,1),b1(1,itk)) - & -0.5d0*scalar2(vv(1),Ctobr(1,k))) - else - g_corr5_loc(j-1)=g_corr5_loc(j-1) - & +ekont*(scalar2(AEAb1derg(1,2,1),b1(1,itk)) - & -0.5d0*scalar2(vv(1),Ctobr(1,k))) - endif -C Cartesian gradient - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(auxmat(1,1),AEAderx(1,1,lll,kkk,iii,1), - & pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - derx(lll,kkk,iii)=derx(lll,kkk,iii) - & +scalar2(AEAb1derx(1,lll,kkk,iii,2,1),b1(1,itk)) - & -0.5d0*scalar2(vv(1),Ctobr(1,k)) - enddo - enddo - enddo -cd goto 1112 -cd1111 continue - if (l.eq.j+1) then -cd goto 1110 -C Parallel orientation -C Contribution from graph III - call transpose2(EUg(1,1,l),auxmat(1,1)) - call matmat2(AEA(1,1,2),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - eello5_3=scalar2(AEAb2(1,1,2),Ub2(1,l)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,j)) -C Explicit gradient in virtual-dihedral angles. - g_corr5_loc(j-1)=g_corr5_loc(j-1) - & +ekont*(scalar2(AEAb2derg(1,2,1,2),Ub2(1,l)) - & +0.5d0*scalar2(vv(1),Dtobr2der(1,j))) - call matmat2(AEAderg(1,1,2),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - g_corr5_loc(k-1)=g_corr5_loc(k-1) - & +ekont*(scalar2(AEAb2derg(1,1,1,2),Ub2(1,l)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,j))) - call transpose2(EUgder(1,1,l),auxmat1(1,1)) - call matmat2(AEA(1,1,2),auxmat1(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - g_corr5_loc(l-1)=g_corr5_loc(l-1) - & +ekont*(scalar2(AEAb2(1,1,2),Ub2der(1,l)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,j))) -C Cartesian gradient - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(AEAderx(1,1,lll,kkk,iii,2),auxmat(1,1), - & pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - derx(lll,kkk,iii)=derx(lll,kkk,iii) - & +scalar2(AEAb2derx(1,lll,kkk,iii,1,2),Ub2(1,l)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,j)) - enddo - enddo - enddo -cd goto 1112 -C Contribution from graph IV -cd1110 continue - call transpose2(EE(1,1,itl),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,2),pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - eello5_4=scalar2(AEAb1(1,2,2),b1(1,itl)) - & -0.5d0*scalar2(vv(1),Ctobr(1,l)) -C Explicit gradient in virtual-dihedral angles. - g_corr5_loc(l-1)=g_corr5_loc(l-1) - & -0.5d0*ekont*scalar2(vv(1),Ctobrder(1,l)) - call matmat2(auxmat(1,1),AEAderg(1,1,2),pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - g_corr5_loc(k-1)=g_corr5_loc(k-1) - & +ekont*(scalar2(AEAb1derg(1,2,2),b1(1,itl)) - & -0.5d0*scalar2(vv(1),Ctobr(1,l))) -C Cartesian gradient - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(auxmat(1,1),AEAderx(1,1,lll,kkk,iii,2), - & pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - derx(lll,kkk,iii)=derx(lll,kkk,iii) - & +scalar2(AEAb1derx(1,lll,kkk,iii,2,2),b1(1,itl)) - & -0.5d0*scalar2(vv(1),Ctobr(1,l)) - enddo - enddo - enddo - else -C Antiparallel orientation -C Contribution from graph III -c goto 1110 - call transpose2(EUg(1,1,j),auxmat(1,1)) - call matmat2(AEA(1,1,2),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - eello5_3=scalar2(AEAb2(1,1,2),Ub2(1,j)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,l)) -C Explicit gradient in virtual-dihedral angles. - g_corr5_loc(l-1)=g_corr5_loc(l-1) - & +ekont*(scalar2(AEAb2derg(1,2,1,2),Ub2(1,j)) - & +0.5d0*scalar2(vv(1),Dtobr2der(1,l))) - call matmat2(AEAderg(1,1,2),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - g_corr5_loc(k-1)=g_corr5_loc(k-1) - & +ekont*(scalar2(AEAb2derg(1,1,1,2),Ub2(1,j)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,l))) - call transpose2(EUgder(1,1,j),auxmat1(1,1)) - call matmat2(AEA(1,1,2),auxmat1(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - g_corr5_loc(j-1)=g_corr5_loc(j-1) - & +ekont*(scalar2(AEAb2(1,1,2),Ub2der(1,j)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,l))) -C Cartesian gradient - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(AEAderx(1,1,lll,kkk,iii,2),auxmat(1,1), - & pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - derx(lll,kkk,3-iii)=derx(lll,kkk,3-iii) - & +scalar2(AEAb2derx(1,lll,kkk,iii,1,2),Ub2(1,j)) - & +0.5d0*scalar2(vv(1),Dtobr2(1,l)) - enddo - enddo - enddo -cd goto 1112 -C Contribution from graph IV -1110 continue - call transpose2(EE(1,1,itj),auxmat(1,1)) - call matmat2(auxmat(1,1),AEA(1,1,2),pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - eello5_4=scalar2(AEAb1(1,2,2),b1(1,itj)) - & -0.5d0*scalar2(vv(1),Ctobr(1,j)) -C Explicit gradient in virtual-dihedral angles. - g_corr5_loc(j-1)=g_corr5_loc(j-1) - & -0.5d0*ekont*scalar2(vv(1),Ctobrder(1,j)) - call matmat2(auxmat(1,1),AEAderg(1,1,2),pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - g_corr5_loc(k-1)=g_corr5_loc(k-1) - & +ekont*(scalar2(AEAb1derg(1,2,2),b1(1,itj)) - & -0.5d0*scalar2(vv(1),Ctobr(1,j))) -C Cartesian gradient - do iii=1,2 - do kkk=1,5 - do lll=1,3 - call matmat2(auxmat(1,1),AEAderx(1,1,lll,kkk,iii,2), - & pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - derx(lll,kkk,3-iii)=derx(lll,kkk,3-iii) - & +scalar2(AEAb1derx(1,lll,kkk,iii,2,2),b1(1,itj)) - & -0.5d0*scalar2(vv(1),Ctobr(1,j)) - enddo - enddo - enddo - endif -1112 continue - eel5=eello5_1+eello5_2+eello5_3+eello5_4 -cd if (i.eq.2 .and. j.eq.8 .and. k.eq.3 .and. l.eq.7) then -cd write (2,*) 'ijkl',i,j,k,l -cd write (2,*) 'eello5_1',eello5_1,' eello5_2',eello5_2, -cd & ' eello5_3',eello5_3,' eello5_4',eello5_4 -cd endif -cd write(iout,*) 'eello5_1',eello5_1,' eel5_1_num',16*eel5_1_num -cd write(iout,*) 'eello5_2',eello5_2,' eel5_2_num',16*eel5_2_num -cd write(iout,*) 'eello5_3',eello5_3,' eel5_3_num',16*eel5_3_num -cd write(iout,*) 'eello5_4',eello5_4,' eel5_4_num',16*eel5_4_num - if (j.lt.nres-1) then - j1=j+1 - j2=j-1 - else - j1=j-1 - j2=j-2 - endif - if (l.lt.nres-1) then - l1=l+1 - l2=l-1 - else - l1=l-1 - l2=l-2 - endif -cd eij=1.0d0 -cd ekl=1.0d0 -cd ekont=1.0d0 -cd write (2,*) 'eij',eij,' ekl',ekl,' ekont',ekont -C 2/11/08 AL Gradients over DC's connecting interacting sites will be -C summed up outside the subrouine as for the other subroutines -C handling long-range interactions. The old code is commented out -C with "cgrad" to keep track of changes. - do ll=1,3 -cgrad ggg1(ll)=eel5*g_contij(ll,1) -cgrad ggg2(ll)=eel5*g_contij(ll,2) - gradcorr5ij=eel5*g_contij(ll,1)+ekont*derx(ll,1,1) - gradcorr5kl=eel5*g_contij(ll,2)+ekont*derx(ll,1,2) -c write (iout,'(a,3i3,a,5f8.3,2i3,a,5f8.3,a,f8.3)') -c & "ecorr5",ll,i,j," derx",derx(ll,2,1),derx(ll,3,1),derx(ll,4,1), -c & derx(ll,5,1),k,l," derx",derx(ll,2,2),derx(ll,3,2), -c & derx(ll,4,2),derx(ll,5,2)," ekont",ekont -c write (iout,'(a,3i3,a,3f8.3,2i3,a,3f8.3)') -c & "ecorr5",ll,i,j," gradcorr5",g_contij(ll,1),derx(ll,1,1), -c & gradcorr5ij, -c & k,l," gradcorr5",g_contij(ll,2),derx(ll,1,2),gradcorr5kl -cold ghalf=0.5d0*eel5*ekl*gacont_hbr(ll,jj,i) -cgrad ghalf=0.5d0*ggg1(ll) -cd ghalf=0.0d0 - gradcorr5(ll,i)=gradcorr5(ll,i)+ekont*derx(ll,2,1) - gradcorr5(ll,i+1)=gradcorr5(ll,i+1)+ekont*derx(ll,3,1) - gradcorr5(ll,j)=gradcorr5(ll,j)+ekont*derx(ll,4,1) - gradcorr5(ll,j1)=gradcorr5(ll,j1)+ekont*derx(ll,5,1) - gradcorr5_long(ll,j)=gradcorr5_long(ll,j)+gradcorr5ij - gradcorr5_long(ll,i)=gradcorr5_long(ll,i)-gradcorr5ij -cold ghalf=0.5d0*eel5*eij*gacont_hbr(ll,kk,k) -cgrad ghalf=0.5d0*ggg2(ll) -cd ghalf=0.0d0 - gradcorr5(ll,k)=gradcorr5(ll,k)+ghalf+ekont*derx(ll,2,2) - gradcorr5(ll,k+1)=gradcorr5(ll,k+1)+ekont*derx(ll,3,2) - gradcorr5(ll,l)=gradcorr5(ll,l)+ghalf+ekont*derx(ll,4,2) - gradcorr5(ll,l1)=gradcorr5(ll,l1)+ekont*derx(ll,5,2) - gradcorr5_long(ll,l)=gradcorr5_long(ll,l)+gradcorr5kl - gradcorr5_long(ll,k)=gradcorr5_long(ll,k)-gradcorr5kl - enddo -cd goto 1112 -cgrad do m=i+1,j-1 -cgrad do ll=1,3 -cold gradcorr5(ll,m)=gradcorr5(ll,m)+eel5*ekl*gacont_hbr(ll,jj,i) -cgrad gradcorr5(ll,m)=gradcorr5(ll,m)+ggg1(ll) -cgrad enddo -cgrad enddo -cgrad do m=k+1,l-1 -cgrad do ll=1,3 -cold gradcorr5(ll,m)=gradcorr5(ll,m)+eel5*eij*gacont_hbr(ll,kk,k) -cgrad gradcorr5(ll,m)=gradcorr5(ll,m)+ggg2(ll) -cgrad enddo -cgrad enddo -c1112 continue -cgrad do m=i+2,j2 -cgrad do ll=1,3 -cgrad gradcorr5(ll,m)=gradcorr5(ll,m)+ekont*derx(ll,1,1) -cgrad enddo -cgrad enddo -cgrad do m=k+2,l2 -cgrad do ll=1,3 -cgrad gradcorr5(ll,m)=gradcorr5(ll,m)+ekont*derx(ll,1,2) -cgrad enddo -cgrad enddo -cd do iii=1,nres-3 -cd write (2,*) iii,g_corr5_loc(iii) -cd enddo - eello5=ekont*eel5 -cd write (2,*) 'ekont',ekont -cd write (iout,*) 'eello5',ekont*eel5 - return - end -c-------------------------------------------------------------------------- - double precision function eello6(i,j,k,l,jj,kk) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.FFIELD' - double precision ggg1(3),ggg2(3) -cd if (i.ne.1 .or. j.ne.3 .or. k.ne.2 .or. l.ne.4) then -cd eello6=0.0d0 -cd return -cd endif -cd write (iout,*) -cd & 'EELLO6: Contacts have occurred for peptide groups',i,j, -cd & ' and',k,l - eello6_1=0.0d0 - eello6_2=0.0d0 - eello6_3=0.0d0 - eello6_4=0.0d0 - eello6_5=0.0d0 - eello6_6=0.0d0 -cd call checkint6(i,j,k,l,jj,kk,eel6_1_num,eel6_2_num, -cd & eel6_3_num,eel6_4_num,eel6_5_num,eel6_6_num) - do iii=1,2 - do kkk=1,5 - do lll=1,3 - derx(lll,kkk,iii)=0.0d0 - enddo - enddo - enddo -cd eij=facont_hb(jj,i) -cd ekl=facont_hb(kk,k) -cd ekont=eij*ekl -cd eij=1.0d0 -cd ekl=1.0d0 -cd ekont=1.0d0 - if (l.eq.j+1) then - eello6_1=eello6_graph1(i,j,k,l,1,.false.) - eello6_2=eello6_graph1(j,i,l,k,2,.false.) - eello6_3=eello6_graph2(i,j,k,l,jj,kk,.false.) - eello6_4=eello6_graph4(i,j,k,l,jj,kk,1,.false.) - eello6_5=eello6_graph4(j,i,l,k,jj,kk,2,.false.) - eello6_6=eello6_graph3(i,j,k,l,jj,kk,.false.) - else - eello6_1=eello6_graph1(i,j,k,l,1,.false.) - eello6_2=eello6_graph1(l,k,j,i,2,.true.) - eello6_3=eello6_graph2(i,l,k,j,jj,kk,.true.) - eello6_4=eello6_graph4(i,j,k,l,jj,kk,1,.false.) - if (wturn6.eq.0.0d0 .or. j.ne.i+4) then - eello6_5=eello6_graph4(l,k,j,i,kk,jj,2,.true.) - else - eello6_5=0.0d0 - endif - eello6_6=eello6_graph3(i,l,k,j,jj,kk,.true.) - endif -C If turn contributions are considered, they will be handled separately. - eel6=eello6_1+eello6_2+eello6_3+eello6_4+eello6_5+eello6_6 -cd write(iout,*) 'eello6_1',eello6_1!,' eel6_1_num',16*eel6_1_num -cd write(iout,*) 'eello6_2',eello6_2!,' eel6_2_num',16*eel6_2_num -cd write(iout,*) 'eello6_3',eello6_3!,' eel6_3_num',16*eel6_3_num -cd write(iout,*) 'eello6_4',eello6_4!,' eel6_4_num',16*eel6_4_num -cd write(iout,*) 'eello6_5',eello6_5!,' eel6_5_num',16*eel6_5_num -cd write(iout,*) 'eello6_6',eello6_6!,' eel6_6_num',16*eel6_6_num -cd goto 1112 - if (j.lt.nres-1) then - j1=j+1 - j2=j-1 - else - j1=j-1 - j2=j-2 - endif - if (l.lt.nres-1) then - l1=l+1 - l2=l-1 - else - l1=l-1 - l2=l-2 - endif - do ll=1,3 -cgrad ggg1(ll)=eel6*g_contij(ll,1) -cgrad ggg2(ll)=eel6*g_contij(ll,2) -cold ghalf=0.5d0*eel6*ekl*gacont_hbr(ll,jj,i) -cgrad ghalf=0.5d0*ggg1(ll) -cd ghalf=0.0d0 - gradcorr6ij=eel6*g_contij(ll,1)+ekont*derx(ll,1,1) - gradcorr6kl=eel6*g_contij(ll,2)+ekont*derx(ll,1,2) - gradcorr6(ll,i)=gradcorr6(ll,i)+ekont*derx(ll,2,1) - gradcorr6(ll,i+1)=gradcorr6(ll,i+1)+ekont*derx(ll,3,1) - gradcorr6(ll,j)=gradcorr6(ll,j)+ekont*derx(ll,4,1) - gradcorr6(ll,j1)=gradcorr6(ll,j1)+ekont*derx(ll,5,1) - gradcorr6_long(ll,j)=gradcorr6_long(ll,j)+gradcorr6ij - gradcorr6_long(ll,i)=gradcorr6_long(ll,i)-gradcorr6ij -cgrad ghalf=0.5d0*ggg2(ll) -cold ghalf=0.5d0*eel6*eij*gacont_hbr(ll,kk,k) -cd ghalf=0.0d0 - gradcorr6(ll,k)=gradcorr6(ll,k)+ekont*derx(ll,2,2) - gradcorr6(ll,k+1)=gradcorr6(ll,k+1)+ekont*derx(ll,3,2) - gradcorr6(ll,l)=gradcorr6(ll,l)+ekont*derx(ll,4,2) - gradcorr6(ll,l1)=gradcorr6(ll,l1)+ekont*derx(ll,5,2) - gradcorr6_long(ll,l)=gradcorr6_long(ll,l)+gradcorr6kl - gradcorr6_long(ll,k)=gradcorr6_long(ll,k)-gradcorr6kl - enddo -cd goto 1112 -cgrad do m=i+1,j-1 -cgrad do ll=1,3 -cold gradcorr6(ll,m)=gradcorr6(ll,m)+eel6*ekl*gacont_hbr(ll,jj,i) -cgrad gradcorr6(ll,m)=gradcorr6(ll,m)+ggg1(ll) -cgrad enddo -cgrad enddo -cgrad do m=k+1,l-1 -cgrad do ll=1,3 -cold gradcorr6(ll,m)=gradcorr6(ll,m)+eel6*eij*gacont_hbr(ll,kk,k) -cgrad gradcorr6(ll,m)=gradcorr6(ll,m)+ggg2(ll) -cgrad enddo -cgrad enddo -cgrad1112 continue -cgrad do m=i+2,j2 -cgrad do ll=1,3 -cgrad gradcorr6(ll,m)=gradcorr6(ll,m)+ekont*derx(ll,1,1) -cgrad enddo -cgrad enddo -cgrad do m=k+2,l2 -cgrad do ll=1,3 -cgrad gradcorr6(ll,m)=gradcorr6(ll,m)+ekont*derx(ll,1,2) -cgrad enddo -cgrad enddo -cd do iii=1,nres-3 -cd write (2,*) iii,g_corr6_loc(iii) -cd enddo - eello6=ekont*eel6 -cd write (2,*) 'ekont',ekont -cd write (iout,*) 'eello6',ekont*eel6 - return - end -c-------------------------------------------------------------------------- - double precision function eello6_graph1(i,j,k,l,imat,swap) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - double precision vv(2),vv1(2),pizda(2,2),auxmat(2,2),pizda1(2,2) - logical swap - logical lprn - common /kutas/ lprn -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -C -C Parallel Antiparallel -C -C o o -C /l\ /j\ -C / \ / \ -C /| o | | o |\ -C \ j|/k\| / \ |/k\|l / -C \ / \ / \ / \ / -C o o o o -C i i -C -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC - itk=itortyp(itype(k)) - s1= scalar2(AEAb1(1,2,imat),CUgb2(1,i)) - s2=-scalar2(AEAb2(1,1,imat),Ug2Db1t(1,k)) - s3= scalar2(AEAb2(1,1,imat),CUgb2(1,k)) - call transpose2(EUgC(1,1,k),auxmat(1,1)) - call matmat2(AEA(1,1,imat),auxmat(1,1),pizda1(1,1)) - vv1(1)=pizda1(1,1)-pizda1(2,2) - vv1(2)=pizda1(1,2)+pizda1(2,1) - s4=0.5d0*scalar2(vv1(1),Dtobr2(1,i)) - vv(1)=AEAb1(1,2,imat)*b1(1,itk)-AEAb1(2,2,imat)*b1(2,itk) - vv(2)=AEAb1(1,2,imat)*b1(2,itk)+AEAb1(2,2,imat)*b1(1,itk) - s5=scalar2(vv(1),Dtobr2(1,i)) -cd write (2,*) 's1',s1,' s2',s2,' s3',s3,' s4', s4,' s5',s5 - eello6_graph1=-0.5d0*(s1+s2+s3+s4+s5) - if (i.gt.1) g_corr6_loc(i-1)=g_corr6_loc(i-1) - & -0.5d0*ekont*(scalar2(AEAb1(1,2,imat),CUgb2der(1,i)) - & -scalar2(AEAb2derg(1,2,1,imat),Ug2Db1t(1,k)) - & +scalar2(AEAb2derg(1,2,1,imat),CUgb2(1,k)) - & +0.5d0*scalar2(vv1(1),Dtobr2der(1,i)) - & +scalar2(vv(1),Dtobr2der(1,i))) - call matmat2(AEAderg(1,1,imat),auxmat(1,1),pizda1(1,1)) - vv1(1)=pizda1(1,1)-pizda1(2,2) - vv1(2)=pizda1(1,2)+pizda1(2,1) - vv(1)=AEAb1derg(1,2,imat)*b1(1,itk)-AEAb1derg(2,2,imat)*b1(2,itk) - vv(2)=AEAb1derg(1,2,imat)*b1(2,itk)+AEAb1derg(2,2,imat)*b1(1,itk) - if (l.eq.j+1) then - g_corr6_loc(l-1)=g_corr6_loc(l-1) - & +ekont*(-0.5d0*(scalar2(AEAb1derg(1,2,imat),CUgb2(1,i)) - & -scalar2(AEAb2derg(1,1,1,imat),Ug2Db1t(1,k)) - & +scalar2(AEAb2derg(1,1,1,imat),CUgb2(1,k)) - & +0.5d0*scalar2(vv1(1),Dtobr2(1,i))+scalar2(vv(1),Dtobr2(1,i)))) - else - g_corr6_loc(j-1)=g_corr6_loc(j-1) - & +ekont*(-0.5d0*(scalar2(AEAb1derg(1,2,imat),CUgb2(1,i)) - & -scalar2(AEAb2derg(1,1,1,imat),Ug2Db1t(1,k)) - & +scalar2(AEAb2derg(1,1,1,imat),CUgb2(1,k)) - & +0.5d0*scalar2(vv1(1),Dtobr2(1,i))+scalar2(vv(1),Dtobr2(1,i)))) - endif - call transpose2(EUgCder(1,1,k),auxmat(1,1)) - call matmat2(AEA(1,1,imat),auxmat(1,1),pizda1(1,1)) - vv1(1)=pizda1(1,1)-pizda1(2,2) - vv1(2)=pizda1(1,2)+pizda1(2,1) - if (k.gt.1) g_corr6_loc(k-1)=g_corr6_loc(k-1) - & +ekont*(-0.5d0*(-scalar2(AEAb2(1,1,imat),Ug2Db1tder(1,k)) - & +scalar2(AEAb2(1,1,imat),CUgb2der(1,k)) - & +0.5d0*scalar2(vv1(1),Dtobr2(1,i)))) - do iii=1,2 - if (swap) then - ind=3-iii - else - ind=iii - endif - do kkk=1,5 - do lll=1,3 - s1= scalar2(AEAb1derx(1,lll,kkk,iii,2,imat),CUgb2(1,i)) - s2=-scalar2(AEAb2derx(1,lll,kkk,iii,1,imat),Ug2Db1t(1,k)) - s3= scalar2(AEAb2derx(1,lll,kkk,iii,1,imat),CUgb2(1,k)) - call transpose2(EUgC(1,1,k),auxmat(1,1)) - call matmat2(AEAderx(1,1,lll,kkk,iii,imat),auxmat(1,1), - & pizda1(1,1)) - vv1(1)=pizda1(1,1)-pizda1(2,2) - vv1(2)=pizda1(1,2)+pizda1(2,1) - s4=0.5d0*scalar2(vv1(1),Dtobr2(1,i)) - vv(1)=AEAb1derx(1,lll,kkk,iii,2,imat)*b1(1,itk) - & -AEAb1derx(2,lll,kkk,iii,2,imat)*b1(2,itk) - vv(2)=AEAb1derx(1,lll,kkk,iii,2,imat)*b1(2,itk) - & +AEAb1derx(2,lll,kkk,iii,2,imat)*b1(1,itk) - s5=scalar2(vv(1),Dtobr2(1,i)) - derx(lll,kkk,ind)=derx(lll,kkk,ind)-0.5d0*(s1+s2+s3+s4+s5) - enddo - enddo - enddo - return - end -c---------------------------------------------------------------------------- - double precision function eello6_graph2(i,j,k,l,jj,kk,swap) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - logical swap - double precision vv(2),pizda(2,2),auxmat(2,2),auxvec(2), - & auxvec1(2),auxvec2(2),auxmat1(2,2) - logical lprn - common /kutas/ lprn -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -C C -C Parallel Antiparallel C -C C -C o o C -C \ /l\ /j\ / C -C \ / \ / \ / C -C o| o | | o |o C -C \ j|/k\| \ |/k\|l C -C \ / \ \ / \ C -C o o C -C i i C -C C -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -cd write (2,*) 'eello6_graph2: i,',i,' j',j,' k',k,' l',l -C AL 7/4/01 s1 would occur in the sixth-order moment, -C but not in a cluster cumulant -#ifdef MOMENT - s1=dip(1,jj,i)*dip(1,kk,k) -#endif - call matvec2(ADtEA1(1,1,1),Ub2(1,k),auxvec(1)) - s2=-0.5d0*scalar2(Ub2(1,i),auxvec(1)) - call matvec2(ADtEA(1,1,2),Ub2(1,l),auxvec1(1)) - s3=-0.5d0*scalar2(Ub2(1,j),auxvec1(1)) - call transpose2(EUg(1,1,k),auxmat(1,1)) - call matmat2(ADtEA1(1,1,1),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - s4=-0.25d0*scalar2(vv(1),Dtobr2(1,i)) -cd write (2,*) 'eello6_graph2:','s1',s1,' s2',s2,' s3',s3,' s4',s4 -#ifdef MOMENT - eello6_graph2=-(s1+s2+s3+s4) -#else - eello6_graph2=-(s2+s3+s4) -#endif -c eello6_graph2=-s3 -C Derivatives in gamma(i-1) - if (i.gt.1) then -#ifdef MOMENT - s1=dipderg(1,jj,i)*dip(1,kk,k) -#endif - s2=-0.5d0*scalar2(Ub2der(1,i),auxvec(1)) - call matvec2(ADtEAderg(1,1,1,2),Ub2(1,l),auxvec2(1)) - s3=-0.5d0*scalar2(Ub2(1,j),auxvec2(1)) - s4=-0.25d0*scalar2(vv(1),Dtobr2der(1,i)) -#ifdef MOMENT - g_corr6_loc(i-1)=g_corr6_loc(i-1)-ekont*(s1+s2+s3+s4) -#else - g_corr6_loc(i-1)=g_corr6_loc(i-1)-ekont*(s2+s3+s4) -#endif -c g_corr6_loc(i-1)=g_corr6_loc(i-1)-s3 - endif -C Derivatives in gamma(k-1) -#ifdef MOMENT - s1=dip(1,jj,i)*dipderg(1,kk,k) -#endif - call matvec2(ADtEA1(1,1,1),Ub2der(1,k),auxvec2(1)) - s2=-0.5d0*scalar2(Ub2(1,i),auxvec2(1)) - call matvec2(ADtEAderg(1,1,2,2),Ub2(1,l),auxvec2(1)) - s3=-0.5d0*scalar2(Ub2(1,j),auxvec2(1)) - call transpose2(EUgder(1,1,k),auxmat1(1,1)) - call matmat2(ADtEA1(1,1,1),auxmat1(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - s4=-0.25d0*scalar2(vv(1),Dtobr2(1,i)) -#ifdef MOMENT - g_corr6_loc(k-1)=g_corr6_loc(k-1)-ekont*(s1+s2+s3+s4) -#else - g_corr6_loc(k-1)=g_corr6_loc(k-1)-ekont*(s2+s3+s4) -#endif -c g_corr6_loc(k-1)=g_corr6_loc(k-1)-s3 -C Derivatives in gamma(j-1) or gamma(l-1) - if (j.gt.1) then -#ifdef MOMENT - s1=dipderg(3,jj,i)*dip(1,kk,k) -#endif - call matvec2(ADtEA1derg(1,1,1,1),Ub2(1,k),auxvec2(1)) - s2=-0.5d0*scalar2(Ub2(1,i),auxvec2(1)) - s3=-0.5d0*scalar2(Ub2der(1,j),auxvec1(1)) - call matmat2(ADtEA1derg(1,1,1,1),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - s4=-0.25d0*scalar2(vv(1),Dtobr2(1,i)) -#ifdef MOMENT - if (swap) then - g_corr6_loc(l-1)=g_corr6_loc(l-1)-ekont*s1 - else - g_corr6_loc(j-1)=g_corr6_loc(j-1)-ekont*s1 - endif -#endif - g_corr6_loc(j-1)=g_corr6_loc(j-1)-ekont*(s2+s3+s4) -c g_corr6_loc(j-1)=g_corr6_loc(j-1)-s3 - endif -C Derivatives in gamma(l-1) or gamma(j-1) - if (l.gt.1) then -#ifdef MOMENT - s1=dip(1,jj,i)*dipderg(3,kk,k) -#endif - call matvec2(ADtEA1derg(1,1,2,1),Ub2(1,k),auxvec2(1)) - s2=-0.5d0*scalar2(Ub2(1,i),auxvec2(1)) - call matvec2(ADtEA(1,1,2),Ub2der(1,l),auxvec2(1)) - s3=-0.5d0*scalar2(Ub2(1,j),auxvec2(1)) - call matmat2(ADtEA1derg(1,1,2,1),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - s4=-0.25d0*scalar2(vv(1),Dtobr2(1,i)) -#ifdef MOMENT - if (swap) then - g_corr6_loc(j-1)=g_corr6_loc(j-1)-ekont*s1 - else - g_corr6_loc(l-1)=g_corr6_loc(l-1)-ekont*s1 - endif -#endif - g_corr6_loc(l-1)=g_corr6_loc(l-1)-ekont*(s2+s3+s4) -c g_corr6_loc(l-1)=g_corr6_loc(l-1)-s3 - endif -C Cartesian derivatives. - if (lprn) then - write (2,*) 'In eello6_graph2' - do iii=1,2 - write (2,*) 'iii=',iii - do kkk=1,5 - write (2,*) 'kkk=',kkk - do jjj=1,2 - write (2,'(3(2f10.5),5x)') - & ((ADtEA1derx(jjj,mmm,lll,kkk,iii,1),mmm=1,2),lll=1,3) - enddo - enddo - enddo - endif - do iii=1,2 - do kkk=1,5 - do lll=1,3 -#ifdef MOMENT - if (iii.eq.1) then - s1=dipderx(lll,kkk,1,jj,i)*dip(1,kk,k) - else - s1=dip(1,jj,i)*dipderx(lll,kkk,1,kk,k) - endif -#endif - call matvec2(ADtEA1derx(1,1,lll,kkk,iii,1),Ub2(1,k), - & auxvec(1)) - s2=-0.5d0*scalar2(Ub2(1,i),auxvec(1)) - call matvec2(ADtEAderx(1,1,lll,kkk,iii,2),Ub2(1,l), - & auxvec(1)) - s3=-0.5d0*scalar2(Ub2(1,j),auxvec(1)) - call transpose2(EUg(1,1,k),auxmat(1,1)) - call matmat2(ADtEA1derx(1,1,lll,kkk,iii,1),auxmat(1,1), - & pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(1,2)+pizda(2,1) - s4=-0.25d0*scalar2(vv(1),Dtobr2(1,i)) -cd write (2,*) 's1',s1,' s2',s2,' s3',s3,' s4',s4 -#ifdef MOMENT - derx(lll,kkk,iii)=derx(lll,kkk,iii)-(s1+s2+s4) -#else - derx(lll,kkk,iii)=derx(lll,kkk,iii)-(s2+s4) -#endif - if (swap) then - derx(lll,kkk,3-iii)=derx(lll,kkk,3-iii)-s3 - else - derx(lll,kkk,iii)=derx(lll,kkk,iii)-s3 - endif - enddo - enddo - enddo - return - end -c---------------------------------------------------------------------------- - double precision function eello6_graph3(i,j,k,l,jj,kk,swap) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - double precision vv(2),pizda(2,2),auxmat(2,2),auxvec(2) - logical swap -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -C C -C Parallel Antiparallel C -C C -C o o C -C /l\ / \ /j\ C -C / \ / \ / \ C -C /| o |o o| o |\ C -C j|/k\| / |/k\|l / C -C / \ / / \ / C -C / o / o C -C i i C -C C -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -C -C 4/7/01 AL Component s1 was removed, because it pertains to the respective -C energy moment and not to the cluster cumulant. - iti=itortyp(itype(i)) - if (j.lt.nres-1) then - itj1=itortyp(itype(j+1)) - else - itj1=ntortyp+1 - endif - itk=itortyp(itype(k)) - itk1=itortyp(itype(k+1)) - if (l.lt.nres-1) then - itl1=itortyp(itype(l+1)) - else - itl1=ntortyp+1 - endif -#ifdef MOMENT - s1=dip(4,jj,i)*dip(4,kk,k) -#endif - call matvec2(AECA(1,1,1),b1(1,itk1),auxvec(1)) - s2=0.5d0*scalar2(b1(1,itk),auxvec(1)) - call matvec2(AECA(1,1,2),b1(1,itl1),auxvec(1)) - s3=0.5d0*scalar2(b1(1,itj1),auxvec(1)) - call transpose2(EE(1,1,itk),auxmat(1,1)) - call matmat2(auxmat(1,1),AECA(1,1,1),pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - s4=-0.25d0*scalar2(vv(1),Ctobr(1,k)) -cd write (2,*) 'eello6_graph3:','s1',s1,' s2',s2,' s3',s3,' s4',s4, -cd & "sum",-(s2+s3+s4) -#ifdef MOMENT - eello6_graph3=-(s1+s2+s3+s4) -#else - eello6_graph3=-(s2+s3+s4) -#endif -c eello6_graph3=-s4 -C Derivatives in gamma(k-1) - call matvec2(AECAderg(1,1,2),b1(1,itl1),auxvec(1)) - s3=0.5d0*scalar2(b1(1,itj1),auxvec(1)) - s4=-0.25d0*scalar2(vv(1),Ctobrder(1,k)) - g_corr6_loc(k-1)=g_corr6_loc(k-1)-ekont*(s3+s4) -C Derivatives in gamma(l-1) - call matvec2(AECAderg(1,1,1),b1(1,itk1),auxvec(1)) - s2=0.5d0*scalar2(b1(1,itk),auxvec(1)) - call matmat2(auxmat(1,1),AECAderg(1,1,1),pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - s4=-0.25d0*scalar2(vv(1),Ctobr(1,k)) - g_corr6_loc(l-1)=g_corr6_loc(l-1)-ekont*(s2+s4) -C Cartesian derivatives. - do iii=1,2 - do kkk=1,5 - do lll=1,3 -#ifdef MOMENT - if (iii.eq.1) then - s1=dipderx(lll,kkk,4,jj,i)*dip(4,kk,k) - else - s1=dip(4,jj,i)*dipderx(lll,kkk,4,kk,k) - endif -#endif - call matvec2(AECAderx(1,1,lll,kkk,iii,1),b1(1,itk1), - & auxvec(1)) - s2=0.5d0*scalar2(b1(1,itk),auxvec(1)) - call matvec2(AECAderx(1,1,lll,kkk,iii,2),b1(1,itl1), - & auxvec(1)) - s3=0.5d0*scalar2(b1(1,itj1),auxvec(1)) - call matmat2(auxmat(1,1),AECAderx(1,1,lll,kkk,iii,1), - & pizda(1,1)) - vv(1)=pizda(1,1)+pizda(2,2) - vv(2)=pizda(2,1)-pizda(1,2) - s4=-0.25d0*scalar2(vv(1),Ctobr(1,k)) -#ifdef MOMENT - derx(lll,kkk,iii)=derx(lll,kkk,iii)-(s1+s2+s4) -#else - derx(lll,kkk,iii)=derx(lll,kkk,iii)-(s2+s4) -#endif - if (swap) then - derx(lll,kkk,3-iii)=derx(lll,kkk,3-iii)-s3 - else - derx(lll,kkk,iii)=derx(lll,kkk,iii)-s3 - endif -c derx(lll,kkk,iii)=derx(lll,kkk,iii)-s4 - enddo - enddo - enddo - return - end -c---------------------------------------------------------------------------- - double precision function eello6_graph4(i,j,k,l,jj,kk,imat,swap) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.FFIELD' - double precision vv(2),pizda(2,2),auxmat(2,2),auxvec(2), - & auxvec1(2),auxmat1(2,2) - logical swap -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -C C -C Parallel Antiparallel C -C C -C o o C -C /l\ / \ /j\ C -C / \ / \ / \ C -C /| o |o o| o |\ C -C \ j|/k\| \ |/k\|l C -C \ / \ \ / \ C -C o \ o \ C -C i i C -C C -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -C -C 4/7/01 AL Component s1 was removed, because it pertains to the respective -C energy moment and not to the cluster cumulant. -cd write (2,*) 'eello_graph4: wturn6',wturn6 - iti=itortyp(itype(i)) - itj=itortyp(itype(j)) - if (j.lt.nres-1) then - itj1=itortyp(itype(j+1)) - else - itj1=ntortyp+1 - endif - itk=itortyp(itype(k)) - if (k.lt.nres-1) then - itk1=itortyp(itype(k+1)) - else - itk1=ntortyp+1 - endif - itl=itortyp(itype(l)) - if (l.lt.nres-1) then - itl1=itortyp(itype(l+1)) - else - itl1=ntortyp+1 - endif -cd write (2,*) 'eello6_graph4:','i',i,' j',j,' k',k,' l',l -cd write (2,*) 'iti',iti,' itj',itj,' itj1',itj1,' itk',itk, -cd & ' itl',itl,' itl1',itl1 -#ifdef MOMENT - if (imat.eq.1) then - s1=dip(3,jj,i)*dip(3,kk,k) - else - s1=dip(2,jj,j)*dip(2,kk,l) - endif -#endif - call matvec2(AECA(1,1,imat),Ub2(1,k),auxvec(1)) - s2=0.5d0*scalar2(Ub2(1,i),auxvec(1)) - if (j.eq.l+1) then - call matvec2(ADtEA1(1,1,3-imat),b1(1,itj1),auxvec1(1)) - s3=-0.5d0*scalar2(b1(1,itj),auxvec1(1)) - else - call matvec2(ADtEA1(1,1,3-imat),b1(1,itl1),auxvec1(1)) - s3=-0.5d0*scalar2(b1(1,itl),auxvec1(1)) - endif - call transpose2(EUg(1,1,k),auxmat(1,1)) - call matmat2(AECA(1,1,imat),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(2,1)+pizda(1,2) - s4=0.25d0*scalar2(vv(1),Dtobr2(1,i)) -cd write (2,*) 'eello6_graph4:','s1',s1,' s2',s2,' s3',s3,' s4',s4 -#ifdef MOMENT - eello6_graph4=-(s1+s2+s3+s4) -#else - eello6_graph4=-(s2+s3+s4) -#endif -C Derivatives in gamma(i-1) - if (i.gt.1) then -#ifdef MOMENT - if (imat.eq.1) then - s1=dipderg(2,jj,i)*dip(3,kk,k) - else - s1=dipderg(4,jj,j)*dip(2,kk,l) - endif -#endif - s2=0.5d0*scalar2(Ub2der(1,i),auxvec(1)) - if (j.eq.l+1) then - call matvec2(ADtEA1derg(1,1,1,3-imat),b1(1,itj1),auxvec1(1)) - s3=-0.5d0*scalar2(b1(1,itj),auxvec1(1)) - else - call matvec2(ADtEA1derg(1,1,1,3-imat),b1(1,itl1),auxvec1(1)) - s3=-0.5d0*scalar2(b1(1,itl),auxvec1(1)) - endif - s4=0.25d0*scalar2(vv(1),Dtobr2der(1,i)) - if (wturn6.gt.0.0d0 .and. k.eq.l+4 .and. i.eq.j+2) then -cd write (2,*) 'turn6 derivatives' -#ifdef MOMENT - gel_loc_turn6(i-1)=gel_loc_turn6(i-1)-ekont*(s1+s2+s3+s4) -#else - gel_loc_turn6(i-1)=gel_loc_turn6(i-1)-ekont*(s2+s3+s4) -#endif - else -#ifdef MOMENT - g_corr6_loc(i-1)=g_corr6_loc(i-1)-ekont*(s1+s2+s3+s4) -#else - g_corr6_loc(i-1)=g_corr6_loc(i-1)-ekont*(s2+s3+s4) -#endif - endif - endif -C Derivatives in gamma(k-1) -#ifdef MOMENT - if (imat.eq.1) then - s1=dip(3,jj,i)*dipderg(2,kk,k) - else - s1=dip(2,jj,j)*dipderg(4,kk,l) - endif -#endif - call matvec2(AECA(1,1,imat),Ub2der(1,k),auxvec1(1)) - s2=0.5d0*scalar2(Ub2(1,i),auxvec1(1)) - if (j.eq.l+1) then - call matvec2(ADtEA1derg(1,1,2,3-imat),b1(1,itj1),auxvec1(1)) - s3=-0.5d0*scalar2(b1(1,itj),auxvec1(1)) - else - call matvec2(ADtEA1derg(1,1,2,3-imat),b1(1,itl1),auxvec1(1)) - s3=-0.5d0*scalar2(b1(1,itl),auxvec1(1)) - endif - call transpose2(EUgder(1,1,k),auxmat1(1,1)) - call matmat2(AECA(1,1,imat),auxmat1(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(2,1)+pizda(1,2) - s4=0.25d0*scalar2(vv(1),Dtobr2(1,i)) - if (wturn6.gt.0.0d0 .and. k.eq.l+4 .and. i.eq.j+2) then -#ifdef MOMENT - gel_loc_turn6(k-1)=gel_loc_turn6(k-1)-ekont*(s1+s2+s3+s4) -#else - gel_loc_turn6(k-1)=gel_loc_turn6(k-1)-ekont*(s2+s3+s4) -#endif - else -#ifdef MOMENT - g_corr6_loc(k-1)=g_corr6_loc(k-1)-ekont*(s1+s2+s3+s4) -#else - g_corr6_loc(k-1)=g_corr6_loc(k-1)-ekont*(s2+s3+s4) -#endif - endif -C Derivatives in gamma(j-1) or gamma(l-1) - if (l.eq.j+1 .and. l.gt.1) then - call matvec2(AECAderg(1,1,imat),Ub2(1,k),auxvec(1)) - s2=0.5d0*scalar2(Ub2(1,i),auxvec(1)) - call matmat2(AECAderg(1,1,imat),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(2,1)+pizda(1,2) - s4=0.25d0*scalar2(vv(1),Dtobr2(1,i)) - g_corr6_loc(l-1)=g_corr6_loc(l-1)-ekont*(s2+s4) - else if (j.gt.1) then - call matvec2(AECAderg(1,1,imat),Ub2(1,k),auxvec(1)) - s2=0.5d0*scalar2(Ub2(1,i),auxvec(1)) - call matmat2(AECAderg(1,1,imat),auxmat(1,1),pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(2,1)+pizda(1,2) - s4=0.25d0*scalar2(vv(1),Dtobr2(1,i)) - if (wturn6.gt.0.0d0 .and. k.eq.l+4 .and. i.eq.j+2) then - gel_loc_turn6(j-1)=gel_loc_turn6(j-1)-ekont*(s2+s4) - else - g_corr6_loc(j-1)=g_corr6_loc(j-1)-ekont*(s2+s4) - endif - endif -C Cartesian derivatives. - do iii=1,2 - do kkk=1,5 - do lll=1,3 -#ifdef MOMENT - if (iii.eq.1) then - if (imat.eq.1) then - s1=dipderx(lll,kkk,3,jj,i)*dip(3,kk,k) - else - s1=dipderx(lll,kkk,2,jj,j)*dip(2,kk,l) - endif - else - if (imat.eq.1) then - s1=dip(3,jj,i)*dipderx(lll,kkk,3,kk,k) - else - s1=dip(2,jj,j)*dipderx(lll,kkk,2,kk,l) - endif - endif -#endif - call matvec2(AECAderx(1,1,lll,kkk,iii,imat),Ub2(1,k), - & auxvec(1)) - s2=0.5d0*scalar2(Ub2(1,i),auxvec(1)) - if (j.eq.l+1) then - call matvec2(ADtEA1derx(1,1,lll,kkk,iii,3-imat), - & b1(1,itj1),auxvec(1)) - s3=-0.5d0*scalar2(b1(1,itj),auxvec(1)) - else - call matvec2(ADtEA1derx(1,1,lll,kkk,iii,3-imat), - & b1(1,itl1),auxvec(1)) - s3=-0.5d0*scalar2(b1(1,itl),auxvec(1)) - endif - call matmat2(AECAderx(1,1,lll,kkk,iii,imat),auxmat(1,1), - & pizda(1,1)) - vv(1)=pizda(1,1)-pizda(2,2) - vv(2)=pizda(2,1)+pizda(1,2) - s4=0.25d0*scalar2(vv(1),Dtobr2(1,i)) - if (swap) then - if (wturn6.gt.0.0d0 .and. k.eq.l+4 .and. i.eq.j+2) then -#ifdef MOMENT - derx_turn(lll,kkk,3-iii)=derx_turn(lll,kkk,3-iii) - & -(s1+s2+s4) -#else - derx_turn(lll,kkk,3-iii)=derx_turn(lll,kkk,3-iii) - & -(s2+s4) -#endif - derx_turn(lll,kkk,iii)=derx_turn(lll,kkk,iii)-s3 - else -#ifdef MOMENT - derx(lll,kkk,3-iii)=derx(lll,kkk,3-iii)-(s1+s2+s4) -#else - derx(lll,kkk,3-iii)=derx(lll,kkk,3-iii)-(s2+s4) -#endif - derx(lll,kkk,iii)=derx(lll,kkk,iii)-s3 - endif - else -#ifdef MOMENT - derx(lll,kkk,iii)=derx(lll,kkk,iii)-(s1+s2+s4) -#else - derx(lll,kkk,iii)=derx(lll,kkk,iii)-(s2+s4) -#endif - if (l.eq.j+1) then - derx(lll,kkk,iii)=derx(lll,kkk,iii)-s3 - else - derx(lll,kkk,3-iii)=derx(lll,kkk,3-iii)-s3 - endif - endif - enddo - enddo - enddo - return - end -c---------------------------------------------------------------------------- - double precision function eello_turn6(i,jj,kk) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.CONTACTS' - include 'COMMON.TORSION' - include 'COMMON.VAR' - include 'COMMON.GEO' - double precision vtemp1(2),vtemp2(2),vtemp3(2),vtemp4(2), - & atemp(2,2),auxmat(2,2),achuj_temp(2,2),gtemp(2,2),gvec(2), - & ggg1(3),ggg2(3) - double precision vtemp1d(2),vtemp2d(2),vtemp3d(2),vtemp4d(2), - & atempd(2,2),auxmatd(2,2),achuj_tempd(2,2),gtempd(2,2),gvecd(2) -C 4/7/01 AL Components s1, s8, and s13 were removed, because they pertain to -C the respective energy moment and not to the cluster cumulant. - s1=0.0d0 - s8=0.0d0 - s13=0.0d0 -c - eello_turn6=0.0d0 - j=i+4 - k=i+1 - l=i+3 - iti=itortyp(itype(i)) - itk=itortyp(itype(k)) - itk1=itortyp(itype(k+1)) - itl=itortyp(itype(l)) - itj=itortyp(itype(j)) -cd write (2,*) 'itk',itk,' itk1',itk1,' itl',itl,' itj',itj -cd write (2,*) 'i',i,' k',k,' j',j,' l',l -cd if (i.ne.1 .or. j.ne.3 .or. k.ne.2 .or. l.ne.4) then -cd eello6=0.0d0 -cd return -cd endif -cd write (iout,*) -cd & 'EELLO6: Contacts have occurred for peptide groups',i,j, -cd & ' and',k,l -cd call checkint_turn6(i,jj,kk,eel_turn6_num) - do iii=1,2 - do kkk=1,5 - do lll=1,3 - derx_turn(lll,kkk,iii)=0.0d0 - enddo - enddo - enddo -cd eij=1.0d0 -cd ekl=1.0d0 -cd ekont=1.0d0 - eello6_5=eello6_graph4(l,k,j,i,kk,jj,2,.true.) -cd eello6_5=0.0d0 -cd write (2,*) 'eello6_5',eello6_5 -#ifdef MOMENT - call transpose2(AEA(1,1,1),auxmat(1,1)) - call matmat2(EUg(1,1,i+1),auxmat(1,1),auxmat(1,1)) - ss1=scalar2(Ub2(1,i+2),b1(1,itl)) - s1 = (auxmat(1,1)+auxmat(2,2))*ss1 -#endif - call matvec2(EUg(1,1,i+2),b1(1,itl),vtemp1(1)) - call matvec2(AEA(1,1,1),vtemp1(1),vtemp1(1)) - s2 = scalar2(b1(1,itk),vtemp1(1)) -#ifdef MOMENT - call transpose2(AEA(1,1,2),atemp(1,1)) - call matmat2(atemp(1,1),EUg(1,1,i+4),atemp(1,1)) - call matvec2(Ug2(1,1,i+2),dd(1,1,itk1),vtemp2(1)) - s8 = -(atemp(1,1)+atemp(2,2))*scalar2(cc(1,1,itl),vtemp2(1)) -#endif - call matmat2(EUg(1,1,i+3),AEA(1,1,2),auxmat(1,1)) - call matvec2(auxmat(1,1),Ub2(1,i+4),vtemp3(1)) - s12 = scalar2(Ub2(1,i+2),vtemp3(1)) -#ifdef MOMENT - call transpose2(a_chuj(1,1,kk,i+1),achuj_temp(1,1)) - call matmat2(achuj_temp(1,1),EUg(1,1,i+2),gtemp(1,1)) - call matmat2(gtemp(1,1),EUg(1,1,i+3),gtemp(1,1)) - call matvec2(a_chuj(1,1,jj,i),Ub2(1,i+4),vtemp4(1)) - ss13 = scalar2(b1(1,itk),vtemp4(1)) - s13 = (gtemp(1,1)+gtemp(2,2))*ss13 -#endif -c write (2,*) 's1,s2,s8,s12,s13',s1,s2,s8,s12,s13 -c s1=0.0d0 -c s2=0.0d0 -c s8=0.0d0 -c s12=0.0d0 -c s13=0.0d0 - eel_turn6 = eello6_5 - 0.5d0*(s1+s2+s12+s8+s13) -C Derivatives in gamma(i+2) - s1d =0.0d0 - s8d =0.0d0 -#ifdef MOMENT - call transpose2(AEA(1,1,1),auxmatd(1,1)) - call matmat2(EUgder(1,1,i+1),auxmatd(1,1),auxmatd(1,1)) - s1d = (auxmatd(1,1)+auxmatd(2,2))*ss1 - call transpose2(AEAderg(1,1,2),atempd(1,1)) - call matmat2(atempd(1,1),EUg(1,1,i+4),atempd(1,1)) - s8d = -(atempd(1,1)+atempd(2,2))*scalar2(cc(1,1,itl),vtemp2(1)) -#endif - call matmat2(EUg(1,1,i+3),AEAderg(1,1,2),auxmatd(1,1)) - call matvec2(auxmatd(1,1),Ub2(1,i+4),vtemp3d(1)) - s12d = scalar2(Ub2(1,i+2),vtemp3d(1)) -c s1d=0.0d0 -c s2d=0.0d0 -c s8d=0.0d0 -c s12d=0.0d0 -c s13d=0.0d0 - gel_loc_turn6(i)=gel_loc_turn6(i)-0.5d0*ekont*(s1d+s8d+s12d) -C Derivatives in gamma(i+3) -#ifdef MOMENT - call transpose2(AEA(1,1,1),auxmatd(1,1)) - call matmat2(EUg(1,1,i+1),auxmatd(1,1),auxmatd(1,1)) - ss1d=scalar2(Ub2der(1,i+2),b1(1,itl)) - s1d = (auxmatd(1,1)+auxmatd(2,2))*ss1d -#endif - call matvec2(EUgder(1,1,i+2),b1(1,itl),vtemp1d(1)) - call matvec2(AEA(1,1,1),vtemp1d(1),vtemp1d(1)) - s2d = scalar2(b1(1,itk),vtemp1d(1)) -#ifdef MOMENT - call matvec2(Ug2der(1,1,i+2),dd(1,1,itk1),vtemp2d(1)) - s8d = -(atemp(1,1)+atemp(2,2))*scalar2(cc(1,1,itl),vtemp2d(1)) -#endif - s12d = scalar2(Ub2der(1,i+2),vtemp3(1)) -#ifdef MOMENT - call matmat2(achuj_temp(1,1),EUgder(1,1,i+2),gtempd(1,1)) - call matmat2(gtempd(1,1),EUg(1,1,i+3),gtempd(1,1)) - s13d = (gtempd(1,1)+gtempd(2,2))*ss13 -#endif -c s1d=0.0d0 -c s2d=0.0d0 -c s8d=0.0d0 -c s12d=0.0d0 -c s13d=0.0d0 -#ifdef MOMENT - gel_loc_turn6(i+1)=gel_loc_turn6(i+1) - & -0.5d0*ekont*(s1d+s2d+s8d+s12d+s13d) -#else - gel_loc_turn6(i+1)=gel_loc_turn6(i+1) - & -0.5d0*ekont*(s2d+s12d) -#endif -C Derivatives in gamma(i+4) - call matmat2(EUgder(1,1,i+3),AEA(1,1,2),auxmatd(1,1)) - call matvec2(auxmatd(1,1),Ub2(1,i+4),vtemp3d(1)) - s12d = scalar2(Ub2(1,i+2),vtemp3d(1)) -#ifdef MOMENT - call matmat2(achuj_temp(1,1),EUg(1,1,i+2),gtempd(1,1)) - call matmat2(gtempd(1,1),EUgder(1,1,i+3),gtempd(1,1)) - s13d = (gtempd(1,1)+gtempd(2,2))*ss13 -#endif -c s1d=0.0d0 -c s2d=0.0d0 -c s8d=0.0d0 -C s12d=0.0d0 -c s13d=0.0d0 -#ifdef MOMENT - gel_loc_turn6(i+2)=gel_loc_turn6(i+2)-0.5d0*ekont*(s12d+s13d) -#else - gel_loc_turn6(i+2)=gel_loc_turn6(i+2)-0.5d0*ekont*(s12d) -#endif -C Derivatives in gamma(i+5) -#ifdef MOMENT - call transpose2(AEAderg(1,1,1),auxmatd(1,1)) - call matmat2(EUg(1,1,i+1),auxmatd(1,1),auxmatd(1,1)) - s1d = (auxmatd(1,1)+auxmatd(2,2))*ss1 -#endif - call matvec2(EUg(1,1,i+2),b1(1,itl),vtemp1d(1)) - call matvec2(AEAderg(1,1,1),vtemp1d(1),vtemp1d(1)) - s2d = scalar2(b1(1,itk),vtemp1d(1)) -#ifdef MOMENT - call transpose2(AEA(1,1,2),atempd(1,1)) - call matmat2(atempd(1,1),EUgder(1,1,i+4),atempd(1,1)) - s8d = -(atempd(1,1)+atempd(2,2))*scalar2(cc(1,1,itl),vtemp2(1)) -#endif - call matvec2(auxmat(1,1),Ub2der(1,i+4),vtemp3d(1)) - s12d = scalar2(Ub2(1,i+2),vtemp3d(1)) -#ifdef MOMENT - call matvec2(a_chuj(1,1,jj,i),Ub2der(1,i+4),vtemp4d(1)) - ss13d = scalar2(b1(1,itk),vtemp4d(1)) - s13d = (gtemp(1,1)+gtemp(2,2))*ss13d -#endif -c s1d=0.0d0 -c s2d=0.0d0 -c s8d=0.0d0 -c s12d=0.0d0 -c s13d=0.0d0 -#ifdef MOMENT - gel_loc_turn6(i+3)=gel_loc_turn6(i+3) - & -0.5d0*ekont*(s1d+s2d+s8d+s12d+s13d) -#else - gel_loc_turn6(i+3)=gel_loc_turn6(i+3) - & -0.5d0*ekont*(s2d+s12d) -#endif -C Cartesian derivatives - do iii=1,2 - do kkk=1,5 - do lll=1,3 -#ifdef MOMENT - call transpose2(AEAderx(1,1,lll,kkk,iii,1),auxmatd(1,1)) - call matmat2(EUg(1,1,i+1),auxmatd(1,1),auxmatd(1,1)) - s1d = (auxmatd(1,1)+auxmatd(2,2))*ss1 -#endif - call matvec2(EUg(1,1,i+2),b1(1,itl),vtemp1(1)) - call matvec2(AEAderx(1,1,lll,kkk,iii,1),vtemp1(1), - & vtemp1d(1)) - s2d = scalar2(b1(1,itk),vtemp1d(1)) -#ifdef MOMENT - call transpose2(AEAderx(1,1,lll,kkk,iii,2),atempd(1,1)) - call matmat2(atempd(1,1),EUg(1,1,i+4),atempd(1,1)) - s8d = -(atempd(1,1)+atempd(2,2))* - & scalar2(cc(1,1,itl),vtemp2(1)) -#endif - call matmat2(EUg(1,1,i+3),AEAderx(1,1,lll,kkk,iii,2), - & auxmatd(1,1)) - call matvec2(auxmatd(1,1),Ub2(1,i+4),vtemp3d(1)) - s12d = scalar2(Ub2(1,i+2),vtemp3d(1)) -c s1d=0.0d0 -c s2d=0.0d0 -c s8d=0.0d0 -c s12d=0.0d0 -c s13d=0.0d0 -#ifdef MOMENT - derx_turn(lll,kkk,iii) = derx_turn(lll,kkk,iii) - & - 0.5d0*(s1d+s2d) -#else - derx_turn(lll,kkk,iii) = derx_turn(lll,kkk,iii) - & - 0.5d0*s2d -#endif -#ifdef MOMENT - derx_turn(lll,kkk,3-iii) = derx_turn(lll,kkk,3-iii) - & - 0.5d0*(s8d+s12d) -#else - derx_turn(lll,kkk,3-iii) = derx_turn(lll,kkk,3-iii) - & - 0.5d0*s12d -#endif - enddo - enddo - enddo -#ifdef MOMENT - do kkk=1,5 - do lll=1,3 - call transpose2(a_chuj_der(1,1,lll,kkk,kk,i+1), - & achuj_tempd(1,1)) - call matmat2(achuj_tempd(1,1),EUg(1,1,i+2),gtempd(1,1)) - call matmat2(gtempd(1,1),EUg(1,1,i+3),gtempd(1,1)) - s13d=(gtempd(1,1)+gtempd(2,2))*ss13 - derx_turn(lll,kkk,2) = derx_turn(lll,kkk,2)-0.5d0*s13d - call matvec2(a_chuj_der(1,1,lll,kkk,jj,i),Ub2(1,i+4), - & vtemp4d(1)) - ss13d = scalar2(b1(1,itk),vtemp4d(1)) - s13d = (gtemp(1,1)+gtemp(2,2))*ss13d - derx_turn(lll,kkk,1) = derx_turn(lll,kkk,1)-0.5d0*s13d - enddo - enddo -#endif -cd write(iout,*) 'eel6_turn6',eel_turn6,' eel_turn6_num', -cd & 16*eel_turn6_num -cd goto 1112 - if (j.lt.nres-1) then - j1=j+1 - j2=j-1 - else - j1=j-1 - j2=j-2 - endif - if (l.lt.nres-1) then - l1=l+1 - l2=l-1 - else - l1=l-1 - l2=l-2 - endif - do ll=1,3 -cgrad ggg1(ll)=eel_turn6*g_contij(ll,1) -cgrad ggg2(ll)=eel_turn6*g_contij(ll,2) -cgrad ghalf=0.5d0*ggg1(ll) -cd ghalf=0.0d0 - gturn6ij=eel_turn6*g_contij(ll,1)+ekont*derx_turn(ll,1,1) - gturn6kl=eel_turn6*g_contij(ll,2)+ekont*derx_turn(ll,1,2) - gcorr6_turn(ll,i)=gcorr6_turn(ll,i)!+ghalf - & +ekont*derx_turn(ll,2,1) - gcorr6_turn(ll,i+1)=gcorr6_turn(ll,i+1)+ekont*derx_turn(ll,3,1) - gcorr6_turn(ll,j)=gcorr6_turn(ll,j)!+ghalf - & +ekont*derx_turn(ll,4,1) - gcorr6_turn(ll,j1)=gcorr6_turn(ll,j1)+ekont*derx_turn(ll,5,1) - gcorr6_turn_long(ll,j)=gcorr6_turn_long(ll,j)+gturn6ij - gcorr6_turn_long(ll,i)=gcorr6_turn_long(ll,i)-gturn6ij -cgrad ghalf=0.5d0*ggg2(ll) -cd ghalf=0.0d0 - gcorr6_turn(ll,k)=gcorr6_turn(ll,k)!+ghalf - & +ekont*derx_turn(ll,2,2) - gcorr6_turn(ll,k+1)=gcorr6_turn(ll,k+1)+ekont*derx_turn(ll,3,2) - gcorr6_turn(ll,l)=gcorr6_turn(ll,l)!+ghalf - & +ekont*derx_turn(ll,4,2) - gcorr6_turn(ll,l1)=gcorr6_turn(ll,l1)+ekont*derx_turn(ll,5,2) - gcorr6_turn_long(ll,l)=gcorr6_turn_long(ll,l)+gturn6kl - gcorr6_turn_long(ll,k)=gcorr6_turn_long(ll,k)-gturn6kl - enddo -cd goto 1112 -cgrad do m=i+1,j-1 -cgrad do ll=1,3 -cgrad gcorr6_turn(ll,m)=gcorr6_turn(ll,m)+ggg1(ll) -cgrad enddo -cgrad enddo -cgrad do m=k+1,l-1 -cgrad do ll=1,3 -cgrad gcorr6_turn(ll,m)=gcorr6_turn(ll,m)+ggg2(ll) -cgrad enddo -cgrad enddo -cgrad1112 continue -cgrad do m=i+2,j2 -cgrad do ll=1,3 -cgrad gcorr6_turn(ll,m)=gcorr6_turn(ll,m)+ekont*derx_turn(ll,1,1) -cgrad enddo -cgrad enddo -cgrad do m=k+2,l2 -cgrad do ll=1,3 -cgrad gcorr6_turn(ll,m)=gcorr6_turn(ll,m)+ekont*derx_turn(ll,1,2) -cgrad enddo -cgrad enddo -cd do iii=1,nres-3 -cd write (2,*) iii,g_corr6_loc(iii) -cd enddo - eello_turn6=ekont*eel_turn6 -cd write (2,*) 'ekont',ekont -cd write (2,*) 'eel_turn6',ekont*eel_turn6 - return - end - -C----------------------------------------------------------------------------- - double precision function scalar(u,v) -!DIR$ INLINEALWAYS scalar -#ifndef OSF -cDEC$ ATTRIBUTES FORCEINLINE::scalar -#endif - implicit none - double precision u(3),v(3) -cd double precision sc -cd integer i -cd sc=0.0d0 -cd do i=1,3 -cd sc=sc+u(i)*v(i) -cd enddo -cd scalar=sc - - scalar=u(1)*v(1)+u(2)*v(2)+u(3)*v(3) - return - end -crc------------------------------------------------- - SUBROUTINE MATVEC2(A1,V1,V2) -!DIR$ INLINEALWAYS MATVEC2 -#ifndef OSF -cDEC$ ATTRIBUTES FORCEINLINE::MATVEC2 -#endif - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - DIMENSION A1(2,2),V1(2),V2(2) -c DO 1 I=1,2 -c VI=0.0 -c DO 3 K=1,2 -c 3 VI=VI+A1(I,K)*V1(K) -c Vaux(I)=VI -c 1 CONTINUE - - vaux1=a1(1,1)*v1(1)+a1(1,2)*v1(2) - vaux2=a1(2,1)*v1(1)+a1(2,2)*v1(2) - - v2(1)=vaux1 - v2(2)=vaux2 - END -C--------------------------------------- - SUBROUTINE MATMAT2(A1,A2,A3) -#ifndef OSF -cDEC$ ATTRIBUTES FORCEINLINE::MATMAT2 -#endif - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - DIMENSION A1(2,2),A2(2,2),A3(2,2) -c DIMENSION AI3(2,2) -c DO J=1,2 -c A3IJ=0.0 -c DO K=1,2 -c A3IJ=A3IJ+A1(I,K)*A2(K,J) -c enddo -c A3(I,J)=A3IJ -c enddo -c enddo - - ai3_11=a1(1,1)*a2(1,1)+a1(1,2)*a2(2,1) - ai3_12=a1(1,1)*a2(1,2)+a1(1,2)*a2(2,2) - ai3_21=a1(2,1)*a2(1,1)+a1(2,2)*a2(2,1) - ai3_22=a1(2,1)*a2(1,2)+a1(2,2)*a2(2,2) - - A3(1,1)=AI3_11 - A3(2,1)=AI3_21 - A3(1,2)=AI3_12 - A3(2,2)=AI3_22 - END - -c------------------------------------------------------------------------- - double precision function scalar2(u,v) -!DIR$ INLINEALWAYS scalar2 - implicit none - double precision u(2),v(2) - double precision sc - integer i - scalar2=u(1)*v(1)+u(2)*v(2) - return - end - -C----------------------------------------------------------------------------- - - subroutine transpose2(a,at) -!DIR$ INLINEALWAYS transpose2 -#ifndef OSF -cDEC$ ATTRIBUTES FORCEINLINE::transpose2 -#endif - implicit none - double precision a(2,2),at(2,2) - at(1,1)=a(1,1) - at(1,2)=a(2,1) - at(2,1)=a(1,2) - at(2,2)=a(2,2) - return - end -c-------------------------------------------------------------------------- - subroutine transpose(n,a,at) - implicit none - integer n,i,j - double precision a(n,n),at(n,n) - do i=1,n - do j=1,n - at(j,i)=a(i,j) - enddo - enddo - return - end -C--------------------------------------------------------------------------- - subroutine prodmat3(a1,a2,kk,transp,prod) -!DIR$ INLINEALWAYS prodmat3 -#ifndef OSF -cDEC$ ATTRIBUTES FORCEINLINE::prodmat3 -#endif - implicit none - integer i,j - double precision a1(2,2),a2(2,2),a2t(2,2),kk(2,2),prod(2,2) - logical transp -crc double precision auxmat(2,2),prod_(2,2) - - if (transp) then -crc call transpose2(kk(1,1),auxmat(1,1)) -crc call matmat2(a1(1,1),auxmat(1,1),auxmat(1,1)) -crc call matmat2(auxmat(1,1),a2(1,1),prod_(1,1)) - - prod(1,1)=(a1(1,1)*kk(1,1)+a1(1,2)*kk(1,2))*a2(1,1) - & +(a1(1,1)*kk(2,1)+a1(1,2)*kk(2,2))*a2(2,1) - prod(1,2)=(a1(1,1)*kk(1,1)+a1(1,2)*kk(1,2))*a2(1,2) - & +(a1(1,1)*kk(2,1)+a1(1,2)*kk(2,2))*a2(2,2) - prod(2,1)=(a1(2,1)*kk(1,1)+a1(2,2)*kk(1,2))*a2(1,1) - & +(a1(2,1)*kk(2,1)+a1(2,2)*kk(2,2))*a2(2,1) - prod(2,2)=(a1(2,1)*kk(1,1)+a1(2,2)*kk(1,2))*a2(1,2) - & +(a1(2,1)*kk(2,1)+a1(2,2)*kk(2,2))*a2(2,2) - - else -crc call matmat2(a1(1,1),kk(1,1),auxmat(1,1)) -crc call matmat2(auxmat(1,1),a2(1,1),prod_(1,1)) - - prod(1,1)=(a1(1,1)*kk(1,1)+a1(1,2)*kk(2,1))*a2(1,1) - & +(a1(1,1)*kk(1,2)+a1(1,2)*kk(2,2))*a2(2,1) - prod(1,2)=(a1(1,1)*kk(1,1)+a1(1,2)*kk(2,1))*a2(1,2) - & +(a1(1,1)*kk(1,2)+a1(1,2)*kk(2,2))*a2(2,2) - prod(2,1)=(a1(2,1)*kk(1,1)+a1(2,2)*kk(2,1))*a2(1,1) - & +(a1(2,1)*kk(1,2)+a1(2,2)*kk(2,2))*a2(2,1) - prod(2,2)=(a1(2,1)*kk(1,1)+a1(2,2)*kk(2,1))*a2(1,2) - & +(a1(2,1)*kk(1,2)+a1(2,2)*kk(2,2))*a2(2,2) - - endif -c call transpose2(a2(1,1),a2t(1,1)) - -crc print *,transp -crc print *,((prod_(i,j),i=1,2),j=1,2) -crc print *,((prod(i,j),i=1,2),j=1,2) - - return - end - diff --git a/source/unres/src_MD-restraints-PM/energy_split-sep.F b/source/unres/src_MD-restraints-PM/energy_split-sep.F deleted file mode 100644 index 81e4d81..0000000 --- a/source/unres/src_MD-restraints-PM/energy_split-sep.F +++ /dev/null @@ -1,476 +0,0 @@ - subroutine etotal_long(energia) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -c -c Compute the long-range slow-varying contributions to the energy -c -#ifndef ISNAN - external proc_proc -#ifdef WINPGI -cMS$ATTRIBUTES C :: proc_proc -#endif -#endif -#ifdef MPI - include "mpif.h" - double precision weights_(n_ene) -#endif - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - double precision energia(0:n_ene) - include 'COMMON.FFIELD' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.MD' -c write(iout,'(a,i2)')'Calling etotal_long ipot=',ipot - if (modecalc.eq.12.or.modecalc.eq.14) then -#ifdef MPI -c if (fg_rank.eq.0) call int_from_cart1(.false.) -#else - call int_from_cart1(.false.) -#endif - endif -#ifdef MPI -c write(iout,*) "ETOTAL_LONG Processor",fg_rank, -c & " absolute rank",myrank," nfgtasks",nfgtasks - call flush(iout) - if (nfgtasks.gt.1) then - time00=MPI_Wtime() -C FG slaves call the following matching MPI_Bcast in ERGASTULUM - if (fg_rank.eq.0) then - call MPI_Bcast(3,1,MPI_INTEGER,king,FG_COMM,IERROR) -c write (iout,*) "Processor",myrank," BROADCAST iorder" -c call flush(iout) -C FG master sets up the WEIGHTS_ array which will be broadcast to the -C FG slaves as WEIGHTS array. - weights_(1)=wsc - weights_(2)=wscp - weights_(3)=welec - weights_(4)=wcorr - weights_(5)=wcorr5 - weights_(6)=wcorr6 - weights_(7)=wel_loc - weights_(8)=wturn3 - weights_(9)=wturn4 - weights_(10)=wturn6 - weights_(11)=wang - weights_(12)=wscloc - weights_(13)=wtor - weights_(14)=wtor_d - weights_(15)=wstrain - weights_(16)=wvdwpp - weights_(17)=wbond - weights_(18)=scal14 - weights_(21)=wsccor -C FG Master broadcasts the WEIGHTS_ array - call MPI_Bcast(weights_(1),n_ene, - & MPI_DOUBLE_PRECISION,king,FG_COMM,IERROR) - else -C FG slaves receive the WEIGHTS array - call MPI_Bcast(weights(1),n_ene, - & MPI_DOUBLE_PRECISION,king,FG_COMM,IERROR) - wsc=weights(1) - wscp=weights(2) - welec=weights(3) - wcorr=weights(4) - wcorr5=weights(5) - wcorr6=weights(6) - wel_loc=weights(7) - wturn3=weights(8) - wturn4=weights(9) - wturn6=weights(10) - wang=weights(11) - wscloc=weights(12) - wtor=weights(13) - wtor_d=weights(14) - wstrain=weights(15) - wvdwpp=weights(16) - wbond=weights(17) - scal14=weights(18) - wsccor=weights(21) - endif - call MPI_Bcast(dc(1,1),6*nres,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) - time_Bcast=time_Bcast+MPI_Wtime()-time00 - time_Bcastw=time_Bcastw+MPI_Wtime()-time00 -c call chainbuild_cart -c call int_from_cart1(.false.) - endif -c write (iout,*) 'Processor',myrank, -c & ' calling etotal_short ipot=',ipot -c call flush(iout) -c print *,'Processor',myrank,' nnt=',nnt,' nct=',nct -#endif -cd print *,'nnt=',nnt,' nct=',nct -C -C Compute the side-chain and electrostatic interaction energy -C - goto (101,102,103,104,105,106) ipot -C Lennard-Jones potential. - 101 call elj_long(evdw) -cd print '(a)','Exit ELJ' - goto 107 -C Lennard-Jones-Kihara potential (shifted). - 102 call eljk_long(evdw) - goto 107 -C Berne-Pechukas potential (dilated LJ, angular dependence). - 103 call ebp_long(evdw) - goto 107 -C Gay-Berne potential (shifted LJ, angular dependence). - 104 call egb_long(evdw,evdw_p,evdw_m) - goto 107 -C Gay-Berne-Vorobjev potential (shifted LJ, angular dependence). - 105 call egbv_long(evdw) - goto 107 -C Soft-sphere potential - 106 call e_softsphere(evdw) -C -C Calculate electrostatic (H-bonding) energy of the main chain. -C - 107 continue - call vec_and_deriv - if (ipot.lt.6) then -#ifdef SPLITELE - if (welec.gt.0d0.or.wvdwpp.gt.0d0.or.wel_loc.gt.0d0.or. - & wturn3.gt.0d0.or.wturn4.gt.0d0 .or. wcorr.gt.0.0d0 - & .or. wcorr4.gt.0.0d0 .or. wcorr5.gt.0.d0 - & .or. wcorr6.gt.0.0d0 .or. wturn6.gt.0.0d0 ) then -#else - if (welec.gt.0d0.or.wel_loc.gt.0d0.or. - & wturn3.gt.0d0.or.wturn4.gt.0d0 .or. wcorr.gt.0.0d0 - & .or. wcorr4.gt.0.0d0 .or. wcorr5.gt.0.d0 - & .or. wcorr6.gt.0.0d0 .or. wturn6.gt.0.0d0 ) then -#endif - call eelec_scale(ees,evdw1,eel_loc,eello_turn3,eello_turn4) - else - ees=0 - evdw1=0 - eel_loc=0 - eello_turn3=0 - eello_turn4=0 - endif - else -c write (iout,*) "Soft-spheer ELEC potential" - call eelec_soft_sphere(ees,evdw1,eel_loc,eello_turn3, - & eello_turn4) - endif -C -C Calculate excluded-volume interaction energy between peptide groups -C and side chains. -C - if (ipot.lt.6) then - if(wscp.gt.0d0) then - call escp_long(evdw2,evdw2_14) - else - evdw2=0 - evdw2_14=0 - endif - else - call escp_soft_sphere(evdw2,evdw2_14) - endif -C -C 12/1/95 Multi-body terms -C - n_corr=0 - n_corr1=0 - if ((wcorr4.gt.0.0d0 .or. wcorr5.gt.0.0d0 .or. wcorr6.gt.0.0d0 - & .or. wturn6.gt.0.0d0) .and. ipot.lt.6) then - call multibody_eello(ecorr,ecorr5,ecorr6,eturn6,n_corr,n_corr1) -c write (2,*) 'n_corr=',n_corr,' n_corr1=',n_corr1, -c &" ecorr",ecorr," ecorr5",ecorr5," ecorr6",ecorr6," eturn6",eturn6 - else - ecorr=0.0d0 - ecorr5=0.0d0 - ecorr6=0.0d0 - eturn6=0.0d0 - endif - if ((wcorr4.eq.0.0d0 .and. wcorr.gt.0.0d0) .and. ipot.lt.6) then - call multibody_hb(ecorr,ecorr5,ecorr6,n_corr,n_corr1) - endif -C -C If performing constraint dynamics, call the constraint energy -C after the equilibration time - if(usampl.and.totT.gt.eq_time) then - call EconstrQ - call Econstr_back - else - Uconst=0.0d0 - Uconst_back=0.0d0 - endif -C -C Sum the energies -C - do i=1,n_ene - energia(i)=0.0d0 - enddo - energia(1)=evdw -#ifdef SCP14 - energia(2)=evdw2-evdw2_14 - energia(18)=evdw2_14 -#else - energia(2)=evdw2 - energia(18)=0.0d0 -#endif -#ifdef SPLITELE - energia(3)=ees - energia(16)=evdw1 -#else - energia(3)=ees+evdw1 - energia(16)=0.0d0 -#endif - energia(4)=ecorr - energia(5)=ecorr5 - energia(6)=ecorr6 - energia(7)=eel_loc - energia(8)=eello_turn3 - energia(9)=eello_turn4 - energia(10)=eturn6 - energia(20)=Uconst+Uconst_back - energia(22)=evdw_p - energia(23)=evdw_m - call sum_energy(energia,.true.) -c write (iout,*) "Exit ETOTAL_LONG" - call flush(iout) - return - end -c------------------------------------------------------------------------------ - subroutine etotal_short(energia) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -c -c Compute the short-range fast-varying contributions to the energy -c -#ifndef ISNAN - external proc_proc -#ifdef WINPGI -cMS$ATTRIBUTES C :: proc_proc -#endif -#endif -#ifdef MPI - include "mpif.h" - double precision weights_(n_ene) -#endif - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - double precision energia(0:n_ene) - include 'COMMON.FFIELD' - include 'COMMON.DERIV' - include 'COMMON.INTERACT' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - -c write(iout,'(a,i2)')'Calling etotal_short ipot=',ipot -c call flush(iout) - if (modecalc.eq.12.or.modecalc.eq.14) then -#ifdef MPI - if (fg_rank.eq.0) call int_from_cart1(.false.) -#else - call int_from_cart1(.false.) -#endif - endif -#ifdef MPI -c write(iout,*) "ETOTAL_SHORT Processor",fg_rank, -c & " absolute rank",myrank," nfgtasks",nfgtasks -c call flush(iout) - if (nfgtasks.gt.1) then - time00=MPI_Wtime() -C FG slaves call the following matching MPI_Bcast in ERGASTULUM - if (fg_rank.eq.0) then - call MPI_Bcast(2,1,MPI_INTEGER,king,FG_COMM,IERROR) -c write (iout,*) "Processor",myrank," BROADCAST iorder" -c call flush(iout) -C FG master sets up the WEIGHTS_ array which will be broadcast to the -C FG slaves as WEIGHTS array. - weights_(1)=wsc - weights_(2)=wscp - weights_(3)=welec - weights_(4)=wcorr - weights_(5)=wcorr5 - weights_(6)=wcorr6 - weights_(7)=wel_loc - weights_(8)=wturn3 - weights_(9)=wturn4 - weights_(10)=wturn6 - weights_(11)=wang - weights_(12)=wscloc - weights_(13)=wtor - weights_(14)=wtor_d - weights_(15)=wstrain - weights_(16)=wvdwpp - weights_(17)=wbond - weights_(18)=scal14 - weights_(21)=wsccor -C FG Master broadcasts the WEIGHTS_ array - call MPI_Bcast(weights_(1),n_ene, - & MPI_DOUBLE_PRECISION,king,FG_COMM,IERROR) - else -C FG slaves receive the WEIGHTS array - call MPI_Bcast(weights(1),n_ene, - & MPI_DOUBLE_PRECISION,king,FG_COMM,IERROR) - wsc=weights(1) - wscp=weights(2) - welec=weights(3) - wcorr=weights(4) - wcorr5=weights(5) - wcorr6=weights(6) - wel_loc=weights(7) - wturn3=weights(8) - wturn4=weights(9) - wturn6=weights(10) - wang=weights(11) - wscloc=weights(12) - wtor=weights(13) - wtor_d=weights(14) - wstrain=weights(15) - wvdwpp=weights(16) - wbond=weights(17) - scal14=weights(18) - wsccor=weights(21) - endif -c write (iout,*),"Processor",myrank," BROADCAST weights" - call MPI_Bcast(c(1,1),maxres6,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) -c write (iout,*) "Processor",myrank," BROADCAST c" - call MPI_Bcast(dc(1,1),maxres6,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) -c write (iout,*) "Processor",myrank," BROADCAST dc" - call MPI_Bcast(dc_norm(1,1),maxres6,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) -c write (iout,*) "Processor",myrank," BROADCAST dc_norm" - call MPI_Bcast(theta(1),nres,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) -c write (iout,*) "Processor",myrank," BROADCAST theta" - call MPI_Bcast(phi(1),nres,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) -c write (iout,*) "Processor",myrank," BROADCAST phi" - call MPI_Bcast(alph(1),nres,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) -c write (iout,*) "Processor",myrank," BROADCAST alph" - call MPI_Bcast(omeg(1),nres,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) -c write (iout,*) "Processor",myrank," BROADCAST omeg" - call MPI_Bcast(vbld(1),2*nres,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) -c write (iout,*) "Processor",myrank," BROADCAST vbld" - call MPI_Bcast(vbld_inv(1),2*nres,MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) - time_Bcast=time_Bcast+MPI_Wtime()-time00 -c write (iout,*) "Processor",myrank," BROADCAST vbld_inv" - endif -c write (iout,*) 'Processor',myrank, -c & ' calling etotal_short ipot=',ipot -c call flush(iout) -c print *,'Processor',myrank,' nnt=',nnt,' nct=',nct -#endif -c call int_from_cart1(.false.) -C -C Compute the side-chain and electrostatic interaction energy -C - goto (101,102,103,104,105,106) ipot -C Lennard-Jones potential. - 101 call elj_short(evdw) -cd print '(a)','Exit ELJ' - goto 107 -C Lennard-Jones-Kihara potential (shifted). - 102 call eljk_short(evdw) - goto 107 -C Berne-Pechukas potential (dilated LJ, angular dependence). - 103 call ebp_short(evdw) - goto 107 -C Gay-Berne potential (shifted LJ, angular dependence). - 104 call egb_short(evdw,evdw_p,evdw_m) - goto 107 -C Gay-Berne-Vorobjev potential (shifted LJ, angular dependence). - 105 call egbv_short(evdw) - goto 107 -C Soft-sphere potential - already dealt with in the long-range part - 106 evdw=0.0d0 -c 106 call e_softsphere_short(evdw) -C -C Calculate electrostatic (H-bonding) energy of the main chain. -C - 107 continue -c -c Calculate the short-range part of Evdwpp -c - call evdwpp_short(evdw1) -c -c Calculate the short-range part of ESCp -c - if (ipot.lt.6) then - call escp_short(evdw2,evdw2_14) - endif -c -c Calculate the bond-stretching energy -c - call ebond(estr) -C -C Calculate the disulfide-bridge and other energy and the contributions -C from other distance constraints. - call edis(ehpb) -C -C Calculate the virtual-bond-angle energy. -C - call ebend(ebe) -C -C Calculate the SC local energy. -C - call vec_and_deriv - call esc(escloc) -C -C Calculate the virtual-bond torsional energy. -C - call etor(etors,edihcnstr) -C -C 6/23/01 Calculate double-torsional energy -C - call etor_d(etors_d) -C -C 21/5/07 Calculate local sicdechain correlation energy -C - if (wsccor.gt.0.0d0) then - call eback_sc_corr(esccor) - else - esccor=0.0d0 - endif -C -C Put energy components into an array -C - do i=1,n_ene - energia(i)=0.0d0 - enddo - energia(1)=evdw -#ifdef SCP14 - energia(2)=evdw2-evdw2_14 - energia(18)=evdw2_14 -#else - energia(2)=evdw2 - energia(18)=0.0d0 -#endif -#ifdef SPLITELE - energia(16)=evdw1 -#else - energia(3)=evdw1 -#endif - energia(11)=ebe - energia(12)=escloc - energia(13)=etors - energia(14)=etors_d - energia(15)=ehpb - energia(17)=estr - energia(19)=edihcnstr - energia(21)=esccor - energia(22)=evdw_p - energia(23)=evdw_m -c write (iout,*) "ETOTAL_SHORT before SUM_ENERGY" - call flush(iout) - call sum_energy(energia,.true.) -c write (iout,*) "Exit ETOTAL_SHORT" - call flush(iout) - return - end diff --git a/source/unres/src_MD-restraints-PM/entmcm.F b/source/unres/src_MD-restraints-PM/entmcm.F deleted file mode 100644 index 3c2dc5a..0000000 --- a/source/unres/src_MD-restraints-PM/entmcm.F +++ /dev/null @@ -1,684 +0,0 @@ - subroutine entmcm -C Does modified entropic sampling in the space of minima. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' -#ifdef MPL - include 'COMMON.INFO' -#endif - include 'COMMON.GEO' - include 'COMMON.CHAIN' - include 'COMMON.MCM' - include 'COMMON.MCE' - include 'COMMON.CONTACTS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.THREAD' - include 'COMMON.NAMES' - logical accepted,not_done,over,ovrtim,error,lprint - integer MoveType,nbond - integer conf_comp - double precision RandOrPert - double precision varia(maxvar),elowest,ehighest,eold - double precision przes(3),obr(3,3) - double precision varold(maxvar) - logical non_conv - double precision energia(0:n_ene),energia_ave(0:n_ene) -C -cd write (iout,*) 'print_mc=',print_mc - WhatsUp=0 - maxtrial_iter=50 -c--------------------------------------------------------------------------- -C Initialize counters. -c--------------------------------------------------------------------------- -C Total number of generated confs. - ngen=0 -C Total number of moves. In general this won't be equal to the number of -C attempted moves, because we may want to reject some "bad" confs just by -C overlap check. - nmove=0 -C Total number of shift (nbond_move(1)), spike, crankshaft, three-bond,... -C motions. - do i=1,nres - nbond_move(i)=0 - enddo -C Initialize total and accepted number of moves of various kind. - do i=0,MaxMoveType - moves(i)=0 - moves_acc(i)=0 - enddo -C Total number of energy evaluations. - neneval=0 - nfun=0 - indminn=-max_ene - indmaxx=max_ene - delte=0.5D0 - facee=1.0D0/(maxacc*delte) - conste=dlog(facee) -C Read entropy from previous simulations. - if (ent_read) then - read (ientin,*) indminn,indmaxx,emin,emax - print *,'indminn=',indminn,' indmaxx=',indmaxx,' emin=',emin, - & ' emax=',emax - do i=-max_ene,max_ene - entropy(i)=(emin+i*delte)*betbol - enddo - read (ientin,*) (ijunk,ejunk,entropy(i),i=indminn,indmaxx) - indmin=indminn - indmax=indmaxx - write (iout,*) 'indminn=',indminn,' indmaxx=',indmaxx, - & ' emin=',emin,' emax=',emax - write (iout,'(/a)') 'Initial entropy' - do i=indminn,indmaxx - write (iout,'(i5,2f10.5)') i,emin+i*delte,entropy(i) - enddo - endif ! ent_read -C Read the pool of conformations - call read_pool -C---------------------------------------------------------------------------- -C Entropy-sampling simulations with continually updated entropy -C Loop thru simulations -C---------------------------------------------------------------------------- - DO ISWEEP=1,NSWEEP -C---------------------------------------------------------------------------- -C Take a conformation from the pool -C---------------------------------------------------------------------------- - if (npool.gt.0) then - ii=iran_num(1,npool) - do i=1,nvar - varia(i)=xpool(i,ii) - enddo - write (iout,*) 'Took conformation',ii,' from the pool energy=', - & epool(ii) - call var_to_geom(nvar,varia) -C Print internal coordinates of the initial conformation - call intout - else - call gen_rand_conf(1,*20) - endif -C---------------------------------------------------------------------------- -C Compute and print initial energies. -C---------------------------------------------------------------------------- - nsave=0 -#ifdef MPL - if (MyID.eq.MasterID) then - do i=1,nctasks - nsave_part(i)=0 - enddo - endif -#endif - Kwita=0 - WhatsUp=0 - write (iout,'(/80(1h*)/a,i2/80(1h*)/)') 'MCE iteration #',isweep - write (iout,'(/80(1h*)/a)') 'Initial energies:' - call chainbuild - call etotal(energia(0)) - etot = energia(0) - call enerprint(energia(0)) -C Minimize the energy of the first conformation. - if (minim) then - call geom_to_var(nvar,varia) - call minimize(etot,varia,iretcode,nfun) - call etotal(energia(0)) - etot = energia(0) - write (iout,'(/80(1h*)/a/80(1h*))') - & 'Results of the first energy minimization:' - call enerprint(energia(0)) - endif - if (refstr) then - call fitsq(rms,c(1,nstart_seq),cref(1,nstart_sup),nsup,przes, - & obr,non_conv) - rms=dsqrt(rms) - call contact(.false.,ncont,icont,co) - frac=contact_fract(ncont,ncont_ref,icont,icont_ref) - write (iout,'(a,f8.3,a,f8.3,a,f8.3)') - & 'RMS deviation from the reference structure:',rms, - & ' % of native contacts:',frac*100,' contact order:',co - write (istat,'(i5,11(1pe14.5))') 0, - & (energia(print_order(i)),i=1,nprint_ene),etot,rms,frac,co - else - write (istat,'(i5,9(1pe14.5))') 0, - & (energia(print_order(i)),i=1,nprint_ene),etot - endif - close(istat) - neneval=neneval+nfun+1 - if (.not. ent_read) then -C Initialize the entropy array - do i=-max_ene,max_ene - emin=etot -C Uncomment the line below for actual entropic sampling (start with uniform -C energy distribution). -c entropy(i)=0.0D0 -C Uncomment the line below for multicanonical sampling (start with Boltzmann -C distribution). - entropy(i)=(emin+i*delte)*betbol - enddo - emax=10000000.0D0 - emin=etot - write (iout,'(/a)') 'Initial entropy' - do i=indminn,indmaxx - write (iout,'(i5,2f10.5)') i,emin+i*delte,entropy(i) - enddo - endif ! ent_read -#ifdef MPL - call recv_stop_sig(Kwita) - if (whatsup.eq.1) then - call send_stop_sig(-2) - not_done=.false. - else if (whatsup.le.-2) then - not_done=.false. - else if (whatsup.eq.2) then - not_done=.false. - else - not_done=.true. - endif -#else - not_done = (iretcode.ne.11) -#endif - write (iout,'(/80(1h*)/20x,a/80(1h*))') - & 'Enter Monte Carlo procedure.' - close(igeom) - call briefout(0,etot) - do i=1,nvar - varold(i)=varia(i) - enddo - eold=etot - indeold=(eold-emin)/delte - deix=eold-(emin+indeold*delte) - dent=entropy(indeold+1)-entropy(indeold) -cd write (iout,*) 'indeold=',indeold,' deix=',deix,' dent=',dent -cd write (*,*) 'Processor',MyID,' indeold=',indeold,' deix=',deix, -cd & ' dent=',dent - sold=entropy(indeold)+(dent/delte)*deix - elowest=etot - write (iout,*) 'eold=',eold,' sold=',sold,' elowest=',etot - write (*,*) 'Processor',MyID,' eold=',eold,' sold=',sold, - & ' elowest=',etot - if (minim) call zapis(varia,etot) - nminima(1)=1.0D0 -C NACC is the counter for the accepted conformations of a given processor - nacc=0 -C NACC_TOT counts the total number of accepted conformations - nacc_tot=0 -#ifdef MPL - if (MyID.eq.MasterID) then - call receive_MCM_info - else - call send_MCM_info(2) - endif -#endif - do iene=indminn,indmaxx - nhist(iene)=0.0D0 - enddo - do i=2,maxsave - nminima(i)=0.0D0 - enddo -C Main loop. -c---------------------------------------------------------------------------- - elowest=1.0D10 - ehighest=-1.0D10 - it=0 - do while (not_done) - it=it+1 - if (print_mc.gt.0) write (iout,'(80(1h*)/20x,a,i7)') - & 'Beginning iteration #',it -C Initialize local counter. - ntrial=0 ! # of generated non-overlapping confs. - noverlap=0 ! # of overlapping confs. - accepted=.false. - do while (.not. accepted .and. WhatsUp.eq.0 .and. Kwita.eq.0) - ntrial=ntrial+1 -C Retrieve the angles of previously accepted conformation - do j=1,nvar - varia(j)=varold(j) - enddo -cd write (iout,'(a)') 'Old variables:' -cd write (iout,'(10f8.1)') (rad2deg*varia(i),i=1,nvar) - call var_to_geom(nvar,varia) -C Rebuild the chain. - call chainbuild - MoveType=0 - nbond=0 - lprint=.true. -C Decide whether to generate a random conformation or perturb the old one - RandOrPert=ran_number(0.0D0,1.0D0) - if (RandOrPert.gt.RanFract) then - if (print_mc.gt.0) - & write (iout,'(a)') 'Perturbation-generated conformation.' - call perturb(error,lprint,MoveType,nbond,1.0D0) - if (error) goto 20 - if (MoveType.lt.1 .or. MoveType.gt.MaxMoveType) then - write (iout,'(/a,i7,a/)') 'Error - unknown MoveType=', - & MoveType,' returned from PERTURB.' - goto 20 - endif - call chainbuild - else - MoveType=0 - moves(0)=moves(0)+1 - nstart_grow=iran_num(3,nres) - if (print_mc.gt.0) - & write (iout,'(2a,i3)') 'Random-generated conformation', - & ' - chain regrown from residue',nstart_grow - call gen_rand_conf(nstart_grow,*30) - endif - call geom_to_var(nvar,varia) -cd write (iout,'(a)') 'New variables:' -cd write (iout,'(10f8.1)') (rad2deg*varia(i),i=1,nvar) - ngen=ngen+1 - if (print_mc.gt.0) write (iout,'(a,i5,a,i10,a,i10)') - & 'Processor',MyId,' trial move',ntrial,' total generated:',ngen - if (print_mc.gt.0) write (*,'(a,i5,a,i10,a,i10)') - & 'Processor',MyId,' trial move',ntrial,' total generated:',ngen - call etotal(energia(0)) - etot = energia(0) -c call enerprint(energia(0)) -c write (iout,'(2(a,1pe14.5))') 'Etot=',Etot,' Elowest=',Elowest - if (etot-elowest.gt.overlap_cut) then - write (iout,'(a,i5,a,1pe14.5)') 'Iteration',it, - & ' Overlap detected in the current conf.; energy is',etot - neneval=neneval+1 - accepted=.false. - noverlap=noverlap+1 - if (noverlap.gt.maxoverlap) then - write (iout,'(a)') 'Too many overlapping confs.' - goto 20 - endif - else - if (minim) then - call minimize(etot,varia,iretcode,nfun) -cd write (iout,'(a)') 'Variables after minimization:' -cd write (iout,'(10f8.1)') (rad2deg*varia(i),i=1,nvar) - call etotal(energia(0)) - etot = energia(0) - neneval=neneval+nfun+1 - endif - if (print_mc.gt.2) then - write (iout,'(a)') 'Total energies of trial conf:' - call enerprint(energia(0)) - else if (print_mc.eq.1) then - write (iout,'(a,i6,a,1pe16.6)') - & 'Trial conformation:',ngen,' energy:',etot - endif -C-------------------------------------------------------------------------- -C... Acceptance test -C-------------------------------------------------------------------------- - accepted=.false. - if (WhatsUp.eq.0) - & call accepting(etot,eold,scur,sold,varia,varold, - & accepted) - if (accepted) then - nacc=nacc+1 - nacc_tot=nacc_tot+1 - if (elowest.gt.etot) elowest=etot - if (ehighest.lt.etot) ehighest=etot - moves_acc(MoveType)=moves_acc(MoveType)+1 - if (MoveType.eq.1) then - nbond_acc(nbond)=nbond_acc(nbond)+1 - endif -C Check against conformation repetitions. - irep=conf_comp(varia,etot) -#if defined(AIX) || defined(PGI) - open (istat,file=statname,position='append') -#else - open (istat,file=statname,access='append') -#endif - if (refstr) then - call fitsq(rms,c(1,nstart_seq),cref(1,nstart_sup),nsup, - & przes,obr,non_conv) - rms=dsqrt(rms) - call contact(.false.,ncont,icont,co) - frac=contact_fract(ncont,ncont_ref,icont,icont_ref) - if (print_mc.gt.0) - & write (iout,'(a,f8.3,a,f8.3,a,f8.3)') - & 'RMS deviation from the reference structure:',rms, - & ' % of native contacts:',frac*100,' contact order:',co - if (print_stat) - & write (istat,'(i5,11(1pe14.5))') it, - & (energia(print_order(i)),i=1,nprint_ene),etot, - & rms,frac,co - elseif (print_stat) then - write (istat,'(i5,10(1pe14.5))') it, - & (energia(print_order(i)),i=1,nprint_ene),etot - endif - close(istat) - if (print_mc.gt.1) - & call statprint(nacc,nfun,iretcode,etot,elowest) -C Print internal coordinates. - if (print_int) call briefout(nacc,etot) -#ifdef MPL - if (MyID.ne.MasterID) then - call recv_stop_sig(Kwita) -cd print *,'Processor:',MyID,' STOP=',Kwita - if (irep.eq.0) then - call send_MCM_info(2) - else - call send_MCM_info(1) - endif - endif -#endif -C Store the accepted conf. and its energy. - eold=etot - sold=scur - do i=1,nvar - varold(i)=varia(i) - enddo - if (irep.eq.0) then - irep=nsave+1 -cd write (iout,*) 'Accepted conformation:' -cd write (iout,*) (rad2deg*varia(i),i=1,nphi) - if (minim) call zapis(varia,etot) - do i=1,n_ene - ener(i,nsave)=energia(i) - enddo - ener(n_ene+1,nsave)=etot - ener(n_ene+2,nsave)=frac - endif - nminima(irep)=nminima(irep)+1.0D0 -c print *,'irep=',irep,' nminima=',nminima(irep) -#ifdef MPL - if (Kwita.eq.0) call recv_stop_sig(kwita) -#endif - endif ! accepted - endif ! overlap -#ifdef MPL - if (MyID.eq.MasterID) then - call receive_MCM_info - if (nacc_tot.ge.maxacc) accepted=.true. - endif -#endif - if (ntrial.gt.maxtrial_iter .and. npool.gt.0) then -C Take a conformation from the pool - ii=iran_num(1,npool) - do i=1,nvar - varia(i)=xpool(i,ii) - enddo - write (iout,*) 'Iteration',it,' max. # of trials exceeded.' - write (iout,*) - & 'Take conformation',ii,' from the pool energy=',epool(ii) - if (print_mc.gt.2) - & write (iout,'(10f8.3)') (rad2deg*varia(i),i=1,nvar) - ntrial=0 - endif ! (ntrial.gt.maxtrial_iter .and. npool.gt.0) - 30 continue - enddo ! accepted -#ifdef MPL - if (MyID.eq.MasterID) then - call receive_MCM_info - endif - if (Kwita.eq.0) call recv_stop_sig(kwita) -#endif - if (ovrtim()) WhatsUp=-1 -cd write (iout,*) 'WhatsUp=',WhatsUp,' Kwita=',Kwita - not_done = (nacc_tot.lt.maxacc) .and. (WhatsUp.eq.0) - & .and. (Kwita.eq.0) -cd write (iout,*) 'not_done=',not_done -#ifdef MPL - if (Kwita.lt.0) then - print *,'Processor',MyID, - & ' has received STOP signal =',Kwita,' in EntSamp.' -cd print *,'not_done=',not_done - if (Kwita.lt.-1) WhatsUp=Kwita - else if (nacc_tot.ge.maxacc) then - print *,'Processor',MyID,' calls send_stop_sig,', - & ' because a sufficient # of confs. have been collected.' -cd print *,'not_done=',not_done - call send_stop_sig(-1) - else if (WhatsUp.eq.-1) then - print *,'Processor',MyID, - & ' calls send_stop_sig because of timeout.' -cd print *,'not_done=',not_done - call send_stop_sig(-2) - endif -#endif - enddo ! not_done - -C----------------------------------------------------------------- -C... Construct energy histogram & update entropy -C----------------------------------------------------------------- - go to 21 - 20 WhatsUp=-3 -#ifdef MPL - write (iout,*) 'Processor',MyID, - & ' is broadcasting ERROR-STOP signal.' - write (*,*) 'Processor',MyID, - & ' is broadcasting ERROR-STOP signal.' - call send_stop_sig(-3) -#endif - 21 continue -#ifdef MPL - if (MyID.eq.MasterID) then -c call receive_MCM_results - call receive_energies -#endif - do i=1,nsave - if (esave(i).lt.elowest) elowest=esave(i) - if (esave(i).gt.ehighest) ehighest=esave(i) - enddo - write (iout,'(a,i10)') '# of accepted confs:',nacc_tot - write (iout,'(a,f10.5,a,f10.5)') 'Lowest energy:',elowest, - & ' Highest energy',ehighest - if (isweep.eq.1 .and. .not.ent_read) then - emin=elowest - emax=ehighest - write (iout,*) 'EMAX=',emax - indminn=0 - indmaxx=(ehighest-emin)/delte - indmin=indminn - indmax=indmaxx - do i=-max_ene,max_ene - entropy(i)=(emin+i*delte)*betbol - enddo - ent_read=.true. - else - indmin=(elowest-emin)/delte - indmax=(ehighest-emin)/delte - if (indmin.lt.indminn) indminn=indmin - if (indmax.gt.indmaxx) indmaxx=indmax - endif - write(iout,*)'indminn=',indminn,' indmaxx=',indmaxx -C Construct energy histogram - do i=1,nsave - inde=(esave(i)-emin)/delte - nhist(inde)=nhist(inde)+nminima(i) - enddo -C Update entropy (density of states) - do i=indmin,indmax - if (nhist(i).gt.0) then - entropy(i)=entropy(i)+dlog(nhist(i)+0.0D0) - endif - enddo -Cd do i=indmaxx+1 -Cd entropy(i)=1.0D+10 -Cd enddo - write (iout,'(/80(1h*)/a,i2/80(1h*)/)') - & 'End of macroiteration',isweep - write (iout,'(a,f10.5,a,f10.5)') 'Elowest=',elowest, - & ' Ehighest=',ehighest - write (iout,'(a)') 'Frequecies of minima' - do i=1,nsave - write (iout,'(i5,f5.0,f10.5)') i,nminima(i),esave(i) - enddo - write (iout,'(/a)') 'Energy histogram' - do i=indminn,indmaxx - write (iout,'(i5,2f10.5)') i,emin+i*delte,nhist(i) - enddo - write (iout,'(/a)') 'Entropy' - do i=indminn,indmaxx - write (iout,'(i5,2f10.5)') i,emin+i*delte,entropy(i) - enddo -C----------------------------------------------------------------- -C... End of energy histogram construction -C----------------------------------------------------------------- -#ifdef MPL - entropy(-max_ene-4)=dfloat(indminn) - entropy(-max_ene-3)=dfloat(indmaxx) - entropy(-max_ene-2)=emin - entropy(-max_ene-1)=emax - call send_MCM_update -cd print *,entname,ientout - open (ientout,file=entname,status='unknown') - write (ientout,'(2i5,2e25.17)') indminn,indmaxx,emin,emax - do i=indminn,indmaxx - write (ientout,'(i5,f10.5,f20.15)') i,emin+i*delte,entropy(i) - enddo - close(ientout) - else - write (iout,'(a)') 'Frequecies of minima' - do i=1,nsave - write (iout,'(i5,f5.0,f10.5)') i,nminima(i),esave(i) - enddo -c call send_MCM_results - call send_energies - call receive_MCM_update - indminn=entropy(-max_ene-4) - indmaxx=entropy(-max_ene-3) - emin=entropy(-max_ene-2) - emax=entropy(-max_ene-1) - write (iout,*) 'Received from master:' - write (iout,*) 'indminn=',indminn,' indmaxx=',indmaxx, - & ' emin=',emin,' emax=',emax - write (iout,'(/a)') 'Entropy' - do i=indminn,indmaxx - write (iout,'(i5,2f10.5)') i,emin+i*delte,entropy(i) - enddo - endif - if (WhatsUp.lt.-1) return -#else - if (ovrtim() .or. WhatsUp.lt.0) return -#endif - - write (iout,'(/80(1h*)/20x,a)') 'Summary run statistics:' - call statprint(nacc,nfun,iretcode,etot,elowest) - write (iout,'(a)') - & 'Statistics of multiple-bond motions. Total motions:' - write (iout,'(16i5)') (nbond_move(i),i=1,Nbm) - write (iout,'(a)') 'Accepted motions:' - write (iout,'(16i5)') (nbond_acc(i),i=1,Nbm) - write (iout,'(a,i10)') 'Number of chain regrowths:',nregrow - write (iout,'(a,i10)') 'Accepted chain regrowths:',nregrow_acc - -C--------------------------------------------------------------------------- - ENDDO ! ISWEEP -C--------------------------------------------------------------------------- - - runtime=tcpu() - - if (isweep.eq.nsweep .and. it.ge.maxacc) - &write (iout,'(/80(1h*)/20x,a/80(1h*)/)') 'All iterations done.' - return - end -c------------------------------------------------------------------------------ - subroutine accepting(ecur,eold,scur,sold,x,xold,accepted) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.MCE' - include 'COMMON.IOUNITS' - include 'COMMON.VAR' -#ifdef MPL - include 'COMMON.INFO' -#endif - include 'COMMON.GEO' - double precision ecur,eold,xx,ran_number,bol - double precision x(maxvar),xold(maxvar) - double precision tole /1.0D-1/, tola /5.0D0/ - logical accepted -C Check if the conformation is similar. -cd write (iout,*) 'Enter ACCEPTING' -cd write (iout,*) 'Old PHI angles:' -cd write (iout,*) (rad2deg*xold(i),i=1,nphi) -cd write (iout,*) 'Current angles' -cd write (iout,*) (rad2deg*x(i),i=1,nphi) -cd ddif=dif_ang(nphi,x,xold) -cd write (iout,*) 'Angle norm:',ddif -cd write (iout,*) 'ecur=',ecur,' emax=',emax - if (ecur.gt.emax) then - accepted=.false. - if (print_mc.gt.0) - & write (iout,'(a)') 'Conformation rejected as too high in energy' - return - else if (dabs(ecur-eold).lt.tole .and. - & dif_ang(nphi,x,xold).lt.tola) then - accepted=.false. - if (print_mc.gt.0) - & write (iout,'(a)') 'Conformation rejected as too similar' - return - endif -C Else evaluate the entropy of the conf and compare it with that of the previous -C one. - indecur=(ecur-emin)/delte - if (iabs(indecur).gt.max_ene) then - write (iout,'(a,2i5)') - & 'Accepting: Index out of range:',indecur - scur=1000.0D0 - else if (indecur.eq.indmaxx) then - scur=entropy(indecur) - if (print_mc.gt.0) write (iout,*)'Energy boundary reached', - & indmaxx,indecur,entropy(indecur) - else - deix=ecur-(emin+indecur*delte) - dent=entropy(indecur+1)-entropy(indecur) - scur=entropy(indecur)+(dent/delte)*deix - endif -cd print *,'Processor',MyID,' ecur=',ecur,' indecur=',indecur, -cd & ' scur=',scur,' eold=',eold,' sold=',sold -cd print *,'deix=',deix,' dent=',dent,' delte=',delte - if (print_mc.gt.1) then - write(iout,*)'ecur=',ecur,' indecur=',indecur,' scur=',scur - write(iout,*)'eold=',eold,' sold=',sold - endif - if (scur.le.sold) then - accepted=.true. - else -C Else carry out acceptance test - xx=ran_number(0.0D0,1.0D0) - xxh=scur-sold - if (xxh.gt.50.0D0) then - bol=0.0D0 - else - bol=exp(-xxh) - endif - if (bol.gt.xx) then - accepted=.true. - if (print_mc.gt.0) write (iout,'(a)') - & 'Conformation accepted.' - else - accepted=.false. - if (print_mc.gt.0) write (iout,'(a)') - & 'Conformation rejected.' - endif - endif - return - end -c----------------------------------------------------------------------------- - subroutine read_pool - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.MCM' - include 'COMMON.MCE' - include 'COMMON.VAR' - double precision varia(maxvar) - print '(a)','Call READ_POOL' - do npool=1,max_pool - print *,'i=',i - read (intin,'(i5,f10.5)',end=10,err=10) iconf,epool(npool) - if (epool(npool).eq.0.0D0) goto 10 - call read_angles(intin,*10) - call geom_to_var(nvar,xpool(1,npool)) - enddo - goto 11 - 10 npool=npool-1 - 11 write (iout,'(a,i5)') 'Number of pool conformations:',npool - if (print_mc.gt.2) then - do i=1,npool - write (iout,'(a,i5,a,1pe14.5)') 'Pool conformation',i,' energy', - & epool(i) - write (iout,'(10f8.3)') (rad2deg*xpool(j,i),j=1,nvar) - enddo - endif ! (print_mc.gt.2) - return - end diff --git a/source/unres/src_MD-restraints-PM/fitsq.f b/source/unres/src_MD-restraints-PM/fitsq.f deleted file mode 100644 index 36cbd30..0000000 --- a/source/unres/src_MD-restraints-PM/fitsq.f +++ /dev/null @@ -1,364 +0,0 @@ - subroutine fitsq(rms,x,y,nn,t,b,non_conv) - implicit real*8 (a-h,o-z) - include 'COMMON.IOUNITS' -c x and y are the vectors of coordinates (dimensioned (3,n)) of the two -c structures to be superimposed. nn is 3*n, where n is the number of -c points. t and b are respectively the translation vector and the -c rotation matrix that transforms the second set of coordinates to the -c frame of the first set. -c eta = machine-specific variable - - dimension x(3*nn),y(3*nn),t(3) - dimension b(3,3),q(3,3),r(3,3),v(3),xav(3),yav(3),e(3),c(3,3) - logical non_conv -c eta = z00100000 -c small=25.0*rmdcon(3) -c small=25.0*eta -c small=25.0*10.e-10 -c the following is a very lenient value for 'small' - small = 0.0001D0 - non_conv=.false. - fn=nn - do 10 i=1,3 - xav(i)=0.0D0 - yav(i)=0.0D0 - do 10 j=1,3 - 10 b(j,i)=0.0D0 - nc=0 -c - do 30 n=1,nn - do 20 i=1,3 -c write(iout,*)'x = ',x(nc+i),' y = ',y(nc+i) - xav(i)=xav(i)+x(nc+i)/fn - 20 yav(i)=yav(i)+y(nc+i)/fn - 30 nc=nc+3 -c - do i=1,3 - t(i)=yav(i)-xav(i) - enddo - - rms=0.0d0 - do n=1,nn - do i=1,3 - rms=rms+(y(3*(n-1)+i)-x(3*(n-1)+i)-t(i))**2 - enddo - enddo - rms=dabs(rms/fn) - -c write(iout,*)'xav = ',(xav(j),j=1,3) -c write(iout,*)'yav = ',(yav(j),j=1,3) -c write(iout,*)'t = ',(t(j),j=1,3) -c write(iout,*)'rms=',rms - if (rms.lt.small) return - - - nc=0 - rms=0.0D0 - do 50 n=1,nn - do 40 i=1,3 - rms=rms+((x(nc+i)-xav(i))**2+(y(nc+i)-yav(i))**2)/fn - do 40 j=1,3 - b(j,i)=b(j,i)+(x(nc+i)-xav(i))*(y(nc+j)-yav(j))/fn - 40 c(j,i)=b(j,i) - 50 nc=nc+3 - call sivade(b,q,r,d,non_conv) - sn3=dsign(1.0d0,d) - do 120 i=1,3 - do 120 j=1,3 - 120 b(j,i)=-q(j,1)*r(i,1)-q(j,2)*r(i,2)-sn3*q(j,3)*r(i,3) - call mvvad(b,xav,yav,t) - do 130 i=1,3 - do 130 j=1,3 - rms=rms+2.0*c(j,i)*b(j,i) - 130 b(j,i)=-b(j,i) - if (dabs(rms).gt.small) go to 140 -* write (6,301) - return - 140 if (rms.gt.0.0d0) go to 150 -c write (iout,303) rms - rms=0.0d0 -* stop -c 150 write (iout,302) dsqrt(rms) - 150 continue - return - 301 format (5x,'rms deviation negligible') - 302 format (5x,'rms deviation ',f14.6) - 303 format (//,5x,'negative ms deviation - ',f14.6) - end -c - subroutine sivade(x,q,r,dt,non_conv) - implicit real*8(a-h,o-z) -c computes q,e and r such that q(t)xr = diag(e) - dimension x(3,3),q(3,3),r(3,3),e(3) - dimension h(3,3),p(3,3),u(3,3),d(3) - logical non_conv -c eta = z00100000 -c write (2,*) "SIVADE" - nit = 0 - small=25.0*10.d-10 -c small=25.0*eta -c small=2.0*rmdcon(3) - xnrm=0.0d0 - do 20 i=1,3 - do 10 j=1,3 - xnrm=xnrm+x(j,i)*x(j,i) - u(j,i)=0.0d0 - r(j,i)=0.0d0 - 10 h(j,i)=0.0d0 - u(i,i)=1.0 - 20 r(i,i)=1.0 - xnrm=dsqrt(xnrm) - do 110 n=1,2 - xmax=0.0d0 - do 30 j=n,3 - 30 if (dabs(x(j,n)).gt.xmax) xmax=dabs(x(j,n)) - a=0.0d0 - do 40 j=n,3 - h(j,n)=x(j,n)/xmax - 40 a=a+h(j,n)*h(j,n) - a=dsqrt(a) - den=a*(a+dabs(h(n,n))) - d(n)=1.0/den - h(n,n)=h(n,n)+dsign(a,h(n,n)) - do 70 i=n,3 - s=0.0d0 - do 50 j=n,3 - 50 s=s+h(j,n)*x(j,i) - s=d(n)*s - do 60 j=n,3 - 60 x(j,i)=x(j,i)-s*h(j,n) - 70 continue - if (n.gt.1) go to 110 - xmax=dmax1(dabs(x(1,2)),dabs(x(1,3))) - h(2,3)=x(1,2)/xmax - h(3,3)=x(1,3)/xmax - a=dsqrt(h(2,3)*h(2,3)+h(3,3)*h(3,3)) - den=a*(a+dabs(h(2,3))) - d(3)=1.0/den - h(2,3)=h(2,3)+sign(a,h(2,3)) - do 100 i=1,3 - s=0.0d0 - do 80 j=2,3 - 80 s=s+h(j,3)*x(i,j) - s=d(3)*s - do 90 j=2,3 - 90 x(i,j)=x(i,j)-s*h(j,3) - 100 continue - 110 continue - do 130 i=1,3 - do 120 j=1,3 - 120 p(j,i)=-d(1)*h(j,1)*h(i,1) - 130 p(i,i)=1.0+p(i,i) - do 140 i=2,3 - do 140 j=2,3 - u(j,i)=u(j,i)-d(2)*h(j,2)*h(i,2) - 140 r(j,i)=r(j,i)-d(3)*h(j,3)*h(i,3) - call mmmul(p,u,q) - 150 np=1 - nq=1 - nit=nit+1 -c write (2,*) "nit",nit," e",(x(i,i),i=1,3) - if (nit.gt.10000) then - print '(a)','!!!! Over 10000 iterations in SIVADE!!!!!' - non_conv=.true. - return - endif - if (dabs(x(2,3)).gt.small*(dabs(x(2,2))+abs(x(3,3)))) go to 160 - x(2,3)=0.0d0 - nq=nq+1 - 160 if (dabs(x(1,2)).gt.small*(dabs(x(1,1))+dabs(x(2,2)))) go to 180 - x(1,2)=0.0d0 - if (x(2,3).ne.0.0d0) go to 170 - nq=nq+1 - go to 180 - 170 np=np+1 - 180 if (nq.eq.3) go to 310 - npq=4-np-nq -c write (2,*) "np",np," npq",npq - if (np.gt.npq) go to 230 - n0=0 - do 220 n=np,npq - nn=n+np-1 -c write (2,*) "nn",nn - if (dabs(x(nn,nn)).gt.small*xnrm) go to 220 - x(nn,nn)=0.0d0 - if (x(nn,nn+1).eq.0.0d0) go to 220 - n0=n0+1 -c write (2,*) "nn",nn - go to (190,210,220),nn - 190 do 200 j=2,3 - 200 call givns(x,q,1,j) - go to 220 - 210 call givns(x,q,2,3) - 220 continue -c write (2,*) "nn",nn," np",np," nq",nq," n0",n0 -c write (2,*) "x",(x(i,i),i=1,3) - if (n0.ne.0) go to 150 - 230 nn=3-nq - a=x(nn,nn)*x(nn,nn) - if (nn.gt.1) a=a+x(nn-1,nn)*x(nn-1,nn) - b=x(nn+1,nn+1)*x(nn+1,nn+1)+x(nn,nn+1)*x(nn,nn+1) - c=x(nn,nn)*x(nn,nn+1) - dd=0.5*(a-b) - xn2=c*c - rt=b-xn2/(dd+sign(dsqrt(dd*dd+xn2),dd)) - y=x(np,np)*x(np,np)-rt - z=x(np,np)*x(np,np+1) - do 300 n=np,nn -c write (2,*) "n",n," a",a," b",b," c",c," y",y," z",z - if (dabs(y).lt.dabs(z)) go to 240 - t=z/y - c=1.0/dsqrt(1.0d0+t*t) - s=c*t - go to 250 - 240 t=y/z - s=1.0/dsqrt(1.0d0+t*t) - c=s*t - 250 do 260 j=1,3 - v=x(j,n) - w=x(j,n+1) - x(j,n)=c*v+s*w - x(j,n+1)=-s*v+c*w - a=r(j,n) - b=r(j,n+1) - r(j,n)=c*a+s*b - 260 r(j,n+1)=-s*a+c*b - y=x(n,n) - z=x(n+1,n) - if (dabs(y).lt.dabs(z)) go to 270 - t=z/y - c=1.0/dsqrt(1.0+t*t) - s=c*t - go to 280 - 270 t=y/z - s=1.0/dsqrt(1.0+t*t) - c=s*t - 280 do 290 j=1,3 - v=x(n,j) - w=x(n+1,j) - a=q(j,n) - b=q(j,n+1) - x(n,j)=c*v+s*w - x(n+1,j)=-s*v+c*w - q(j,n)=c*a+s*b - 290 q(j,n+1)=-s*a+c*b - if (n.ge.nn) go to 300 - y=x(n,n+1) - z=x(n,n+2) - 300 continue - go to 150 - 310 do 320 i=1,3 - 320 e(i)=x(i,i) - nit=0 - 330 n0=0 - nit=nit+1 - if (nit.gt.10000) then - print '(a)','!!!! Over 10000 iterations in SIVADE!!!!!' - non_conv=.true. - return - endif -c write (2,*) "e",(e(i),i=1,3) - do 360 i=1,3 - if (e(i).ge.0.0d0) go to 350 - e(i)=-e(i) - do 340 j=1,3 - 340 q(j,i)=-q(j,i) - 350 if (i.eq.1) go to 360 - if (dabs(e(i)).lt.dabs(e(i-1))) go to 360 - call switch(i,1,q,r,e) - n0=n0+1 - 360 continue - if (n0.ne.0) go to 330 -c write (2,*) "e",(e(i),i=1,3) - if (dabs(e(3)).gt.small*xnrm) go to 370 - e(3)=0.0d0 - if (dabs(e(2)).gt.small*xnrm) go to 370 - e(2)=0.0d0 - 370 dt=det(q(1,1),q(1,2),q(1,3))*det(r(1,1),r(1,2),r(1,3)) -c write (2,*) "nit",nit -c write (2,501) (e(i),i=1,3) - return - 501 format (/,5x,'singular values - ',3e15.5) - end - subroutine givns(a,b,m,n) - implicit real*8 (a-h,o-z) - dimension a(3,3),b(3,3) - if (dabs(a(m,n)).lt.dabs(a(n,n))) go to 10 - t=a(n,n)/a(m,n) - s=1.0/dsqrt(1.0+t*t) - c=s*t - go to 20 - 10 t=a(m,n)/a(n,n) - c=1.0/dsqrt(1.0+t*t) - s=c*t - 20 do 30 j=1,3 - v=a(m,j) - w=a(n,j) - x=b(j,m) - y=b(j,n) - a(m,j)=c*v-s*w - a(n,j)=s*v+c*w - b(j,m)=c*x-s*y - 30 b(j,n)=s*x+c*y - return - end - subroutine switch(n,m,u,v,d) - implicit real*8 (a-h,o-z) - dimension u(3,3),v(3,3),d(3) - do 10 i=1,3 - tem=u(i,n) - u(i,n)=u(i,n-1) - u(i,n-1)=tem - if (m.eq.0) go to 10 - tem=v(i,n) - v(i,n)=v(i,n-1) - v(i,n-1)=tem - 10 continue - tem=d(n) - d(n)=d(n-1) - d(n-1)=tem - return - end - subroutine mvvad(b,xav,yav,t) - implicit real*8 (a-h,o-z) - dimension b(3,3),xav(3),yav(3),t(3) -c dimension a(3,3),b(3),c(3),d(3) -c do 10 j=1,3 -c d(j)=c(j) -c do 10 i=1,3 -c 10 d(j)=d(j)+a(j,i)*b(i) - do 10 j=1,3 - t(j)=yav(j) - do 10 i=1,3 - 10 t(j)=t(j)+b(j,i)*xav(i) - return - end - double precision function det (a,b,c) - implicit real*8 (a-h,o-z) - dimension a(3),b(3),c(3) - det=a(1)*(b(2)*c(3)-b(3)*c(2))+a(2)*(b(3)*c(1)-b(1)*c(3)) - 1 +a(3)*(b(1)*c(2)-b(2)*c(1)) - return - end - subroutine mmmul(a,b,c) - implicit real*8 (a-h,o-z) - dimension a(3,3),b(3,3),c(3,3) - do 10 i=1,3 - do 10 j=1,3 - c(i,j)=0.0d0 - do 10 k=1,3 - 10 c(i,j)=c(i,j)+a(i,k)*b(k,j) - return - end - subroutine matvec(uvec,tmat,pvec,nback) - implicit real*8 (a-h,o-z) - real*8 tmat(3,3),uvec(3,nback), pvec(3,nback) -c - do 2 j=1,nback - do 1 i=1,3 - uvec(i,j) = 0.0d0 - do 1 k=1,3 - 1 uvec(i,j)=uvec(i,j)+tmat(i,k)*pvec(k,j) - 2 continue - return - end diff --git a/source/unres/src_MD-restraints-PM/gauss.f b/source/unres/src_MD-restraints-PM/gauss.f deleted file mode 100644 index 7ba6e1d..0000000 --- a/source/unres/src_MD-restraints-PM/gauss.f +++ /dev/null @@ -1,69 +0,0 @@ - subroutine gauss(RO,AP,MT,M,N,*) -c -c CALCULATES (RO**(-1))*AP BY GAUSS ELIMINATION -c RO IS A SQUARE MATRIX -c THE CALCULATED PRODUCT IS STORED IN AP -c ABNORMAL EXIT IF RO IS SINGULAR -c - integer MT, M, N, M1,I,J,IM, - & I1,MI,MI1 - double precision RO(MT,M),AP(MT,N),X,RM,PR, - & Y - if(M.ne.1)goto 10 - X=RO(1,1) - if(dabs(X).le.1.0D-13) return 1 - X=1.0/X - do 16 I=1,N -16 AP(1,I)=AP(1,I)*X - return -10 continue - M1=M-1 - DO1 I=1,M1 - IM=I - RM=DABS(RO(I,I)) - I1=I+1 - do 2 J=I1,M - if(DABS(RO(J,I)).LE.RM) goto 2 - RM=DABS(RO(J,I)) - IM=J -2 continue - If(IM.eq.I)goto 17 - do 3 J=1,N - PR=AP(I,J) - AP(I,J)=AP(IM,J) -3 AP(IM,J)=PR - do 4 J=I,M - PR=RO(I,J) - RO(I,J)=RO(IM,J) -4 RO(IM,J)=PR -17 X=RO(I,I) - if(dabs(X).le.1.0E-13) return 1 - X=1.0/X - do 5 J=1,N -5 AP(I,J)=X*AP(I,J) - do 6 J=I1,M -6 RO(I,J)=X*RO(I,J) - do 7 J=I1,M - Y=RO(J,I) - do 8 K=1,N -8 AP(J,K)=AP(J,K)-Y*AP(I,K) - do 9 K=I1,M -9 RO(J,K)=RO(J,K)-Y*RO(I,K) -7 continue -1 continue - X=RO(M,M) - if(dabs(X).le.1.0E-13) return 1 - X=1.0/X - do 11 J=1,N -11 AP(M,J)=X*AP(M,J) - do 12 I=1,M1 - MI=M-I - MI1=MI+1 - do 14 J=1,N - X=AP(MI,J) - do 15 K=MI1,M -15 X=X-AP(K,J)*RO(MI,K) -14 AP(MI,J)=X -12 continue - return - end diff --git a/source/unres/src_MD-restraints-PM/gen_rand_conf.F b/source/unres/src_MD-restraints-PM/gen_rand_conf.F deleted file mode 100644 index 6cc31ba..0000000 --- a/source/unres/src_MD-restraints-PM/gen_rand_conf.F +++ /dev/null @@ -1,910 +0,0 @@ - subroutine gen_rand_conf(nstart,*) -C Generate random conformation or chain cut and regrowth. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.LOCAL' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.MCM' - include 'COMMON.GEO' - include 'COMMON.CONTROL' - logical overlap,back,fail -cd print *,' CG Processor',me,' maxgen=',maxgen - maxsi=100 -cd write (iout,*) 'Gen_Rand_conf: nstart=',nstart - if (nstart.lt.5) then - it1=itype(2) - phi(4)=gen_phi(4,itype(2),itype(3)) -c write(iout,*)'phi(4)=',rad2deg*phi(4) - if (nstart.lt.3) theta(3)=gen_theta(itype(2),pi,phi(4)) -c write(iout,*)'theta(3)=',rad2deg*theta(3) - if (it1.ne.10) then - nsi=0 - fail=.true. - do while (fail.and.nsi.le.maxsi) - call gen_side(it1,theta(3),alph(2),omeg(2),fail) - nsi=nsi+1 - enddo - if (nsi.gt.maxsi) return1 - endif ! it1.ne.10 - call orig_frame - i=4 - nstart=4 - else - i=nstart - nstart=max0(i,4) - endif - - maxnit=0 - - nit=0 - niter=0 - back=.false. - do while (i.le.nres .and. niter.lt.maxgen) - if (i.lt.nstart) then - if(iprint.gt.1) then - write (iout,'(/80(1h*)/2a/80(1h*))') - & 'Generation procedure went down to ', - & 'chain beginning. Cannot continue...' - write (*,'(/80(1h*)/2a/80(1h*))') - & 'Generation procedure went down to ', - & 'chain beginning. Cannot continue...' - endif - return1 - endif - it1=itype(i-1) - it2=itype(i-2) - it=itype(i) -c print *,'Gen_Rand_Conf: i=',i,' it=',it,' it1=',it1,' it2=',it2, -c & ' nit=',nit,' niter=',niter,' maxgen=',maxgen - phi(i+1)=gen_phi(i+1,it1,it) - if (back) then - phi(i)=gen_phi(i+1,it2,it1) -c print *,'phi(',i,')=',phi(i) - theta(i-1)=gen_theta(it2,phi(i-1),phi(i)) - if (it2.ne.10) then - nsi=0 - fail=.true. - do while (fail.and.nsi.le.maxsi) - call gen_side(it2,theta(i-1),alph(i-2),omeg(i-2),fail) - nsi=nsi+1 - enddo - if (nsi.gt.maxsi) return1 - endif - call locate_next_res(i-1) - endif - theta(i)=gen_theta(it1,phi(i),phi(i+1)) - if (it1.ne.10) then - nsi=0 - fail=.true. - do while (fail.and.nsi.le.maxsi) - call gen_side(it1,theta(i),alph(i-1),omeg(i-1),fail) - nsi=nsi+1 - enddo - if (nsi.gt.maxsi) return1 - endif - call locate_next_res(i) - if (overlap(i-1)) then - if (nit.lt.maxnit) then - back=.true. - nit=nit+1 - else - nit=0 - if (i.gt.3) then - back=.true. - i=i-1 - else - write (iout,'(a)') - & 'Cannot generate non-overlaping conformation. Increase MAXNIT.' - write (*,'(a)') - & 'Cannot generate non-overlaping conformation. Increase MAXNIT.' - return1 - endif - endif - else - back=.false. - nit=0 - i=i+1 - endif - niter=niter+1 - enddo - if (niter.ge.maxgen) then - write (iout,'(a,2i5)') - & 'Too many trials in conformation generation',niter,maxgen - write (*,'(a,2i5)') - & 'Too many trials in conformation generation',niter,maxgen - return1 - endif - do j=1,3 - c(j,nres+1)=c(j,1) - c(j,nres+nres)=c(j,nres) - enddo - return - end -c------------------------------------------------------------------------- - logical function overlap(i) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - data redfac /0.5D0/ - overlap=.false. - iti=itype(i) - if (iti.gt.ntyp) return -C Check for SC-SC overlaps. -cd print *,'nnt=',nnt,' nct=',nct - do j=nnt,i-1 - itj=itype(j) - if (j.lt.i-1 .or. ipot.ne.4) then - rcomp=sigmaii(iti,itj) - else - rcomp=sigma(iti,itj) - endif -cd print *,'j=',j - if (dist(nres+i,nres+j).lt.redfac*rcomp) then - overlap=.true. -c print *,'overlap, SC-SC: i=',i,' j=',j, -c & ' dist=',dist(nres+i,nres+j),' rcomp=', -c & rcomp - return - endif - enddo -C Check for overlaps between the added peptide group and the preceding -C SCs. - iteli=itel(i) - do j=1,3 - c(j,maxres2+1)=0.5D0*(c(j,i)+c(j,i+1)) - enddo - do j=nnt,i-2 - itj=itype(j) -cd print *,'overlap, p-Sc: i=',i,' j=',j, -cd & ' dist=',dist(nres+j,maxres2+1) - if (dist(nres+j,maxres2+1).lt.4.0D0*redfac) then - overlap=.true. - return - endif - enddo -C Check for overlaps between the added side chain and the preceding peptide -C groups. - do j=1,nnt-2 - do k=1,3 - c(k,maxres2+1)=0.5D0*(c(k,j)+c(k,j+1)) - enddo -cd print *,'overlap, SC-p: i=',i,' j=',j, -cd & ' dist=',dist(nres+i,maxres2+1) - if (dist(nres+i,maxres2+1).lt.4.0D0*redfac) then - overlap=.true. - return - endif - enddo -C Check for p-p overlaps - do j=1,3 - c(j,maxres2+2)=0.5D0*(c(j,i)+c(j,i+1)) - enddo - do j=nnt,i-2 - itelj=itel(j) - do k=1,3 - c(k,maxres2+2)=0.5D0*(c(k,j)+c(k,j+1)) - enddo -cd print *,'overlap, p-p: i=',i,' j=',j, -cd & ' dist=',dist(maxres2+1,maxres2+2) - if(iteli.ne.0.and.itelj.ne.0)then - if (dist(maxres2+1,maxres2+2).lt.rpp(iteli,itelj)*redfac) then - overlap=.true. - return - endif - endif - enddo - return - end -c-------------------------------------------------------------------------- - double precision function gen_phi(i,it1,it2) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.BOUNDS' -c gen_phi=ran_number(-pi,pi) -C 8/13/98 Generate phi using pre-defined boundaries - gen_phi=ran_number(phibound(1,i),phibound(2,i)) - return - end -c--------------------------------------------------------------------------- - double precision function gen_theta(it,gama,gama1) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.LOCAL' - include 'COMMON.GEO' - double precision y(2),z(2) - double precision theta_max,theta_min -c print *,'gen_theta: it=',it - theta_min=0.05D0*pi - theta_max=0.95D0*pi - if (dabs(gama).gt.dwapi) then - y(1)=dcos(gama) - y(2)=dsin(gama) - else - y(1)=0.0D0 - y(2)=0.0D0 - endif - if (dabs(gama1).gt.dwapi) then - z(1)=dcos(gama1) - z(2)=dsin(gama1) - else - z(1)=0.0D0 - z(2)=0.0D0 - endif - thet_pred_mean=a0thet(it) - do k=1,2 - thet_pred_mean=thet_pred_mean+athet(k,it)*y(k)+bthet(k,it)*z(k) - enddo - sig=polthet(3,it) - do j=2,0,-1 - sig=sig*thet_pred_mean+polthet(j,it) - enddo - sig=0.5D0/(sig*sig+sigc0(it)) - ak=dexp(gthet(1,it)- - &0.5D0*((gthet(2,it)-thet_pred_mean)/gthet(3,it))**2) -c print '(i5,5(1pe14.4))',it,(gthet(j,it),j=1,3) -c print '(5(1pe14.4))',thet_pred_mean,theta0(it),sig,sig0(it),ak - theta_temp=binorm(thet_pred_mean,theta0(it),sig,sig0(it),ak) - if (theta_temp.lt.theta_min) theta_temp=theta_min - if (theta_temp.gt.theta_max) theta_temp=theta_max - gen_theta=theta_temp -c print '(a)','Exiting GENTHETA.' - return - end -c------------------------------------------------------------------------- - subroutine gen_side(it,the,al,om,fail) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - double precision MaxBoxLen /10.0D0/ - double precision Ap_inv(3,3),a(3,3),z(3,maxlob),W1(maxlob), - & sumW(0:maxlob),y(2),cm(2),eig(2),box(2,2),work(100),detAp(maxlob) - double precision eig_limit /1.0D-8/ - double precision Big /10.0D0/ - double precision vec(3,3) - logical lprint,fail,lcheck - lcheck=.false. - lprint=.false. - fail=.false. - if (the.eq.0.0D0 .or. the.eq.pi) then -#ifdef MPI - write (*,'(a,i4,a,i3,a,1pe14.5)') - & 'CG Processor:',me,' Error in GenSide: it=',it,' theta=',the -#else -cd write (iout,'(a,i3,a,1pe14.5)') -cd & 'Error in GenSide: it=',it,' theta=',the -#endif - fail=.true. - return - endif - tant=dtan(the-pipol) - nlobit=nlob(it) - if (lprint) then -#ifdef MPI - print '(a,i4,a)','CG Processor:',me,' Enter Gen_Side.' - write (iout,'(a,i4,a)') 'Processor:',me,' Enter Gen_Side.' -#endif - print *,'it=',it,' nlobit=',nlobit,' the=',the,' tant=',tant - write (iout,*) 'it=',it,' nlobit=',nlobit,' the=',the, - & ' tant=',tant - endif - do i=1,nlobit - zz1=tant-censc(1,i,it) - do k=1,3 - do l=1,3 - a(k,l)=gaussc(k,l,i,it) - enddo - enddo - detApi=a(2,2)*a(3,3)-a(2,3)**2 - Ap_inv(2,2)=a(3,3)/detApi - Ap_inv(2,3)=-a(2,3)/detApi - Ap_inv(3,2)=Ap_inv(2,3) - Ap_inv(3,3)=a(2,2)/detApi - if (lprint) then - write (*,'(/a,i2/)') 'Cluster #',i - write (*,'(3(1pe14.5),5x,1pe14.5)') - & ((a(l,k),l=1,3),censc(k,i,it),k=1,3) - write (iout,'(/a,i2/)') 'Cluster #',i - write (iout,'(3(1pe14.5),5x,1pe14.5)') - & ((a(l,k),l=1,3),censc(k,i,it),k=1,3) - endif - W1i=0.0D0 - do k=2,3 - do l=2,3 - W1i=W1i+a(k,1)*a(l,1)*Ap_inv(k,l) - enddo - enddo - W1i=a(1,1)-W1i - W1(i)=dexp(bsc(i,it)-0.5D0*W1i*zz1*zz1) -c if (lprint) write(*,'(a,3(1pe15.5)/)') -c & 'detAp, W1, anormi',detApi,W1i,anormi - do k=2,3 - zk=censc(k,i,it) - do l=2,3 - zk=zk+zz1*Ap_inv(k,l)*a(l,1) - enddo - z(k,i)=zk - enddo - detAp(i)=dsqrt(detApi) - enddo - - if (lprint) then - print *,'W1:',(w1(i),i=1,nlobit) - print *,'detAp:',(detAp(i),i=1,nlobit) - print *,'Z' - do i=1,nlobit - print '(i2,3f10.5)',i,(rad2deg*z(j,i),j=2,3) - enddo - write (iout,*) 'W1:',(w1(i),i=1,nlobit) - write (iout,*) 'detAp:',(detAp(i),i=1,nlobit) - write (iout,*) 'Z' - do i=1,nlobit - write (iout,'(i2,3f10.5)') i,(rad2deg*z(j,i),j=2,3) - enddo - endif - if (lcheck) then -C Writing the distribution just to check the procedure - fac=0.0D0 - dV=deg2rad**2*10.0D0 - sum=0.0D0 - sum1=0.0D0 - do i=1,nlobit - fac=fac+W1(i)/detAp(i) - enddo - fac=1.0D0/(2.0D0*fac*pi) -cd print *,it,'fac=',fac - do ial=90,180,2 - y(1)=deg2rad*ial - do iom=-180,180,5 - y(2)=deg2rad*iom - wart=0.0D0 - do i=1,nlobit - do j=2,3 - do k=2,3 - a(j-1,k-1)=gaussc(j,k,i,it) - enddo - enddo - y2=y(2) - - do iii=-1,1 - - y(2)=y2+iii*dwapi - - wykl=0.0D0 - do j=1,2 - do k=1,2 - wykl=wykl+a(j,k)*(y(j)-z(j+1,i))*(y(k)-z(k+1,i)) - enddo - enddo - wart=wart+W1(i)*dexp(-0.5D0*wykl) - - enddo - - y(2)=y2 - - enddo -c print *,'y',y(1),y(2),' fac=',fac - wart=fac*wart - write (20,'(2f10.3,1pd15.5)') y(1)*rad2deg,y(2)*rad2deg,wart - sum=sum+wart - sum1=sum1+1.0D0 - enddo - enddo -c print *,'it=',it,' sum=',sum*dV,' sum1=',sum1*dV - return - endif - -C Calculate the CM of the system -C - do i=1,nlobit - W1(i)=W1(i)/detAp(i) - enddo - sumW(0)=0.0D0 - do i=1,nlobit - sumW(i)=sumW(i-1)+W1(i) - enddo - cm(1)=z(2,1)*W1(1) - cm(2)=z(3,1)*W1(1) - do j=2,nlobit - cm(1)=cm(1)+z(2,j)*W1(j) - cm(2)=cm(2)+W1(j)*(z(3,1)+pinorm(z(3,j)-z(3,1))) - enddo - cm(1)=cm(1)/sumW(nlobit) - cm(2)=cm(2)/sumW(nlobit) - if (cm(1).gt.Big .or. cm(1).lt.-Big .or. - & cm(2).gt.Big .or. cm(2).lt.-Big) then -cd write (iout,'(a)') -cd & 'Unexpected error in GenSide - CM coordinates too large.' -cd write (iout,'(i5,2(1pe14.5))') it,cm(1),cm(2) -cd write (*,'(a)') -cd & 'Unexpected error in GenSide - CM coordinates too large.' -cd write (*,'(i5,2(1pe14.5))') it,cm(1),cm(2) - fail=.true. - return - endif -cd print *,'CM:',cm(1),cm(2) -C -C Find the largest search distance from CM -C - radmax=0.0D0 - do i=1,nlobit - do j=2,3 - do k=2,3 - a(j-1,k-1)=gaussc(j,k,i,it) - enddo - enddo -#ifdef NAG - call f02faf('N','U',2,a,3,eig,work,100,ifail) -#else - call djacob(2,3,10000,1.0d-10,a,vec,eig) -#endif -#ifdef MPI - if (lprint) then - print *,'*************** CG Processor',me - print *,'CM:',cm(1),cm(2) - write (iout,*) '*************** CG Processor',me - write (iout,*) 'CM:',cm(1),cm(2) - print '(A,8f10.5)','Eigenvalues: ',(1.0/dsqrt(eig(k)),k=1,2) - write (iout,'(A,8f10.5)') - & 'Eigenvalues: ',(1.0/dsqrt(eig(k)),k=1,2) - endif -#endif - if (eig(1).lt.eig_limit) then - write(iout,'(a)') - & 'From Mult_Norm: Eigenvalues of A are too small.' - write(*,'(a)') - & 'From Mult_Norm: Eigenvalues of A are too small.' - fail=.true. - return - endif - radius=0.0D0 -cd print *,'i=',i - do j=1,2 - radius=radius+pinorm(z(j+1,i)-cm(j))**2 - enddo - radius=dsqrt(radius)+3.0D0/dsqrt(eig(1)) - if (radius.gt.radmax) radmax=radius - enddo - if (radmax.gt.pi) radmax=pi -C -C Determine the boundaries of the search rectangle. -C - if (lprint) then - print '(a,4(1pe14.4))','W1: ',(W1(i),i=1,nlob(it) ) - print '(a,4(1pe14.4))','radmax: ',radmax - endif - box(1,1)=dmax1(cm(1)-radmax,0.0D0) - box(2,1)=dmin1(cm(1)+radmax,pi) - box(1,2)=cm(2)-radmax - box(2,2)=cm(2)+radmax - if (lprint) then -#ifdef MPI - print *,'CG Processor',me,' Array BOX:' -#else - print *,'Array BOX:' -#endif - print '(4(1pe14.4))',((box(k,j),k=1,2),j=1,2) - print '(a,4(1pe14.4))','sumW: ',(sumW(i),i=0,nlob(it) ) -#ifdef MPI - write (iout,*)'CG Processor',me,' Array BOX:' -#else - write (iout,*)'Array BOX:' -#endif - write(iout,'(4(1pe14.4))') ((box(k,j),k=1,2),j=1,2) - write(iout,'(a,4(1pe14.4))')'sumW: ',(sumW(i),i=0,nlob(it) ) - endif - if (box(1,2).lt.-MaxBoxLen .or. box(2,2).gt.MaxBoxLen) then -#ifdef MPI - write (iout,'(a,i4,a)') 'CG Processor:',me,': bad sampling box.' - write (*,'(a,i4,a)') 'CG Processor:',me,': bad sampling box.' -#else -c write (iout,'(a)') 'Bad sampling box.' -#endif - fail=.true. - return - endif - which_lobe=ran_number(0.0D0,sumW(nlobit)) -c print '(a,1pe14.4)','which_lobe=',which_lobe - do i=1,nlobit - if (sumW(i-1).le.which_lobe .and. sumW(i).ge.which_lobe) goto 1 - enddo - 1 ilob=i -c print *,'ilob=',ilob,' nlob=',nlob(it) - do i=2,3 - cm(i-1)=z(i,ilob) - do j=2,3 - a(i-1,j-1)=gaussc(i,j,ilob,it) - enddo - enddo -cd print '(a,i4,a)','CG Processor',me,' Calling MultNorm1.' - call mult_norm1(3,2,a,cm,box,y,fail) - if (fail) return - al=y(1) - om=pinorm(y(2)) -cd print *,'al=',al,' om=',om -cd stop - return - end -c--------------------------------------------------------------------------- - double precision function ran_number(x1,x2) -C Calculate a random real number from the range (x1,x2). - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - double precision x1,x2,fctor - data fctor /2147483647.0D0/ -#ifdef MPI - include "mpif.h" - include 'COMMON.SETUP' - ran_number=x1+(x2-x1)*prng_next(me) -#else - call vrnd(ix,1) - ran_number=x1+(x2-x1)*ix/fctor -#endif - return - end -c-------------------------------------------------------------------------- - integer function iran_num(n1,n2) -C Calculate a random integer number from the range (n1,n2). - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - integer n1,n2,ix - real fctor /2147483647.0/ -#ifdef MPI - include "mpif.h" - include 'COMMON.SETUP' - ix=n1+(n2-n1+1)*prng_next(me) - if (ix.lt.n1) ix=n1 - if (ix.gt.n2) ix=n2 - iran_num=ix -#else - call vrnd(ix,1) - ix=n1+(n2-n1+1)*(ix/fctor) - if (ix.gt.n2) ix=n2 - iran_num=ix -#endif - return - end -c-------------------------------------------------------------------------- - double precision function binorm(x1,x2,sigma1,sigma2,ak) - implicit real*8 (a-h,o-z) -c print '(a)','Enter BINORM.' - alowb=dmin1(x1-3.0D0*sigma1,x2-3.0D0*sigma2) - aupb=dmax1(x1+3.0D0*sigma1,x2+3.0D0*sigma2) - seg=sigma1/(sigma1+ak*sigma2) - alen=ran_number(0.0D0,1.0D0) - if (alen.lt.seg) then - binorm=anorm_distr(x1,sigma1,alowb,aupb) - else - binorm=anorm_distr(x2,sigma2,alowb,aupb) - endif -c print '(a)','Exiting BINORM.' - return - end -c----------------------------------------------------------------------- -c double precision function anorm_distr(x,sigma,alowb,aupb) -c implicit real*8 (a-h,o-z) -c print '(a)','Enter ANORM_DISTR.' -c 10 y=ran_number(alowb,aupb) -c expon=dexp(-0.5D0*((y-x)/sigma)**2) -c ran=ran_number(0.0D0,1.0D0) -c if (expon.lt.ran) goto 10 -c anorm_distr=y -c print '(a)','Exiting ANORM_DISTR.' -c return -c end -c----------------------------------------------------------------------- - double precision function anorm_distr(x,sigma,alowb,aupb) - implicit real*8 (a-h,o-z) -c to make a normally distributed deviate with zero mean and unit variance -c - integer iset - real fac,gset,rsq,v1,v2,ran1 - save iset,gset - data iset/0/ - if(iset.eq.0) then -1 v1=2.0d0*ran_number(0.0d0,1.0d0)-1.0d0 - v2=2.0d0*ran_number(0.0d0,1.0d0)-1.0d0 - rsq=v1**2+v2**2 - if(rsq.ge.1.d0.or.rsq.eq.0.0d0) goto 1 - fac=sqrt(-2.0d0*log(rsq)/rsq) - gset=v1*fac - gaussdev=v2*fac - iset=1 - else - gaussdev=gset - iset=0 - endif - anorm_distr=x+gaussdev*sigma - return - end -c------------------------------------------------------------------------ - subroutine mult_norm(lda,n,a,x,fail) -C -C Generate the vector X whose elements obey the multiple-normal distribution -C from exp(-0.5*X'AX). LDA is the leading dimension of the moment matrix A, -C n is the dimension of the problem. FAIL is set at .TRUE., if the smallest -C eigenvalue of the matrix A is close to 0. -C - implicit double precision (a-h,o-z) - double precision a(lda,n),x(n),eig(100),vec(3,3),work(100) - double precision eig_limit /1.0D-8/ - logical fail - fail=.false. -c print '(a)','Enter MULT_NORM.' -C -C Find the smallest eigenvalue of the matrix A. -C -c do i=1,n -c print '(8f10.5)',(a(i,j),j=1,n) -c enddo -#ifdef NAG - call f02faf('V','U',2,a,lda,eig,work,100,ifail) -#else - call djacob(2,lda,10000,1.0d-10,a,vec,eig) -#endif -c print '(8f10.5)',(eig(i),i=1,n) -C print '(a)' -c do i=1,n -c print '(8f10.5)',(a(i,j),j=1,n) -c enddo - if (eig(1).lt.eig_limit) then - print *,'From Mult_Norm: Eigenvalues of A are too small.' - fail=.true. - return - endif -C -C Generate points following the normal distributions along the principal -C axes of the moment matrix. Store in WORK. -C - do i=1,n - sigma=1.0D0/dsqrt(eig(i)) - alim=-3.0D0*sigma - work(i)=anorm_distr(0.0D0,sigma,-alim,alim) - enddo -C -C Transform the vector of normal variables back to the original basis. -C - do i=1,n - xi=0.0D0 - do j=1,n - xi=xi+a(i,j)*work(j) - enddo - x(i)=xi - enddo - return - end -c------------------------------------------------------------------------ - subroutine mult_norm1(lda,n,a,z,box,x,fail) -C -C Generate the vector X whose elements obey the multi-gaussian multi-dimensional -C distribution from sum_{i=1}^m W(i)exp[-0.5*X'(i)A(i)X(i)]. LDA is the -C leading dimension of the moment matrix A, n is the dimension of the -C distribution, nlob is the number of lobes. FAIL is set at .TRUE., if the -C smallest eigenvalue of the matrix A is close to 0. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - double precision a(lda,n),z(n),x(n),box(n,n) - double precision etmp - include 'COMMON.IOUNITS' -#ifdef MP - include 'COMMON.SETUP' -#endif - logical fail -C -C Generate points following the normal distributions along the principal -C axes of the moment matrix. Store in WORK. -C -cd print *,'CG Processor',me,' entered MultNorm1.' -cd print '(2(1pe14.4),3x,1pe14.4)',((a(i,j),j=1,2),z(i),i=1,2) -cd do i=1,n -cd print *,i,box(1,i),box(2,i) -cd enddo - istep = 0 - 10 istep = istep + 1 - if (istep.gt.10000) then -c write (iout,'(a,i4,2a)') 'CG Processor: ',me,': too many steps', -c & ' in MultNorm1.' -c write (*,'(a,i4,2a)') 'CG Processor: ',me,': too many steps', -c & ' in MultNorm1.' -c write (iout,*) 'box',box -c write (iout,*) 'a',a -c write (iout,*) 'z',z - fail=.true. - return - endif - do i=1,n - x(i)=ran_number(box(1,i),box(2,i)) - enddo - ww=0.0D0 - do i=1,n - xi=pinorm(x(i)-z(i)) - ww=ww+0.5D0*a(i,i)*xi*xi - do j=i+1,n - ww=ww+a(i,j)*xi*pinorm(x(j)-z(j)) - enddo - enddo - dec=ran_number(0.0D0,1.0D0) -c print *,(x(i),i=1,n),ww,dexp(-ww),dec -crc if (dec.gt.dexp(-ww)) goto 10 - if(-ww.lt.100) then - etmp=dexp(-ww) - else - return - endif - if (dec.gt.etmp) goto 10 -cd print *,'CG Processor',me,' exitting MultNorm1.' - return - end -c -crc-------------------------------------- - subroutine overlap_sc(scfail) -c Internal and cartesian coordinates must be consistent as input, -c and will be up-to-date on return. -c At the end of this procedure, scfail is true if there are -c overlapping residues left, or false otherwise (success) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.VAR' - include 'COMMON.SBRIDGE' - include 'COMMON.IOUNITS' - logical had_overlaps,fail,scfail - integer ioverlap(maxres),ioverlap_last - - had_overlaps=.false. - call overlap_sc_list(ioverlap,ioverlap_last) - if (ioverlap_last.gt.0) then - write (iout,*) '#OVERLAPing residues ',ioverlap_last - write (iout,'(20i4)') (ioverlap(k),k=1,ioverlap_last) - had_overlaps=.true. - endif - - maxsi=1000 - do k=1,1000 - if (ioverlap_last.eq.0) exit - - do ires=1,ioverlap_last - i=ioverlap(ires) - iti=itype(i) - if (iti.ne.10) then - nsi=0 - fail=.true. - do while (fail.and.nsi.le.maxsi) - call gen_side(iti,theta(i+1),alph(i),omeg(i),fail) - nsi=nsi+1 - enddo - if(fail) goto 999 - endif - enddo - - call chainbuild - call overlap_sc_list(ioverlap,ioverlap_last) -c write (iout,*) 'Overlaping residues ',ioverlap_last, -c & (ioverlap(j),j=1,ioverlap_last) - enddo - - if (k.le.1000.and.ioverlap_last.eq.0) then - scfail=.false. - if (had_overlaps) then - write (iout,*) '#OVERLAPing all corrected after ',k, - & ' random generation' - endif - else - scfail=.true. - write (iout,*) '#OVERLAPing NOT all corrected ',ioverlap_last - write (iout,'(20i4)') (ioverlap(j),j=1,ioverlap_last) - endif - - return - - 999 continue - write (iout,'(a30,i5,a12,i4)') - & '#OVERLAP FAIL in gen_side after',maxsi, - & 'iter for RES',i - scfail=.true. - return - end - - subroutine overlap_sc_list(ioverlap,ioverlap_last) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.VAR' - include 'COMMON.CALC' - logical fail - integer ioverlap(maxres),ioverlap_last - data redfac /0.5D0/ - - ioverlap_last=0 -C Check for SC-SC overlaps and mark residues -c print *,'>>overlap_sc nnt=',nnt,' nct=',nct - ind=0 - do i=iatsc_s,iatsc_e - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) - dsci_inv=dsc_inv(itypi) -c - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - ind=ind+1 - itypj=itype(j) - dscj_inv=dsc_inv(itypj) - sig0ij=sigma(itypi,itypj) - chi1=chi(itypi,itypj) - chi2=chi(itypj,itypi) - chi12=chi1*chi2 - chip1=chip(itypi) - chip2=chip(itypj) - chip12=chip1*chip2 - alf1=alp(itypi) - alf2=alp(itypj) - alf12=0.5D0*(alf1+alf2) - if (j.gt.i+1) then - rcomp=sigmaii(itypi,itypj) - else - rcomp=sigma(itypi,itypj) - endif -c print '(2(a3,2i3),a3,2f10.5)', -c & ' i=',i,iti,' j=',j,itj,' d=',dist(nres+i,nres+j) -c & ,rcomp - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) - call sc_angular - sigsq=1.0D0/sigsq - sig=sig0ij*dsqrt(sigsq) - rij_shift=1.0D0/rij-sig+sig0ij - -ct if ( 1.0/rij .lt. redfac*rcomp .or. -ct & rij_shift.le.0.0D0 ) then - if ( rij_shift.le.0.0D0 ) then -cd write (iout,'(a,i3,a,i3,a,f10.5,a,3f10.5)') -cd & 'overlap SC-SC: i=',i,' j=',j, -cd & ' dist=',dist(nres+i,nres+j),' rcomp=', -cd & rcomp,1.0/rij,rij_shift - ioverlap_last=ioverlap_last+1 - ioverlap(ioverlap_last)=i - do k=1,ioverlap_last-1 - if (ioverlap(k).eq.i) ioverlap_last=ioverlap_last-1 - enddo - ioverlap_last=ioverlap_last+1 - ioverlap(ioverlap_last)=j - do k=1,ioverlap_last-1 - if (ioverlap(k).eq.j) ioverlap_last=ioverlap_last-1 - enddo - endif - enddo - enddo - enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/geomout.F b/source/unres/src_MD-restraints-PM/geomout.F deleted file mode 100644 index df698f5..0000000 --- a/source/unres/src_MD-restraints-PM/geomout.F +++ /dev/null @@ -1,522 +0,0 @@ - subroutine pdbout(etot,tytul,iunit) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.HEADER' - include 'COMMON.SBRIDGE' - include 'COMMON.DISTFIT' - include 'COMMON.MD' - character*50 tytul - dimension ica(maxres) - write (iunit,'(3a,1pe15.5)') 'REMARK ',tytul,' ENERGY ',etot -cmodel write (iunit,'(a5,i6)') 'MODEL',1 - if (nhfrag.gt.0) then - do j=1,nhfrag - iti=itype(hfrag(1,j)) - itj=itype(hfrag(2,j)) - if (j.lt.10) then - write (iunit,'(a5,i5,1x,a1,i1,2x,a3,i7,2x,a3,i7,i3,t76,i5)') - & 'HELIX',j,'H',j, - & restyp(iti),hfrag(1,j)-1, - & restyp(itj),hfrag(2,j)-1,1,hfrag(2,j)-hfrag(1,j) - else - write (iunit,'(a5,i5,1x,a1,i2,1x,a3,i7,2x,a3,i7,i3)') - & 'HELIX',j,'H',j, - & restyp(iti),hfrag(1,j)-1, - & restyp(itj),hfrag(2,j)-1,1,hfrag(2,j)-hfrag(1,j) - endif - enddo - endif - - if (nbfrag.gt.0) then - - do j=1,nbfrag - - iti=itype(bfrag(1,j)) - itj=itype(bfrag(2,j)-1) - - write (iunit,'(a5,i5,1x,a1,i1,i3,1x,a3,i6,2x,a3,i6,i3)') - & 'SHEET',1,'B',j,2, - & restyp(iti),bfrag(1,j)-1, - & restyp(itj),bfrag(2,j)-2,0 - - if (bfrag(3,j).gt.bfrag(4,j)) then - - itk=itype(bfrag(3,j)) - itl=itype(bfrag(4,j)+1) - - write (iunit,'(a5,i5,1x,a1,i1,i3,1x,a3,i6,2x,a3,i6,i3, - & 2x,a1,2x,a3,i6,3x,a1,2x,a3,i6)') - & 'SHEET',2,'B',j,2, - & restyp(itl),bfrag(4,j), - & restyp(itk),bfrag(3,j)-1,-1, - & "N",restyp(itk),bfrag(3,j)-1, - & "O",restyp(iti),bfrag(1,j)-1 - - else - - itk=itype(bfrag(3,j)) - itl=itype(bfrag(4,j)-1) - - - write (iunit,'(a5,i5,1x,a1,i1,i3,1x,a3,i6,2x,a3,i6,i3, - & 2x,a1,2x,a3,i6,3x,a1,2x,a3,i6)') - & 'SHEET',2,'B',j,2, - & restyp(itk),bfrag(3,j)-1, - & restyp(itl),bfrag(4,j)-2,1, - & "N",restyp(itk),bfrag(3,j)-1, - & "O",restyp(iti),bfrag(1,j)-1 - - - - endif - - enddo - endif - - if (nss.gt.0) then - do i=1,nss - if (dyn_ss) then - write(iunit,'(a6,i4,1x,a3,i7,4x,a3,i7)') - & 'SSBOND',i,'CYS',idssb(i)-nnt+1, - & 'CYS',jdssb(i)-nnt+1 - else - write(iunit,'(a6,i4,1x,a3,i7,4x,a3,i7)') - & 'SSBOND',i,'CYS',ihpb(i)-nnt+1-nres, - & 'CYS',jhpb(i)-nnt+1-nres - endif - enddo - endif - - iatom=0 - do i=nnt,nct - ires=i-nnt+1 - iatom=iatom+1 - ica(i)=iatom - iti=itype(i) - write (iunit,10) iatom,restyp(iti),ires,(c(j,i),j=1,3),vtot(i) - if (iti.ne.10) then - iatom=iatom+1 - write (iunit,20) iatom,restyp(iti),ires,(c(j,nres+i),j=1,3), - & vtot(i+nres) - endif - enddo - write (iunit,'(a)') 'TER' - do i=nnt,nct-1 - if (itype(i).eq.10) then - write (iunit,30) ica(i),ica(i+1) - else - write (iunit,30) ica(i),ica(i+1),ica(i)+1 - endif - enddo - if (itype(nct).ne.10) then - write (iunit,30) ica(nct),ica(nct)+1 - endif - do i=1,nss - if (dyn_ss) then - write (iunit,30) ica(idssb(i))+1,ica(jdssb(i))+1 - else - write (iunit,30) ica(ihpb(i)-nres)+1,ica(jhpb(i)-nres)+1 - endif - enddo - write (iunit,'(a6)') 'ENDMDL' - 10 FORMAT ('ATOM',I7,' CA ',A3,I6,4X,3F8.3,f15.3) - 20 FORMAT ('ATOM',I7,' CB ',A3,I6,4X,3F8.3,f15.3) - 30 FORMAT ('CONECT',8I5) - return - end -c------------------------------------------------------------------------------ - subroutine MOL2out(etot,tytul) -C Prints the Cartesian coordinates of the alpha-carbons in the Tripos mol2 -C format. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.HEADER' - include 'COMMON.SBRIDGE' - character*32 tytul,fd - character*3 zahl - character*6 res_num,pom,ucase -#ifdef AIX - call fdate_(fd) -#elif (defined CRAY) - call date(fd) -#else - call fdate(fd) -#endif - write (imol2,'(a)') '#' - write (imol2,'(a)') - & '# Creating user name: unres' - write (imol2,'(2a)') '# Creation time: ', - & fd - write (imol2,'(/a)') '\@MOLECULE' - write (imol2,'(a)') tytul - write (imol2,'(5i5)') nct-nnt+1,nct-nnt+nss+1,nct-nnt+nss+1,0,0 - write (imol2,'(a)') 'SMALL' - write (imol2,'(a)') 'USER_CHARGES' - write (imol2,'(a)') '\@ATOM' - do i=nnt,nct - write (zahl,'(i3)') i - pom=ucase(restyp(itype(i))) - res_num = pom(:3)//zahl(2:) - write (imol2,10) i,(c(j,i),j=1,3),i,res_num,0.0 - enddo - write (imol2,'(a)') '\@BOND' - do i=nnt,nct-1 - write (imol2,'(i5,2i6,i2)') i-nnt+1,i-nnt+1,i-nnt+2,1 - enddo - do i=1,nss - write (imol2,'(i5,2i6,i2)') nct-nnt+i,ihpb(i),jhpb(i),1 - enddo - write (imol2,'(a)') '\@SUBSTRUCTURE' - do i=nnt,nct - write (zahl,'(i3)') i - pom = ucase(restyp(itype(i))) - res_num = pom(:3)//zahl(2:) - write (imol2,30) i-nnt+1,res_num,i-nnt+1,0 - enddo - 10 FORMAT (I7,' CA ',3F10.4,' C.3',I8,1X,A,F11.4,' ****') - 30 FORMAT (I7,1x,A,I14,' RESIDUE',I13,' **** ****') - return - end -c------------------------------------------------------------------------ - subroutine intout - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - write (iout,'(/a)') 'Geometry of the virtual chain.' - write (iout,'(7a)') ' Res ',' d',' Theta', - & ' Gamma',' Dsc',' Alpha',' Beta ' - do i=1,nres - iti=itype(i) - write (iout,'(a3,i4,6f10.3)') restyp(iti),i,vbld(i), - & rad2deg*theta(i),rad2deg*phi(i),vbld(nres+i),rad2deg*alph(i), - & rad2deg*omeg(i) - enddo - return - end -c--------------------------------------------------------------------------- - subroutine briefout(it,ener) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - include 'COMMON.SBRIDGE' -c print '(a,i5)',intname,igeom -#if defined(AIX) || defined(PGI) - open (igeom,file=intname,position='append') -#else - open (igeom,file=intname,access='append') -#endif - IF (NSS.LE.9) THEN - WRITE (igeom,180) IT,ENER,NSS,(IHPB(I),JHPB(I),I=1,NSS) - ELSE - WRITE (igeom,180) IT,ENER,NSS,(IHPB(I),JHPB(I),I=1,9) - WRITE (igeom,190) (IHPB(I),JHPB(I),I=10,NSS) - ENDIF -c IF (nvar.gt.nphi) WRITE (igeom,200) (RAD2DEG*THETA(I),I=3,NRES) - WRITE (igeom,200) (RAD2DEG*THETA(I),I=3,NRES) - WRITE (igeom,200) (RAD2DEG*PHI(I),I=4,NRES) -c if (nvar.gt.nphi+ntheta) then - write (igeom,200) (rad2deg*alph(i),i=2,nres-1) - write (igeom,200) (rad2deg*omeg(i),i=2,nres-1) -c endif - close(igeom) - 180 format (I5,F12.3,I2,9(1X,2I3)) - 190 format (3X,11(1X,2I3)) - 200 format (8F10.4) - return - end -#ifdef WINIFL - subroutine fdate(fd) - character*32 fd - write(fd,'(32x)') - return - end -#endif -c---------------------------------------------------------------- -#ifdef NOXDR - subroutine cartout(time) -#else - subroutine cartoutx(time) -#endif - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.HEADER' - include 'COMMON.SBRIDGE' - include 'COMMON.DISTFIT' - include 'COMMON.MD' - double precision time -#if defined(AIX) || defined(PGI) - open(icart,file=cartname,position="append") -#else - open(icart,file=cartname,access="append") -#endif - write (icart,'(e15.8,2e15.5,f12.5,$)') time,potE,uconst,t_bath - if (dyn_ss) then - write (icart,'(i4,$)') - & nss,(idssb(j)+nres,jdssb(j)+nres,j=1,nss) - else - write (icart,'(i4,$)') - & nss,(ihpb(j),jhpb(j),j=1,nss) - endif - write (icart,'(i4,20f7.4)') nfrag+npair+3*nfrag_back, - & (qfrag(i),i=1,nfrag),(qpair(i),i=1,npair), - & (utheta(i),ugamma(i),uscdiff(i),i=1,nfrag_back) - write (icart,'(8f10.5)') - & ((c(k,j),k=1,3),j=1,nres), - & ((c(k,j+nres),k=1,3),j=nnt,nct) - close(icart) - return - end -c----------------------------------------------------------------- -#ifndef NOXDR - subroutine cartout(time) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' - include 'COMMON.SETUP' -#else - parameter (me=0) -#endif - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.HEADER' - include 'COMMON.SBRIDGE' - include 'COMMON.DISTFIT' - include 'COMMON.MD' - double precision time - integer iret,itmp - real xcoord(3,maxres2+2),prec - -#ifdef AIX - call xdrfopen_(ixdrf,cartname, "a", iret) - call xdrffloat_(ixdrf, real(time), iret) - call xdrffloat_(ixdrf, real(potE), iret) - call xdrffloat_(ixdrf, real(uconst), iret) - call xdrffloat_(ixdrf, real(uconst_back), iret) - call xdrffloat_(ixdrf, real(t_bath), iret) - call xdrfint_(ixdrf, nss, iret) - do j=1,nss - if (dyn_ss) then - call xdrfint_(ixdrf, idssb(j)+nres, iret) - call xdrfint_(ixdrf, jdssb(j)+nres, iret) - else - call xdrfint_(ixdrf, ihpb(j), iret) - call xdrfint_(ixdrf, jhpb(j), iret) - endif - enddo - call xdrfint_(ixdrf, nfrag+npair+3*nfrag_back, iret) - do i=1,nfrag - call xdrffloat_(ixdrf, real(qfrag(i)), iret) - enddo - do i=1,npair - call xdrffloat_(ixdrf, real(qpair(i)), iret) - enddo - do i=1,nfrag_back - call xdrffloat_(ixdrf, real(utheta(i)), iret) - call xdrffloat_(ixdrf, real(ugamma(i)), iret) - call xdrffloat_(ixdrf, real(uscdiff(i)), iret) - enddo -#else - call xdrfopen(ixdrf,cartname, "a", iret) - call xdrffloat(ixdrf, real(time), iret) - call xdrffloat(ixdrf, real(potE), iret) - call xdrffloat(ixdrf, real(uconst), iret) - call xdrffloat(ixdrf, real(uconst_back), iret) - call xdrffloat(ixdrf, real(t_bath), iret) - call xdrfint(ixdrf, nss, iret) - do j=1,nss - if (dyn_ss) then - call xdrfint(ixdrf, idssb(j)+nres, iret) - call xdrfint(ixdrf, jdssb(j)+nres, iret) - else - call xdrfint(ixdrf, ihpb(j), iret) - call xdrfint(ixdrf, jhpb(j), iret) - endif - enddo - call xdrfint(ixdrf, nfrag+npair+3*nfrag_back, iret) - do i=1,nfrag - call xdrffloat(ixdrf, real(qfrag(i)), iret) - enddo - do i=1,npair - call xdrffloat(ixdrf, real(qpair(i)), iret) - enddo - do i=1,nfrag_back - call xdrffloat(ixdrf, real(utheta(i)), iret) - call xdrffloat(ixdrf, real(ugamma(i)), iret) - call xdrffloat(ixdrf, real(uscdiff(i)), iret) - enddo -#endif - prec=10000.0 - do i=1,nres - do j=1,3 - xcoord(j,i)=c(j,i) - enddo - enddo - do i=nnt,nct - do j=1,3 - xcoord(j,nres+i-nnt+1)=c(j,i+nres) - enddo - enddo - - itmp=nres+nct-nnt+1 -#ifdef AIX - call xdrf3dfcoord_(ixdrf, xcoord, itmp, prec, iret) - call xdrfclose_(ixdrf, iret) -#else - call xdrf3dfcoord(ixdrf, xcoord, itmp, prec, iret) - call xdrfclose(ixdrf, iret) -#endif - return - end -#endif -c----------------------------------------------------------------- - subroutine statout(itime) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.HEADER' - include 'COMMON.SBRIDGE' - include 'COMMON.DISTFIT' - include 'COMMON.MD' - include 'COMMON.REMD' - include 'COMMON.SETUP' - integer itime - double precision energia(0:n_ene) - double precision gyrate - external gyrate - common /gucio/ cm - character*256 line1,line2 - character*4 format1,format2 - character*30 format -#ifdef AIX - if(itime.eq.0) then - open(istat,file=statname,position="append") - endif -#else -#ifdef PGI - open(istat,file=statname,position="append") -#else - open(istat,file=statname,access="append") -#endif -#endif - if (refstr) then - call rms_nac_nnc(rms,frac,frac_nn,co,.false.) - if(tnp .or. tnp1 .or. tnh) then - write (line1,'(i10,f15.2,3f12.3,f12.6,f7.2,4f6.3,3f12.3,i5,$)') - & itime,totT,EK,potE,totE,hhh, - & rms,frac,frac_nn,co,amax,kinetic_T,t_bath,gyrate(),me - format1="a145" - else - write (line1,'(i10,f15.2,3f12.3,f7.2,4f6.3,3f12.3,i5,$)') - & itime,totT,EK,potE,totE, - & rms,frac,frac_nn,co,amax,kinetic_T,t_bath,gyrate(),me - format1="a133" - endif - else - if(tnp .or. tnp1 .or. tnh) then - write (line1,'(i10,f15.2,7f12.3,f12.6,i5,$)') - & itime,totT,EK,potE,totE,hhh, - & amax,kinetic_T,t_bath,gyrate(),me - format1="a126" - else - write (line1,'(i10,f15.2,7f12.3,i5,$)') - & itime,totT,EK,potE,totE, - & amax,kinetic_T,t_bath,gyrate(),me - format1="a114" - endif - endif - if(usampl.and.totT.gt.eq_time) then - write(line2,'(i5,2f9.4,300f7.4)') iset,uconst,uconst_back, - & (qfrag(ii1),ii1=1,nfrag),(qpair(ii2),ii2=1,npair), - & (utheta(i),ugamma(i),uscdiff(i),i=1,nfrag_back) - write(format2,'(a1,i3.3)') "a",23+7*nfrag+7*npair - & +21*nfrag_back - elseif(hremd.gt.0) then - write(line2,'(i5)') iset - format2="a005" - else - format2="a001" - line2=' ' - endif - if (print_compon) then - if(itime.eq.0) then - write(format,'(a1,a4,a1,a4,a10)') "(",format1,",",format2, - & ",20a12)" - write (istat,format) "#","", - & (ename(print_order(i)),i=1,nprint_ene) - endif - write(format,'(a1,a4,a1,a4,a10)') "(",format1,",",format2, - & ",20f12.3)" - write (istat,format) line1,line2, - & (potEcomp(print_order(i)),i=1,nprint_ene) - else - write(format,'(a1,a4,a1,a4,a1)') "(",format1,",",format2,")" - write (istat,format) line1,line2 - endif -#if defined(AIX) - call flush(istat) -#else - close(istat) -#endif - return - end -c--------------------------------------------------------------- - double precision function gyrate() - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.INTERACT' - include 'COMMON.CHAIN' - double precision cen(3),rg - - do j=1,3 - cen(j)=0.0d0 - enddo - - do i=nnt,nct - do j=1,3 - cen(j)=cen(j)+c(j,i) - enddo - enddo - do j=1,3 - cen(j)=cen(j)/dble(nct-nnt+1) - enddo - rg = 0.0d0 - do i = nnt, nct - do j=1,3 - rg = rg + (c(j,i)-cen(j))**2 - enddo - end do - gyrate = sqrt(rg/dble(nct-nnt+1)) - return - end - diff --git a/source/unres/src_MD-restraints-PM/gnmr1.f b/source/unres/src_MD-restraints-PM/gnmr1.f deleted file mode 100644 index 905e746..0000000 --- a/source/unres/src_MD-restraints-PM/gnmr1.f +++ /dev/null @@ -1,43 +0,0 @@ - double precision function gnmr1(y,ymin,ymax) - implicit none - double precision y,ymin,ymax - double precision wykl /4.0d0/ - if (y.lt.ymin) then - gnmr1=(ymin-y)**wykl/wykl - else if (y.gt.ymax) then - gnmr1=(y-ymax)**wykl/wykl - else - gnmr1=0.0d0 - endif - return - end -c------------------------------------------------------------------------------ - double precision function gnmr1prim(y,ymin,ymax) - implicit none - double precision y,ymin,ymax - double precision wykl /4.0d0/ - if (y.lt.ymin) then - gnmr1prim=-(ymin-y)**(wykl-1) - else if (y.gt.ymax) then - gnmr1prim=(y-ymax)**(wykl-1) - else - gnmr1prim=0.0d0 - endif - return - end -c------------------------------------------------------------------------------ - double precision function harmonic(y,ymax) - implicit none - double precision y,ymax - double precision wykl /2.0d0/ - harmonic=(y-ymax)**wykl - return - end -c------------------------------------------------------------------------------- - double precision function harmonicprim(y,ymax) - double precision y,ymin,ymax - double precision wykl /2.0d0/ - harmonicprim=(y-ymax)*wykl - return - end -c--------------------------------------------------------------------------------- diff --git a/source/unres/src_MD-restraints-PM/gradient_p.F b/source/unres/src_MD-restraints-PM/gradient_p.F deleted file mode 100644 index 7fec1e8..0000000 --- a/source/unres/src_MD-restraints-PM/gradient_p.F +++ /dev/null @@ -1,421 +0,0 @@ - subroutine gradient(n,x,nf,g,uiparm,urparm,ufparm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.MD' - include 'COMMON.IOUNITS' - include 'COMMON.SCCOR' - external ufparm - integer uiparm(1) - double precision urparm(1) - dimension x(maxvar),g(maxvar) -c -c This subroutine calculates total internal coordinate gradient. -c Depending on the number of function evaluations, either whole energy -c is evaluated beforehand, Cartesian coordinates and their derivatives in -c internal coordinates are reevaluated or only the cartesian-in-internal -c coordinate derivatives are evaluated. The subroutine was designed to work -c with SUMSL. -c -c - icg=mod(nf,2)+1 - -cd print *,'grad',nf,icg - if (nf-nfl+1) 20,30,40 - 20 call func(n,x,nf,f,uiparm,urparm,ufparm) -c write (iout,*) 'grad 20' - if (nf.eq.0) return - goto 40 - 30 call var_to_geom(n,x) - call chainbuild -c write (iout,*) 'grad 30' -C -C Evaluate the derivatives of virtual bond lengths and SC vectors in variables. -C - 40 call cartder -c write (iout,*) 'grad 40' -c print *,'GRADIENT: nnt=',nnt,' nct=',nct,' expon=',expon -C -C Convert the Cartesian gradient into internal-coordinate gradient. -C - ind=0 - ind1=0 - do i=1,nres-2 - gthetai=0.0D0 - gphii=0.0D0 - do j=i+1,nres-1 - ind=ind+1 -c ind=indmat(i,j) -c print *,'GRAD: i=',i,' jc=',j,' ind=',ind - do k=1,3 - gthetai=gthetai+dcdv(k,ind)*gradc(k,j,icg) - enddo - do k=1,3 - gphii=gphii+dcdv(k+3,ind)*gradc(k,j,icg) - enddo - enddo - do j=i+1,nres-1 - ind1=ind1+1 -c ind1=indmat(i,j) -c print *,'GRAD: i=',i,' jx=',j,' ind1=',ind1 - do k=1,3 - gthetai=gthetai+dxdv(k,ind1)*gradx(k,j,icg) - gphii=gphii+dxdv(k+3,ind1)*gradx(k,j,icg) - enddo - enddo - if (i.gt.1) g(i-1)=gphii - if (n.gt.nphi) g(nphi+i)=gthetai - enddo - if (n.le.nphi+ntheta) goto 10 - do i=2,nres-1 - if (itype(i).ne.10) then - galphai=0.0D0 - gomegai=0.0D0 - do k=1,3 - galphai=galphai+dxds(k,i)*gradx(k,i,icg) - enddo - do k=1,3 - gomegai=gomegai+dxds(k+3,i)*gradx(k,i,icg) - enddo - g(ialph(i,1))=galphai - g(ialph(i,1)+nside)=gomegai - endif - enddo -C -C Add the components corresponding to local energy terms. -C - 10 continue - do i=1,nvar -cd write (iout,*) 'i=',i,'g=',g(i),' gloc=',gloc(i,icg) - g(i)=g(i)+gloc(i,icg) - enddo -C Uncomment following three lines for diagnostics. -cd call intout -cd call briefout(0,0.0d0) -cd write (iout,'(i3,1pe15.5)') (k,g(k),k=1,n) - return - end -C------------------------------------------------------------------------- - subroutine grad_restr(n,x,nf,g,uiparm,urparm,ufparm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.IOUNITS' - external ufparm - integer uiparm(1) - double precision urparm(1) - dimension x(maxvar),g(maxvar) - - icg=mod(nf,2)+1 - if (nf-nfl+1) 20,30,40 - 20 call func_restr(n,x,nf,f,uiparm,urparm,ufparm) -c write (iout,*) 'grad 20' - if (nf.eq.0) return - goto 40 - 30 continue -#ifdef OSF -c Intercept NaNs in the coordinates -c write(iout,*) (var(i),i=1,nvar) - x_sum=0.D0 - do i=1,n - x_sum=x_sum+x(i) - enddo - if (x_sum.ne.x_sum) then - write(iout,*)" *** grad_restr : Found NaN in coordinates" - call flush(iout) - print *," *** grad_restr : Found NaN in coordinates" - return - endif -#endif - call var_to_geom_restr(n,x) - call chainbuild -C -C Evaluate the derivatives of virtual bond lengths and SC vectors in variables. -C - 40 call cartder -C -C Convert the Cartesian gradient into internal-coordinate gradient. -C - - ig=0 - ind=nres-2 - do i=2,nres-2 - IF (mask_phi(i+2).eq.1) THEN - gphii=0.0D0 - do j=i+1,nres-1 - ind=ind+1 - do k=1,3 - gphii=gphii+dcdv(k+3,ind)*gradc(k,j,icg) - gphii=gphii+dxdv(k+3,ind)*gradx(k,j,icg) - enddo - enddo - ig=ig+1 - g(ig)=gphii - ELSE - ind=ind+nres-1-i - ENDIF - enddo - - - ind=0 - do i=1,nres-2 - IF (mask_theta(i+2).eq.1) THEN - ig=ig+1 - gthetai=0.0D0 - do j=i+1,nres-1 - ind=ind+1 - do k=1,3 - gthetai=gthetai+dcdv(k,ind)*gradc(k,j,icg) - gthetai=gthetai+dxdv(k,ind)*gradx(k,j,icg) - enddo - enddo - g(ig)=gthetai - ELSE - ind=ind+nres-1-i - ENDIF - enddo - - do i=2,nres-1 - if (itype(i).ne.10) then - IF (mask_side(i).eq.1) THEN - ig=ig+1 - galphai=0.0D0 - do k=1,3 - galphai=galphai+dxds(k,i)*gradx(k,i,icg) - enddo - g(ig)=galphai - ENDIF - endif - enddo - - - do i=2,nres-1 - if (itype(i).ne.10) then - IF (mask_side(i).eq.1) THEN - ig=ig+1 - gomegai=0.0D0 - do k=1,3 - gomegai=gomegai+dxds(k+3,i)*gradx(k,i,icg) - enddo - g(ig)=gomegai - ENDIF - endif - enddo - -C -C Add the components corresponding to local energy terms. -C - - ig=0 - igall=0 - do i=4,nres - igall=igall+1 - if (mask_phi(i).eq.1) then - ig=ig+1 - g(ig)=g(ig)+gloc(igall,icg) - endif - enddo - - do i=3,nres - igall=igall+1 - if (mask_theta(i).eq.1) then - ig=ig+1 - g(ig)=g(ig)+gloc(igall,icg) - endif - enddo - - do ij=1,2 - do i=2,nres-1 - if (itype(i).ne.10) then - igall=igall+1 - if (mask_side(i).eq.1) then - ig=ig+1 - g(ig)=g(ig)+gloc(igall,icg) - endif - endif - enddo - enddo - -cd do i=1,ig -cd write (iout,'(a2,i5,a3,f25.8)') 'i=',i,' g=',g(i) -cd enddo - return - end -C------------------------------------------------------------------------- - subroutine cartgrad - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.MD' - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - include 'COMMON.SCCOR' -c -c This subrouting calculates total Cartesian coordinate gradient. -c The subroutine chainbuild_cart and energy MUST be called beforehand. -c -c do i=1,nres -c write (iout,*) "przed sum_grad", gloc_sc(1,i,icg),gloc(i,icg) -c enddo - -#ifdef TIMING - time00=MPI_Wtime() -#endif - icg=1 - call sum_gradient -#ifdef TIMING -#endif -c do i=1,nres -c write (iout,*) "checkgrad", gloc_sc(1,i,icg),gloc(i,icg) -c enddo -cd write (iout,*) "After sum_gradient" -cd do i=1,nres-1 -cd write (iout,*) i," gradc ",(gradc(j,i,icg),j=1,3) -cd write (iout,*) i," gradx ",(gradx(j,i,icg),j=1,3) -cd enddo -c If performing constraint dynamics, add the gradients of the constraint energy - if(usampl.and.totT.gt.eq_time) then - do i=1,nct - do j=1,3 - gradc(j,i,icg)=gradc(j,i,icg)+dudconst(j,i)+duscdiff(j,i) - gradx(j,i,icg)=gradx(j,i,icg)+dudxconst(j,i)+duscdiffx(j,i) - enddo - enddo - do i=1,nres-3 - gloc(i,icg)=gloc(i,icg)+dugamma(i) - enddo - do i=1,nres-2 - gloc(nphi+i,icg)=gloc(nphi+i,icg)+dutheta(i) - enddo - endif -#ifdef TIMING - time01=MPI_Wtime() -#endif - call intcartderiv -#ifdef TIMING - time_intcartderiv=time_intcartderiv+MPI_Wtime()-time01 -#endif -cd call checkintcartgrad -cd write(iout,*) 'calling int_to_cart' -cd write (iout,*) "gcart, gxcart, gloc before int_to_cart" - do i=1,nct - do j=1,3 - gcart(j,i)=gradc(j,i,icg) - gxcart(j,i)=gradx(j,i,icg) - enddo -cd write (iout,'(i5,2(3f10.5,5x),f10.5)') i,(gcart(j,i),j=1,3), -cd & (gxcart(j,i),j=1,3),gloc(i,icg) - enddo -#ifdef TIMING - time01=MPI_Wtime() -#endif - call int_to_cart -#ifdef TIMING - time_inttocart=time_inttocart+MPI_Wtime()-time01 -#endif -cd write (iout,*) "gcart and gxcart after int_to_cart" -cd do i=0,nres-1 -cd write (iout,'(i5,3f10.5,5x,3f10.5)') i,(gcart(j,i),j=1,3), -cd & (gxcart(j,i),j=1,3) -cd enddo -#ifdef TIMING - time_cartgrad=time_cartgrad+MPI_Wtime()-time00 -#endif - return - end -C------------------------------------------------------------------------- - subroutine zerograd - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.DERIV' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.MD' - include 'COMMON.SCCOR' -C -C Initialize Cartesian-coordinate gradient -C - do i=1,nres - do j=1,3 - gvdwx(j,i)=0.0D0 - gvdwxT(j,i)=0.0D0 - gradx_scp(j,i)=0.0D0 - gvdwc(j,i)=0.0D0 - gvdwcT(j,i)=0.0D0 - gvdwc_scp(j,i)=0.0D0 - gvdwc_scpp(j,i)=0.0d0 - gelc (j,i)=0.0D0 - gelc_long(j,i)=0.0D0 - gradb(j,i)=0.0d0 - gradbx(j,i)=0.0d0 - gvdwpp(j,i)=0.0d0 - gel_loc(j,i)=0.0d0 - gel_loc_long(j,i)=0.0d0 - ghpbc(j,i)=0.0D0 - ghpbx(j,i)=0.0D0 - gcorr3_turn(j,i)=0.0d0 - gcorr4_turn(j,i)=0.0d0 - gradcorr(j,i)=0.0d0 - gradcorr_long(j,i)=0.0d0 - gradcorr5_long(j,i)=0.0d0 - gradcorr6_long(j,i)=0.0d0 - gcorr6_turn_long(j,i)=0.0d0 - gradcorr5(j,i)=0.0d0 - gradcorr6(j,i)=0.0d0 - gcorr6_turn(j,i)=0.0d0 - gsccorc(j,i)=0.0d0 - gsccorx(j,i)=0.0d0 - gradc(j,i,icg)=0.0d0 - gradx(j,i,icg)=0.0d0 - gscloc(j,i)=0.0d0 - gsclocx(j,i)=0.0d0 - do intertyp=1,3 - gloc_sc(intertyp,i,icg)=0.0d0 - enddo - enddo - enddo -C -C Initialize the gradient of local energy terms. -C - do i=1,4*nres - gloc(i,icg)=0.0D0 - enddo - do i=1,nres - gel_loc_loc(i)=0.0d0 - gcorr_loc(i)=0.0d0 - g_corr5_loc(i)=0.0d0 - g_corr6_loc(i)=0.0d0 - gel_loc_turn3(i)=0.0d0 - gel_loc_turn4(i)=0.0d0 - gel_loc_turn6(i)=0.0d0 - gsccor_loc(i)=0.0d0 - enddo -c initialize gcart and gxcart - do i=0,nres - do j=1,3 - gcart(j,i)=0.0d0 - gxcart(j,i)=0.0d0 - enddo - enddo - return - end -c------------------------------------------------------------------------- - double precision function fdum() - fdum=0.0D0 - return - end diff --git a/source/unres/src_MD-restraints-PM/initialize_p.F b/source/unres/src_MD-restraints-PM/initialize_p.F deleted file mode 100644 index 565ccaf..0000000 --- a/source/unres/src_MD-restraints-PM/initialize_p.F +++ /dev/null @@ -1,1394 +0,0 @@ - block data - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.MD' - data MovTypID - & /'pool','chain regrow','multi-bond','phi','theta','side chain', - & 'total'/ -c Conversion from poises to molecular unit and the gas constant - data cPoise /2.9361d0/, Rb /0.001986d0/ - end -c-------------------------------------------------------------------------- - subroutine initialize -C -C Define constants and zero out tables. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif -#ifndef ISNAN - external proc_proc -#ifdef WINPGI -cMS$ATTRIBUTES C :: proc_proc -#endif -#endif - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.TORSION' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.MCM' - include 'COMMON.MINIM' - include 'COMMON.DERIV' - include 'COMMON.SPLITELE' -c Common blocks from the diagonalization routines - COMMON /IOFILE/ IR,IW,IP,IJK,IPK,IDAF,NAV,IODA(400) - COMMON /MACHSW/ KDIAG,ICORFL,IXDR - logical mask_r -c real*8 text1 /'initial_i'/ - - mask_r=.false. -#ifndef ISNAN -c NaNQ initialization - i=-1 - arg=100.0d0 - rr=dacos(arg) -#ifdef WINPGI - idumm=proc_proc(rr,i) -#else - call proc_proc(rr,i) -#endif -#endif - - kdiag=0 - icorfl=0 - iw=2 -C -C The following is just to define auxiliary variables used in angle conversion -C - pi=4.0D0*datan(1.0D0) - dwapi=2.0D0*pi - dwapi3=dwapi/3.0D0 - pipol=0.5D0*pi - deg2rad=pi/180.0D0 - rad2deg=1.0D0/deg2rad - angmin=10.0D0*deg2rad -C -C Define I/O units. -C - inp= 1 - iout= 2 - ipdbin= 3 - ipdb= 7 - icart = 30 - imol2= 4 - igeom= 8 - intin= 9 - ithep= 11 - ithep_pdb=51 - irotam=12 - irotam_pdb=52 - itorp= 13 - itordp= 23 - ielep= 14 - isidep=15 - iscpp=25 - icbase=16 - ifourier=20 - istat= 17 - irest1=55 - irest2=56 - iifrag=57 - ientin=18 - ientout=19 - ibond = 28 - isccor = 29 -crc for write_rmsbank1 - izs1=21 -cdr include secondary structure prediction bias - isecpred=27 -C -C CSA I/O units (separated from others especially for Jooyoung) -C - icsa_rbank=30 - icsa_seed=31 - icsa_history=32 - icsa_bank=33 - icsa_bank1=34 - icsa_alpha=35 - icsa_alpha1=36 - icsa_bankt=37 - icsa_int=39 - icsa_bank_reminimized=38 - icsa_native_int=41 - icsa_in=40 -crc for ifc error 118 - icsa_pdb=42 -C -C Set default weights of the energy terms. -C - wlong=1.0D0 - welec=1.0D0 - wtor =1.0D0 - wang =1.0D0 - wscloc=1.0D0 - wstrain=1.0D0 -C -C Zero out tables. -C - print '(a,$)','Inside initialize' -c call memmon_print_usage() - do i=1,maxres2 - do j=1,3 - c(j,i)=0.0D0 - dc(j,i)=0.0D0 - enddo - enddo - do i=1,maxres - do j=1,3 - xloc(j,i)=0.0D0 - enddo - enddo - do i=1,ntyp - do j=1,ntyp - aa(i,j)=0.0D0 - bb(i,j)=0.0D0 - augm(i,j)=0.0D0 - sigma(i,j)=0.0D0 - r0(i,j)=0.0D0 - chi(i,j)=0.0D0 - enddo - do j=1,2 - bad(i,j)=0.0D0 - enddo - chip(i)=0.0D0 - alp(i)=0.0D0 - sigma0(i)=0.0D0 - sigii(i)=0.0D0 - rr0(i)=0.0D0 - a0thet(i)=0.0D0 - do j=1,2 - athet(j,i)=0.0D0 - bthet(j,i)=0.0D0 - enddo - do j=0,3 - polthet(j,i)=0.0D0 - enddo - do j=1,3 - gthet(j,i)=0.0D0 - enddo - theta0(i)=0.0D0 - sig0(i)=0.0D0 - sigc0(i)=0.0D0 - do j=1,maxlob - bsc(j,i)=0.0D0 - do k=1,3 - censc(k,j,i)=0.0D0 - enddo - do k=1,3 - do l=1,3 - gaussc(l,k,j,i)=0.0D0 - enddo - enddo - nlob(i)=0 - enddo - enddo - nlob(ntyp1)=0 - dsc(ntyp1)=0.0D0 - do i=1,maxtor - itortyp(i)=0 - do j=1,maxtor - do k=1,maxterm - v1(k,j,i)=0.0D0 - v2(k,j,i)=0.0D0 - enddo - enddo - enddo - do i=1,maxres - itype(i)=0 - itel(i)=0 - enddo -C Initialize the bridge arrays - ns=0 - nss=0 - nhpb=0 - do i=1,maxss - iss(i)=0 - enddo - do i=1,maxdim - dhpb(i)=0.0D0 - enddo - do i=1,maxres - ihpb(i)=0 - jhpb(i)=0 - enddo -C -C Initialize timing. -C - call set_timers -C -C Initialize variables used in minimization. -C -c maxfun=5000 -c maxit=2000 - maxfun=500 - maxit=200 - tolf=1.0D-2 - rtolf=5.0D-4 -C -C Initialize the variables responsible for the mode of gradient storage. -C - nfl=0 - icg=1 -C -C Initialize constants used to split the energy into long- and short-range -C components -C - r_cut=2.0d0 - rlamb=0.3d0 -#ifndef SPLITELE - nprint_ene=nprint_ene-1 -#endif - return - end -c------------------------------------------------------------------------- - block data nazwy - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.NAMES' - include 'COMMON.FFIELD' - data restyp / - &'CYS','MET','PHE','ILE','LEU','VAL','TRP','TYR','ALA','GLY','THR', - &'SER','GLN','ASN','GLU','ASP','HIS','ARG','LYS','PRO','D'/ - data onelet / - &'C','M','F','I','L','V','W','Y','A','G','T', - &'S','Q','N','E','D','H','R','K','P','X'/ - data potname /'LJ','LJK','BP','GB','GBV'/ - data ename / - & "EVDW SC-SC","EVDW2 SC-p","EES p-p","ECORR4 ","ECORR5 ", - & "ECORR6 ","EELLO ","ETURN3 ","ETURN4 ","ETURN6 ", - & "EBE bend","ESC SCloc","ETORS ","ETORSD ","EHPB ","EVDWPP ", - & "ESTR ","EVDW2_14 ","UCONST ", " ","ESCCOR"," "," "/ - data wname / - & "WSC","WSCP","WELEC","WCORR","WCORR5","WCORR6","WEL_LOC", - & "WTURN3","WTURN4","WTURN6","WANG","WSCLOC","WTOR","WTORD", - & "WSTRAIN","WVDWPP","WBOND","SCAL14"," "," ","WSCCOR", - & " "," "/ - data nprint_ene /20/ - data print_order/1,2,3,11,12,13,14,4,5,6,7,8,9,10,19,18,15,17,16, - & 21,0,0,0/ - end -c--------------------------------------------------------------------------- - subroutine init_int_table - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' - integer blocklengths(15),displs(15) -#endif - include 'COMMON.CONTROL' - include 'COMMON.SETUP' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.LOCAL' - include 'COMMON.SBRIDGE' - include 'COMMON.TORCNSTR' - include 'COMMON.IOUNITS' - include 'COMMON.DERIV' - include 'COMMON.CONTACTS' - common /przechowalnia/ iturn3_start_all(0:max_fg_procs), - & iturn3_end_all(0:max_fg_procs),iturn4_start_all(0:max_fg_procs), - & iturn4_end_all(0:max_fg_procs),iatel_s_all(0:max_fg_procs), - &iatel_e_all(0:max_fg_procs),ielstart_all(maxres,0:max_fg_procs-1), - & ielend_all(maxres,0:max_fg_procs-1), - & ntask_cont_from_all(0:max_fg_procs-1), - & itask_cont_from_all(0:max_fg_procs-1,0:max_fg_procs-1), - & ntask_cont_to_all(0:max_fg_procs-1), - & itask_cont_to_all(0:max_fg_procs-1,0:max_fg_procs-1) - integer FG_GROUP,CONT_FROM_GROUP,CONT_TO_GROUP - logical scheck,lprint,flag -#ifdef MPI - integer my_sc_int(0:max_fg_Procs-1),my_sc_intt(0:max_fg_Procs), - & my_ele_int(0:max_fg_Procs-1),my_ele_intt(0:max_fg_Procs) -C... Determine the numbers of start and end SC-SC interaction -C... to deal with by current processor. - do i=0,nfgtasks-1 - itask_cont_from(i)=fg_rank - itask_cont_to(i)=fg_rank - enddo - lprint=.false. - if (lprint) - &write (iout,*) 'INIT_INT_TABLE nres=',nres,' nnt=',nnt,' nct=',nct - n_sc_int_tot=(nct-nnt+1)*(nct-nnt)/2-nss - call int_bounds(n_sc_int_tot,my_sc_inds,my_sc_inde) - if (lprint) - & write (iout,*) 'Processor',fg_rank,' CG group',kolor, - & ' absolute rank',MyRank, - & ' n_sc_int_tot',n_sc_int_tot,' my_sc_inds=',my_sc_inds, - & ' my_sc_inde',my_sc_inde - ind_sctint=0 - iatsc_s=0 - iatsc_e=0 -#endif -c lprint=.false. - do i=1,maxres - nint_gr(i)=0 - nscp_gr(i)=0 - do j=1,maxint_gr - istart(i,1)=0 - iend(i,1)=0 - ielstart(i)=0 - ielend(i)=0 - iscpstart(i,1)=0 - iscpend(i,1)=0 - enddo - enddo - ind_scint=0 - ind_scint_old=0 -cd write (iout,*) 'ns=',ns,' nss=',nss,' ihpb,jhpb', -cd & (ihpb(i),jhpb(i),i=1,nss) - do i=nnt,nct-1 - scheck=.false. - if (dyn_ss) goto 10 - do ii=1,nss - if (ihpb(ii).eq.i+nres) then - scheck=.true. - jj=jhpb(ii)-nres - goto 10 - endif - enddo - 10 continue -cd write (iout,*) 'i=',i,' scheck=',scheck,' jj=',jj - if (scheck) then - if (jj.eq.i+1) then -#ifdef MPI -c write (iout,*) 'jj=i+1' - call int_partition(ind_scint,my_sc_inds,my_sc_inde,i, - & iatsc_s,iatsc_e,i+2,nct,nint_gr(i),istart(i,1),iend(i,1),*12) -#else - nint_gr(i)=1 - istart(i,1)=i+2 - iend(i,1)=nct -#endif - else if (jj.eq.nct) then -#ifdef MPI -c write (iout,*) 'jj=nct' - call int_partition(ind_scint,my_sc_inds,my_sc_inde,i, - & iatsc_s,iatsc_e,i+1,nct-1,nint_gr(i),istart(i,1),iend(i,1),*12) -#else - nint_gr(i)=1 - istart(i,1)=i+1 - iend(i,1)=nct-1 -#endif - else -#ifdef MPI - call int_partition(ind_scint,my_sc_inds,my_sc_inde,i, - & iatsc_s,iatsc_e,i+1,jj-1,nint_gr(i),istart(i,1),iend(i,1),*12) - ii=nint_gr(i)+1 - call int_partition(ind_scint,my_sc_inds,my_sc_inde,i, - & iatsc_s,iatsc_e,jj+1,nct,nint_gr(i),istart(i,ii),iend(i,ii),*12) -#else - nint_gr(i)=2 - istart(i,1)=i+1 - iend(i,1)=jj-1 - istart(i,2)=jj+1 - iend(i,2)=nct -#endif - endif - else -#ifdef MPI - call int_partition(ind_scint,my_sc_inds,my_sc_inde,i, - & iatsc_s,iatsc_e,i+1,nct,nint_gr(i),istart(i,1),iend(i,1),*12) -#else - nint_gr(i)=1 - istart(i,1)=i+1 - iend(i,1)=nct - ind_scint=ind_scint+nct-i -#endif - endif -#ifdef MPI - ind_scint_old=ind_scint -#endif - enddo - 12 continue -#ifndef MPI - iatsc_s=nnt - iatsc_e=nct-1 -#endif -#ifdef MPI - if (lprint) write (*,*) 'Processor',fg_rank,' CG Group',kolor, - & ' absolute rank',myrank,' iatsc_s=',iatsc_s,' iatsc_e=',iatsc_e -#endif - if (lprint) then - write (iout,'(a)') 'Interaction array:' - do i=iatsc_s,iatsc_e - write (iout,'(i3,2(2x,2i3))') - & i,(istart(i,iint),iend(i,iint),iint=1,nint_gr(i)) - enddo - endif - ispp=4 -#ifdef MPI -C Now partition the electrostatic-interaction array - npept=nct-nnt - nele_int_tot=(npept-ispp)*(npept-ispp+1)/2 - call int_bounds(nele_int_tot,my_ele_inds,my_ele_inde) - if (lprint) - & write (*,*) 'Processor',fg_rank,' CG group',kolor, - & ' absolute rank',MyRank, - & ' nele_int_tot',nele_int_tot,' my_ele_inds=',my_ele_inds, - & ' my_ele_inde',my_ele_inde - iatel_s=0 - iatel_e=0 - ind_eleint=0 - ind_eleint_old=0 - do i=nnt,nct-3 - ijunk=0 - call int_partition(ind_eleint,my_ele_inds,my_ele_inde,i, - & iatel_s,iatel_e,i+ispp,nct-1,ijunk,ielstart(i),ielend(i),*13) - enddo ! i - 13 continue - if (iatel_s.eq.0) iatel_s=1 - nele_int_tot_vdw=(npept-2)*(npept-2+1)/2 -c write (iout,*) "nele_int_tot_vdw",nele_int_tot_vdw - call int_bounds(nele_int_tot_vdw,my_ele_inds_vdw,my_ele_inde_vdw) -c write (iout,*) "my_ele_inds_vdw",my_ele_inds_vdw, -c & " my_ele_inde_vdw",my_ele_inde_vdw - ind_eleint_vdw=0 - ind_eleint_vdw_old=0 - iatel_s_vdw=0 - iatel_e_vdw=0 - do i=nnt,nct-3 - ijunk=0 - call int_partition(ind_eleint_vdw,my_ele_inds_vdw, - & my_ele_inde_vdw,i, - & iatel_s_vdw,iatel_e_vdw,i+2,nct-1,ijunk,ielstart_vdw(i), - & ielend_vdw(i),*15) -c write (iout,*) i," ielstart_vdw",ielstart_vdw(i), -c & " ielend_vdw",ielend_vdw(i) - enddo ! i - if (iatel_s_vdw.eq.0) iatel_s_vdw=1 - 15 continue -#else - iatel_s=nnt - iatel_e=nct-5 - do i=iatel_s,iatel_e - ielstart(i)=i+4 - ielend(i)=nct-1 - enddo - iatel_s_vdw=nnt - iatel_e_vdw=nct-3 - do i=iatel_s_vdw,iatel_e_vdw - ielstart_vdw(i)=i+2 - ielend_vdw(i)=nct-1 - enddo -#endif - if (lprint) then - write (*,'(a)') 'Processor',fg_rank,' CG group',kolor, - & ' absolute rank',MyRank - write (iout,*) 'Electrostatic interaction array:' - do i=iatel_s,iatel_e - write (iout,'(i3,2(2x,2i3))') i,ielstart(i),ielend(i) - enddo - endif ! lprint -c iscp=3 - iscp=2 -C Partition the SC-p interaction array -#ifdef MPI - nscp_int_tot=(npept-iscp+1)*(npept-iscp+1) - call int_bounds(nscp_int_tot,my_scp_inds,my_scp_inde) - if (lprint) write (iout,*) 'Processor',fg_rank,' CG group',kolor, - & ' absolute rank',myrank, - & ' nscp_int_tot',nscp_int_tot,' my_scp_inds=',my_scp_inds, - & ' my_scp_inde',my_scp_inde - iatscp_s=0 - iatscp_e=0 - ind_scpint=0 - ind_scpint_old=0 - do i=nnt,nct-1 - if (i.lt.nnt+iscp) then -cd write (iout,*) 'i.le.nnt+iscp' - call int_partition(ind_scpint,my_scp_inds,my_scp_inde,i, - & iatscp_s,iatscp_e,i+iscp,nct,nscp_gr(i),iscpstart(i,1), - & iscpend(i,1),*14) - else if (i.gt.nct-iscp) then -cd write (iout,*) 'i.gt.nct-iscp' - call int_partition(ind_scpint,my_scp_inds,my_scp_inde,i, - & iatscp_s,iatscp_e,nnt,i-iscp,nscp_gr(i),iscpstart(i,1), - & iscpend(i,1),*14) - else - call int_partition(ind_scpint,my_scp_inds,my_scp_inde,i, - & iatscp_s,iatscp_e,nnt,i-iscp,nscp_gr(i),iscpstart(i,1), - & iscpend(i,1),*14) - ii=nscp_gr(i)+1 - call int_partition(ind_scpint,my_scp_inds,my_scp_inde,i, - & iatscp_s,iatscp_e,i+iscp,nct,nscp_gr(i),iscpstart(i,ii), - & iscpend(i,ii),*14) - endif - enddo ! i - 14 continue -#else - iatscp_s=nnt - iatscp_e=nct-1 - do i=nnt,nct-1 - if (i.lt.nnt+iscp) then - nscp_gr(i)=1 - iscpstart(i,1)=i+iscp - iscpend(i,1)=nct - elseif (i.gt.nct-iscp) then - nscp_gr(i)=1 - iscpstart(i,1)=nnt - iscpend(i,1)=i-iscp - else - nscp_gr(i)=2 - iscpstart(i,1)=nnt - iscpend(i,1)=i-iscp - iscpstart(i,2)=i+iscp - iscpend(i,2)=nct - endif - enddo ! i -#endif - if (lprint) then - write (iout,'(a)') 'SC-p interaction array:' - do i=iatscp_s,iatscp_e - write (iout,'(i3,2(2x,2i3))') - & i,(iscpstart(i,j),iscpend(i,j),j=1,nscp_gr(i)) - enddo - endif ! lprint -C Partition local interactions -#ifdef MPI - call int_bounds(nres-2,loc_start,loc_end) - loc_start=loc_start+1 - loc_end=loc_end+1 - call int_bounds(nres-2,ithet_start,ithet_end) - ithet_start=ithet_start+2 - ithet_end=ithet_end+2 - call int_bounds(nct-nnt-2,iturn3_start,iturn3_end) - iturn3_start=iturn3_start+nnt - iphi_start=iturn3_start+2 - iturn3_end=iturn3_end+nnt - iphi_end=iturn3_end+2 - iturn3_start=iturn3_start-1 - iturn3_end=iturn3_end-1 - call int_bounds(nres-3,itau_start,itau_end) - itau_start=itau_start+3 - itau_end=itau_end+3 - call int_bounds(nres-3,iphi1_start,iphi1_end) - iphi1_start=iphi1_start+3 - iphi1_end=iphi1_end+3 - call int_bounds(nct-nnt-3,iturn4_start,iturn4_end) - iturn4_start=iturn4_start+nnt - iphid_start=iturn4_start+2 - iturn4_end=iturn4_end+nnt - iphid_end=iturn4_end+2 - iturn4_start=iturn4_start-1 - iturn4_end=iturn4_end-1 - call int_bounds(nres-2,ibond_start,ibond_end) - ibond_start=ibond_start+1 - ibond_end=ibond_end+1 - call int_bounds(nct-nnt,ibondp_start,ibondp_end) - ibondp_start=ibondp_start+nnt - ibondp_end=ibondp_end+nnt - call int_bounds1(nres-1,ivec_start,ivec_end) - print *,"Processor",myrank,fg_rank,fg_rank1, - & " ivec_start",ivec_start," ivec_end",ivec_end - iset_start=loc_start+2 - iset_end=loc_end+2 - if (ndih_constr.eq.0) then - idihconstr_start=1 - idihconstr_end=0 - else - call int_bounds(ndih_constr,idihconstr_start,idihconstr_end) - endif - nsumgrad=(nres-nnt)*(nres-nnt+1)/2 - nlen=nres-nnt+1 - call int_bounds(nsumgrad,ngrad_start,ngrad_end) - igrad_start=((2*nlen+1) - & -sqrt(float((2*nlen-1)**2-8*(ngrad_start-1))))/2 - jgrad_start(igrad_start)= - & ngrad_start-(2*nlen-igrad_start)*(igrad_start-1)/2 - & +igrad_start - jgrad_end(igrad_start)=nres - igrad_end=((2*nlen+1) - & -sqrt(float((2*nlen-1)**2-8*(ngrad_end-1))))/2 - if (igrad_end.gt.igrad_start) jgrad_start(igrad_end)=igrad_end+1 - jgrad_end(igrad_end)=ngrad_end-(2*nlen-igrad_end)*(igrad_end-1)/2 - & +igrad_end - do i=igrad_start+1,igrad_end-1 - jgrad_start(i)=i+1 - jgrad_end(i)=nres - enddo - if (lprint) then - write (*,*) 'Processor:',fg_rank,' CG group',kolor, - & ' absolute rank',myrank, - & ' loc_start',loc_start,' loc_end',loc_end, - & ' ithet_start',ithet_start,' ithet_end',ithet_end, - & ' iphi_start',iphi_start,' iphi_end',iphi_end, - & ' iphid_start',iphid_start,' iphid_end',iphid_end, - & ' ibond_start',ibond_start,' ibond_end',ibond_end, - & ' ibondp_start',ibondp_start,' ibondp_end',ibondp_end, - & ' iturn3_start',iturn3_start,' iturn3_end',iturn3_end, - & ' iturn4_start',iturn4_start,' iturn4_end',iturn4_end, - & ' ivec_start',ivec_start,' ivec_end',ivec_end, - & ' iset_start',iset_start,' iset_end',iset_end, - & ' idihconstr_start',idihconstr_start,' idihconstr_end', - & idihconstr_end - write (*,*) 'Processor:',fg_rank,myrank,' igrad_start', - & igrad_start,' igrad_end',igrad_end,' ngrad_start',ngrad_start, - & ' ngrad_end',ngrad_end - do i=igrad_start,igrad_end - write(*,*) 'Processor:',fg_rank,myrank,i, - & jgrad_start(i),jgrad_end(i) - enddo - endif - if (nfgtasks.gt.1) then - call MPI_Allgather(ivec_start,1,MPI_INTEGER,ivec_displ(0),1, - & MPI_INTEGER,FG_COMM1,IERROR) - iaux=ivec_end-ivec_start+1 - call MPI_Allgather(iaux,1,MPI_INTEGER,ivec_count(0),1, - & MPI_INTEGER,FG_COMM1,IERROR) - call MPI_Allgather(iset_start-2,1,MPI_INTEGER,iset_displ(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - iaux=iset_end-iset_start+1 - call MPI_Allgather(iaux,1,MPI_INTEGER,iset_count(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(ibond_start,1,MPI_INTEGER,ibond_displ(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - iaux=ibond_end-ibond_start+1 - call MPI_Allgather(iaux,1,MPI_INTEGER,ibond_count(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(ithet_start,1,MPI_INTEGER,ithet_displ(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - iaux=ithet_end-ithet_start+1 - call MPI_Allgather(iaux,1,MPI_INTEGER,ithet_count(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(iphi_start,1,MPI_INTEGER,iphi_displ(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - iaux=iphi_end-iphi_start+1 - call MPI_Allgather(iaux,1,MPI_INTEGER,iphi_count(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(iphi1_start,1,MPI_INTEGER,iphi1_displ(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - iaux=iphi1_end-iphi1_start+1 - call MPI_Allgather(iaux,1,MPI_INTEGER,iphi1_count(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - do i=0,maxprocs-1 - do j=1,maxres - ielstart_all(j,i)=0 - ielend_all(j,i)=0 - enddo - enddo - call MPI_Allgather(iturn3_start,1,MPI_INTEGER, - & iturn3_start_all(0),1,MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(iturn4_start,1,MPI_INTEGER, - & iturn4_start_all(0),1,MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(iturn3_end,1,MPI_INTEGER, - & iturn3_end_all(0),1,MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(iturn4_end,1,MPI_INTEGER, - & iturn4_end_all(0),1,MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(iatel_s,1,MPI_INTEGER, - & iatel_s_all(0),1,MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(iatel_e,1,MPI_INTEGER, - & iatel_e_all(0),1,MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(ielstart(1),maxres,MPI_INTEGER, - & ielstart_all(1,0),maxres,MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(ielend(1),maxres,MPI_INTEGER, - & ielend_all(1,0),maxres,MPI_INTEGER,FG_COMM,IERROR) - if (lprint) then - write (iout,*) "iatel_s_all",(iatel_s_all(i),i=0,nfgtasks) - write (iout,*) "iatel_e_all",(iatel_e_all(i),i=0,nfgtasks) - write (iout,*) "iturn3_start_all", - & (iturn3_start_all(i),i=0,nfgtasks-1) - write (iout,*) "iturn3_end_all", - & (iturn3_end_all(i),i=0,nfgtasks-1) - write (iout,*) "iturn4_start_all", - & (iturn4_start_all(i),i=0,nfgtasks-1) - write (iout,*) "iturn4_end_all", - & (iturn4_end_all(i),i=0,nfgtasks-1) - write (iout,*) "The ielstart_all array" - do i=nnt,nct - write (iout,'(20i4)') i,(ielstart_all(i,j),j=0,nfgtasks-1) - enddo - write (iout,*) "The ielend_all array" - do i=nnt,nct - write (iout,'(20i4)') i,(ielend_all(i,j),j=0,nfgtasks-1) - enddo - call flush(iout) - endif - ntask_cont_from=0 - ntask_cont_to=0 - itask_cont_from(0)=fg_rank - itask_cont_to(0)=fg_rank - flag=.false. - do ii=iturn3_start,iturn3_end - call add_int(ii,ii+2,iturn3_sent(1,ii), - & ntask_cont_to,itask_cont_to,flag) - enddo - do ii=iturn4_start,iturn4_end - call add_int(ii,ii+3,iturn4_sent(1,ii), - & ntask_cont_to,itask_cont_to,flag) - enddo - do ii=iturn3_start,iturn3_end - call add_int_from(ii,ii+2,ntask_cont_from,itask_cont_from) - enddo - do ii=iturn4_start,iturn4_end - call add_int_from(ii,ii+3,ntask_cont_from,itask_cont_from) - enddo - if (lprint) then - write (iout,*) "After turn3 ntask_cont_from",ntask_cont_from, - & " ntask_cont_to",ntask_cont_to - write (iout,*) "itask_cont_from", - & (itask_cont_from(i),i=1,ntask_cont_from) - write (iout,*) "itask_cont_to", - & (itask_cont_to(i),i=1,ntask_cont_to) - call flush(iout) - endif -c write (iout,*) "Loop forward" -c call flush(iout) - do i=iatel_s,iatel_e -c write (iout,*) "from loop i=",i -c call flush(iout) - do j=ielstart(i),ielend(i) - call add_int_from(i,j,ntask_cont_from,itask_cont_from) - enddo - enddo -c write (iout,*) "Loop backward iatel_e-1",iatel_e-1, -c & " iatel_e",iatel_e -c call flush(iout) - nat_sent=0 - do i=iatel_s,iatel_e -c write (iout,*) "i",i," ielstart",ielstart(i), -c & " ielend",ielend(i) -c call flush(iout) - flag=.false. - do j=ielstart(i),ielend(i) - call add_int(i,j,iint_sent(1,j,nat_sent+1),ntask_cont_to, - & itask_cont_to,flag) - enddo - if (flag) then - nat_sent=nat_sent+1 - iat_sent(nat_sent)=i - endif - enddo - if (lprint) then - write (iout,*)"After longrange ntask_cont_from",ntask_cont_from, - & " ntask_cont_to",ntask_cont_to - write (iout,*) "itask_cont_from", - & (itask_cont_from(i),i=1,ntask_cont_from) - write (iout,*) "itask_cont_to", - & (itask_cont_to(i),i=1,ntask_cont_to) - call flush(iout) - write (iout,*) "iint_sent" - do i=1,nat_sent - ii=iat_sent(i) - write (iout,'(20i4)') ii,(j,(iint_sent(k,j,i),k=1,4), - & j=ielstart(ii),ielend(ii)) - enddo - write (iout,*) "iturn3_sent iturn3_start",iturn3_start, - & " iturn3_end",iturn3_end - write (iout,'(20i4)') (i,(iturn3_sent(j,i),j=1,4), - & i=iturn3_start,iturn3_end) - write (iout,*) "iturn4_sent iturn4_start",iturn4_start, - & " iturn4_end",iturn4_end - write (iout,'(20i4)') (i,(iturn4_sent(j,i),j=1,4), - & i=iturn4_start,iturn4_end) - call flush(iout) - endif - call MPI_Gather(ntask_cont_from,1,MPI_INTEGER, - & ntask_cont_from_all,1,MPI_INTEGER,king,FG_COMM,IERR) -c write (iout,*) "Gather ntask_cont_from ended" -c call flush(iout) - call MPI_Gather(itask_cont_from(0),max_fg_procs,MPI_INTEGER, - & itask_cont_from_all(0,0),max_fg_procs,MPI_INTEGER,king, - & FG_COMM,IERR) -c write (iout,*) "Gather itask_cont_from ended" -c call flush(iout) - call MPI_Gather(ntask_cont_to,1,MPI_INTEGER,ntask_cont_to_all, - & 1,MPI_INTEGER,king,FG_COMM,IERR) -c write (iout,*) "Gather ntask_cont_to ended" -c call flush(iout) - call MPI_Gather(itask_cont_to,max_fg_procs,MPI_INTEGER, - & itask_cont_to_all,max_fg_procs,MPI_INTEGER,king,FG_COMM,IERR) -c write (iout,*) "Gather itask_cont_to ended" -c call flush(iout) - if (fg_rank.eq.king) then - write (iout,*)"Contact receive task map (proc, #tasks, tasks)" - do i=0,nfgtasks-1 - write (iout,'(20i4)') i,ntask_cont_from_all(i), - & (itask_cont_from_all(j,i),j=1,ntask_cont_from_all(i)) - enddo - write (iout,*) - call flush(iout) - write (iout,*) "Contact send task map (proc, #tasks, tasks)" - do i=0,nfgtasks-1 - write (iout,'(20i4)') i,ntask_cont_to_all(i), - & (itask_cont_to_all(j,i),j=1,ntask_cont_to_all(i)) - enddo - write (iout,*) - call flush(iout) -C Check if every send will have a matching receive - ncheck_to=0 - ncheck_from=0 - do i=0,nfgtasks-1 - ncheck_to=ncheck_to+ntask_cont_to_all(i) - ncheck_from=ncheck_from+ntask_cont_from_all(i) - enddo - write (iout,*) "Control sums",ncheck_from,ncheck_to - if (ncheck_from.ne.ncheck_to) then - write (iout,*) "Error: #receive differs from #send." - write (iout,*) "Terminating program...!" - call flush(iout) - flag=.false. - else - flag=.true. - do i=0,nfgtasks-1 - do j=1,ntask_cont_to_all(i) - ii=itask_cont_to_all(j,i) - do k=1,ntask_cont_from_all(ii) - if (itask_cont_from_all(k,ii).eq.i) then - if(lprint)write(iout,*)"Matching send/receive",i,ii - exit - endif - enddo - if (k.eq.ntask_cont_from_all(ii)+1) then - flag=.false. - write (iout,*) "Error: send by",j," to",ii, - & " would have no matching receive" - endif - enddo - enddo - endif - if (.not.flag) then - write (iout,*) "Unmatched sends; terminating program" - call flush(iout) - endif - endif - call MPI_Bcast(flag,1,MPI_LOGICAL,king,FG_COMM,IERROR) -c write (iout,*) "flag broadcast ended flag=",flag -c call flush(iout) - if (.not.flag) then - call MPI_Finalize(IERROR) - stop "Error in INIT_INT_TABLE: unmatched send/receive." - endif - call MPI_Comm_group(FG_COMM,fg_group,IERR) -c write (iout,*) "MPI_Comm_group ended" -c call flush(iout) - call MPI_Group_incl(fg_group,ntask_cont_from+1, - & itask_cont_from(0),CONT_FROM_GROUP,IERR) - call MPI_Group_incl(fg_group,ntask_cont_to+1,itask_cont_to(0), - & CONT_TO_GROUP,IERR) - do i=1,nat_sent - ii=iat_sent(i) - iaux=4*(ielend(ii)-ielstart(ii)+1) - call MPI_Group_translate_ranks(fg_group,iaux, - & iint_sent(1,ielstart(ii),i),CONT_TO_GROUP, - & iint_sent_local(1,ielstart(ii),i),IERR ) -c write (iout,*) "Ranks translated i=",i -c call flush(iout) - enddo - iaux=4*(iturn3_end-iturn3_start+1) - call MPI_Group_translate_ranks(fg_group,iaux, - & iturn3_sent(1,iturn3_start),CONT_TO_GROUP, - & iturn3_sent_local(1,iturn3_start),IERR) - iaux=4*(iturn4_end-iturn4_start+1) - call MPI_Group_translate_ranks(fg_group,iaux, - & iturn4_sent(1,iturn4_start),CONT_TO_GROUP, - & iturn4_sent_local(1,iturn4_start),IERR) - if (lprint) then - write (iout,*) "iint_sent_local" - do i=1,nat_sent - ii=iat_sent(i) - write (iout,'(20i4)') ii,(j,(iint_sent_local(k,j,i),k=1,4), - & j=ielstart(ii),ielend(ii)) - call flush(iout) - enddo - write (iout,*) "iturn3_sent_local iturn3_start",iturn3_start, - & " iturn3_end",iturn3_end - write (iout,'(20i4)') (i,(iturn3_sent_local(j,i),j=1,4), - & i=iturn3_start,iturn3_end) - write (iout,*) "iturn4_sent_local iturn4_start",iturn4_start, - & " iturn4_end",iturn4_end - write (iout,'(20i4)') (i,(iturn4_sent_local(j,i),j=1,4), - & i=iturn4_start,iturn4_end) - call flush(iout) - endif - call MPI_Group_free(fg_group,ierr) - call MPI_Group_free(cont_from_group,ierr) - call MPI_Group_free(cont_to_group,ierr) - call MPI_Type_contiguous(3,MPI_DOUBLE_PRECISION,MPI_UYZ,IERROR) - call MPI_Type_commit(MPI_UYZ,IERROR) - call MPI_Type_contiguous(18,MPI_DOUBLE_PRECISION,MPI_UYZGRAD, - & IERROR) - call MPI_Type_commit(MPI_UYZGRAD,IERROR) - call MPI_Type_contiguous(2,MPI_DOUBLE_PRECISION,MPI_MU,IERROR) - call MPI_Type_commit(MPI_MU,IERROR) - call MPI_Type_contiguous(4,MPI_DOUBLE_PRECISION,MPI_MAT1,IERROR) - call MPI_Type_commit(MPI_MAT1,IERROR) - call MPI_Type_contiguous(8,MPI_DOUBLE_PRECISION,MPI_MAT2,IERROR) - call MPI_Type_commit(MPI_MAT2,IERROR) - call MPI_Type_contiguous(6,MPI_DOUBLE_PRECISION,MPI_THET,IERROR) - call MPI_Type_commit(MPI_THET,IERROR) - call MPI_Type_contiguous(9,MPI_DOUBLE_PRECISION,MPI_GAM,IERROR) - call MPI_Type_commit(MPI_GAM,IERROR) -#ifndef MATGATHER -c 9/22/08 Derived types to send matrices which appear in correlation terms - do i=0,nfgtasks-1 - if (ivec_count(i).eq.ivec_count(0)) then - lentyp(i)=0 - else - lentyp(i)=1 - endif - enddo - do ind_typ=lentyp(0),lentyp(nfgtasks-1) - if (ind_typ.eq.0) then - ichunk=ivec_count(0) - else - ichunk=ivec_count(1) - endif -c do i=1,4 -c blocklengths(i)=4 -c enddo -c displs(1)=0 -c do i=2,4 -c displs(i)=displs(i-1)+blocklengths(i-1)*maxres -c enddo -c do i=1,4 -c blocklengths(i)=blocklengths(i)*ichunk -c enddo -c write (iout,*) "blocklengths and displs" -c do i=1,4 -c write (iout,*) i,blocklengths(i),displs(i) -c enddo -c call flush(iout) -c call MPI_Type_indexed(4,blocklengths(1),displs(1), -c & MPI_DOUBLE_PRECISION,MPI_ROTAT1(ind_typ),IERROR) -c call MPI_Type_commit(MPI_ROTAT1(ind_typ),IERROR) -c write (iout,*) "MPI_ROTAT1",MPI_ROTAT1 -c do i=1,4 -c blocklengths(i)=2 -c enddo -c displs(1)=0 -c do i=2,4 -c displs(i)=displs(i-1)+blocklengths(i-1)*maxres -c enddo -c do i=1,4 -c blocklengths(i)=blocklengths(i)*ichunk -c enddo -c write (iout,*) "blocklengths and displs" -c do i=1,4 -c write (iout,*) i,blocklengths(i),displs(i) -c enddo -c call flush(iout) -c call MPI_Type_indexed(4,blocklengths(1),displs(1), -c & MPI_DOUBLE_PRECISION,MPI_ROTAT2(ind_typ),IERROR) -c call MPI_Type_commit(MPI_ROTAT2(ind_typ),IERROR) -c write (iout,*) "MPI_ROTAT2",MPI_ROTAT2 - do i=1,8 - blocklengths(i)=2 - enddo - displs(1)=0 - do i=2,8 - displs(i)=displs(i-1)+blocklengths(i-1)*maxres - enddo - do i=1,15 - blocklengths(i)=blocklengths(i)*ichunk - enddo - call MPI_Type_indexed(8,blocklengths,displs, - & MPI_DOUBLE_PRECISION,MPI_PRECOMP11(ind_typ),IERROR) - call MPI_Type_commit(MPI_PRECOMP11(ind_typ),IERROR) - do i=1,8 - blocklengths(i)=4 - enddo - displs(1)=0 - do i=2,8 - displs(i)=displs(i-1)+blocklengths(i-1)*maxres - enddo - do i=1,15 - blocklengths(i)=blocklengths(i)*ichunk - enddo - call MPI_Type_indexed(8,blocklengths,displs, - & MPI_DOUBLE_PRECISION,MPI_PRECOMP12(ind_typ),IERROR) - call MPI_Type_commit(MPI_PRECOMP12(ind_typ),IERROR) - do i=1,6 - blocklengths(i)=4 - enddo - displs(1)=0 - do i=2,6 - displs(i)=displs(i-1)+blocklengths(i-1)*maxres - enddo - do i=1,6 - blocklengths(i)=blocklengths(i)*ichunk - enddo - call MPI_Type_indexed(6,blocklengths,displs, - & MPI_DOUBLE_PRECISION,MPI_PRECOMP22(ind_typ),IERROR) - call MPI_Type_commit(MPI_PRECOMP22(ind_typ),IERROR) - do i=1,2 - blocklengths(i)=8 - enddo - displs(1)=0 - do i=2,2 - displs(i)=displs(i-1)+blocklengths(i-1)*maxres - enddo - do i=1,2 - blocklengths(i)=blocklengths(i)*ichunk - enddo - call MPI_Type_indexed(2,blocklengths,displs, - & MPI_DOUBLE_PRECISION,MPI_PRECOMP23(ind_typ),IERROR) - call MPI_Type_commit(MPI_PRECOMP23(ind_typ),IERROR) - do i=1,4 - blocklengths(i)=1 - enddo - displs(1)=0 - do i=2,4 - displs(i)=displs(i-1)+blocklengths(i-1)*maxres - enddo - do i=1,4 - blocklengths(i)=blocklengths(i)*ichunk - enddo - call MPI_Type_indexed(4,blocklengths,displs, - & MPI_DOUBLE_PRECISION,MPI_ROTAT_OLD(ind_typ),IERROR) - call MPI_Type_commit(MPI_ROTAT_OLD(ind_typ),IERROR) - enddo -#endif - endif - iint_start=ivec_start+1 - iint_end=ivec_end+1 - do i=0,nfgtasks-1 - iint_count(i)=ivec_count(i) - iint_displ(i)=ivec_displ(i) - ivec_displ(i)=ivec_displ(i)-1 - iset_displ(i)=iset_displ(i)-1 - ithet_displ(i)=ithet_displ(i)-1 - iphi_displ(i)=iphi_displ(i)-1 - iphi1_displ(i)=iphi1_displ(i)-1 - ibond_displ(i)=ibond_displ(i)-1 - enddo - if (nfgtasks.gt.1 .and. fg_rank.eq.king - & .and. (me.eq.0 .or. out1file)) then - write (iout,*) "IVEC_DISPL, IVEC_COUNT, ISET_START, ISET_COUNT" - do i=0,nfgtasks-1 - write (iout,*) i,ivec_displ(i),ivec_count(i),iset_displ(i), - & iset_count(i) - enddo - write (iout,*) "iphi_start",iphi_start," iphi_end",iphi_end, - & " iphi1_start",iphi1_start," iphi1_end",iphi1_end - write (iout,*)"IPHI_COUNT, IPHI_DISPL, IPHI1_COUNT, IPHI1_DISPL" - do i=0,nfgtasks-1 - write (iout,*) i,iphi_count(i),iphi_displ(i),iphi1_count(i), - & iphi1_displ(i) - enddo - write(iout,'(i10,a,i10,a,i10,a/a,i3,a)') n_sc_int_tot,' SC-SC ', - & nele_int_tot,' electrostatic and ',nscp_int_tot, - & ' SC-p interactions','were distributed among',nfgtasks, - & ' fine-grain processors.' - endif -#else - loc_start=2 - loc_end=nres-1 - ithet_start=3 - ithet_end=nres - iturn3_start=nnt - iturn3_end=nct-3 - iturn4_start=nnt - iturn4_end=nct-4 - iphi_start=nnt+3 - iphi_end=nct - iphi1_start=4 - iphi1_end=nres - idihconstr_start=1 - idihconstr_end=ndih_constr - iphid_start=iphi_start - iphid_end=iphi_end-1 - itau_start=4 - itau_end=nres - ibond_start=2 - ibond_end=nres-1 - ibondp_start=nnt+1 - ibondp_end=nct - ivec_start=1 - ivec_end=nres-1 - iset_start=3 - iset_end=nres+1 - iint_start=2 - iint_end=nres-1 -#endif - return - end -#ifdef MPI -c--------------------------------------------------------------------------- - subroutine add_int(ii,jj,itask,ntask_cont_to,itask_cont_to,flag) - implicit none - include "DIMENSIONS" - include "COMMON.INTERACT" - include "COMMON.SETUP" - include "COMMON.IOUNITS" - integer ii,jj,itask(4), - & ntask_cont_to,itask_cont_to(0:max_fg_procs-1) - logical flag - integer iturn3_start_all,iturn3_end_all,iturn4_start_all, - & iturn4_end_all,iatel_s_all,iatel_e_all,ielstart_all,ielend_all - common /przechowalnia/ iturn3_start_all(0:max_fg_procs), - & iturn3_end_all(0:max_fg_procs),iturn4_start_all(0:max_fg_procs), - & iturn4_end_all(0:max_fg_procs),iatel_s_all(0:max_fg_procs), - &iatel_e_all(0:max_fg_procs),ielstart_all(maxres,0:max_fg_procs-1), - & ielend_all(maxres,0:max_fg_procs-1) - integer iproc,isent,k,l -c Determines whether to send interaction ii,jj to other processors; a given -c interaction can be sent to at most 2 processors. -c Sets flag=.true. if interaction ii,jj needs to be sent to at least -c one processor, otherwise flag is unchanged from the input value. - isent=0 - itask(1)=fg_rank - itask(2)=fg_rank - itask(3)=fg_rank - itask(4)=fg_rank -c write (iout,*) "ii",ii," jj",jj -c Loop over processors to check if anybody could need interaction ii,jj - do iproc=0,fg_rank-1 -c Check if the interaction matches any turn3 at iproc - do k=iturn3_start_all(iproc),iturn3_end_all(iproc) - l=k+2 - if (k.eq.ii-1 .and. l.eq.jj-1 .or. k.eq.ii-1 .and. l.eq.jj+1 - & .or. k.eq.ii+1 .and. l.eq.jj+1 .or. k.eq.ii+1 .and. l.eq.jj-1) - & then -c write (iout,*) "turn3 to iproc",iproc," ij",ii,jj,"kl",k,l -c call flush(iout) - flag=.true. - if (iproc.ne.itask(1).and.iproc.ne.itask(2) - & .and.iproc.ne.itask(3).and.iproc.ne.itask(4)) then - isent=isent+1 - itask(isent)=iproc - call add_task(iproc,ntask_cont_to,itask_cont_to) - endif - endif - enddo -C Check if the interaction matches any turn4 at iproc - do k=iturn4_start_all(iproc),iturn4_end_all(iproc) - l=k+3 - if (k.eq.ii-1 .and. l.eq.jj-1 .or. k.eq.ii-1 .and. l.eq.jj+1 - & .or. k.eq.ii+1 .and. l.eq.jj+1 .or. k.eq.ii+1 .and. l.eq.jj-1) - & then -c write (iout,*) "turn3 to iproc",iproc," ij",ii,jj," kl",k,l -c call flush(iout) - flag=.true. - if (iproc.ne.itask(1).and.iproc.ne.itask(2) - & .and.iproc.ne.itask(3).and.iproc.ne.itask(4)) then - isent=isent+1 - itask(isent)=iproc - call add_task(iproc,ntask_cont_to,itask_cont_to) - endif - endif - enddo - if (iatel_s_all(iproc).gt.0 .and. iatel_e_all(iproc).gt.0 .and. - & iatel_s_all(iproc).le.ii-1 .and. iatel_e_all(iproc).ge.ii-1)then - if (ielstart_all(ii-1,iproc).le.jj-1.and. - & ielend_all(ii-1,iproc).ge.jj-1) then - flag=.true. - if (iproc.ne.itask(1).and.iproc.ne.itask(2) - & .and.iproc.ne.itask(3).and.iproc.ne.itask(4)) then - isent=isent+1 - itask(isent)=iproc - call add_task(iproc,ntask_cont_to,itask_cont_to) - endif - endif - if (ielstart_all(ii-1,iproc).le.jj+1.and. - & ielend_all(ii-1,iproc).ge.jj+1) then - flag=.true. - if (iproc.ne.itask(1).and.iproc.ne.itask(2) - & .and.iproc.ne.itask(3).and.iproc.ne.itask(4)) then - isent=isent+1 - itask(isent)=iproc - call add_task(iproc,ntask_cont_to,itask_cont_to) - endif - endif - endif - enddo - return - end -c--------------------------------------------------------------------------- - subroutine add_int_from(ii,jj,ntask_cont_from,itask_cont_from) - implicit none - include "DIMENSIONS" - include "COMMON.INTERACT" - include "COMMON.SETUP" - include "COMMON.IOUNITS" - integer ii,jj,itask(2),ntask_cont_from, - & itask_cont_from(0:max_fg_procs-1) - logical flag - integer iturn3_start_all,iturn3_end_all,iturn4_start_all, - & iturn4_end_all,iatel_s_all,iatel_e_all,ielstart_all,ielend_all - common /przechowalnia/ iturn3_start_all(0:max_fg_procs), - & iturn3_end_all(0:max_fg_procs),iturn4_start_all(0:max_fg_procs), - & iturn4_end_all(0:max_fg_procs),iatel_s_all(0:max_fg_procs), - &iatel_e_all(0:max_fg_procs),ielstart_all(maxres,0:max_fg_procs-1), - & ielend_all(maxres,0:max_fg_procs-1) - integer iproc,k,l - do iproc=fg_rank+1,nfgtasks-1 - do k=iturn3_start_all(iproc),iturn3_end_all(iproc) - l=k+2 - if (k.eq.ii+1 .and. l.eq.jj+1 .or. k.eq.ii+1.and.l.eq.jj-1 - & .or. k.eq.ii-1 .and. l.eq.jj-1 .or. k.eq.ii-1 .and. l.eq.jj+1) - & then -c write (iout,*)"turn3 from iproc",iproc," ij",ii,jj," kl",k,l - call add_task(iproc,ntask_cont_from,itask_cont_from) - endif - enddo - do k=iturn4_start_all(iproc),iturn4_end_all(iproc) - l=k+3 - if (k.eq.ii+1 .and. l.eq.jj+1 .or. k.eq.ii+1.and.l.eq.jj-1 - & .or. k.eq.ii-1 .and. l.eq.jj-1 .or. k.eq.ii-1 .and. l.eq.jj+1) - & then -c write (iout,*)"turn4 from iproc",iproc," ij",ii,jj," kl",k,l - call add_task(iproc,ntask_cont_from,itask_cont_from) - endif - enddo - if (iatel_s_all(iproc).gt.0 .and. iatel_e_all(iproc).gt.0) then - if (ii+1.ge.iatel_s_all(iproc).and.ii+1.le.iatel_e_all(iproc)) - & then - if (jj+1.ge.ielstart_all(ii+1,iproc).and. - & jj+1.le.ielend_all(ii+1,iproc)) then - call add_task(iproc,ntask_cont_from,itask_cont_from) - endif - if (jj-1.ge.ielstart_all(ii+1,iproc).and. - & jj-1.le.ielend_all(ii+1,iproc)) then - call add_task(iproc,ntask_cont_from,itask_cont_from) - endif - endif - if (ii-1.ge.iatel_s_all(iproc).and.ii-1.le.iatel_e_all(iproc)) - & then - if (jj-1.ge.ielstart_all(ii-1,iproc).and. - & jj-1.le.ielend_all(ii-1,iproc)) then - call add_task(iproc,ntask_cont_from,itask_cont_from) - endif - if (jj+1.ge.ielstart_all(ii-1,iproc).and. - & jj+1.le.ielend_all(ii-1,iproc)) then - call add_task(iproc,ntask_cont_from,itask_cont_from) - endif - endif - endif - enddo - return - end -c--------------------------------------------------------------------------- - subroutine add_task(iproc,ntask_cont,itask_cont) - implicit none - include "DIMENSIONS" - integer iproc,ntask_cont,itask_cont(0:max_fg_procs-1) - integer ii - do ii=1,ntask_cont - if (itask_cont(ii).eq.iproc) return - enddo - ntask_cont=ntask_cont+1 - itask_cont(ntask_cont)=iproc - return - end -c--------------------------------------------------------------------------- - subroutine int_bounds(total_ints,lower_bound,upper_bound) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'mpif.h' - include 'COMMON.SETUP' - integer total_ints,lower_bound,upper_bound - integer int4proc(0:max_fg_procs),sint4proc(0:max_fg_procs) - nint=total_ints/nfgtasks - do i=1,nfgtasks - int4proc(i-1)=nint - enddo - nexcess=total_ints-nint*nfgtasks - do i=1,nexcess - int4proc(nfgtasks-i)=int4proc(nfgtasks-i)+1 - enddo - lower_bound=0 - do i=0,fg_rank-1 - lower_bound=lower_bound+int4proc(i) - enddo - upper_bound=lower_bound+int4proc(fg_rank) - lower_bound=lower_bound+1 - return - end -c--------------------------------------------------------------------------- - subroutine int_bounds1(total_ints,lower_bound,upper_bound) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'mpif.h' - include 'COMMON.SETUP' - integer total_ints,lower_bound,upper_bound - integer int4proc(0:max_fg_procs),sint4proc(0:max_fg_procs) - nint=total_ints/nfgtasks1 - do i=1,nfgtasks1 - int4proc(i-1)=nint - enddo - nexcess=total_ints-nint*nfgtasks1 - do i=1,nexcess - int4proc(nfgtasks1-i)=int4proc(nfgtasks1-i)+1 - enddo - lower_bound=0 - do i=0,fg_rank1-1 - lower_bound=lower_bound+int4proc(i) - enddo - upper_bound=lower_bound+int4proc(fg_rank1) - lower_bound=lower_bound+1 - return - end -c--------------------------------------------------------------------------- - subroutine int_partition(int_index,lower_index,upper_index,atom, - & at_start,at_end,first_atom,last_atom,int_gr,jat_start,jat_end,*) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - integer int_index,lower_index,upper_index,atom,at_start,at_end, - & first_atom,last_atom,int_gr,jat_start,jat_end - logical lprn - lprn=.false. - if (lprn) write (iout,*) 'int_index=',int_index - int_index_old=int_index - int_index=int_index+last_atom-first_atom+1 - if (lprn) - & write (iout,*) 'int_index=',int_index, - & ' int_index_old',int_index_old, - & ' lower_index=',lower_index, - & ' upper_index=',upper_index, - & ' atom=',atom,' first_atom=',first_atom, - & ' last_atom=',last_atom - if (int_index.ge.lower_index) then - int_gr=int_gr+1 - if (at_start.eq.0) then - at_start=atom - jat_start=first_atom-1+lower_index-int_index_old - else - jat_start=first_atom - endif - if (lprn) write (iout,*) 'jat_start',jat_start - if (int_index.ge.upper_index) then - at_end=atom - jat_end=first_atom-1+upper_index-int_index_old - return1 - else - jat_end=last_atom - endif - if (lprn) write (iout,*) 'jat_end',jat_end - endif - return - end -#endif -c------------------------------------------------------------------------------ - subroutine hpb_partition - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SBRIDGE' - include 'COMMON.IOUNITS' - include 'COMMON.SETUP' - include 'COMMON.CONTROL' -c write(2,*)"hpb_partition: nhpb=",nhpb -#ifdef MPI - call int_bounds(nhpb,link_start,link_end) - if (.not. out1file) - & write (iout,*) 'Processor',fg_rank,' CG group',kolor, - & ' absolute rank',MyRank, - & ' nhpb',nhpb,' link_start=',link_start, - & ' link_end',link_end -#else - link_start=1 - link_end=nhpb -#endif -c write(2,*)"hpb_partition: link_start=",nhpb," link_end=",link_end - return - end diff --git a/source/unres/src_MD-restraints-PM/int_to_cart.f b/source/unres/src_MD-restraints-PM/int_to_cart.f deleted file mode 100644 index 73e8384..0000000 --- a/source/unres/src_MD-restraints-PM/int_to_cart.f +++ /dev/null @@ -1,278 +0,0 @@ - subroutine int_to_cart -c-------------------------------------------------------------- -c This subroutine converts the energy derivatives from internal -c coordinates to cartesian coordinates -c------------------------------------------------------------- - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.MD' - include 'COMMON.IOUNITS' - include 'COMMON.SCCOR' -c calculating dE/ddc1 - if (nres.lt.3) goto 18 -c do i=1,nres -c c do intertyp=1,3 -c write (iout,*) "przed tosyjnymi",i,intertyp,gcart(intertyp,i) -c &,gloc_sc(1,i,icg),gloc(i,icg) -c enddo -c enddo - do j=1,3 - gcart(j,1)=gcart(j,1)+gloc(1,icg)*dphi(j,1,4) - & +gloc(nres-2,icg)*dtheta(j,1,3) - if(itype(2).ne.10) then - gcart(j,1)=gcart(j,1)+gloc(ialph(2,1),icg)*dalpha(j,1,2)+ - & gloc(ialph(2,1)+nside,icg)*domega(j,1,2) - endif - enddo -c Calculating the remainder of dE/ddc2 - do j=1,3 - gcart(j,2)=gcart(j,2)+gloc(1,icg)*dphi(j,2,4)+ - & gloc(nres-2,icg)*dtheta(j,2,3)+gloc(nres-1,icg)*dtheta(j,1,4) - if(itype(2).ne.10) then - gcart(j,2)=gcart(j,2)+gloc(ialph(2,1),icg)*dalpha(j,2,2)+ - & gloc(ialph(2,1)+nside,icg)*domega(j,2,2) - endif - if(itype(3).ne.10) then - gcart(j,2)=gcart(j,2)+gloc(ialph(3,1),icg)*dalpha(j,1,3)+ - & gloc(ialph(3,1)+nside,icg)*domega(j,1,3) - endif - if(nres.gt.4) then - gcart(j,2)=gcart(j,2)+gloc(2,icg)*dphi(j,1,5) - endif - enddo -c If there are only five residues - if(nres.eq.5) then - do j=1,3 - gcart(j,3)=gcart(j,3)+gloc(1,icg)*dphi(j,3,4)+gloc(2,icg)* - & dphi(j,2,5)+gloc(nres-1,icg)*dtheta(j,2,4)+gloc(nres,icg)* - & dtheta(j,1,5) - if(itype(3).ne.10) then - gcart(j,3)=gcart(j,3)+gloc(ialph(3,1),icg)* - & dalpha(j,2,3)+gloc(ialph(3,1)+nside,icg)*domega(j,2,3) - endif - if(itype(4).ne.10) then - gcart(j,3)=gcart(j,3)+gloc(ialph(4,1),icg)* - & dalpha(j,1,4)+gloc(ialph(4,1)+nside,icg)*domega(j,1,4) - endif - enddo - endif -c If there are more than five residues - if(nres.gt.5) then - do i=3,nres-3 - do j=1,3 - gcart(j,i)=gcart(j,i)+gloc(i-2,icg)*dphi(j,3,i+1) - & +gloc(i-1,icg)*dphi(j,2,i+2)+ - & gloc(i,icg)*dphi(j,1,i+3)+gloc(nres+i-4,icg)*dtheta(j,2,i+1)+ - & gloc(nres+i-3,icg)*dtheta(j,1,i+2) - if(itype(i).ne.10) then - gcart(j,i)=gcart(j,i)+gloc(ialph(i,1),icg)*dalpha(j,2,i)+ - & gloc(ialph(i,1)+nside,icg)*domega(j,2,i) - endif - if(itype(i+1).ne.10) then - gcart(j,i)=gcart(j,i)+gloc(ialph(i+1,1),icg)*dalpha(j,1,i+1) - & +gloc(ialph(i+1,1)+nside,icg)*domega(j,1,i+1) - endif - enddo - enddo - endif -c Setting dE/ddnres-2 - if(nres.gt.5) then - do j=1,3 - gcart(j,nres-2)=gcart(j,nres-2)+gloc(nres-4,icg)* - & dphi(j,3,nres-1)+gloc(nres-3,icg)*dphi(j,2,nres) - & +gloc(2*nres-6,icg)* - & dtheta(j,2,nres-1)+gloc(2*nres-5,icg)*dtheta(j,1,nres) - if(itype(nres-2).ne.10) then - gcart(j,nres-2)=gcart(j,nres-2)+gloc(ialph(nres-2,1),icg)* - & dalpha(j,2,nres-2)+gloc(ialph(nres-2,1)+nside,icg)* - & domega(j,2,nres-2) - endif - if(itype(nres-1).ne.10) then - gcart(j,nres-2)=gcart(j,nres-2)+gloc(ialph(nres-1,1),icg)* - & dalpha(j,1,nres-1)+gloc(ialph(nres-1,1)+nside,icg)* - & domega(j,1,nres-1) - endif - enddo - endif -c Settind dE/ddnres-1 - do j=1,3 - gcart(j,nres-1)=gcart(j,nres-1)+gloc(nres-3,icg)*dphi(j,3,nres)+ - & gloc(2*nres-5,icg)*dtheta(j,2,nres) - if(itype(nres-1).ne.10) then - gcart(j,nres-1)=gcart(j,nres-1)+gloc(ialph(nres-1,1),icg)* - & dalpha(j,2,nres-1)+gloc(ialph(nres-1,1)+nside,icg)* - & domega(j,2,nres-1) - endif - enddo -c The side-chain vector derivatives - do i=2,nres-1 - if(itype(i).ne.10) then - do j=1,3 - gxcart(j,i)=gxcart(j,i)+gloc(ialph(i,1),icg)*dalpha(j,3,i) - & +gloc(ialph(i,1)+nside,icg)*domega(j,3,i) - enddo - endif - enddo -c---------------------------------------------------------------------- -C INTERTYP=1 SC...Ca...Ca...Ca -C INTERTYP=2 Ca...Ca...Ca...SC -C INTERTYP=3 SC...Ca...Ca...SC -c calculating dE/ddc1 - 18 continue -c do i=1,nres -c gloc(i,icg)=0.0D0 -c write (iout,*) "poczotkoawy",i,gloc_sc(1,i,icg) -c enddo - if (nres.lt.2) return - if ((nres.lt.3).and.(itype(1).eq.10)) return - if ((itype(1).ne.10).and.(itype(1).ne.21)) then - do j=1,3 -cc Derviative was calculated for oposite vector of side chain therefore -c there is "-" sign before gloc_sc - gxcart(j,1)=gxcart(j,1)-gloc_sc(1,0,icg)* - & dtauangle(j,1,1,3) - gcart(j,1)=gcart(j,1)+gloc_sc(1,0,icg)* - & dtauangle(j,1,2,3) - if ((itype(2).ne.10).and.(itype(2).ne.21)) then - gxcart(j,1)= gxcart(j,1) - & -gloc_sc(3,0,icg)*dtauangle(j,3,1,3) - gcart(j,1)=gcart(j,1)+gloc_sc(3,0,icg)* - & dtauangle(j,3,2,3) - endif - enddo - endif - if ((nres.ge.3).and.(itype(3).ne.10).and.(itype(3).ne.21)) - & then - do j=1,3 - gcart(j,1)=gcart(j,1)+gloc_sc(2,1,icg)*dtauangle(j,2,1,4) - enddo - endif -c As potetnial DO NOT depend on omicron anlge their derivative is -c ommited -c & +gloc_sc(intertyp,nres-2,icg)*dtheta(j,1,3) - -c Calculating the remainder of dE/ddc2 - do j=1,3 - if((itype(2).ne.10).and.(itype(2).ne.21)) then - if (itype(1).ne.10) gxcart(j,2)=gxcart(j,2)+ - & gloc_sc(3,0,icg)*dtauangle(j,3,3,3) - if ((itype(3).ne.10).and.(nres.ge.3).and.(itype(3).ne.21)) then - gxcart(j,2)=gxcart(j,2)-gloc_sc(3,1,icg)*dtauangle(j,3,1,4) -cc the - above is due to different vector direction - gcart(j,2)=gcart(j,2)+gloc_sc(3,1,icg)*dtauangle(j,3,2,4) - endif - if (nres.gt.3) then - gxcart(j,2)=gxcart(j,2)-gloc_sc(1,1,icg)*dtauangle(j,1,1,4) -cc the - above is due to different vector direction - gcart(j,2)=gcart(j,2)+gloc_sc(1,1,icg)*dtauangle(j,1,2,4) -c write(iout,*) gloc_sc(1,1,icg),dtauangle(j,1,2,4),"gcart" -c write(iout,*) gloc_sc(1,1,icg),dtauangle(j,1,1,4),"gx" - endif - endif - if ((itype(1).ne.10).and.(itype(1).ne.21)) then - gcart(j,2)=gcart(j,2)+gloc_sc(1,0,icg)*dtauangle(j,1,3,3) -c write(iout,*) gloc_sc(1,0,icg),dtauangle(j,1,3,3) - endif - if ((itype(3).ne.10).and.(nres.ge.3)) then - gcart(j,2)=gcart(j,2)+gloc_sc(2,1,icg)*dtauangle(j,2,2,4) -c write(iout,*) gloc_sc(2,1,icg),dtauangle(j,2,2,4) - endif - if ((itype(4).ne.10).and.(nres.ge.4)) then - gcart(j,2)=gcart(j,2)+gloc_sc(2,2,icg)*dtauangle(j,2,1,5) -c write(iout,*) gloc_sc(2,2,icg),dtauangle(j,2,1,5) - endif - -c write(iout,*) gcart(j,2),itype(2),itype(1),itype(3), "gcart2" - enddo -c If there are more than five residues - if(nres.ge.5) then - do i=3,nres-2 - do j=1,3 -c write(iout,*) "before", gcart(j,i) - if (itype(i).ne.10) then - gxcart(j,i)=gxcart(j,i)+gloc_sc(2,i-2,icg) - & *dtauangle(j,2,3,i+1) - & -gloc_sc(1,i-1,icg)*dtauangle(j,1,1,i+2) - gcart(j,i)=gcart(j,i)+gloc_sc(1,i-1,icg) - & *dtauangle(j,1,2,i+2) -c write(iout,*) "new",j,i, -c & gcart(j,i),gloc_sc(1,i-1,icg),dtauangle(j,1,2,i+2) - - if (itype(i-1).ne.10) then - gxcart(j,i)=gxcart(j,i)+gloc_sc(3,i-2,icg) - &*dtauangle(j,3,3,i+1) - endif - if (itype(i+1).ne.10) then - gxcart(j,i)=gxcart(j,i)-gloc_sc(3,i-1,icg) - &*dtauangle(j,3,1,i+2) - gcart(j,i)=gcart(j,i)+gloc_sc(3,i-1,icg) - &*dtauangle(j,3,2,i+2) - endif - endif - if (itype(i-1).ne.10) then - gcart(j,i)=gcart(j,i)+gloc_sc(1,i-2,icg)* - & dtauangle(j,1,3,i+1) - endif - if (itype(i+1).ne.10) then - gcart(j,i)=gcart(j,i)+gloc_sc(2,i-1,icg)* - & dtauangle(j,2,2,i+2) -c write(iout,*) "numer",i,gloc_sc(2,i-1,icg), -c & dtauangle(j,2,2,i+2) - endif - if (itype(i+2).ne.10) then - gcart(j,i)=gcart(j,i)+gloc_sc(2,i,icg)* - & dtauangle(j,2,1,i+3) - endif - enddo - enddo - endif -c Setting dE/ddnres-1 - if(nres.ge.4) then - do j=1,3 - if ((itype(nres-1).ne.10).and.(itype(nres-1).ne.21)) then - gxcart(j,nres-1)=gxcart(j,nres-1)+gloc_sc(2,nres-3,icg) - & *dtauangle(j,2,3,nres) -c write (iout,*) "gxcart(nres-1)", gloc_sc(2,nres-3,icg), -c & dtauangle(j,2,3,nres), gxcart(j,nres-1) - if (itype(nres-2).ne.10) then - gxcart(j,nres-1)=gxcart(j,nres-1)+gloc_sc(3,nres-3,icg) - & *dtauangle(j,3,3,nres) - endif - if ((itype(nres).ne.10).and.(itype(nres).ne.21)) then - gxcart(j,nres-1)=gxcart(j,nres-1)-gloc_sc(3,nres-2,icg) - & *dtauangle(j,3,1,nres+1) - gcart(j,nres-1)=gcart(j,nres-1)+gloc_sc(3,nres-2,icg) - & *dtauangle(j,3,2,nres+1) - endif - endif - if ((itype(nres-2).ne.10).and.(itype(nres-2).ne.21)) then - gcart(j,nres-1)=gcart(j,nres-1)+gloc_sc(1,nres-3,icg)* - & dtauangle(j,1,3,nres) - endif - if ((itype(nres).ne.10).and.(itype(nres).ne.21)) then - gcart(j,nres-1)=gcart(j,nres-1)+gloc_sc(2,nres-2,icg)* - & dtauangle(j,2,2,nres+1) -c write (iout,*) "gcart(nres-1)", gloc_sc(2,nres-2,icg), -c & dtauangle(j,2,2,nres+1), itype(nres-1),itype(nres) - endif - enddo - endif -c Settind dE/ddnres - if ((nres.ge.3).and.(itype(nres).ne.10))then - do j=1,3 - gxcart(j,nres)=gxcart(j,nres)+gloc_sc(3,nres-2,icg) - & *dtauangle(j,3,3,nres+1)+gloc_sc(2,nres-2,icg) - & *dtauangle(j,2,3,nres+1) - enddo - endif -c The side-chain vector derivatives - return - end - - diff --git a/source/unres/src_MD-restraints-PM/intcartderiv.F b/source/unres/src_MD-restraints-PM/intcartderiv.F deleted file mode 100644 index c220540..0000000 --- a/source/unres/src_MD-restraints-PM/intcartderiv.F +++ /dev/null @@ -1,725 +0,0 @@ - subroutine intcartderiv - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.LOCAL' - include 'COMMON.SCCOR' - double precision dcostheta(3,2,maxres), - & dcosphi(3,3,maxres),dsinphi(3,3,maxres), - & dcosalpha(3,3,maxres),dcosomega(3,3,maxres), - & dsinomega(3,3,maxres),vo1(3),vo2(3),vo3(3), - & dummy(3),vp1(3),vp2(3),vp3(3),vpp1(3),n(3) - -#if defined(MPI) && defined(PARINTDER) - if (nfgtasks.gt.1 .and. me.eq.king) - & call MPI_Bcast(8,1,MPI_INTEGER,king,FG_COMM,IERROR) -#endif - pi4 = 0.5d0*pipol - pi34 = 3*pi4 - -c write (iout,*) "iphi1_start",iphi1_start," iphi1_end",iphi1_end -c Derivatives of theta's -#if defined(MPI) && defined(PARINTDER) -c We need dtheta(:,:,i-1) to compute dphi(:,:,i) - do i=max0(ithet_start-1,3),ithet_end -#else - do i=3,nres -#endif - cost=dcos(theta(i)) - sint=sqrt(1-cost*cost) - do j=1,3 - dcostheta(j,1,i)=-(dc_norm(j,i-1)+cost*dc_norm(j,i-2))/ - & vbld(i-1) - dtheta(j,1,i)=-1/sint*dcostheta(j,1,i) - dcostheta(j,2,i)=-(dc_norm(j,i-2)+cost*dc_norm(j,i-1))/ - & vbld(i) - dtheta(j,2,i)=-1/sint*dcostheta(j,2,i) - enddo - enddo - -#if defined(MPI) && defined(PARINTDER) -c We need dtheta(:,:,i-1) to compute dphi(:,:,i) - do i=max0(ithet_start-1,3),ithet_end -#else - do i=3,nres -#endif - if ((itype(i-1).ne.10).and.(itype(i-1).ne.21)) then - cost1=dcos(omicron(1,i)) - sint1=sqrt(1-cost1*cost1) - cost2=dcos(omicron(2,i)) - sint2=sqrt(1-cost2*cost2) - do j=1,3 -CC Calculate derivative over first omicron (Cai-2,Cai-1,SCi-1) - dcosomicron(j,1,1,i)=-(dc_norm(j,i-1+nres)+ - & cost1*dc_norm(j,i-2))/ - & vbld(i-1) - domicron(j,1,1,i)=-1/sint1*dcosomicron(j,1,1,i) - dcosomicron(j,1,2,i)=-(dc_norm(j,i-2) - & +cost1*(dc_norm(j,i-1+nres)))/ - & vbld(i-1+nres) - domicron(j,1,2,i)=-1/sint1*dcosomicron(j,1,2,i) -CC Calculate derivative over second omicron Sci-1,Cai-1 Cai -CC Looks messy but better than if in loop - dcosomicron(j,2,1,i)=-(-dc_norm(j,i-1+nres) - & +cost2*dc_norm(j,i-1))/ - & vbld(i) - domicron(j,2,1,i)=-1/sint2*dcosomicron(j,2,1,i) - dcosomicron(j,2,2,i)=-(dc_norm(j,i-1) - & +cost2*(-dc_norm(j,i-1+nres)))/ - & vbld(i-1+nres) -c write(iout,*) "vbld", i,itype(i),vbld(i-1+nres) - domicron(j,2,2,i)=-1/sint2*dcosomicron(j,2,2,i) - enddo - endif - enddo - - - -c Derivatives of phi: -c If phi is 0 or 180 degrees, then the formulas -c have to be derived by power series expansion of the -c conventional formulas around 0 and 180. -#ifdef PARINTDER - do i=iphi1_start,iphi1_end -#else - do i=4,nres -#endif -c the conventional case - sint=dsin(theta(i)) - sint1=dsin(theta(i-1)) - sing=dsin(phi(i)) - cost=dcos(theta(i)) - cost1=dcos(theta(i-1)) - cosg=dcos(phi(i)) - scalp=scalar(dc_norm(1,i-3),dc_norm(1,i-1)) - fac0=1.0d0/(sint1*sint) - fac1=cost*fac0 - fac2=cost1*fac0 - fac3=cosg*cost1/(sint1*sint1) - fac4=cosg*cost/(sint*sint) -c Obtaining the gamma derivatives from sine derivative - if (phi(i).gt.-pi4.and.phi(i).le.pi4.or. - & phi(i).gt.pi34.and.phi(i).le.pi.or. - & phi(i).gt.-pi.and.phi(i).le.-pi34) then - call vecpr(dc_norm(1,i-1),dc_norm(1,i-2),vp1) - call vecpr(dc_norm(1,i-3),dc_norm(1,i-1),vp2) - call vecpr(dc_norm(1,i-3),dc_norm(1,i-2),vp3) - do j=1,3 - ctgt=cost/sint - ctgt1=cost1/sint1 - cosg_inv=1.0d0/cosg - dsinphi(j,1,i)=-sing*ctgt1*dtheta(j,1,i-1) - & -(fac0*vp1(j)+sing*dc_norm(j,i-3))*vbld_inv(i-2) - dphi(j,1,i)=cosg_inv*dsinphi(j,1,i) - dsinphi(j,2,i)= - & -sing*(ctgt1*dtheta(j,2,i-1)+ctgt*dtheta(j,1,i)) - & -(fac0*vp2(j)+sing*dc_norm(j,i-2))*vbld_inv(i-1) - dphi(j,2,i)=cosg_inv*dsinphi(j,2,i) -c Bug fixed 3/24/05 (AL) - dsinphi(j,3,i)=-sing*ctgt*dtheta(j,2,i) - & +(fac0*vp3(j)-sing*dc_norm(j,i-1))*vbld_inv(i) -c & +(fac0*vp3(j)-sing*dc_norm(j,i-1))*vbld_inv(i-1) - dphi(j,3,i)=cosg_inv*dsinphi(j,3,i) - enddo -c Obtaining the gamma derivatives from cosine derivative - else - do j=1,3 - dcosphi(j,1,i)=fac1*dcostheta(j,1,i-1)+fac3* - & dcostheta(j,1,i-1)-fac0*(dc_norm(j,i-1)-scalp* - & dc_norm(j,i-3))/vbld(i-2) - dphi(j,1,i)=-1/sing*dcosphi(j,1,i) - dcosphi(j,2,i)=fac1*dcostheta(j,2,i-1)+fac2* - & dcostheta(j,1,i)+fac3*dcostheta(j,2,i-1)+fac4* - & dcostheta(j,1,i) - dphi(j,2,i)=-1/sing*dcosphi(j,2,i) - dcosphi(j,3,i)=fac2*dcostheta(j,2,i)+fac4* - & dcostheta(j,2,i)-fac0*(dc_norm(j,i-3)-scalp* - & dc_norm(j,i-1))/vbld(i) - dphi(j,3,i)=-1/sing*dcosphi(j,3,i) - enddo - endif - enddo - -Calculate derivative of Tauangle -#ifdef PARINTDER - do i=itau_start,itau_end -#else - do i=3,nres -#endif - if ((itype(i-2).eq.21).or.(itype(i-2).eq.10)) cycle -cc dtauangle(j,intertyp,dervityp,residue number) -cc INTERTYP=1 SC...Ca...Ca..Ca -c the conventional case - sint=dsin(theta(i)) - sint1=dsin(omicron(2,i-1)) - sing=dsin(tauangle(1,i)) - cost=dcos(theta(i)) - cost1=dcos(omicron(2,i-1)) - cosg=dcos(tauangle(1,i)) - do j=1,3 - dc_norm2(j,i-2+nres)=-dc_norm(j,i-2+nres) -cc write(iout,*) dc_norm2(j,i-2+nres),"dcnorm" - enddo - scalp=scalar(dc_norm2(1,i-2+nres),dc_norm(1,i-1)) - fac0=1.0d0/(sint1*sint) - fac1=cost*fac0 - fac2=cost1*fac0 - fac3=cosg*cost1/(sint1*sint1) - fac4=cosg*cost/(sint*sint) -cc write(iout,*) "faki",fac0,fac1,fac2,fac3,fac4 -c Obtaining the gamma derivatives from sine derivative - if (tauangle(1,i).gt.-pi4.and.tauangle(1,i).le.pi4.or. - & tauangle(1,i).gt.pi34.and.tauangle(1,i).le.pi.or. - & tauangle(1,i).gt.-pi.and.tauangle(1,i).le.-pi34) then - call vecpr(dc_norm(1,i-1),dc_norm(1,i-2),vp1) - call vecpr(dc_norm2(1,i-2+nres),dc_norm(1,i-1),vp2) - call vecpr(dc_norm2(1,i-2+nres),dc_norm(1,i-2),vp3) - do j=1,3 - ctgt=cost/sint - ctgt1=cost1/sint1 - cosg_inv=1.0d0/cosg - dsintau(j,1,1,i)=-sing*ctgt1*domicron(j,2,2,i-1) - &-(fac0*vp1(j)+sing*(dc_norm2(j,i-2+nres))) - & *vbld_inv(i-2+nres) - dtauangle(j,1,1,i)=cosg_inv*dsintau(j,1,1,i) - dsintau(j,1,2,i)= - & -sing*(ctgt1*domicron(j,2,1,i-1)+ctgt*dtheta(j,1,i)) - & -(fac0*vp2(j)+sing*dc_norm(j,i-2))*vbld_inv(i-1) -c write(iout,*) "dsintau", dsintau(j,1,2,i) - dtauangle(j,1,2,i)=cosg_inv*dsintau(j,1,2,i) -c Bug fixed 3/24/05 (AL) - dsintau(j,1,3,i)=-sing*ctgt*dtheta(j,2,i) - & +(fac0*vp3(j)-sing*dc_norm(j,i-1))*vbld_inv(i) -c & +(fac0*vp3(j)-sing*dc_norm(j,i-1))*vbld_inv(i-1) - dtauangle(j,1,3,i)=cosg_inv*dsintau(j,1,3,i) - enddo -c Obtaining the gamma derivatives from cosine derivative - else - do j=1,3 - dcostau(j,1,1,i)=fac1*dcosomicron(j,2,2,i-1)+fac3* - & dcosomicron(j,2,2,i-1)-fac0*(dc_norm(j,i-1)-scalp* - & (dc_norm2(j,i-2+nres)))/vbld(i-2+nres) - dtauangle(j,1,1,i)=-1/sing*dcostau(j,1,1,i) - dcostau(j,1,2,i)=fac1*dcosomicron(j,2,1,i-1)+fac2* - & dcostheta(j,1,i)+fac3*dcosomicron(j,2,1,i-1)+fac4* - & dcostheta(j,1,i) - dtauangle(j,1,2,i)=-1/sing*dcostau(j,1,2,i) - dcostau(j,1,3,i)=fac2*dcostheta(j,2,i)+fac4* - & dcostheta(j,2,i)-fac0*(-dc_norm(j,i-2+nres)-scalp* - & dc_norm(j,i-1))/vbld(i) - dtauangle(j,1,3,i)=-1/sing*dcostau(j,1,3,i) -c write (iout,*) "else",i - enddo - endif -c do k=1,3 -c write(iout,*) "tu",i,k,(dtauangle(j,1,k,i),j=1,3) -c enddo - enddo -CC Second case Ca...Ca...Ca...SC -#ifdef PARINTDER - do i=itau_start,itau_end -#else - do i=4,nres -#endif - if ((itype(i-1).eq.21).or.(itype(i-1).eq.10)) cycle -c the conventional case - sint=dsin(omicron(1,i)) - sint1=dsin(theta(i-1)) - sing=dsin(tauangle(2,i)) - cost=dcos(omicron(1,i)) - cost1=dcos(theta(i-1)) - cosg=dcos(tauangle(2,i)) -c do j=1,3 -c dc_norm2(j,i-1+nres)=-dc_norm(j,i-1+nres) -c enddo - scalp=scalar(dc_norm(1,i-3),dc_norm(1,i-1+nres)) - fac0=1.0d0/(sint1*sint) - fac1=cost*fac0 - fac2=cost1*fac0 - fac3=cosg*cost1/(sint1*sint1) - fac4=cosg*cost/(sint*sint) -c Obtaining the gamma derivatives from sine derivative - if (tauangle(2,i).gt.-pi4.and.tauangle(2,i).le.pi4.or. - & tauangle(2,i).gt.pi34.and.tauangle(2,i).le.pi.or. - & tauangle(2,i).gt.-pi.and.tauangle(2,i).le.-pi34) then - call vecpr(dc_norm2(1,i-1+nres),dc_norm(1,i-2),vp1) - call vecpr(dc_norm(1,i-3),dc_norm(1,i-1+nres),vp2) - call vecpr(dc_norm(1,i-3),dc_norm(1,i-2),vp3) - do j=1,3 - ctgt=cost/sint - ctgt1=cost1/sint1 - cosg_inv=1.0d0/cosg - dsintau(j,2,1,i)=-sing*ctgt1*dtheta(j,1,i-1) - & +(fac0*vp1(j)-sing*dc_norm(j,i-3))*vbld_inv(i-2) -c write(iout,*) i,j,dsintau(j,2,1,i),sing*ctgt1*dtheta(j,1,i-1), -c &fac0*vp1(j),sing*dc_norm(j,i-3),vbld_inv(i-2),"dsintau(2,1)" - dtauangle(j,2,1,i)=cosg_inv*dsintau(j,2,1,i) - dsintau(j,2,2,i)= - & -sing*(ctgt1*dtheta(j,2,i-1)+ctgt*domicron(j,1,1,i)) - & -(fac0*vp2(j)+sing*dc_norm(j,i-2))*vbld_inv(i-1) -c write(iout,*) "sprawdzenie",i,j,sing*ctgt1*dtheta(j,2,i-1), -c & sing*ctgt*domicron(j,1,2,i), -c & (fac0*vp2(j)+sing*dc_norm(j,i-2))*vbld_inv(i-1) - dtauangle(j,2,2,i)=cosg_inv*dsintau(j,2,2,i) -c Bug fixed 3/24/05 (AL) - dsintau(j,2,3,i)=-sing*ctgt*domicron(j,1,2,i) - & +(fac0*vp3(j)-sing*dc_norm(j,i-1+nres))*vbld_inv(i-1+nres) -c & +(fac0*vp3(j)-sing*dc_norm(j,i-1))*vbld_inv(i-1) - dtauangle(j,2,3,i)=cosg_inv*dsintau(j,2,3,i) - enddo -c Obtaining the gamma derivatives from cosine derivative - else - do j=1,3 - dcostau(j,2,1,i)=fac1*dcostheta(j,1,i-1)+fac3* - & dcostheta(j,1,i-1)-fac0*(dc_norm(j,i-1+nres)-scalp* - & dc_norm(j,i-3))/vbld(i-2) - dtauangle(j,2,1,i)=-1/sing*dcostau(j,2,1,i) - dcostau(j,2,2,i)=fac1*dcostheta(j,2,i-1)+fac2* - & dcosomicron(j,1,1,i)+fac3*dcostheta(j,2,i-1)+fac4* - & dcosomicron(j,1,1,i) - dtauangle(j,2,2,i)=-1/sing*dcostau(j,2,2,i) - dcostau(j,2,3,i)=fac2*dcosomicron(j,1,2,i)+fac4* - & dcosomicron(j,1,2,i)-fac0*(dc_norm(j,i-3)-scalp* - & dc_norm(j,i-1+nres))/vbld(i-1+nres) - dtauangle(j,2,3,i)=-1/sing*dcostau(j,2,3,i) -c write(iout,*) i,j,"else", dtauangle(j,2,3,i) - enddo - endif - enddo - - -CCC third case SC...Ca...Ca...SC -#ifdef PARINTDER - - do i=itau_start,itau_end -#else - do i=3,nres -#endif -c the conventional case - if ((itype(i-1).eq.21).or.(itype(i-1).eq.10).or. - &(itype(i-2).eq.21).or.(itype(i-2).eq.10)) cycle - sint=dsin(omicron(1,i)) - sint1=dsin(omicron(2,i-1)) - sing=dsin(tauangle(3,i)) - cost=dcos(omicron(1,i)) - cost1=dcos(omicron(2,i-1)) - cosg=dcos(tauangle(3,i)) - do j=1,3 - dc_norm2(j,i-2+nres)=-dc_norm(j,i-2+nres) -c dc_norm2(j,i-1+nres)=-dc_norm(j,i-1+nres) - enddo - scalp=scalar(dc_norm2(1,i-2+nres),dc_norm(1,i-1+nres)) - fac0=1.0d0/(sint1*sint) - fac1=cost*fac0 - fac2=cost1*fac0 - fac3=cosg*cost1/(sint1*sint1) - fac4=cosg*cost/(sint*sint) -c Obtaining the gamma derivatives from sine derivative - if (tauangle(3,i).gt.-pi4.and.tauangle(3,i).le.pi4.or. - & tauangle(3,i).gt.pi34.and.tauangle(3,i).le.pi.or. - & tauangle(3,i).gt.-pi.and.tauangle(3,i).le.-pi34) then - call vecpr(dc_norm(1,i-1+nres),dc_norm(1,i-2),vp1) - call vecpr(dc_norm2(1,i-2+nres),dc_norm(1,i-1+nres),vp2) - call vecpr(dc_norm2(1,i-2+nres),dc_norm(1,i-2),vp3) - do j=1,3 - ctgt=cost/sint - ctgt1=cost1/sint1 - cosg_inv=1.0d0/cosg - dsintau(j,3,1,i)=-sing*ctgt1*domicron(j,2,2,i-1) - & -(fac0*vp1(j)-sing*dc_norm(j,i-2+nres)) - & *vbld_inv(i-2+nres) - dtauangle(j,3,1,i)=cosg_inv*dsintau(j,3,1,i) - dsintau(j,3,2,i)= - & -sing*(ctgt1*domicron(j,2,1,i-1)+ctgt*domicron(j,1,1,i)) - & -(fac0*vp2(j)+sing*dc_norm(j,i-2))*vbld_inv(i-1) - dtauangle(j,3,2,i)=cosg_inv*dsintau(j,3,2,i) -c Bug fixed 3/24/05 (AL) - dsintau(j,3,3,i)=-sing*ctgt*domicron(j,1,2,i) - & +(fac0*vp3(j)-sing*dc_norm(j,i-1+nres)) - & *vbld_inv(i-1+nres) -c & +(fac0*vp3(j)-sing*dc_norm(j,i-1))*vbld_inv(i-1) - dtauangle(j,3,3,i)=cosg_inv*dsintau(j,3,3,i) - enddo -c Obtaining the gamma derivatives from cosine derivative - else - do j=1,3 - dcostau(j,3,1,i)=fac1*dcosomicron(j,2,2,i-1)+fac3* - & dcosomicron(j,2,2,i-1)-fac0*(dc_norm(j,i-1+nres)-scalp* - & dc_norm2(j,i-2+nres))/vbld(i-2+nres) - dtauangle(j,3,1,i)=-1/sing*dcostau(j,3,1,i) - dcostau(j,3,2,i)=fac1*dcosomicron(j,2,1,i-1)+fac2* - & dcosomicron(j,1,1,i)+fac3*dcosomicron(j,2,1,i-1)+fac4* - & dcosomicron(j,1,1,i) - dtauangle(j,3,2,i)=-1/sing*dcostau(j,3,2,i) - dcostau(j,3,3,i)=fac2*dcosomicron(j,1,2,i)+fac4* - & dcosomicron(j,1,2,i)-fac0*(dc_norm2(j,i-2+nres)-scalp* - & dc_norm(j,i-1+nres))/vbld(i-1+nres) - dtauangle(j,3,3,i)=-1/sing*dcostau(j,3,3,i) -c write(iout,*) "else",i - enddo - endif - enddo -#ifdef CRYST_SC -c Derivatives of side-chain angles alpha and omega -#if defined(MPI) && defined(PARINTDER) - do i=ibond_start,ibond_end -#else - do i=2,nres-1 -#endif - if(itype(i).ne.10) then - fac5=1.0d0/dsqrt(2*(1+dcos(theta(i+1)))) - fac6=fac5/vbld(i) - fac7=fac5*fac5 - fac8=fac5/vbld(i+1) - fac9=fac5/vbld(i+nres) - scala1=scalar(dc_norm(1,i-1),dc_norm(1,i+nres)) - scala2=scalar(dc_norm(1,i),dc_norm(1,i+nres)) - cosa=dsqrt(0.5d0/(1.0d0+dcos(theta(i+1))))*( - & scalar(dC_norm(1,i),dC_norm(1,i+nres)) - & -scalar(dC_norm(1,i-1),dC_norm(1,i+nres))) - sina=sqrt(1-cosa*cosa) - sino=dsin(omeg(i)) - do j=1,3 - dcosalpha(j,1,i)=fac6*(scala1*dc_norm(j,i-1)- - & dc_norm(j,i+nres))-cosa*fac7*dcostheta(j,1,i+1) - dalpha(j,1,i)=-1/sina*dcosalpha(j,1,i) - dcosalpha(j,2,i)=fac8*(dc_norm(j,i+nres)- - & scala2*dc_norm(j,i))-cosa*fac7*dcostheta(j,2,i+1) - dalpha(j,2,i)=-1/sina*dcosalpha(j,2,i) - dcosalpha(j,3,i)=(fac9*(dc_norm(j,i)- - & dc_norm(j,i-1))-(cosa*dc_norm(j,i+nres))/ - & vbld(i+nres)) - dalpha(j,3,i)=-1/sina*dcosalpha(j,3,i) - enddo -c obtaining the derivatives of omega from sines - if(omeg(i).gt.-pi4.and.omeg(i).le.pi4.or. - & omeg(i).gt.pi34.and.omeg(i).le.pi.or. - & omeg(i).gt.-pi.and.omeg(i).le.-pi34) then - fac15=dcos(theta(i+1))/(dsin(theta(i+1))* - & dsin(theta(i+1))) - fac16=dcos(alph(i))/(dsin(alph(i))*dsin(alph(i))) - fac17=1.0d0/(dsin(theta(i+1))*dsin(alph(i))) - call vecpr(dc_norm(1,i+nres),dc_norm(1,i),vo1) - call vecpr(dc_norm(1,i+nres),dc_norm(1,i-1),vo2) - call vecpr(dc_norm(1,i),dc_norm(1,i-1),vo3) - coso_inv=1.0d0/dcos(omeg(i)) - do j=1,3 - dsinomega(j,1,i)=sino*(fac15*dcostheta(j,1,i+1) - & +fac16*dcosalpha(j,1,i))-fac17/vbld(i)*vo1(j)-( - & sino*dc_norm(j,i-1))/vbld(i) - domega(j,1,i)=coso_inv*dsinomega(j,1,i) - dsinomega(j,2,i)=sino*(fac15*dcostheta(j,2,i+1) - & +fac16*dcosalpha(j,2,i))+fac17/vbld(i+1)*vo2(j) - & -sino*dc_norm(j,i)/vbld(i+1) - domega(j,2,i)=coso_inv*dsinomega(j,2,i) - dsinomega(j,3,i)=sino*fac16*dcosalpha(j,3,i)- - & fac17/vbld(i+nres)*vo3(j)-sino*dc_norm(j,i+nres)/ - & vbld(i+nres) - domega(j,3,i)=coso_inv*dsinomega(j,3,i) - enddo - else -c obtaining the derivatives of omega from cosines - fac10=sqrt(0.5d0*(1-dcos(theta(i+1)))) - fac11=sqrt(0.5d0*(1+dcos(theta(i+1)))) - fac12=fac10*sina - fac13=fac12*fac12 - fac14=sina*sina - do j=1,3 - dcosomega(j,1,i)=(-(0.25d0*cosa/fac11* - & dcostheta(j,1,i+1)+fac11*dcosalpha(j,1,i))*fac12+ - & (0.25d0/fac10*sina*dcostheta(j,1,i+1)+cosa/sina* - & fac10*dcosalpha(j,1,i))*(scala2-fac11*cosa))/fac13 - domega(j,1,i)=-1/sino*dcosomega(j,1,i) - dcosomega(j,2,i)=(((dc_norm(j,i+nres)-scala2* - & dc_norm(j,i))/vbld(i+1)-0.25d0*cosa/fac11* - & dcostheta(j,2,i+1)-fac11*dcosalpha(j,2,i))*fac12+ - & (scala2-fac11*cosa)*(0.25d0*sina/fac10* - & dcostheta(j,2,i+1)+fac10*cosa/sina*dcosalpha(j,2,i) - & ))/fac13 - domega(j,2,i)=-1/sino*dcosomega(j,2,i) - dcosomega(j,3,i)=1/fac10*((1/vbld(i+nres)*(dc_norm(j,i)- - & scala2*dc_norm(j,i+nres))-fac11*dcosalpha(j,3,i))*sina+ - & (scala2-fac11*cosa)*(cosa/sina*dcosalpha(j,3,i)))/fac14 - domega(j,3,i)=-1/sino*dcosomega(j,3,i) - enddo - endif - endif - enddo -#endif -#if defined(MPI) && defined(PARINTDER) - if (nfgtasks.gt.1) then -#ifdef DEBUG - write (iout,*) "Gather dtheta" -cd call flush(iout) -c write (iout,*) "dtheta before gather" -c do i=1,nres -c write (iout,'(i3,3(3f8.5,3x))') i,((dtheta(j,k,i),k=1,3),j=1,2) -c enddo -#endif - call MPI_Gatherv(dtheta(1,1,ithet_start),ithet_count(fg_rank), - & MPI_THET,dtheta(1,1,1),ithet_count(0),ithet_displ(0),MPI_THET, - & king,FG_COMM,IERROR) -#ifdef DEBUG -cd write (iout,*) "Gather dphi" -cd call flush(iout) - write (iout,*) "dphi before gather" - do i=1,nres - write (iout,'(i3,3(3f8.5,3x))') i,((dphi(j,k,i),k=1,3),j=1,3) - enddo -#endif - call MPI_Gatherv(dphi(1,1,iphi1_start),iphi1_count(fg_rank), - & MPI_GAM,dphi(1,1,1),iphi1_count(0),iphi1_displ(0),MPI_GAM, - & king,FG_COMM,IERROR) -cd write (iout,*) "Gather dalpha" -cd call flush(iout) -#ifdef CRYST_SC - call MPI_Gatherv(dalpha(1,1,ibond_start),ibond_count(fg_rank), - & MPI_GAM,dalpha(1,1,1),ibond_count(0),ibond_displ(0),MPI_GAM, - & king,FG_COMM,IERROR) -cd write (iout,*) "Gather domega" -cd call flush(iout) - call MPI_Gatherv(domega(1,1,ibond_start),ibond_count(fg_rank), - & MPI_GAM,domega(1,1,1),ibond_count(0),ibond_displ(0),MPI_GAM, - & king,FG_COMM,IERROR) -#endif - endif -#endif -#ifdef DEBUG - write (iout,*) "dtheta after gather" - do i=1,nres - write (iout,'(i3,3(3f8.5,3x))') i,((dtheta(j,k,i),j=1,3),j=1,2) - enddo - write (iout,*) "dphi after gather" - do i=1,nres - write (iout,'(i3,3(3f8.5,3x))') i,((dphi(j,k,i),j=1,3),k=1,3) - enddo -#endif - return - end - - subroutine checkintcartgrad - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.INTERACT' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.SETUP' - double precision dthetanum(3,2,maxres),dphinum(3,3,maxres) - & ,dalphanum(3,3,maxres), domeganum(3,3,maxres) - double precision theta_s(maxres),phi_s(maxres),alph_s(maxres), - & omeg_s(maxres),dc_norm_s(3) - double precision aincr /1.0d-5/ - - do i=1,nres - phi_s(i)=phi(i) - theta_s(i)=theta(i) - alph_s(i)=alph(i) - omeg_s(i)=omeg(i) - enddo -c Check theta gradient - write (iout,*) - & "Analytical (upper) and numerical (lower) gradient of theta" - write (iout,*) - do i=3,nres - do j=1,3 - dcji=dc(j,i-2) - dc(j,i-2)=dcji+aincr - call chainbuild_cart - call int_from_cart1(.false.) - dthetanum(j,1,i)=(theta(i)-theta_s(i))/aincr - dc(j,i-2)=dcji - dcji=dc(j,i-1) - dc(j,i-1)=dc(j,i-1)+aincr - call chainbuild_cart - dthetanum(j,2,i)=(theta(i)-theta_s(i))/aincr - dc(j,i-1)=dcji - enddo - write (iout,'(i5,3f10.5,5x,3f10.5)') i,(dtheta(j,1,i),j=1,3), - & (dtheta(j,2,i),j=1,3) - write (iout,'(5x,3f10.5,5x,3f10.5)') (dthetanum(j,1,i),j=1,3), - & (dthetanum(j,2,i),j=1,3) - write (iout,'(5x,3f10.5,5x,3f10.5)') - & (dthetanum(j,1,i)/dtheta(j,1,i),j=1,3), - & (dthetanum(j,2,i)/dtheta(j,2,i),j=1,3) - write (iout,*) - enddo -c Check gamma gradient - write (iout,*) - & "Analytical (upper) and numerical (lower) gradient of gamma" - do i=4,nres - do j=1,3 - dcji=dc(j,i-3) - dc(j,i-3)=dcji+aincr - call chainbuild_cart - dphinum(j,1,i)=(phi(i)-phi_s(i))/aincr - dc(j,i-3)=dcji - dcji=dc(j,i-2) - dc(j,i-2)=dcji+aincr - call chainbuild_cart - dphinum(j,2,i)=(phi(i)-phi_s(i))/aincr - dc(j,i-2)=dcji - dcji=dc(j,i-1) - dc(j,i-1)=dc(j,i-1)+aincr - call chainbuild_cart - dphinum(j,3,i)=(phi(i)-phi_s(i))/aincr - dc(j,i-1)=dcji - enddo - write (iout,'(i5,3(3f10.5,5x))') i,(dphi(j,1,i),j=1,3), - & (dphi(j,2,i),j=1,3),(dphi(j,3,i),j=1,3) - write (iout,'(5x,3(3f10.5,5x))') (dphinum(j,1,i),j=1,3), - & (dphinum(j,2,i),j=1,3),(dphinum(j,3,i),j=1,3) - write (iout,'(5x,3(3f10.5,5x))') - & (dphinum(j,1,i)/dphi(j,1,i),j=1,3), - & (dphinum(j,2,i)/dphi(j,2,i),j=1,3), - & (dphinum(j,3,i)/dphi(j,3,i),j=1,3) - write (iout,*) - enddo -c Check alpha gradient - write (iout,*) - & "Analytical (upper) and numerical (lower) gradient of alpha" - do i=2,nres-1 - if(itype(i).ne.10) then - do j=1,3 - dcji=dc(j,i-1) - dc(j,i-1)=dcji+aincr - call chainbuild_cart - dalphanum(j,1,i)=(alph(i)-alph_s(i)) - & /aincr - dc(j,i-1)=dcji - dcji=dc(j,i) - dc(j,i)=dcji+aincr - call chainbuild_cart - dalphanum(j,2,i)=(alph(i)-alph_s(i)) - & /aincr - dc(j,i)=dcji - dcji=dc(j,i+nres) - dc(j,i+nres)=dc(j,i+nres)+aincr - call chainbuild_cart - dalphanum(j,3,i)=(alph(i)-alph_s(i)) - & /aincr - dc(j,i+nres)=dcji - enddo - endif - write (iout,'(i5,3(3f10.5,5x))') i,(dalpha(j,1,i),j=1,3), - & (dalpha(j,2,i),j=1,3),(dalpha(j,3,i),j=1,3) - write (iout,'(5x,3(3f10.5,5x))') (dalphanum(j,1,i),j=1,3), - & (dalphanum(j,2,i),j=1,3),(dalphanum(j,3,i),j=1,3) - write (iout,'(5x,3(3f10.5,5x))') - & (dalphanum(j,1,i)/dalpha(j,1,i),j=1,3), - & (dalphanum(j,2,i)/dalpha(j,2,i),j=1,3), - & (dalphanum(j,3,i)/dalpha(j,3,i),j=1,3) - write (iout,*) - enddo -c Check omega gradient - write (iout,*) - & "Analytical (upper) and numerical (lower) gradient of omega" - do i=2,nres-1 - if(itype(i).ne.10) then - do j=1,3 - dcji=dc(j,i-1) - dc(j,i-1)=dcji+aincr - call chainbuild_cart - domeganum(j,1,i)=(omeg(i)-omeg_s(i)) - & /aincr - dc(j,i-1)=dcji - dcji=dc(j,i) - dc(j,i)=dcji+aincr - call chainbuild_cart - domeganum(j,2,i)=(omeg(i)-omeg_s(i)) - & /aincr - dc(j,i)=dcji - dcji=dc(j,i+nres) - dc(j,i+nres)=dc(j,i+nres)+aincr - call chainbuild_cart - domeganum(j,3,i)=(omeg(i)-omeg_s(i)) - & /aincr - dc(j,i+nres)=dcji - enddo - endif - write (iout,'(i5,3(3f10.5,5x))') i,(domega(j,1,i),j=1,3), - & (domega(j,2,i),j=1,3),(domega(j,3,i),j=1,3) - write (iout,'(5x,3(3f10.5,5x))') (domeganum(j,1,i),j=1,3), - & (domeganum(j,2,i),j=1,3),(domeganum(j,3,i),j=1,3) - write (iout,'(5x,3(3f10.5,5x))') - & (domeganum(j,1,i)/domega(j,1,i),j=1,3), - & (domeganum(j,2,i)/domega(j,2,i),j=1,3), - & (domeganum(j,3,i)/domega(j,3,i),j=1,3) - write (iout,*) - enddo - return - end - - subroutine chainbuild_cart - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.CHAIN' - include 'COMMON.LOCAL' - include 'COMMON.TIME1' - include 'COMMON.IOUNITS' - -#ifdef MPI - if (nfgtasks.gt.1) then -c write (iout,*) "BCAST in chainbuild_cart" -c call flush(iout) -c Broadcast the order to build the chain and compute internal coordinates -c to the slaves. The slaves receive the order in ERGASTULUM. - time00=MPI_Wtime() -c write (iout,*) "CHAINBUILD_CART: DC before BCAST" -c do i=0,nres -c write (iout,'(i3,3f10.5,5x,3f10.5)') i,(dc(j,i),j=1,3), -c & (dc(j,i+nres),j=1,3) -c enddo - if (fg_rank.eq.0) - & call MPI_Bcast(7,1,MPI_INTEGER,king,FG_COMM,IERROR) - time_bcast7=time_bcast7+MPI_Wtime()-time00 - time01=MPI_Wtime() - call MPI_Bcast(dc(1,0),6*(nres+1),MPI_DOUBLE_PRECISION, - & king,FG_COMM,IERR) -c write (iout,*) "CHAINBUILD_CART: DC after BCAST" -c do i=0,nres -c write (iout,'(i3,3f10.5,5x,3f10.5)') i,(dc(j,i),j=1,3), -c & (dc(j,i+nres),j=1,3) -c enddo -c write (iout,*) "End BCAST in chainbuild_cart" -c call flush(iout) - time_bcast=time_bcast+MPI_Wtime()-time00 - time_bcastc=time_bcastc+MPI_Wtime()-time01 - endif -#endif - do j=1,3 - c(j,1)=dc(j,0) - enddo - do i=2,nres - do j=1,3 - c(j,i)=c(j,i-1)+dc(j,i-1) - enddo - enddo - do i=1,nres - do j=1,3 - c(j,i+nres)=c(j,i)+dc(j,i+nres) - enddo - enddo -c write (iout,*) "CHAINBUILD_CART" -c call cartprint - call int_from_cart1(.false.) - return - end diff --git a/source/unres/src_MD-restraints-PM/intcor.f b/source/unres/src_MD-restraints-PM/intcor.f deleted file mode 100644 index a3cd5d0..0000000 --- a/source/unres/src_MD-restraints-PM/intcor.f +++ /dev/null @@ -1,91 +0,0 @@ -C -C------------------------------------------------------------------------------ -C - double precision function alpha(i1,i2,i3) -c -c Calculates the planar angle between atoms (i1), (i2), and (i3). -c - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.CHAIN' - x12=c(1,i1)-c(1,i2) - x23=c(1,i3)-c(1,i2) - y12=c(2,i1)-c(2,i2) - y23=c(2,i3)-c(2,i2) - z12=c(3,i1)-c(3,i2) - z23=c(3,i3)-c(3,i2) - vnorm=dsqrt(x12*x12+y12*y12+z12*z12) - wnorm=dsqrt(x23*x23+y23*y23+z23*z23) - scalar=(x12*x23+y12*y23+z12*z23)/(vnorm*wnorm) - alpha=arcos(scalar) - return - end -C -C------------------------------------------------------------------------------ -C - double precision function beta(i1,i2,i3,i4) -c -c Calculates the dihedral angle between atoms (i1), (i2), (i3) and (i4) -c - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.CHAIN' - x12=c(1,i1)-c(1,i2) - x23=c(1,i3)-c(1,i2) - x34=c(1,i4)-c(1,i3) - y12=c(2,i1)-c(2,i2) - y23=c(2,i3)-c(2,i2) - y34=c(2,i4)-c(2,i3) - z12=c(3,i1)-c(3,i2) - z23=c(3,i3)-c(3,i2) - z34=c(3,i4)-c(3,i3) -cd print '(2i3,3f10.5)',i1,i2,x12,y12,z12 -cd print '(2i3,3f10.5)',i2,i3,x23,y23,z23 -cd print '(2i3,3f10.5)',i3,i4,x34,y34,z34 - wx=-y23*z34+y34*z23 - wy=x23*z34-z23*x34 - wz=-x23*y34+y23*x34 - wnorm=dsqrt(wx*wx+wy*wy+wz*wz) - vx=y12*z23-z12*y23 - vy=-x12*z23+z12*x23 - vz=x12*y23-y12*x23 - vnorm=dsqrt(vx*vx+vy*vy+vz*vz) - if (vnorm.gt.1.0D-13 .and. wnorm.gt.1.0D-13) then - scalar=(vx*wx+vy*wy+vz*wz)/(vnorm*wnorm) - if (dabs(scalar).gt.1.0D0) - &scalar=0.99999999999999D0*scalar/dabs(scalar) - angle=dacos(scalar) -cd print '(2i4,10f7.3)',i2,i3,vx,vy,vz,wx,wy,wz,vnorm,wnorm, -cd &scalar,angle - else - angle=pi - endif -c if (angle.le.0.0D0) angle=pi+angle - tx=vy*wz-vz*wy - ty=-vx*wz+vz*wx - tz=vx*wy-vy*wx - scalar=tx*x23+ty*y23+tz*z23 - if (scalar.lt.0.0D0) angle=-angle - beta=angle - return - end -C -C------------------------------------------------------------------------------ -C - function dist(i1,i2) -c -c Calculates the distance between atoms (i1) and (i2). -c - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.CHAIN' - x12=c(1,i1)-c(1,i2) - y12=c(2,i1)-c(2,i2) - z12=c(3,i1)-c(3,i2) - dist=dsqrt(x12*x12+y12*y12+z12*z12) - return - end -C diff --git a/source/unres/src_MD-restraints-PM/intlocal.f b/source/unres/src_MD-restraints-PM/intlocal.f deleted file mode 100644 index 2dbcc88..0000000 --- a/source/unres/src_MD-restraints-PM/intlocal.f +++ /dev/null @@ -1,517 +0,0 @@ - subroutine integral(gamma1,gamma2,gamma3,gamma4,ity1,ity2,a1,a2, - & si1,si2,si3,si4,transp,q) - implicit none - integer ity1,ity2 - integer ilam1,ilam2,ilam3,ilam4,iincr - double precision gamma1,gamma2,gamma3,gamma4,beta,b(2,90),lambda1, - & lambda2,lambda3,lambda4 - logical transp - double precision elocal,ele - double precision delta,delta2,sum,ene,sumene,boltz - double precision q,a1(2,2),a2(2,2),si1,si2,si3,si4 - double precision conv /.01745329252d0/,pi /3.141592654d0/ - - iincr=20 - delta=iincr*conv - delta2=0.5d0*delta -cd print *,'iincr',iincr,' delta',delta -cd write(2,*) gamma1,gamma2,ity1,ity2,a1,a2,si1,si2,si3,si4,transp - -cd do ilam1=-180,180,5 -cd do ilam2=-180,180,5 -cd lambda1=ilam1*conv+delta2 -cd lambda2=ilam2*conv+delta2 -cd write(2,'(2i5,2f10.5)') ilam1,ilam2,elocal(2,lambda1,lambda2), -cd & ele(lambda1,lambda2,a1,1.0d0,1.d00) -cd enddo -cd enddo -cd stop - - sum=0.0d0 - sumene=0.0d0 - do ilam1=-180,179,iincr - do ilam2=-180,179,iincr - do ilam3=-180,179,iincr - do ilam4=-180,179,iincr - lambda1=ilam1*conv+delta2 - lambda2=ilam2*conv+delta2 - lambda3=ilam3*conv+delta2 - lambda4=ilam4*conv+delta2 -cd write (2,*) ilam1,ilam2,ilam3,ilam4 -cd write (2,*) lambda1,lambda2,lambda3,lambda4 - ene= - & -elocal(ity1,lambda1,lambda2,.false.)* - & elocal(ity2,lambda3,lambda4,transp)* - & ele(si1*lambda1+gamma1,si3*lambda3+gamma3,a1)* - & ele(si2*lambda2+gamma2,si4*lambda4+gamma4,a2) -cd write (2,*) elocal(ity1,lambda1,gamma1-pi-lambda2), -cd & elocal(ity2,lambda3,gamma2-pi-lambda4), -cd & ele(lambda1,lambda2,a1,si1,si3), -cd & ele(lambda3,lambda4,a2,si2,si4) - sum=sum+ene - enddo - enddo - enddo - enddo - q=sum/(2*pi)**4*delta**4 - write (2,* )'sum',sum,' q',q - return - end -c--------------------------------------------------------------------------- - subroutine integral3(gamma1,gamma2,ity1,ity2,ity3,ity4, - & a1,koniec,q1,q2,q3,q4) - implicit none - integer ity1,ity2,ity3,ity4 - integer ilam1,ilam2,ilam3,ilam4,iincr - double precision gamma1,gamma2,gamma3,gamma4,beta,lambda1, - & lambda2,lambda3,lambda4 - logical koniec - double precision elocal,ele - double precision delta,delta2,sum1,sum2,sum3,sum4, - & ene1,ene2,ene3,ene4,boltz - double precision q1,q2,q3,q4,a1(2,2),a2(2,2) - double precision conv /.01745329252d0/,pi /3.141592654d0/ - - iincr=60 - delta=iincr*conv - delta2=0.5d0*delta -cd print *,'iincr',iincr,' delta',delta - write(2,*) gamma1,gamma2,ity1,ity2,ity3,ity4,a1,koniec - -cd do ilam1=-180,180,5 -cd do ilam2=-180,180,5 -cd lambda1=ilam1*conv+delta2 -cd lambda2=ilam2*conv+delta2 -cd write(2,'(2i5,2f10.5)') ilam1,ilam2,elocal(2,lambda1,lambda2), -cd & ele(lambda1,lambda2,a1,1.0d0,1.d00) -cd enddo -cd enddo -cd stop - - sum1=0.0d0 - sum2=0.0d0 - sum3=0.0d0 - sum4=0.0d0 - do ilam1=-180,179,iincr - do ilam2=-180,179,iincr - do ilam3=-180,179,iincr - do ilam4=-180,179,iincr - lambda1=ilam1*conv+delta2 - lambda2=ilam2*conv+delta2 - lambda3=ilam3*conv+delta2 - lambda4=ilam4*conv+delta2 -cd write (2,*) ilam1,ilam2,ilam3,ilam4 -cd write (2,*) lambda1,lambda2,lambda3,lambda4 - if (.not.koniec) then - ene1= - & elocal(ity1,lambda1,gamma1-pi-lambda2,.false.)* - & elocal(ity3,lambda3,gamma2-pi-lambda4,.false.)* - & ele(lambda2,lambda4,a1) - else - ene1= - & elocal(ity1,lambda1,gamma1-pi-lambda2,.false.)* - & elocal(ity3,lambda3,lambda4,.false.)* - & ele(lambda2,-lambda4,a1) - endif - ene2= - & elocal(ity1,lambda1,gamma1-pi-lambda2,.false.)* - & elocal(ity4,lambda3,lambda4,.false.)* - & ele(lambda2,lambda3,a1) - if (.not.koniec) then - ene3= - & elocal(ity2,lambda1,lambda2,.false.)* - & elocal(ity3,lambda3,gamma2-pi-lambda4,.false.)* - & ele(lambda1,lambda4,a1) - else - ene3= - & elocal(ity2,lambda1,lambda2,.false.)* - & elocal(ity3,lambda3,lambda4,.false.)* - & ele(lambda1,-lambda4,a1) - endif - ene4= - & elocal(ity2,lambda1,lambda2,.false.)* - & elocal(ity4,lambda3,lambda4,.false.)* - & ele(lambda1,lambda3,a1) - sum1=sum1+ene1 - sum2=sum2+ene2 - sum3=sum3+ene3 - sum4=sum4+ene4 - enddo - enddo - enddo - enddo - q1=sum1/(2*pi)**4*delta**4 - q2=sum2/(2*pi)**4*delta**4 - q3=sum3/(2*pi)**4*delta**4 - q4=sum4/(2*pi)**4*delta**4 - write (2,* )'sum',sum1,sum2,sum3,sum4,' q',q1,q2,q3,q4 - return - end -c------------------------------------------------------------------------- - subroutine integral5(gamma1,gamma2,gamma3,gamma4,ity1,ity2,ity3, - & ity4,ity5,ity6,a1,a2,si1,si2,si3,si4,transp,ene1,ene2,ene3,ene4) - implicit none - integer ity1,ity2,ity3,ity4,ity5,ity6 - integer ilam1,ilam2,ilam3,ilam4,ilam5,iincr - double precision gamma1,gamma2,gamma3,gamma4,beta,b(2,90),lambda1, - & lambda2,lambda3,lambda4,lambda5 - logical transp - double precision elocal,ele - double precision eloc1,eloc2,eloc3,eloc4,eloc5,eloc6,ele1,ele2 - double precision delta,delta2,sum,ene,sumene,pom - double precision ene1,ene2,ene3,ene4,sum1,sum2,sum3,sum4, - & a1(2,2),a2(2,2) - integer si1,si2,si3,si4 - double precision conv /.01745329252d0/,pi /3.141592654d0/ - - iincr=60 - delta=iincr*conv - delta2=0.5d0*delta -cd print *,'iincr',iincr,' delta',delta -cd write(2,*) 'gamma1=',gamma1,' gamma2=',gamma2, -cd & ' gamma3=',gamma3,' gamma4=',gamma4 -cd write(2,*) ity1,ity2,ity3,ity4,ity5,ity6 -cd write(2,*) 'a1=',a1 -cd write(2,*) 'a2=',a2 -cd write(2,*) si1,si2,si3,si4,transp - - sum1=0.0d0 - sum2=0.0d0 - sum3=0.0d0 - sum4=0.0d0 - do ilam1=-180,179,iincr - do ilam2=-180,179,iincr - do ilam3=-180,179,iincr - do ilam4=-180,179,iincr - do ilam5=-180,179,iincr - lambda1=ilam1*conv+delta2 - lambda2=ilam2*conv+delta2 - lambda3=ilam3*conv+delta2 - lambda4=ilam4*conv+delta2 - lambda5=ilam5*conv+delta2 - if (transp) then - ele1=ele(lambda1,si4*lambda4,a1) - ele2=ele(lambda2,lambda3,a2) - else - ele1=ele(lambda1,lambda3,a1) - ele2=ele(lambda2,si4*lambda4,a2) - endif - eloc2=elocal(ity2,lambda1,gamma2-pi-lambda2,.false.) - eloc5=elocal(ity5,lambda3,gamma4-pi-si4*lambda4,.false.) - pom=ele1*ele2*eloc2*eloc5 - if (si1.gt.0) then - eloc1=elocal(ity1,lambda5,gamma1-pi-lambda1,.false.) - sum1=sum1+pom*eloc1 - endif - eloc3=elocal(ity3,lambda2,lambda5,.false.) - sum2=sum2+pom*eloc3 - eloc4=elocal(ity4,lambda5,gamma3-pi-lambda3,.false.) - sum3=sum3+pom*eloc4 - if (si4.gt.0) then - eloc6=elocal(ity6,lambda4,lambda5,.false.) - sum4=sum4+pom*eloc6 - endif - enddo - enddo - enddo - enddo - enddo - pom=1.0d0/(2*pi)**5*delta**5 - ene1=sum1*pom - ene2=sum2*pom - ene3=sum3*pom - ene4=sum4*pom -c write (2,* )'sum',sum1,sum2,sum3,sum4,' q',ene1,ene2,ene3,ene4 - return - end -c------------------------------------------------------------------------- - subroutine integral_turn6(gamma1,gamma2,gamma3,gamma4,ity1,ity2, - & ity3,ity4,ity5,ity6,a1,a2,ene_turn6) - implicit none - integer ity1,ity2,ity3,ity4,ity5,ity6 - integer ilam1,ilam2,ilam3,ilam4,ilam5,ilam6,iincr - double precision gamma1,gamma2,gamma3,gamma4,beta,b(2,90),lambda1, - & lambda2,lambda3,lambda4,lambda5,lambda6 - logical transp - double precision elocal,ele - double precision eloc1,eloc2,eloc3,eloc4,eloc41,eloc5,eloc6, - & eloc61,ele1,ele2 - double precision delta,delta2,sum,ene,sumene,pom,ene5 - double precision ene_turn6,sum5,a1(2,2),a2(2,2) - double precision conv /.01745329252d0/,pi /3.141592654d0/ - - iincr=60 - delta=iincr*conv - delta2=0.5d0*delta -cd print *,'iincr',iincr,' delta',delta - write(2,*) 'gamma1=',gamma1,' gamma2=',gamma2, - & ' gamma3=',gamma3,' gamma4=',gamma4 - write(2,*) ity1,ity2,ity3,ity4,ity5,ity6 - write(2,*) 'a1=',a1 - write(2,*) 'a2=',a2 - - sum5=0.0d0 - do ilam1=-180,179,iincr - do ilam2=-180,179,iincr - do ilam3=-180,179,iincr - do ilam4=-180,179,iincr - do ilam5=-180,179,iincr - lambda1=ilam1*conv+delta2 - lambda2=ilam2*conv+delta2 - lambda3=ilam3*conv+delta2 - lambda4=ilam4*conv+delta2 - lambda5=ilam5*conv+delta2 - ele1=ele(lambda1,-lambda4,a1) - ele2=ele(lambda2,lambda3,a2) - eloc2=elocal(ity2,lambda1,gamma2-pi-lambda2,.false.) - eloc5=elocal(ity5,lambda3,lambda4,.false.) - pom=ele1*ele2*eloc2*eloc5 - eloc3=elocal(ity3,lambda2,gamma3-pi-lambda5,.false.) - eloc4=elocal(ity4,lambda5,gamma4-pi-lambda3,.false.) - sum5=sum5+pom*eloc3*eloc4 - enddo - enddo - enddo - enddo - enddo - pom=-1.0d0/(2*pi)**5*delta**5 - ene_turn6=sum5*pom -c print *,'sum6',sum6,' ene6',ene6 - return - end -c------------------------------------------------------------------------- - subroutine integral6(gamma1,gamma2,gamma3,gamma4,ity1,ity2,ity3, - & ity4,ity5,ity6,a1,a2,si1,si2,si3,si4,transp,ene1,ene2,ene3,ene4, - & ene5,ene6) - implicit none - integer ity1,ity2,ity3,ity4,ity5,ity6 - integer ilam1,ilam2,ilam3,ilam4,ilam5,ilam6,iincr - double precision gamma1,gamma2,gamma3,gamma4,beta,b(2,90),lambda1, - & lambda2,lambda3,lambda4,lambda5,lambda6 - logical transp - double precision elocal,ele - double precision eloc1,eloc2,eloc3,eloc4,eloc41,eloc5,eloc6, - & eloc61,ele1,ele2 - double precision delta,delta2,sum,ene,sumene,pom - double precision ene1,ene2,ene3,ene4,ene5,ene6,sum1,sum2,sum3, - & sum4,sum5,sum6,a1(2,2),a2(2,2) - integer si1,si2,si3,si4 - double precision conv /.01745329252d0/,pi /3.141592654d0/ - - iincr=60 - delta=iincr*conv - delta2=0.5d0*delta -cd print *,'iincr',iincr,' delta',delta -cd write(2,*) 'gamma1=',gamma1,' gamma2=',gamma2, -cd & ' gamma3=',gamma3,' gamma4=',gamma4 -cd write(2,*) ity1,ity2,ity3,ity4,ity5,ity6 -cd write(2,*) 'a1=',a1 -cd write(2,*) 'a2=',a2 -cd write(2,*) si1,si2,si3,si4,transp - - sum1=0.0d0 - sum2=0.0d0 - sum3=0.0d0 - sum4=0.0d0 - sum5=0.0d0 - sum6=0.0d0 - eloc1=0.0d0 - eloc6=0.0d0 - eloc61=0.0d0 - do ilam1=-180,179,iincr - do ilam2=-180,179,iincr - do ilam3=-180,179,iincr - do ilam4=-180,179,iincr - do ilam5=-180,179,iincr - do ilam6=-180,179,iincr - lambda1=ilam1*conv+delta2 - lambda2=ilam2*conv+delta2 - lambda3=ilam3*conv+delta2 - lambda4=ilam4*conv+delta2 - lambda5=ilam5*conv+delta2 - lambda6=ilam6*conv+delta2 - if (transp) then - ele1=ele(lambda1,si4*lambda4,a1) - ele2=ele(lambda2,lambda3,a2) - else - ele1=ele(lambda1,lambda3,a1) - ele2=ele(lambda2,si4*lambda4,a2) - endif - eloc2=elocal(ity2,lambda1,gamma2-pi-lambda2,.false.) - eloc5=elocal(ity5,lambda3,gamma4-pi-si4*lambda4,.false.) - pom=ele1*ele2*eloc2*eloc5 - if (si1.gt.0) then - eloc1=elocal(ity1,lambda5,gamma1-pi-lambda1,.false.) - endif - eloc3=elocal(ity3,lambda2,lambda6,.false.) - sum1=sum1+pom*eloc1*eloc3 - eloc4=elocal(ity4,lambda5,gamma3-pi-lambda3,.false.) - if (si4.gt.0) then - eloc6=elocal(ity6,lambda4,lambda6,.false.) - eloc61=elocal(ity6,lambda4,lambda5,.false.) - endif - sum2=sum2+pom*eloc4*eloc6 - eloc41=elocal(ity4,lambda6,gamma3-pi-lambda3,.false.) - sum3=sum3+pom*eloc1*eloc41 - sum4=sum4+pom*eloc1*eloc6 - sum5=sum5+pom*eloc3*eloc4 - sum6=sum6+pom*eloc3*eloc61 - enddo - enddo - enddo - enddo - enddo - enddo - pom=-1.0d0/(2*pi)**6*delta**6 - ene1=sum1*pom - ene2=sum2*pom - ene3=sum3*pom - ene4=sum4*pom - ene5=sum5*pom - ene6=sum6*pom -c print *,'sum6',sum6,' ene6',ene6 - return - end -c------------------------------------------------------------------------- - subroutine integral3a(gamma1,gamma2,ity1,ity2,a1,si1,ene1) - implicit none - integer ity1,ity2,ity3,ity4,ity5,ity6 - integer ilam1,ilam2,ilam3,ilam4,ilam5,ilam6,iincr - double precision gamma1,gamma2,gamma3,gamma4,beta,b(2,90),lambda1, - & lambda2,lambda3,lambda4,lambda5,lambda6 - logical transp - double precision elocal,ele - double precision eloc1,eloc2,eloc3,eloc4,eloc41,eloc5,eloc6, - & eloc61,ele1,ele2 - double precision delta,delta2,sum,ene,sumene,pom - double precision ene1,ene2,ene3,ene4,ene5,ene6,sum1,sum2,sum3, - & sum4,sum5,sum6,a1(2,2),a2(2,2) - integer si1,si2,si3,si4 - double precision conv /.01745329252d0/,pi /3.141592654d0/ - - iincr=60 - delta=iincr*conv - delta2=0.5d0*delta -cd print *,'iincr',iincr,' delta',delta -cd write(2,*) 'gamma1=',gamma1,' gamma2=',gamma2 -cd write(2,*) ity1,ity2 -cd write(2,*) 'a1=',a1 -cd write(2,*) si1, - - sum1=0.0d0 - eloc1=0.0d0 - do ilam1=-180,179,iincr - do ilam2=-180,179,iincr - do ilam3=-180,179,iincr - lambda1=ilam1*conv+delta2 - lambda2=ilam2*conv+delta2 - lambda3=ilam3*conv+delta2 - ele1=ele(lambda1,si1*lambda3,a1) - eloc1=elocal(ity1,lambda1,gamma1-pi-lambda2,.false.) - if (si1.gt.0) then - eloc2=elocal(ity2,lambda2,gamma2-pi-lambda3,.false.) - else - eloc2=elocal(ity2,lambda2,lambda3,.false.) - endif - sum1=sum1+ele1*eloc1*eloc2 - enddo - enddo - enddo - pom=1.0d0/(2*pi)**3*delta**3 - ene1=sum1*pom - return - end -c------------------------------------------------------------------------- - subroutine integral4a(gamma1,gamma2,gamma3,ity1,ity2,ity3,a1,si1, - & ene1) - implicit none - integer ity1,ity2,ity3,ity4,ity5,ity6 - integer ilam1,ilam2,ilam3,ilam4,ilam5,ilam6,iincr - double precision gamma1,gamma2,gamma3,gamma4,beta,b(2,90),lambda1, - & lambda2,lambda3,lambda4,lambda5,lambda6 - logical transp - double precision elocal,ele - double precision eloc1,eloc2,eloc3,eloc4,eloc41,eloc5,eloc6, - & eloc61,ele1,ele2 - double precision delta,delta2,sum,ene,sumene,pom - double precision ene1,ene2,ene3,ene4,ene5,ene6,sum1,sum2,sum3, - & sum4,sum5,sum6,a1(2,2),a2(2,2) - integer si1,si2,si3,si4 - double precision conv /.01745329252d0/,pi /3.141592654d0/ - - iincr=60 - delta=iincr*conv - delta2=0.5d0*delta -cd print *,'iincr',iincr,' delta',delta -cd write(2,*) 'gamma1=',gamma1,' gamma2=',gamma2, -cd & ' gamma3=',gamma3 -cd write(2,*) ity1,ity2,ity3 -cd write(2,*) 'a1=',a1 -cd write(2,*) 'si1=',si1 - sum1=0.0d0 - do ilam1=-180,179,iincr - do ilam2=-180,179,iincr - do ilam3=-180,179,iincr - do ilam4=-180,179,iincr - lambda1=ilam1*conv+delta2 - lambda2=ilam2*conv+delta2 - lambda3=ilam3*conv+delta2 - lambda4=ilam4*conv+delta2 - ele1=ele(lambda1,si1*lambda4,a1) - eloc1=elocal(ity1,lambda1,gamma1-pi-lambda2,.false.) - eloc2=elocal(ity2,lambda2,gamma2-pi-lambda3,.false.) - if (si1.gt.0) then - eloc3=elocal(ity3,lambda3,gamma3-pi-lambda4,.false.) - else - eloc3=elocal(ity3,lambda3,lambda4,.false.) - endif - sum1=sum1+ele1*eloc1*eloc2*eloc3 - enddo - enddo - enddo - enddo - pom=-1.0d0/(2*pi)**4*delta**4 - ene1=sum1*pom - return - end -c------------------------------------------------------------------------- - double precision function elocal(i,x,y,transp) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.TORSION' - integer i - double precision x,y,u(2),v(2),cu(2),dv(2),ev(2) - double precision scalar2 - logical transp - u(1)=dcos(x) - u(2)=dsin(x) - v(1)=dcos(y) - v(2)=dsin(y) - if (transp) then - call matvec2(cc(1,1,i),v,cu) - call matvec2(dd(1,1,i),u,dv) - call matvec2(ee(1,1,i),u,ev) - elocal=scalar2(b1(1,i),v)+scalar2(b2(1,i),u)+scalar2(cu,v)+ - & scalar2(dv,u)+scalar2(ev,v) - else - call matvec2(cc(1,1,i),u,cu) - call matvec2(dd(1,1,i),v,dv) - call matvec2(ee(1,1,i),v,ev) - elocal=scalar2(b1(1,i),u)+scalar2(b2(1,i),v)+scalar2(cu,u)+ - & scalar2(dv,v)+scalar2(ev,u) - endif - return - end -c------------------------------------------------------------------------- - double precision function ele(x,y,a) - implicit none - double precision x,y,a(2,2),si1,si2,u(2),v(2),av(2) - double precision scalar2 - u(1)=-cos(x) - u(2)= sin(x) - v(1)=-cos(y) - v(2)= sin(y) - call matvec2(a,v,av) - ele=scalar2(u,av) - return - end diff --git a/source/unres/src_MD-restraints-PM/kinetic_lesyng.f b/source/unres/src_MD-restraints-PM/kinetic_lesyng.f deleted file mode 100644 index 8535f5d..0000000 --- a/source/unres/src_MD-restraints-PM/kinetic_lesyng.f +++ /dev/null @@ -1,104 +0,0 @@ - subroutine kinetic(KE_total) -c---------------------------------------------------------------- -c This subroutine calculates the total kinetic energy of the chain -c----------------------------------------------------------------- - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.MD' - include 'COMMON.IOUNITS' - double precision KE_total - - integer i,j,k - double precision KEt_p,KEt_sc,KEr_p,KEr_sc,incr(3), - & mag1,mag2,v(3) - - KEt_p=0.0d0 - KEt_sc=0.0d0 -c write (iout,*) "ISC",(isc(itype(i)),i=1,nres) -c The translational part for peptide virtual bonds - do j=1,3 - incr(j)=d_t(j,0) - enddo - do i=nnt,nct-1 -c write (iout,*) "Kinetic trp:",i,(incr(j),j=1,3) - do j=1,3 - v(j)=incr(j)+0.5d0*d_t(j,i) - enddo - vtot(i)=v(1)*v(1)+v(2)*v(2)+v(3)*v(3) - KEt_p=KEt_p+(v(1)*v(1)+v(2)*v(2)+v(3)*v(3)) - do j=1,3 - incr(j)=incr(j)+d_t(j,i) - enddo - enddo -c write(iout,*) 'KEt_p', KEt_p -c The translational part for the side chain virtual bond -c Only now we can initialize incr with zeros. It must be equal -c to the velocities of the first Calpha. - do j=1,3 - incr(j)=d_t(j,0) - enddo - do i=nnt,nct - iti=itype(i) - if (itype(i).eq.10) then - do j=1,3 - v(j)=incr(j) - enddo - else - do j=1,3 - v(j)=incr(j)+d_t(j,nres+i) - enddo - endif -c write (iout,*) "Kinetic trsc:",i,(incr(j),j=1,3) -c write (iout,*) "i",i," msc",msc(iti)," v",(v(j),j=1,3) - KEt_sc=KEt_sc+msc(iti)*(v(1)*v(1)+v(2)*v(2)+v(3)*v(3)) - vtot(i+nres)=v(1)*v(1)+v(2)*v(2)+v(3)*v(3) - do j=1,3 - incr(j)=incr(j)+d_t(j,i) - enddo - enddo -c goto 111 -c write(iout,*) 'KEt_sc', KEt_sc -c The part due to stretching and rotation of the peptide groups - KEr_p=0.0D0 - do i=nnt,nct-1 -c write (iout,*) "i",i -c write (iout,*) "i",i," mag1",mag1," mag2",mag2 - do j=1,3 - incr(j)=d_t(j,i) - enddo -c write (iout,*) "Kinetic rotp:",i,(incr(j),j=1,3) - KEr_p=KEr_p+(incr(1)*incr(1)+incr(2)*incr(2) - & +incr(3)*incr(3)) - enddo -c goto 111 -c write(iout,*) 'KEr_p', KEr_p -c The rotational part of the side chain virtual bond - KEr_sc=0.0D0 - do i=nnt,nct - iti=itype(i) - if (itype(i).ne.10) then - do j=1,3 - incr(j)=d_t(j,nres+i) - enddo -c write (iout,*) "Kinetic rotsc:",i,(incr(j),j=1,3) - KEr_sc=KEr_sc+Isc(iti)*(incr(1)*incr(1)+incr(2)*incr(2)+ - & incr(3)*incr(3)) - endif - enddo -c The total kinetic energy - 111 continue -c write(iout,*) 'KEr_sc', KEr_sc - KE_total=0.5d0*(mp*KEt_p+KEt_sc+0.25d0*Ip*KEr_p+KEr_sc) -c write (iout,*) "KE_total",KE_total - return - end - - - - diff --git a/source/unres/src_MD-restraints-PM/lagrangian_lesyng.F b/source/unres/src_MD-restraints-PM/lagrangian_lesyng.F deleted file mode 100644 index 8a9163a..0000000 --- a/source/unres/src_MD-restraints-PM/lagrangian_lesyng.F +++ /dev/null @@ -1,726 +0,0 @@ - subroutine lagrangian -c------------------------------------------------------------------------- -c This subroutine contains the total lagrangain from which the accelerations -c are obtained. For numerical gradient checking, the derivetive of the -c lagrangian in the velocities and coordinates are calculated seperately -c------------------------------------------------------------------------- - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.MD' - include 'COMMON.IOUNITS' - include 'COMMON.CONTROL' - include 'COMMON.MUCA' - include 'COMMON.TIME1' - - integer i,j,ind - double precision zapas(MAXRES6),muca_factor - logical lprn /.false./ - common /cipiszcze/ itime - -#ifdef TIMING - time00=MPI_Wtime() -#endif - do j=1,3 - zapas(j)=-gcart(j,0) - enddo - ind=3 - if (lprn) then - write (iout,*) "Potential forces backbone" - endif - do i=nnt,nct-1 - if (lprn) write (iout,'(i5,3e15.5,5x,3e15.5)') - & i,(-gcart(j,i),j=1,3) - do j=1,3 - ind=ind+1 - zapas(ind)=-gcart(j,i) - enddo - enddo - if (lprn) write (iout,*) "Potential forces sidechain" - do i=nnt,nct - if (itype(i).ne.10) then - if (lprn) write (iout,'(i5,3e15.5,5x,3e15.5)') - & i,(-gcart(j,i),j=1,3) - do j=1,3 - ind=ind+1 - zapas(ind)=-gxcart(j,i) - enddo - endif - enddo - - call ginv_mult(zapas,d_a_work) - - do j=1,3 - d_a(j,0)=d_a_work(j) - enddo - ind=3 - do i=nnt,nct-1 - do j=1,3 - ind=ind+1 - d_a(j,i)=d_a_work(ind) - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - do j=1,3 - ind=ind+1 - d_a(j,i+nres)=d_a_work(ind) - enddo - endif - enddo - - if(lmuca) then - imtime=imtime+1 - if(mucadyn.gt.0) call muca_update(potE) - factor=muca_factor(potE)*t_bath*Rb - -cd print *,'lmuca ',factor,potE - do j=1,3 - d_a(j,0)=d_a(j,0)*factor - enddo - do i=nnt,nct-1 - do j=1,3 - d_a(j,i)=d_a(j,i)*factor - enddo - enddo - do i=nnt,nct - do j=1,3 - d_a(j,i+nres)=d_a(j,i+nres)*factor - enddo - enddo - - endif - - if (lprn) then - write(iout,*) 'acceleration 3D' - write (iout,'(i3,3f10.5,3x,3f10.5)') 0,(d_a(j,0),j=1,3) - do i=nnt,nct-1 - write (iout,'(i3,3f10.5,3x,3f10.5)') i,(d_a(j,i),j=1,3) - enddo - do i=nnt,nct - write (iout,'(i3,3f10.5,3x,3f10.5)') - & i+nres,(d_a(j,i+nres),j=1,3) - enddo - endif -#ifdef TIMING - time_lagrangian=time_lagrangian+MPI_Wtime()-time00 -#endif - return - end -c------------------------------------------------------------------ - subroutine setup_MD_matrices - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' - integer ierror -#endif - include 'COMMON.SETUP' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - integer i,j - logical lprn /.false./ - logical osob - double precision dtdi,massvec(maxres2),Gcopy(maxres2,maxres2), - & Ghalf(mmaxres2),sqreig(maxres2), invsqreig(maxres2), Gcopytmp, - & Gsqrptmp, Gsqrmtmp, Gvec2tmp,Gvectmp(maxres2,maxres2) - double precision work(8*maxres6) - integer iwork(maxres6) - common /przechowalnia/ Gcopy,Ghalf,invsqreig,Gvectmp -c -c Set up the matrix of the (dC,dX)-->(C,X) transformation (A), the -c inertia matrix (Gmat) and the inverse of the inertia matrix (Ginv) -c -c Determine the number of degrees of freedom (dimen) and the number of -c sites (dimen1) - dimen=(nct-nnt+1)+nside - dimen1=(nct-nnt)+(nct-nnt+1) - dimen3=dimen*3 -#ifdef MPI - if (nfgtasks.gt.1) then - time00=MPI_Wtime() - call MPI_Bcast(5,1,MPI_INTEGER,king,FG_COMM,IERROR) - time_Bcast=time_Bcast+MPI_Wtime()-time00 - call int_bounds(dimen,igmult_start,igmult_end) - igmult_start=igmult_start-1 - call MPI_Allgather(3*igmult_start,1,MPI_INTEGER, - & ng_start(0),1,MPI_INTEGER,FG_COMM,IERROR) - my_ng_count=igmult_end-igmult_start - call MPI_Allgather(3*my_ng_count,1,MPI_INTEGER,ng_counts(0),1, - & MPI_INTEGER,FG_COMM,IERROR) - write (iout,*) 'Processor:',fg_rank,' CG group',kolor, - & ' absolute rank',myrank,' igmult_start',igmult_start, - & ' igmult_end',igmult_end,' count',my_ng_count - write (iout,*) "ng_start",(ng_start(i),i=0,nfgtasks-1) - write (iout,*) "ng_counts",(ng_counts(i),i=0,nfgtasks-1) - call flush(iout) - else -#endif - igmult_start=1 - igmult_end=dimen - my_ng_count=dimen -#ifdef MPI - endif -#endif -c write (iout,*) "dimen",dimen," dimen1",dimen1," dimen3",dimen3 -c Zeroing out A and fricmat - do i=1,dimen - do j=1,dimen - A(i,j)=0.0D0 - enddo - enddo -c Diagonal elements of the dC part of A and the respective friction coefficients - ind=1 - ind1=0 - do i=nnt,nct-1 - ind=ind+1 - ind1=ind1+1 - coeff=0.25d0*IP - massvec(ind1)=mp - Gmat(ind,ind)=coeff - A(ind1,ind)=0.5d0 - enddo - -c Off-diagonal elements of the dC part of A - k=3 - do i=1,nct-nnt - do j=1,i - A(i,j)=1.0d0 - enddo - enddo -c Diagonal elements of the dX part of A and the respective friction coefficients - m=nct-nnt - m1=nct-nnt+1 - ind=0 - ind1=0 - do i=nnt,nct - ind=ind+1 - ii = ind+m - iti=itype(i) - massvec(ii)=msc(iti) - if (iti.ne.10) then - ind1=ind1+1 - ii1= ind1+m1 - A(ii,ii1)=1.0d0 - Gmat(ii1,ii1)=ISC(iti) - endif - enddo -c Off-diagonal elements of the dX part of A - ind=0 - k=nct-nnt - do i=nnt,nct - iti=itype(i) - ind=ind+1 - do j=nnt,i - ii = ind - jj = j-nnt+1 - A(k+ii,jj)=1.0d0 - enddo - enddo - if (lprn) then - write (iout,*) - write (iout,*) "Vector massvec" - do i=1,dimen1 - write (iout,*) i,massvec(i) - enddo - write (iout,'(//a)') "A" - call matout(dimen,dimen1,maxres2,maxres2,A) - endif - -c Calculate the G matrix (store in Gmat) - do k=1,dimen - do i=1,dimen - dtdi=0.0d0 - do j=1,dimen1 - dtdi=dtdi+A(j,k)*A(j,i)*massvec(j) - enddo - Gmat(k,i)=Gmat(k,i)+dtdi - enddo - enddo - - if (lprn) then - write (iout,'(//a)') "Gmat" - call matout(dimen,dimen,maxres2,maxres2,Gmat) - endif - do i=1,dimen - do j=1,dimen - Ginv(i,j)=0.0d0 - Gcopy(i,j)=Gmat(i,j) - enddo - Ginv(i,i)=1.0d0 - enddo -c Invert the G matrix - call MATINVERT(dimen,maxres2,Gcopy,Ginv,osob) - if (lprn) then - write (iout,'(//a)') "Ginv" - call matout(dimen,dimen,maxres2,maxres2,Ginv) - endif -#ifdef MPI - if (nfgtasks.gt.1) then - myginv_ng_count=maxres2*my_ng_count - call MPI_Allgather(maxres2*igmult_start,1,MPI_INTEGER, - & nginv_start(0),1,MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(myginv_ng_count,1,MPI_INTEGER, - & nginv_counts(0),1,MPI_INTEGER,FG_COMM,IERROR) - if (lprn .and. (me.eq.king .or. .not. out1file) ) then - write (iout,*) "nginv_start",(nginv_start(i),i=0,nfgtasks-1) - write (iout,*) "nginv_counts",(nginv_counts(i),i=0,nfgtasks-1) - call flush(iout) - endif -c call MPI_Scatterv(ginv(1,1),nginv_counts(0), -c & nginv_start(0),MPI_DOUBLE_PRECISION,ginv, -c & myginv_ng_count,MPI_DOUBLE_PRECISION,king,FG_COMM,IERR) -c call MPI_Barrier(FG_COMM,IERR) - time00=MPI_Wtime() - call MPI_Scatterv(ginv(1,1),nginv_counts(0), - & nginv_start(0),MPI_DOUBLE_PRECISION,gcopy(1,1), - & myginv_ng_count,MPI_DOUBLE_PRECISION,king,FG_COMM,IERR) -#ifdef TIMING - time_scatter_ginv=time_scatter_ginv+MPI_Wtime()-time00 -#endif - do i=1,dimen - do j=1,2*my_ng_count - ginv(j,i)=gcopy(i,j) - enddo - enddo -c write (iout,*) "Master's chunk of ginv" -c call MATOUT2(my_ng_count,dimen,maxres2,maxres2,ginv) - endif -#endif - if (osob) then - write (iout,*) "The G matrix is singular." - stop - endif -c Compute G**(-1/2) and G**(1/2) - ind=0 - do i=1,dimen - do j=1,i - ind=ind+1 - Ghalf(ind)=Gmat(i,j) - enddo - enddo - call gldiag(maxres2,dimen,dimen,Ghalf,work,Geigen,Gvec, - & ierr,iwork) - if (lprn) then - write (iout,'(//a)') - & "Eigenvectors and eigenvalues of the G matrix" - call eigout(dimen,dimen,maxres2,maxres2,Gvec,Geigen) - endif - - do i=1,dimen - sqreig(i)=dsqrt(Geigen(i)) - invsqreig(i)=1.d0/sqreig(i) - enddo - do i=1,dimen - do j=1,dimen - Gvectmp(i,j)=Gvec(j,i) - enddo - enddo - - do i=1,dimen - do j=1,dimen - Gsqrptmp=0.0d0 - Gsqrmtmp=0.0d0 - Gcopytmp=0.0d0 - do k=1,dimen -c Gvec2tmp=Gvec(i,k)*Gvec(j,k) - Gvec2tmp=Gvec(k,i)*Gvec(k,j) - Gsqrptmp=Gsqrptmp+Gvec2tmp*sqreig(k) - Gsqrmtmp=Gsqrmtmp+Gvec2tmp*invsqreig(k) - Gcopytmp=Gcopytmp+Gvec2tmp*Geigen(k) - enddo - Gsqrp(i,j)=Gsqrptmp - Gsqrm(i,j)=Gsqrmtmp - Gcopy(i,j)=Gcopytmp - enddo - enddo - - do i=1,dimen - do j=1,dimen - Gvec(i,j)=Gvectmp(j,i) - enddo - enddo - - if (lprn) then - write (iout,*) "Comparison of original and restored G" - do i=1,dimen - do j=1,dimen - write (iout,'(2i5,5f10.5)') i,j,Gmat(i,j),Gcopy(i,j), - & Gmat(i,j)-Gcopy(i,j),Gsqrp(i,j),Gsqrm(i,j) - enddo - enddo - endif - return - end -c------------------------------------------------------------------------------- - SUBROUTINE EIGOUT(NC,NR,LM2,LM3,A,B) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - double precision A(LM2,LM3),B(LM2) - KA=1 - KC=6 - 1 KB=MIN0(KC,NC) - WRITE(IOUT,600) (I,I=KA,KB) - WRITE(IOUT,601) (B(I),I=KA,KB) - WRITE(IOUT,602) - 2 N=0 - DO 3 I=1,NR - WRITE(IOUT,603) I,(A(I,J),J=KA,KB) - N=N+1 - IF(N.LT.10) GO TO 3 - WRITE(IOUT,602) - N=0 - 3 CONTINUE - 4 IF (KB.EQ.NC) RETURN - KA=KC+1 - KC=KC+6 - GO TO 1 - 600 FORMAT (// 9H ROOT NO.,I4,9I11) - 601 FORMAT (/5X,10(1PE11.4)) - 602 FORMAT (2H ) - 603 FORMAT (I5,10F11.5) - 604 FORMAT (1H1) - END -c------------------------------------------------------------------------------- - SUBROUTINE MATOUT(NC,NR,LM2,LM3,A) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - double precision A(LM2,LM3) - KA=1 - KC=6 - 1 KB=MIN0(KC,NC) - WRITE(IOUT,600) (I,I=KA,KB) - WRITE(IOUT,602) - 2 N=0 - DO 3 I=1,NR - WRITE(IOUT,603) I,(A(I,J),J=KA,KB) - N=N+1 - IF(N.LT.10) GO TO 3 - WRITE(IOUT,602) - N=0 - 3 CONTINUE - 4 IF (KB.EQ.NC) RETURN - KA=KC+1 - KC=KC+6 - GO TO 1 - 600 FORMAT (//5x,9I11) - 602 FORMAT (2H ) - 603 FORMAT (I5,10F11.3) - 604 FORMAT (1H1) - END -c------------------------------------------------------------------------------- - SUBROUTINE MATOUT1(NC,NR,LM2,LM3,A) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - double precision A(LM2,LM3) - KA=1 - KC=21 - 1 KB=MIN0(KC,NC) - WRITE(IOUT,600) (I,I=KA,KB) - WRITE(IOUT,602) - 2 N=0 - DO 3 I=1,NR - WRITE(IOUT,603) I,(A(I,J),J=KA,KB) - N=N+1 - IF(N.LT.3) GO TO 3 - WRITE(IOUT,602) - N=0 - 3 CONTINUE - 4 IF (KB.EQ.NC) RETURN - KA=KC+1 - KC=KC+21 - GO TO 1 - 600 FORMAT (//5x,7(3I5,2x)) - 602 FORMAT (2H ) - 603 FORMAT (I5,7(3F5.1,2x)) - 604 FORMAT (1H1) - END -c------------------------------------------------------------------------------- - SUBROUTINE MATOUT2(NC,NR,LM2,LM3,A) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - double precision A(LM2,LM3) - KA=1 - KC=12 - 1 KB=MIN0(KC,NC) - WRITE(IOUT,600) (I,I=KA,KB) - WRITE(IOUT,602) - 2 N=0 - DO 3 I=1,NR - WRITE(IOUT,603) I,(A(I,J),J=KA,KB) - N=N+1 - IF(N.LT.3) GO TO 3 - WRITE(IOUT,602) - N=0 - 3 CONTINUE - 4 IF (KB.EQ.NC) RETURN - KA=KC+1 - KC=KC+12 - GO TO 1 - 600 FORMAT (//5x,4(3I9,2x)) - 602 FORMAT (2H ) - 603 FORMAT (I5,4(3F9.3,2x)) - 604 FORMAT (1H1) - END -c--------------------------------------------------------------------------- - SUBROUTINE ginv_mult(z,d_a_tmp) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' - integer ierr -#endif - include 'COMMON.SETUP' - include 'COMMON.TIME1' - include 'COMMON.MD' - double precision z(dimen3),d_a_tmp(dimen3),temp(maxres6),time00 - &time01 -#ifdef MPI - if (nfgtasks.gt.1) then - if (fg_rank.eq.0) then -c The matching BROADCAST for fg processors is called in ERGASTULUM - time00=MPI_Wtime() - call MPI_Bcast(4,1,MPI_INTEGER,king,FG_COMM,IERROR) - time_Bcast=time_Bcast+MPI_Wtime()-time00 -c print *,"Processor",myrank," BROADCAST iorder in GINV_MULT" - endif -c write (2,*) "time00",time00 -c write (2,*) "Before Scatterv" -c call flush(2) -c write (2,*) "Whole z (for FG master)" -c do i=1,dimen -c write (2,*) i,z(i) -c enddo -c call MPI_Barrier(FG_COMM,IERROR) - time00=MPI_Wtime() - call MPI_Scatterv(z,ng_counts(0),ng_start(0), - & MPI_DOUBLE_PRECISION, - & z,3*my_ng_count,MPI_DOUBLE_PRECISION,king,FG_COMM,IERR) -c write (2,*) "My chunk of z" -c do i=1,3*my_ng_count -c write (2,*) i,z(i) -c enddo -c write (2,*) "After SCATTERV" -c call flush(2) -c write (2,*) "MPI_Wtime",MPI_Wtime() - time_scatter=time_scatter+MPI_Wtime()-time00 -#ifdef TIMING - time_scatter_ginvmult=time_scatter_ginvmult+MPI_Wtime()-time00 -#endif -c write (2,*) "time_scatter",time_scatter -c write (2,*) "dimen",dimen," dimen3",dimen3," my_ng_count", -c & my_ng_count -c call flush(2) - time01=MPI_Wtime() - do k=0,2 - do i=1,dimen - ind=(i-1)*3+k+1 - temp(ind)=0.0d0 - do j=1,my_ng_count -c write (2,*) "k,i,j,ind",k,i,j,ind,(j-1)*3+k+1, -c & Ginv(i,j),z((j-1)*3+k+1), -c & Ginv(i,j)*z((j-1)*3+k+1) -c temp(ind)=temp(ind)+Ginv(i,j)*z((j-1)*3+k+1) - temp(ind)=temp(ind)+Ginv(j,i)*z((j-1)*3+k+1) - enddo - enddo - enddo - time_ginvmult=time_ginvmult+MPI_Wtime()-time01 -c write (2,*) "Before REDUCE" -c call flush(2) -c write (2,*) "z before reduce" -c do i=1,dimen -c write (2,*) i,temp(i) -c enddo - time00=MPI_Wtime() - call MPI_Reduce(temp(1),d_a_tmp(1),dimen3,MPI_DOUBLE_PRECISION, - & MPI_SUM,king,FG_COMM,IERR) - time_reduce=time_reduce+MPI_Wtime()-time00 -c write (2,*) "After REDUCE" -c call flush(2) - else -#endif -#ifdef TIMING - time01=MPI_Wtime() -#endif - do k=0,2 - do i=1,dimen - ind=(i-1)*3+k+1 - d_a_tmp(ind)=0.0d0 - do j=1,dimen -c write (2,*) "k,i,j,ind",k,i,j,ind,(j-1)*3+k+1 -c call flush(2) -c & Ginv(i,j),z((j-1)*3+k+1), -c & Ginv(i,j)*z((j-1)*3+k+1) - d_a_tmp(ind)=d_a_tmp(ind) - & +Ginv(j,i)*z((j-1)*3+k+1) -c d_a_tmp(ind)=d_a_tmp(ind) -c & +Ginv(i,j)*z((j-1)*3+k+1) - enddo - enddo - enddo -#ifdef TIMING - time_ginvmult=time_ginvmult+MPI_Wtime()-time01 -#endif -#ifdef MPI - endif -#endif - return - end -c--------------------------------------------------------------------------- -#ifdef GINV_MULT - SUBROUTINE ginv_mult_test(z,d_a_tmp) - include 'DIMENSIONS' - integer dimen -c include 'COMMON.MD' - double precision z(dimen),d_a_tmp(dimen) - double precision ztmp(dimen/3),dtmp(dimen/3) - -c do i=1,dimen -c d_a_tmp(i)=0.0d0 -c do j=1,dimen -c d_a_tmp(i)=d_a_tmp(i)+Ginv(i,j)*z(j) -c enddo -c enddo -c -c return - -!ibm* unroll(3) - do k=0,2 - do j=1,dimen/3 - ztmp(j)=z((j-1)*3+k+1) - enddo - - call alignx(16,ztmp(1)) - call alignx(16,dtmp(1)) - call alignx(16,Ginv(1,1)) - - do i=1,dimen/3 - dtmp(i)=0.0d0 - do j=1,dimen/3 - dtmp(i)=dtmp(i)+Ginv(i,j)*ztmp(j) - enddo - enddo - do i=1,dimen/3 - ind=(i-1)*3+k+1 - d_a_tmp(ind)=dtmp(i) - enddo - enddo - return - end -#endif -c--------------------------------------------------------------------------- - SUBROUTINE fricmat_mult(z,d_a_tmp) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' - integer IERROR -#endif - include 'COMMON.MD' - include 'COMMON.IOUNITS' - include 'COMMON.SETUP' - include 'COMMON.TIME1' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - double precision z(dimen3),d_a_tmp(dimen3),temp(maxres6),time00 - &time01 -#ifdef MPI - if (nfgtasks.gt.1) then - if (fg_rank.eq.0) then -c The matching BROADCAST for fg processors is called in ERGASTULUM - time00=MPI_Wtime() - call MPI_Bcast(9,1,MPI_INTEGER,king,FG_COMM,IERROR) - time_Bcast=time_Bcast+MPI_Wtime()-time00 -c print *,"Processor",myrank," BROADCAST iorder in FRICMAT_MULT" - endif -c call MPI_Barrier(FG_COMM,IERROR) - time00=MPI_Wtime() - call MPI_Scatterv(z,ng_counts(0),ng_start(0), - & MPI_DOUBLE_PRECISION, - & z,3*my_ng_count,MPI_DOUBLE_PRECISION,king,FG_COMM,IERR) -c write (2,*) "My chunk of z" -c do i=1,3*my_ng_count -c write (2,*) i,z(i) -c enddo - time_scatter=time_scatter+MPI_Wtime()-time00 -#ifdef TIMING - time_scatter_fmatmult=time_scatter_fmatmult+MPI_Wtime()-time00 -#endif - time01=MPI_Wtime() - do k=0,2 - do i=1,dimen - ind=(i-1)*3+k+1 - temp(ind)=0.0d0 - do j=1,my_ng_count - temp(ind)=temp(ind)-fricmat(j,i)*z((j-1)*3+k+1) - enddo - enddo - enddo - time_fricmatmult=time_fricmatmult+MPI_Wtime()-time01 -c write (2,*) "Before REDUCE" -c write (2,*) "d_a_tmp before reduce" -c do i=1,dimen3 -c write (2,*) i,temp(i) -c enddo -c call flush(2) - time00=MPI_Wtime() - call MPI_Reduce(temp(1),d_a_tmp(1),dimen3,MPI_DOUBLE_PRECISION, - & MPI_SUM,king,FG_COMM,IERR) - time_reduce=time_reduce+MPI_Wtime()-time00 -c write (2,*) "After REDUCE" -c call flush(2) - else -#endif -#ifdef TIMING - time01=MPI_Wtime() -#endif - do k=0,2 - do i=1,dimen - ind=(i-1)*3+k+1 - d_a_tmp(ind)=0.0d0 - do j=1,dimen - d_a_tmp(ind)=d_a_tmp(ind) - & -fricmat(j,i)*z((j-1)*3+k+1) - enddo - enddo - enddo -#ifdef TIMING - time_fricmatmult=time_fricmatmult+MPI_Wtime()-time01 -#endif -#ifdef MPI - endif -#endif -c write (iout,*) "Vector d_a" -c do i=1,dimen3 -c write (2,*) i,d_a_tmp(i) -c enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/local_move.f b/source/unres/src_MD-restraints-PM/local_move.f deleted file mode 100644 index 7a7e125..0000000 --- a/source/unres/src_MD-restraints-PM/local_move.f +++ /dev/null @@ -1,972 +0,0 @@ -c------------------------------------------------------------- - - subroutine local_move_init(debug) -crc implicit none - -c Includes - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' ! Needed by COMMON.LOCAL - include 'COMMON.GEO' ! For pi, deg2rad - include 'COMMON.LOCAL' ! For vbl - include 'COMMON.LOCMOVE' - -c INPUT arguments - logical debug - - -c Determine wheter to do some debugging output - locmove_output=debug - -c Set the init_called flag to 1 - init_called=1 - -c The following are never changed - min_theta=60.D0*deg2rad ! (0,PI) - max_theta=175.D0*deg2rad ! (0,PI) - dmin2=vbl*vbl*2.*(1.-cos(min_theta)) - dmax2=vbl*vbl*2.*(1.-cos(max_theta)) - flag=1.0D300 - small=1.0D-5 - small2=0.5*small*small - -c Not really necessary... - a_n=0 - b_n=0 - res_n=0 - - return - end - -c------------------------------------------------------------- - - subroutine local_move(n_start, n_end, PHImin, PHImax) -c Perform a local move between residues m and n (inclusive) -c PHImin and PHImax [0,PI] determine the size of the move -c Works on whatever structure is in the variables theta and phi, -c sidechain variables are left untouched -c The final structure is NOT minimized, but both the cartesian -c variables c and the angles are up-to-date at the end (no further -c chainbuild is required) -crc implicit none - -c Includes - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.MINIM' - include 'COMMON.SBRIDGE' - include 'COMMON.LOCMOVE' - -c External functions - integer move_res - external move_res - double precision ran_number - external ran_number - -c INPUT arguments - integer n_start, n_end ! First and last residues to move - double precision PHImin, PHImax ! min/max angles [0,PI] - -c Local variables - integer i,j - double precision min,max - integer iretcode - - -c Check if local_move_init was called. This assumes that it -c would not be 1 if not explicitely initialized - if (init_called.ne.1) then - write(6,*)' *** local_move_init not called!!!' - stop - endif - -c Quick check for crazy range - if (n_start.gt.n_end .or. n_start.lt.1 .or. n_end.gt.nres) then - write(6,'(a,i3,a,i3)') - + ' *** Cannot make local move between n_start = ', - + n_start,' and n_end = ',n_end - return - endif - -c Take care of end residues first... - if (n_start.eq.1) then -c Move residue 1 (completely random) - theta(3)=ran_number(min_theta,max_theta) - phi(4)=ran_number(-PI,PI) - i=2 - else - i=n_start - endif - if (n_end.eq.nres) then -c Move residue nres (completely random) - theta(nres)=ran_number(min_theta,max_theta) - phi(nres)=ran_number(-PI,PI) - j=nres-1 - else - j=n_end - endif - -c ...then go through all other residues one by one -c Start from the two extremes and converge - call chainbuild - do while (i.le.j) - min=PHImin - max=PHImax -c$$$c Move the first two residues by less than the others -c$$$ if (i-n_start.lt.3) then -c$$$ if (i-n_start.eq.0) then -c$$$ min=0.4*PHImin -c$$$ max=0.4*PHImax -c$$$ else if (i-n_start.eq.1) then -c$$$ min=0.8*PHImin -c$$$ max=0.8*PHImax -c$$$ else if (i-n_start.eq.2) then -c$$$ min=PHImin -c$$$ max=PHImax -c$$$ endif -c$$$ endif - -c The actual move, on residue i - iretcode=move_res(min,max,i) ! Discard iretcode - i=i+1 - - if (i.le.j) then - min=PHImin - max=PHImax -c$$$c Move the last two residues by less than the others -c$$$ if (n_end-j.lt.3) then -c$$$ if (n_end-j.eq.0) then -c$$$ min=0.4*PHImin -c$$$ max=0.4*PHImax -c$$$ else if (n_end-j.eq.1) then -c$$$ min=0.8*PHImin -c$$$ max=0.8*PHImax -c$$$ else if (n_end-j.eq.2) then -c$$$ min=PHImin -c$$$ max=PHImax -c$$$ endif -c$$$ endif - -c The actual move, on residue j - iretcode=move_res(min,max,j) ! Discard iretcode - j=j-1 - endif - enddo - - call int_from_cart(.false.,.false.) - - return - end - -c------------------------------------------------------------- - - subroutine output_tabs -c Prints out the contents of a_..., b_..., res_... - implicit none - -c Includes - include 'COMMON.GEO' - include 'COMMON.LOCMOVE' - -c Local variables - integer i,j - - - write(6,*)'a_...' - write(6,'(8f7.1)')(a_ang(i)*rad2deg,i=0,a_n-1) - write(6,'(8(2x,3l1,2x))')((a_tab(i,j),i=0,2),j=0,a_n-1) - - write(6,*)'b_...' - write(6,'(4f7.1)')(b_ang(i)*rad2deg,i=0,b_n-1) - write(6,'(4(2x,3l1,2x))')((b_tab(i,j),i=0,2),j=0,b_n-1) - - write(6,*)'res_...' - write(6,'(12f7.1)')(res_ang(i)*rad2deg,i=0,res_n-1) - write(6,'(12(2x,3l1,2x))')((res_tab(0,i,j),i=0,2),j=0,res_n-1) - write(6,'(12(2x,3l1,2x))')((res_tab(1,i,j),i=0,2),j=0,res_n-1) - write(6,'(12(2x,3l1,2x))')((res_tab(2,i,j),i=0,2),j=0,res_n-1) - - return - end - -c------------------------------------------------------------- - - subroutine angles2tab(PHImin,PHImax,n,ang,tab) -c Only uses angles if [0,PI] (but PHImin cannot be 0., -c and PHImax cannot be PI) - implicit none - -c Includes - include 'COMMON.GEO' - -c INPUT arguments - double precision PHImin,PHImax - -c OUTPUT arguments - integer n - double precision ang(0:3) - logical tab(0:2,0:3) - - - if (PHImin .eq. PHImax) then -c Special case with two 010's - n = 2; - ang(0) = -PHImin; - ang(1) = PHImin; - tab(0,0) = .false. - tab(2,0) = .false. - tab(0,1) = .false. - tab(2,1) = .false. - tab(1,0) = .true. - tab(1,1) = .true. - else if (PHImin .eq. PI) then -c Special case with one 010 - n = 1 - ang(0) = PI - tab(0,0) = .false. - tab(2,0) = .false. - tab(1,0) = .true. - else if (PHImax .eq. 0.) then -c Special case with one 010 - n = 1 - ang(0) = 0. - tab(0,0) = .false. - tab(2,0) = .false. - tab(1,0) = .true. - else -c Standard cases - n = 0 - if (PHImin .gt. 0.) then -c Start of range (011) - ang(n) = PHImin - tab(0,n) = .false. - tab(1,n) = .true. - tab(2,n) = .true. -c End of range (110) - ang(n+1) = -PHImin - tab(0,n+1) = .true. - tab(1,n+1) = .true. - tab(2,n+1) = .false. - n = n+2 - endif - if (PHImax .lt. PI) then -c Start of range (011) - ang(n) = -PHImax - tab(0,n) = .false. - tab(1,n) = .true. - tab(2,n) = .true. -c End of range (110) - ang(n+1) = PHImax - tab(0,n+1) = .true. - tab(1,n+1) = .true. - tab(2,n+1) = .false. - n = n+2 - endif - endif - - return - end - -c------------------------------------------------------------- - - subroutine minmax_angles(x,y,z,r,n,ang,tab) -c When solutions do not exist, assume all angles -c are acceptable - i.e., initial geometry must be correct - implicit none - -c Includes - include 'COMMON.GEO' - include 'COMMON.LOCMOVE' - -c Input arguments - double precision x,y,z,r - -c Output arguments - integer n - double precision ang(0:3) - logical tab(0:2,0:3) - -c Local variables - double precision num, denom, phi - double precision Kmin, Kmax - integer i - - - num = x*x + y*y + z*z - denom = x*x + y*y - n = 0 - if (denom .gt. 0.) then - phi = atan2(y,x) - denom = 2.*r*sqrt(denom) - num = num+r*r - Kmin = (num - dmin2)/denom - Kmax = (num - dmax2)/denom - -c Allowed values of K (else all angles are acceptable) -c -1 <= Kmin < 1 -c -1 < Kmax <= 1 - if (Kmin .gt. 1. .or. abs(Kmin-1.) .lt. small2) then - Kmin = -flag - else if (Kmin .lt. -1. .or. abs(Kmin+1.) .lt. small2) then - Kmin = PI - else - Kmin = acos(Kmin) - endif - - if (Kmax .lt. -1. .or. abs(Kmax+1.) .lt. small2) then - Kmax = flag - else if (Kmax .gt. 1. .or. abs(Kmax-1.) .lt. small2) then - Kmax = 0. - else - Kmax = acos(Kmax) - endif - - if (Kmax .lt. Kmin) Kmax = Kmin - - call angles2tab(Kmin, Kmax, n, ang, tab) - -c Add phi and check that angles are within range (-PI,PI] - do i=0,n-1 - ang(i) = ang(i)+phi - if (ang(i) .le. -PI) then - ang(i) = ang(i)+2.*PI - else if (ang(i) .gt. PI) then - ang(i) = ang(i)-2.*PI - endif - enddo - endif - - return - end - -c------------------------------------------------------------- - - subroutine construct_tab -c Take a_... and b_... values and produces the results res_... -c x_ang are assumed to be all different (diff > small) -c x_tab(1,i) must be 1 for all i (i.e., all x_ang are acceptable) - implicit none - -c Includes - include 'COMMON.LOCMOVE' - -c Local variables - integer n_max,i,j,index - logical done - double precision phi - - - n_max = a_n + b_n - if (n_max .eq. 0) then - res_n = 0 - return - endif - - do i=0,n_max-1 - do j=0,1 - res_tab(j,0,i) = .true. - res_tab(j,2,i) = .true. - res_tab(j,1,i) = .false. - enddo - enddo - - index = 0 - phi = -flag - done = .false. - do while (.not.done) - res_ang(index) = flag - -c Check a first... - do i=0,a_n-1 - if ((a_ang(i)-phi).gt.small .and. - + a_ang(i) .lt. res_ang(index)) then -c Found a lower angle - res_ang(index) = a_ang(i) -c Copy the values from a_tab into res_tab(0,,) - res_tab(0,0,index) = a_tab(0,i) - res_tab(0,1,index) = a_tab(1,i) - res_tab(0,2,index) = a_tab(2,i) -c Set default values for res_tab(1,,) - res_tab(1,0,index) = .true. - res_tab(1,1,index) = .false. - res_tab(1,2,index) = .true. - else if (abs(a_ang(i)-res_ang(index)).lt.small) then -c Found an equal angle (can only be equal to a b_ang) - res_tab(0,0,index) = a_tab(0,i) - res_tab(0,1,index) = a_tab(1,i) - res_tab(0,2,index) = a_tab(2,i) - endif - enddo -c ...then check b - do i=0,b_n-1 - if ((b_ang(i)-phi).gt.small .and. - + b_ang(i) .lt. res_ang(index)) then -c Found a lower angle - res_ang(index) = b_ang(i) -c Copy the values from b_tab into res_tab(1,,) - res_tab(1,0,index) = b_tab(0,i) - res_tab(1,1,index) = b_tab(1,i) - res_tab(1,2,index) = b_tab(2,i) -c Set default values for res_tab(0,,) - res_tab(0,0,index) = .true. - res_tab(0,1,index) = .false. - res_tab(0,2,index) = .true. - else if (abs(b_ang(i)-res_ang(index)).lt.small) then -c Found an equal angle (can only be equal to an a_ang) - res_tab(1,0,index) = b_tab(0,i) - res_tab(1,1,index) = b_tab(1,i) - res_tab(1,2,index) = b_tab(2,i) - endif - enddo - - if (res_ang(index) .eq. flag) then - res_n = index - done = .true. - else if (index .eq. n_max-1) then - res_n = n_max - done = .true. - else - phi = res_ang(index) ! Store previous angle - index = index+1 - endif - enddo - -c Fill the gaps -c First a... - index = 0 - if (a_n .gt. 0) then - do while (.not.res_tab(0,1,index)) - index=index+1 - enddo - done = res_tab(0,2,index) - do i=index+1,res_n-1 - if (res_tab(0,1,i)) then - done = res_tab(0,2,i) - else - res_tab(0,0,i) = done - res_tab(0,1,i) = done - res_tab(0,2,i) = done - endif - enddo - done = res_tab(0,0,index) - do i=index-1,0,-1 - if (res_tab(0,1,i)) then - done = res_tab(0,0,i) - else - res_tab(0,0,i) = done - res_tab(0,1,i) = done - res_tab(0,2,i) = done - endif - enddo - else - do i=0,res_n-1 - res_tab(0,0,i) = .true. - res_tab(0,1,i) = .true. - res_tab(0,2,i) = .true. - enddo - endif -c ...then b - index = 0 - if (b_n .gt. 0) then - do while (.not.res_tab(1,1,index)) - index=index+1 - enddo - done = res_tab(1,2,index) - do i=index+1,res_n-1 - if (res_tab(1,1,i)) then - done = res_tab(1,2,i) - else - res_tab(1,0,i) = done - res_tab(1,1,i) = done - res_tab(1,2,i) = done - endif - enddo - done = res_tab(1,0,index) - do i=index-1,0,-1 - if (res_tab(1,1,i)) then - done = res_tab(1,0,i) - else - res_tab(1,0,i) = done - res_tab(1,1,i) = done - res_tab(1,2,i) = done - endif - enddo - else - do i=0,res_n-1 - res_tab(1,0,i) = .true. - res_tab(1,1,i) = .true. - res_tab(1,2,i) = .true. - enddo - endif - -c Finally fill the last row with AND operation - do i=0,res_n-1 - do j=0,2 - res_tab(2,j,i) = (res_tab(0,j,i) .and. res_tab(1,j,i)) - enddo - enddo - - return - end - -c------------------------------------------------------------- - - subroutine construct_ranges(phi_n,phi_start,phi_end) -c Given the data in res_..., construct a table of -c min/max allowed angles - implicit none - -c Includes - include 'COMMON.GEO' - include 'COMMON.LOCMOVE' - -c Output arguments - integer phi_n - double precision phi_start(0:11),phi_end(0:11) - -c Local variables - logical done - integer index - - - if (res_n .eq. 0) then -c Any move is allowed - phi_n = 1 - phi_start(0) = -PI - phi_end(0) = PI - else - phi_n = 0 - index = 0 - done = .false. - do while (.not.done) -c Find start of range (01x) - done = .false. - do while (.not.done) - if (res_tab(2,0,index).or.(.not.res_tab(2,1,index))) then - index=index+1 - else - done = .true. - phi_start(phi_n) = res_ang(index) - endif - if (index .eq. res_n) done = .true. - enddo -c If a start was found (index < res_n), find the end of range (x10) -c It may not be found without wrapping around - if (index .lt. res_n) then - done = .false. - do while (.not.done) - if ((.not.res_tab(2,1,index)).or.res_tab(2,2,index)) then - index=index+1 - else - done = .true. - endif - if (index .eq. res_n) done = .true. - enddo - if (index .lt. res_n) then -c Found the end of the range - phi_end(phi_n) = res_ang(index) - phi_n=phi_n+1 - index=index+1 - if (index .eq. res_n) then - done = .true. - else - done = .false. - endif - else -c Need to wrap around - done = .true. - phi_end(phi_n) = flag - endif - endif - enddo -c Take care of the last one if need to wrap around - if (phi_end(phi_n) .eq. flag) then - index = 0 - do while ((.not.res_tab(2,1,index)).or.res_tab(2,2,index)) - index=index+1 - enddo - phi_end(phi_n) = res_ang(index) + 2.*PI - phi_n=phi_n+1 - endif - endif - - return - end - -c------------------------------------------------------------- - - subroutine fix_no_moves(phi) - implicit none - -c Includes - include 'COMMON.GEO' - include 'COMMON.LOCMOVE' - -c Output arguments - double precision phi - -c Local variables - integer index - double precision diff,temp - - -c Look for first 01x in gammas (there MUST be at least one) - diff = flag - index = 0 - do while (res_tab(1,0,index) .or. (.not.res_tab(1,1,index))) - index=index+1 - enddo - if (res_ang(index) .le. 0.D0) then ! Make sure it's from PHImax -c Try to increase PHImax - if (index .gt. 0) then - phi = res_ang(index-1) - diff = abs(res_ang(index) - res_ang(index-1)) - endif -c Look for last (corresponding) x10 - index = res_n - 1 - do while ((.not.res_tab(1,1,index)) .or. res_tab(1,2,index)) - index=index-1 - enddo - if (index .lt. res_n-1) then - temp = abs(res_ang(index) - res_ang(index+1)) - if (temp .lt. diff) then - phi = res_ang(index+1) - diff = temp - endif - endif - endif - -c If increasing PHImax didn't work, decreasing PHImin -c will (with one exception) -c Look for first x10 (there MUST be at least one) - index = 0 - do while ((.not.res_tab(1,1,index)) .or. res_tab(1,2,index)) - index=index+1 - enddo - if (res_ang(index) .lt. 0.D0) then ! Make sure it's from PHImin -c Try to decrease PHImin - if (index .lt. res_n-1) then - temp = abs(res_ang(index) - res_ang(index+1)) - if (res_ang(index+1) .le. 0.D0 .and. temp .lt. diff) then - phi = res_ang(index+1) - diff = temp - endif - endif -c Look for last (corresponding) 01x - index = res_n - 1 - do while (res_tab(1,0,index) .or. (.not.res_tab(1,1,index))) - index=index-1 - enddo - if (index .gt. 0) then - temp = abs(res_ang(index) - res_ang(index-1)) - if (res_ang(index-1) .ge. 0.D0 .and. temp .lt. diff) then - phi = res_ang(index-1) - diff = temp - endif - endif - endif - -c If it still didn't work, it must be PHImax == 0. or PHImin == PI - if (diff .eq. flag) then - index = 0 - if (res_tab(index,1,0) .or. (.not.res_tab(index,1,1)) .or. - + res_tab(index,1,2)) index = res_n - 1 -c This MUST work at this point - if (index .eq. 0) then - phi = res_ang(1) - else - phi = res_ang(index - 1) - endif - endif - - return - end - -c------------------------------------------------------------- - - integer function move_res(PHImin,PHImax,i_move) -c Moves residue i_move (in array c), leaving everything else fixed -c Starting geometry is not checked, it should be correct! -c R(,i_move) is the only residue that will move, but must have -c 1 < i_move < nres (i.e., cannot move ends) -c Whether any output is done is controlled by locmove_output -crc implicit none - -c Includes - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.GEO' - include 'COMMON.LOCMOVE' - -c External functions - double precision ran_number - external ran_number - -c Input arguments - double precision PHImin,PHImax - integer i_move - -c RETURN VALUES: -c 0: move successfull -c 1: Dmin or Dmax had to be modified -c 2: move failed - check your input geometry - - -c Local variables - double precision X(0:2),Y(0:2),Z(0:2),Orig(0:2) - double precision P(0:2) - logical no_moves,done - integer index,i,j - double precision phi,temp,radius - double precision phi_start(0:11), phi_end(0:11) - integer phi_n - -c Set up the coordinate system - do i=0,2 - Orig(i)=0.5*(c(i+1,i_move-1)+c(i+1,i_move+1)) ! Position of origin - enddo - - do i=0,2 - Z(i)=c(i+1,i_move+1)-c(i+1,i_move-1) - enddo - temp=sqrt(Z(0)*Z(0)+Z(1)*Z(1)+Z(2)*Z(2)) - do i=0,2 - Z(i)=Z(i)/temp - enddo - - do i=0,2 - X(i)=c(i+1,i_move)-Orig(i) - enddo -c radius is the radius of the circle on which c(,i_move) can move - radius=sqrt(X(0)*X(0)+X(1)*X(1)+X(2)*X(2)) - do i=0,2 - X(i)=X(i)/radius - enddo - - Y(0)=Z(1)*X(2)-X(1)*Z(2) - Y(1)=X(0)*Z(2)-Z(0)*X(2) - Y(2)=Z(0)*X(1)-X(0)*Z(1) - -c Calculate min, max angles coming from dmin, dmax to c(,i_move-2) - if (i_move.gt.2) then - do i=0,2 - P(i)=c(i+1,i_move-2)-Orig(i) - enddo - call minmax_angles(P(0)*X(0)+P(1)*X(1)+P(2)*X(2), - + P(0)*Y(0)+P(1)*Y(1)+P(2)*Y(2), - + P(0)*Z(0)+P(1)*Z(1)+P(2)*Z(2), - + radius,a_n,a_ang,a_tab) - else - a_n=0 - endif - -c Calculate min, max angles coming from dmin, dmax to c(,i_move+2) - if (i_move.lt.nres-2) then - do i=0,2 - P(i)=c(i+1,i_move+2)-Orig(i) - enddo - call minmax_angles(P(0)*X(0)+P(1)*X(1)+P(2)*X(2), - + P(0)*Y(0)+P(1)*Y(1)+P(2)*Y(2), - + P(0)*Z(0)+P(1)*Z(1)+P(2)*Z(2), - + radius,b_n,b_ang,b_tab) - else - b_n=0 - endif - -c Construct the resulting table for alpha and beta - call construct_tab() - - if (locmove_output) then - print *,'ALPHAS & BETAS TABLE' - call output_tabs() - endif - -c Check that there is at least one possible move - no_moves = .true. - if (res_n .eq. 0) then - no_moves = .false. - else - index = 0 - do while ((index .lt. res_n) .and. no_moves) - if (res_tab(2,1,index)) no_moves = .false. - index=index+1 - enddo - endif - if (no_moves) then - if (locmove_output) print *,' *** Cannot move anywhere' - move_res=2 - return - endif - -c Transfer res_... into a_... - a_n = 0 - do i=0,res_n-1 - if ( (res_tab(2,0,i).neqv.res_tab(2,1,i)) .or. - + (res_tab(2,0,i).neqv.res_tab(2,2,i)) ) then - a_ang(a_n) = res_ang(i) - do j=0,2 - a_tab(j,a_n) = res_tab(2,j,i) - enddo - a_n=a_n+1 - endif - enddo - -c Check that the PHI's are within [0,PI] - if (PHImin .lt. 0. .or. abs(PHImin) .lt. small) PHImin = -flag - if (PHImin .gt. PI .or. abs(PHImin-PI) .lt. small) PHImin = PI - if (PHImax .gt. PI .or. abs(PHImax-PI) .lt. small) PHImax = flag - if (PHImax .lt. 0. .or. abs(PHImax) .lt. small) PHImax = 0. - if (PHImax .lt. PHImin) PHImax = PHImin -c Calculate min and max angles coming from PHImin and PHImax, -c and put them in b_... - call angles2tab(PHImin, PHImax, b_n, b_ang, b_tab) -c Construct the final table - call construct_tab() - - if (locmove_output) then - print *,'FINAL TABLE' - call output_tabs() - endif - -c Check that there is at least one possible move - no_moves = .true. - if (res_n .eq. 0) then - no_moves = .false. - else - index = 0 - do while ((index .lt. res_n) .and. no_moves) - if (res_tab(2,1,index)) no_moves = .false. - index=index+1 - enddo - endif - - if (no_moves) then -c Take care of the case where no solution exists... - call fix_no_moves(phi) - if (locmove_output) then - print *,' *** Had to modify PHImin or PHImax' - print *,'phi: ',phi*rad2deg - endif - move_res=1 - else -c ...or calculate the solution -c Construct phi_start/phi_end arrays - call construct_ranges(phi_n, phi_start, phi_end) -c Choose random angle phi in allowed range(s) - temp = 0. - do i=0,phi_n-1 - temp = temp + phi_end(i) - phi_start(i) - enddo - phi = ran_number(phi_start(0),phi_start(0)+temp) - index = 0 - done = .false. - do while (.not.done) - if (phi .lt. phi_end(index)) then - done = .true. - else - index=index+1 - endif - if (index .eq. phi_n) then - done = .true. - else if (.not.done) then - phi = phi + phi_start(index) - phi_end(index-1) - endif - enddo - if (index.eq.phi_n) phi=phi_end(phi_n-1) ! Fix numerical errors - if (phi .gt. PI) phi = phi-2.*PI - - if (locmove_output) then - print *,'ALLOWED RANGE(S)' - do i=0,phi_n-1 - print *,phi_start(i)*rad2deg,phi_end(i)*rad2deg - enddo - print *,'phi: ',phi*rad2deg - endif - move_res=0 - endif - -c Re-use radius as temp variable - temp=radius*cos(phi) - radius=radius*sin(phi) - do i=0,2 - c(i+1,i_move)=Orig(i)+temp*X(i)+radius*Y(i) - enddo - - return - end - -c------------------------------------------------------------- - - subroutine loc_test -crc implicit none - -c Includes - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.LOCMOVE' - -c External functions - integer move_res - external move_res - -c Local variables - integer i,j - integer phi_n - double precision phi_start(0:11),phi_end(0:11) - double precision phi - double precision R(0:2,0:5) - - locmove_output=.true. - -c call angles2tab(30.*deg2rad,70.*deg2rad,a_n,a_ang,a_tab) -c call angles2tab(80.*deg2rad,130.*deg2rad,b_n,b_ang,b_tab) -c call minmax_angles(0.D0,3.8D0,0.D0,3.8D0,b_n,b_ang,b_tab) -c call construct_tab -c call output_tabs - -c call construct_ranges(phi_n,phi_start,phi_end) -c do i=0,phi_n-1 -c print *,phi_start(i)*rad2deg,phi_end(i)*rad2deg -c enddo - -c call fix_no_moves(phi) -c print *,'NO MOVES FOUND, BEST PHI IS',phi*rad2deg - - R(0,0)=0.D0 - R(1,0)=0.D0 - R(2,0)=0.D0 - R(0,1)=0.D0 - R(1,1)=-cos(28.D0*deg2rad) - R(2,1)=-0.5D0-sin(28.D0*deg2rad) - R(0,2)=0.D0 - R(1,2)=0.D0 - R(2,2)=-0.5D0 - R(0,3)=cos(30.D0*deg2rad) - R(1,3)=0.D0 - R(2,3)=0.D0 - R(0,4)=0.D0 - R(1,4)=0.D0 - R(2,4)=0.5D0 - R(0,5)=0.D0 - R(1,5)=cos(26.D0*deg2rad) - R(2,5)=0.5D0+sin(26.D0*deg2rad) - do i=1,5 - do j=0,2 - R(j,i)=vbl*R(j,i) - enddo - enddo -c i=move_res(R(0,1),0.D0*deg2rad,180.D0*deg2rad) - imov=nnt - i=move_res(0.D0*deg2rad,180.D0*deg2rad,imov) - print *,'RETURNED ',i - print *,(R(i,3)/vbl,i=0,2) - - return - end - -c------------------------------------------------------------- diff --git a/source/unres/src_MD-restraints-PM/map.f b/source/unres/src_MD-restraints-PM/map.f deleted file mode 100644 index 9dbe64e..0000000 --- a/source/unres/src_MD-restraints-PM/map.f +++ /dev/null @@ -1,90 +0,0 @@ - subroutine map - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MAP' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.CONTROL' - include 'COMMON.TORCNSTR' - double precision energia(0:n_ene) - character*5 angid(4) /'PHI','THETA','ALPHA','OMEGA'/ - double precision ang_list(10) - double precision g(maxvar),x(maxvar) - integer nn(10) - write (iout,'(a,i3,a)')'Energy map constructed in the following ', - & nmap,' groups of variables:' - do i=1,nmap - write (iout,'(2a,i3,a,i3)') angid(kang(i)),' of residues ', - & res1(i),' to ',res2(i) - enddo - nmax=nstep(1) - do i=2,nmap - if (nmax.lt.nstep(i)) nmax=nstep(i) - enddo - ntot=nmax**nmap - iii=0 - write (istat,'(1h#,a14,29a15)') (" ",k=1,nmap), - & (ename(print_order(k)),k=1,nprint_ene),"ETOT","GNORM" - do i=0,ntot-1 - ii=i - do j=1,nmap - nn(j)=mod(ii,nmax)+1 - ii=ii/nmax - enddo - do j=1,nmap - if (nn(j).gt.nstep(j)) goto 10 - enddo - iii=iii+1 -Cd write (iout,*) i,iii,(nn(j),j=1,nmap) - do j=1,nmap - ang_list(j)=ang_from(j) - & +(nn(j)-1)*(ang_to(j)-ang_from(j))/nstep(j) - do k=res1(j),res2(j) - goto (1,2,3,4), kang(j) - 1 phi(k)=deg2rad*ang_list(j) - if (minim) phi0(k-res1(j)+1)=deg2rad*ang_list(j) - goto 5 - 2 theta(k)=deg2rad*ang_list(j) - goto 5 - 3 alph(k)=deg2rad*ang_list(j) - goto 5 - 4 omeg(k)=deg2rad*ang_list(j) - 5 continue - enddo ! k - enddo ! j - call chainbuild - call int_from_cart1(.false.) - if (minim) then - call geom_to_var(nvar,x) - call minimize(etot,x,iretcode,nfun) - print *,'SUMSL return code is',iretcode,' eval ',nfun -c call intout - else - call zerograd - call geom_to_var(nvar,x) - endif - call etotal(energia(0)) - etot = energia(0) - nf=1 - nfl=3 - call gradient(nvar,x,nf,g,uiparm,urparm,fdum) - gnorm=0.0d0 - do k=1,nvar - gnorm=gnorm+g(k)**2 - enddo - etot=energia(0) - - gnorm=dsqrt(gnorm) -c write (iout,'(6(1pe15.5))') (ang_list(k),k=1,nmap),etot,gnorm - write (istat,'(30e15.5)') (ang_list(k),k=1,nmap), - & (energia(print_order(ii)),ii=1,nprint_ene),etot,gnorm -c write (iout,*) 'POINT',I,' ANGLES:',(ang_list(k),k=1,nmap) -c call intout -c call enerprint(energia) - 10 continue - enddo ! i - return - end diff --git a/source/unres/src_MD-restraints-PM/matmult.f b/source/unres/src_MD-restraints-PM/matmult.f deleted file mode 100644 index e9257cf..0000000 --- a/source/unres/src_MD-restraints-PM/matmult.f +++ /dev/null @@ -1,18 +0,0 @@ - SUBROUTINE MATMULT(A1,A2,A3) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - DIMENSION A1(3,3),A2(3,3),A3(3,3) - DIMENSION AI3(3,3) - DO 1 I=1,3 - DO 2 J=1,3 - A3IJ=0.0 - DO 3 K=1,3 - 3 A3IJ=A3IJ+A1(I,K)*A2(K,J) - AI3(I,J)=A3IJ - 2 CONTINUE - 1 CONTINUE - DO 4 I=1,3 - DO 4 J=1,3 - 4 A3(I,J)=AI3(I,J) - RETURN - END diff --git a/source/unres/src_MD-restraints-PM/mc.F b/source/unres/src_MD-restraints-PM/mc.F deleted file mode 100644 index 0f39d48..0000000 --- a/source/unres/src_MD-restraints-PM/mc.F +++ /dev/null @@ -1,819 +0,0 @@ - subroutine monte_carlo -C Does Boltzmann and entropic sampling without energy minimization - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' -#ifdef MPL - include 'COMMON.INFO' -#endif - include 'COMMON.GEO' - include 'COMMON.CHAIN' - include 'COMMON.MCM' - include 'COMMON.MCE' - include 'COMMON.CONTACTS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.THREAD' - include 'COMMON.NAMES' - logical accepted,not_done,over,ovrtim,error,lprint - integer MoveType,nbond,nbins - integer conf_comp - double precision RandOrPert - double precision varia(maxvar),elowest,elowest1, - & ehighest,ehighest1,eold - double precision przes(3),obr(3,3) - double precision varold(maxvar) - logical non_conv - integer moves1(-1:MaxMoveType+1,0:MaxProcs-1), - & moves_acc1(-1:MaxMoveType+1,0:MaxProcs-1) -#ifdef MPL - double precision etot_temp,etot_all(0:MaxProcs) - external d_vadd,d_vmin,d_vmax - double precision entropy1(-max_ene:max_ene), - & nhist1(-max_ene:max_ene) - integer nbond_move1(maxres*(MaxProcs+1)), - & nbond_acc1(maxres*(MaxProcs+1)),itemp(2) -#endif - double precision var_lowest(maxvar) - double precision energia(0:n_ene),energia_ave(0:n_ene) -C - write(iout,'(a,i8,2x,a,f10.5)') - & 'pool_read_freq=',pool_read_freq,' pool_fraction=',pool_fraction - open (istat,file=statname) - WhatsUp=0 - indminn=-max_ene - indmaxx=max_ene - facee=1.0D0/(maxacc*delte) -C Number of bins in energy histogram - nbins=e_up/delte-1 - write (iout,*) 'NBINS=',nbins - conste=dlog(facee) -C Read entropy from previous simulations. - if (ent_read) then - read (ientin,*) indminn,indmaxx,emin,emax - print *,'indminn=',indminn,' indmaxx=',indmaxx,' emin=',emin, - & ' emax=',emax - do i=-max_ene,max_ene - entropy(i)=0.0D0 - enddo - read (ientin,*) (ijunk,ejunk,entropy(i),i=indminn,indmaxx) - indmin=indminn - indmax=indmaxx - write (iout,*) 'indminn=',indminn,' indmaxx=',indmaxx, - & ' emin=',emin,' emax=',emax - write (iout,'(/a)') 'Initial entropy' - do i=indminn,indmaxx - write (iout,'(i5,2f10.5)') i,emin+i*delte,entropy(i) - enddo - endif ! ent_read -C Read the pool of conformations - call read_pool - elowest=1.0D+10 - ehighest=-1.0D+10 -C---------------------------------------------------------------------------- -C Entropy-sampling simulations with continually updated entropy; -C set NSWEEP=1 for Boltzmann sampling. -C Loop thru simulations -C---------------------------------------------------------------------------- - DO ISWEEP=1,NSWEEP -C -C Initialize the IFINISH array. -C -#ifdef MPL - do i=1,nctasks - ifinish(i)=0 - enddo -#endif -c--------------------------------------------------------------------------- -C Initialize counters. -c--------------------------------------------------------------------------- -C Total number of generated confs. - ngen=0 -C Total number of moves. In general this won't be equal to the number of -C attempted moves, because we may want to reject some "bad" confs just by -C overlap check. - nmove=0 -C Total number of shift (nbond_move(1)), spike, crankshaft, three-bond,... -C motions. - do i=1,nres - nbond_move(i)=0 - nbond_acc(i)=0 - enddo -C Initialize total and accepted number of moves of various kind. - do i=-1,MaxMoveType - moves(i)=0 - moves_acc(i)=0 - enddo -C Total number of energy evaluations. - neneval=0 - nfun=0 -C---------------------------------------------------------------------------- -C Take a conformation from the pool -C---------------------------------------------------------------------------- - rewind(istat) - write (iout,*) 'emin=',emin,' emax=',emax - if (npool.gt.0) then - ii=iran_num(1,npool) - do i=1,nvar - varia(i)=xpool(i,ii) - enddo - write (iout,*) 'Took conformation',ii,' from the pool energy=', - & epool(ii) - call var_to_geom(nvar,varia) -C Print internal coordinates of the initial conformation - call intout - else if (isweep.gt.1) then - if (eold.lt.emax) then - do i=1,nvar - varia(i)=varold(i) - enddo - else - do i=1,nvar - varia(i)=var_lowest(i) - enddo - endif - call var_to_geom(nvar,varia) - endif -C---------------------------------------------------------------------------- -C Compute and print initial energies. -C---------------------------------------------------------------------------- - nsave=0 - Kwita=0 - WhatsUp=0 - write (iout,'(/80(1h*)/a,i2/80(1h*)/)') 'MCE iteration #',isweep - write (iout,'(/80(1h*)/a)') 'Initial energies:' - call chainbuild - call geom_to_var(nvar,varia) - call etotal(energia(0)) - etot = energia(0) - call enerprint(energia(0)) - if (refstr) then - call fitsq(rms,c(1,nstart_seq),cref(1,nstart_sup),nsup,przes, - & obr,non_conv) - rms=dsqrt(rms) - call contact(.false.,ncont,icont,co) - frac=contact_fract(ncont,ncont_ref,icont,icont_ref) - write (iout,'(a,f8.3,a,f8.3,a,f8.3)') - & 'RMS deviation from the reference structure:',rms, - & ' % of native contacts:',frac*100,' contact order',co - write (istat,'(i10,16(1pe14.5))') 0, - & (energia(print_order(i)),i=1,nprint_ene), - & etot,rms,frac,co - else - write (istat,'(i10,14(1pe14.5))') 0, - & (energia(print_order(i)),i=1,nprint_ene),etot - endif -c close(istat) - neneval=neneval+1 - if (.not. ent_read) then -C Initialize the entropy array -#ifdef MPL -C Collect total energies from other processors. - etot_temp=etot - etot_all(0)=etot - call mp_gather(etot_temp,etot_all,8,MasterID,cgGroupID) - if (MyID.eq.MasterID) then -C Get the lowest and the highest energy. - print *,'MASTER: etot_temp: ',(etot_all(i),i=0,nprocs-1), - & ' emin=',emin,' emax=',emax - emin=1.0D10 - emax=-1.0D10 - do i=0,nprocs - if (emin.gt.etot_all(i)) emin=etot_all(i) - if (emax.lt.etot_all(i)) emax=etot_all(i) - enddo - emax=emin+e_up - endif ! MyID.eq.MasterID - etot_all(1)=emin - etot_all(2)=emax - print *,'Processor',MyID,' calls MP_BCAST to send/recv etot_all' - call mp_bcast(etot_all(1),16,MasterID,cgGroupID) - print *,'Processor',MyID,' MP_BCAST to send/recv etot_all ended' - if (MyID.ne.MasterID) then - print *,'Processor:',MyID,etot_all(1),etot_all(2), - & etot_all(1),etot_all(2) - emin=etot_all(1) - emax=etot_all(2) - endif ! MyID.ne.MasterID - write (iout,*) 'After MP_GATHER etot_temp=', - & etot_temp,' emin=',emin -#else - emin=etot - emax=emin+e_up - indminn=0 - indmin=0 -#endif - IF (MULTICAN) THEN -C Multicanonical sampling - start from Boltzmann distribution - do i=-max_ene,max_ene - entropy(i)=(emin+i*delte)*betbol - enddo - ELSE -C Entropic sampling - start from uniform distribution of the density of states - do i=-max_ene,max_ene - entropy(i)=0.0D0 - enddo - ENDIF ! MULTICAN - write (iout,'(/a)') 'Initial entropy' - do i=indminn,indmaxx - write (iout,'(i5,2f10.5)') i,emin+i*delte,entropy(i) - enddo - if (isweep.eq.1) then - emax=emin+e_up - indminn=0 - indmin=0 - indmaxx=indminn+nbins - indmax=indmaxx - endif ! isweep.eq.1 - endif ! .not. ent_read -#ifdef MPL - call recv_stop_sig(Kwita) - if (whatsup.eq.1) then - call send_stop_sig(-2) - not_done=.false. - else if (whatsup.le.-2) then - not_done=.false. - else if (whatsup.eq.2) then - not_done=.false. - else - not_done=.true. - endif -#else - not_done=.true. -#endif - write (iout,'(/80(1h*)/20x,a/80(1h*))') - & 'Enter Monte Carlo procedure.' - close(igeom) - call briefout(0,etot) - do i=1,nvar - varold(i)=varia(i) - enddo - eold=etot - call entropia(eold,sold,indeold) -C NACC is the counter for the accepted conformations of a given processor - nacc=0 -C NACC_TOT counts the total number of accepted conformations - nacc_tot=0 -C Main loop. -c---------------------------------------------------------------------------- -C Zero out average energies - do i=0,n_ene - energia_ave(i)=0.0d0 - enddo -C Initialize energy histogram - do i=-max_ene,max_ene - nhist(i)=0.0D0 - enddo ! i -C Zero out iteration counter. - it=0 - do j=1,nvar - varold(j)=varia(j) - enddo -C Begin MC iteration loop. - do while (not_done) - it=it+1 -C Initialize local counter. - ntrial=0 ! # of generated non-overlapping confs. - noverlap=0 ! # of overlapping confs. - accepted=.false. - do while (.not. accepted .and. WhatsUp.eq.0 .and. Kwita.eq.0) - ntrial=ntrial+1 -C Retrieve the angles of previously accepted conformation - do j=1,nvar - varia(j)=varold(j) - enddo - call var_to_geom(nvar,varia) -C Rebuild the chain. - call chainbuild - MoveType=0 - nbond=0 - lprint=.true. -C Decide whether to take a conformation from the pool or generate/perturb one -C randomly - from_pool=ran_number(0.0D0,1.0D0) - if (npool.gt.0 .and. from_pool.lt.pool_fraction) then -C Throw a dice to choose the conformation from the pool - ii=iran_num(1,npool) - do i=1,nvar - varia(i)=xpool(i,ii) - enddo - call var_to_geom(nvar,varia) - call chainbuild -cd call intout -cd write (iout,'(10f8.1)') (rad2deg*varia(i),i=1,nvar) - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) - & write (iout,'(a,i3,a,f10.5)') - & 'Try conformation',ii,' from the pool energy=',epool(ii) - MoveType=-1 - moves(-1)=moves(-1)+1 - else -C Decide whether to generate a random conformation or perturb the old one - RandOrPert=ran_number(0.0D0,1.0D0) - if (RandOrPert.gt.RanFract) then - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) - & write (iout,'(a)') 'Perturbation-generated conformation.' - call perturb(error,lprint,MoveType,nbond,0.1D0) - if (error) goto 20 - if (MoveType.lt.1 .or. MoveType.gt.MaxMoveType) then - write (iout,'(/a,i7,a/)') 'Error - unknown MoveType=', - & MoveType,' returned from PERTURB.' - goto 20 - endif - call chainbuild - else - MoveType=0 - moves(0)=moves(0)+1 - nstart_grow=iran_num(3,nres) - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) - & write (iout,'(2a,i3)') 'Random-generated conformation', - & ' - chain regrown from residue',nstart_grow - call gen_rand_conf(nstart_grow,*30) - endif - call geom_to_var(nvar,varia) - endif ! pool -Cd write (iout,'(10f8.1)') (rad2deg*varia(i),i=1,nvar) - ngen=ngen+1 - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) - & write (iout,'(a,i5,a,i10,a,i10)') - & 'Processor',MyId,' trial move',ntrial,' total generated:',ngen - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) - & write (*,'(a,i5,a,i10,a,i10)') - & 'Processor',MyId,' trial move',ntrial,' total generated:',ngen - call etotal(energia(0)) - etot = energia(0) - neneval=neneval+1 -cd call enerprint(energia(0)) -cd write(iout,*)'it=',it,' etot=',etot - if (etot-elowest.gt.overlap_cut) then - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) - & write (iout,'(a,i5,a,1pe14.5)') 'Iteration',it, - & ' Overlap detected in the current conf.; energy is',etot - accepted=.false. - noverlap=noverlap+1 - if (noverlap.gt.maxoverlap) then - write (iout,'(a)') 'Too many overlapping confs.' - goto 20 - endif - else -C-------------------------------------------------------------------------- -C... Acceptance test -C-------------------------------------------------------------------------- - accepted=.false. - if (WhatsUp.eq.0) - & call accept_mc(it,etot,eold,scur,sold,varia,varold,accepted) - if (accepted) then - nacc=nacc+1 - nacc_tot=nacc_tot+1 - if (elowest.gt.etot) then - elowest=etot - do i=1,nvar - var_lowest(i)=varia(i) - enddo - endif - if (ehighest.lt.etot) ehighest=etot - moves_acc(MoveType)=moves_acc(MoveType)+1 - if (MoveType.eq.1) then - nbond_acc(nbond)=nbond_acc(nbond)+1 - endif -C Compare with reference structure. - if (refstr) then - call fitsq(rms,c(1,nstart_seq),cref(1,nstart_sup), - & nsup,przes,obr,non_conv) - rms=dsqrt(rms) - call contact(.false.,ncont,icont,co) - frac=contact_fract(ncont,ncont_ref,icont,icont_ref) - endif ! refstr -C -C Periodically save average energies and confs. -C - do i=0,n_ene - energia_ave(i)=energia_ave(i)+energia(i) - enddo - moves(MaxMoveType+1)=nmove - moves_acc(MaxMoveType+1)=nacc - IF ((it/save_frequency)*save_frequency.eq.it) THEN - do i=0,n_ene - energia_ave(i)=energia_ave(i)/save_frequency - enddo - etot_ave=energia_ave(0) -C#ifdef AIX -C open (istat,file=statname,position='append') -C#else -C open (istat,file=statname,access='append') -Cendif - if (print_mc.gt.0) - & write (iout,'(80(1h*)/20x,a,i20)') - & 'Iteration #',it - if (refstr .and. print_mc.gt.0) then - write (iout,'(a,f8.3,a,f8.3,a,f8.3)') - & 'RMS deviation from the reference structure:',rms, - & ' % of native contacts:',frac*100,' contact order:',co - endif - if (print_stat) then - if (refstr) then - write (istat,'(i10,10(1pe14.5))') it, - & (energia_ave(print_order(i)),i=1,nprint_ene), - & etot_ave,rms_ave,frac_ave - else - write (istat,'(i10,10(1pe14.5))') it, - & (energia_ave(print_order(i)),i=1,nprint_ene), - & etot_ave - endif - endif -c close(istat) - if (print_mc.gt.0) - & call statprint(nacc,nfun,iretcode,etot,elowest) -C Print internal coordinates. - if (print_int) call briefout(nacc,etot) - do i=0,n_ene - energia_ave(i)=0.0d0 - enddo - ENDIF ! ( (it/save_frequency)*save_frequency.eq.it) -C Update histogram - inde=icialosc((etot-emin)/delte) - nhist(inde)=nhist(inde)+1.0D0 -#ifdef MPL - if ( (it/message_frequency)*message_frequency.eq.it - & .and. (MyID.ne.MasterID) ) then - call recv_stop_sig(Kwita) - call send_MCM_info(message_frequency) - endif -#endif -C Store the accepted conf. and its energy. - eold=etot - sold=scur - do i=1,nvar - varold(i)=varia(i) - enddo -#ifdef MPL - if (Kwita.eq.0) call recv_stop_sig(kwita) -#endif - endif ! accepted - endif ! overlap -#ifdef MPL - if (MyID.eq.MasterID .and. - & (it/message_frequency)*message_frequency.eq.it) then - call receive_MC_info - if (nacc_tot.ge.maxacc) accepted=.true. - endif -#endif -C if ((ntrial.gt.maxtrial_iter -C & .or. (it/pool_read_freq)*pool_read_freq.eq.it) -C & .and. npool.gt.0) then -C Take a conformation from the pool -C ii=iran_num(1,npool) -C do i=1,nvar -C varold(i)=xpool(i,ii) -C enddo -C if (ntrial.gt.maxtrial_iter) -C & write (iout,*) 'Iteration',it,' max. # of trials exceeded.' -C write (iout,*) -C & 'Take conformation',ii,' from the pool energy=',epool(ii) -C if (print_mc.gt.2) -C & write (iout,'(10f8.3)') (rad2deg*varold(i),i=1,nvar) -C ntrial=0 -C eold=epool(ii) -C call entropia(eold,sold,indeold) -C accepted=.true. -C endif ! (ntrial.gt.maxtrial_iter .and. npool.gt.0) - 30 continue - enddo ! accepted -#ifdef MPL - if (MyID.eq.MasterID .and. - & (it/message_frequency)*message_frequency.eq.it) then - call receive_MC_info - endif - if (Kwita.eq.0) call recv_stop_sig(kwita) -#endif - if (ovrtim()) WhatsUp=-1 -cd write (iout,*) 'WhatsUp=',WhatsUp,' Kwita=',Kwita - not_done = (nacc_tot.lt.maxacc) .and. (WhatsUp.eq.0) - & .and. (Kwita.eq.0) -cd write (iout,*) 'not_done=',not_done -#ifdef MPL - if (Kwita.lt.0) then - print *,'Processor',MyID, - & ' has received STOP signal =',Kwita,' in EntSamp.' -cd print *,'not_done=',not_done - if (Kwita.lt.-1) WhatsUp=Kwita - if (MyID.ne.MasterID) call send_MCM_info(-1) - else if (nacc_tot.ge.maxacc) then - print *,'Processor',MyID,' calls send_stop_sig,', - & ' because a sufficient # of confs. have been collected.' -cd print *,'not_done=',not_done - call send_stop_sig(-1) - if (MyID.ne.MasterID) call send_MCM_info(-1) - else if (WhatsUp.eq.-1) then - print *,'Processor',MyID, - & ' calls send_stop_sig because of timeout.' -cd print *,'not_done=',not_done - call send_stop_sig(-2) - if (MyID.ne.MasterID) call send_MCM_info(-1) - endif -#endif - enddo ! not_done - -C----------------------------------------------------------------- -C... Construct energy histogram & update entropy -C----------------------------------------------------------------- - go to 21 - 20 WhatsUp=-3 -#ifdef MPL - write (iout,*) 'Processor',MyID, - & ' is broadcasting ERROR-STOP signal.' - write (*,*) 'Processor',MyID, - & ' is broadcasting ERROR-STOP signal.' - call send_stop_sig(-3) - if (MyID.ne.MasterID) call send_MCM_info(-1) -#endif - 21 continue - write (iout,'(/a)') 'Energy histogram' - do i=-100,100 - write (iout,'(i5,2f20.5)') i,emin+i*delte,nhist(i) - enddo -#ifdef MPL -C Wait until every processor has sent complete MC info. - if (MyID.eq.MasterID) then - not_done=.true. - do while (not_done) -C write (*,*) 'The IFINISH array:' -C write (*,*) (ifinish(i),i=1,nctasks) - not_done=.false. - do i=2,nctasks - not_done=not_done.or.(ifinish(i).ge.0) - enddo - if (not_done) call receive_MC_info - enddo - endif -C Make collective histogram from the work of all processors. - msglen=(2*max_ene+1)*8 - print *, - & 'Processor',MyID,' calls MP_REDUCE to send/receive histograms', - & ' msglen=',msglen - call mp_reduce(nhist,nhist1,msglen,MasterID,d_vadd, - & cgGroupID) - print *,'Processor',MyID,' MP_REDUCE accomplished for histogr.' - do i=-max_ene,max_ene - nhist(i)=nhist1(i) - enddo -C Collect min. and max. energy - print *, - &'Processor',MyID,' calls MP_REDUCE to send/receive energy borders' - call mp_reduce(elowest,elowest1,8,MasterID,d_vmin,cgGroupID) - call mp_reduce(ehighest,ehighest1,8,MasterID,d_vmax,cgGroupID) - print *,'Processor',MyID,' MP_REDUCE accomplished for energies.' - IF (MyID.eq.MasterID) THEN - elowest=elowest1 - ehighest=ehighest1 -#endif - write (iout,'(a,i10)') '# of accepted confs:',nacc_tot - write (iout,'(a,f10.5,a,f10.5)') 'Lowest energy:',elowest, - & ' Highest energy',ehighest - indmin=icialosc((elowest-emin)/delte) - imdmax=icialosc((ehighest-emin)/delte) - if (indmin.lt.indminn) then - emax=emin+indmin*delte+e_up - indmaxx=indmin+nbins - indminn=indmin - endif - if (.not.ent_read) ent_read=.true. - write(iout,*)'indminn=',indminn,' indmaxx=',indmaxx -C Update entropy (density of states) - do i=indmin,indmax - if (nhist(i).gt.0) then - entropy(i)=entropy(i)+dlog(nhist(i)+0.0D0) - endif - enddo - write (iout,'(/80(1h*)/a,i2/80(1h*)/)') - & 'End of macroiteration',isweep - write (iout,'(a,f10.5,a,f10.5)') 'Elowest=',elowest, - & ' Ehighest=',ehighest - write (iout,'(/a)') 'Energy histogram' - do i=indminn,indmaxx - write (iout,'(i5,2f20.5)') i,emin+i*delte,nhist(i) - enddo - write (iout,'(/a)') 'Entropy' - do i=indminn,indmaxx - write (iout,'(i5,2f20.5)') i,emin+i*delte,entropy(i) - enddo -C----------------------------------------------------------------- -C... End of energy histogram construction -C----------------------------------------------------------------- -#ifdef MPL - ELSE - if (.not. ent_read) ent_read=.true. - ENDIF ! MyID .eq. MaterID - if (MyID.eq.MasterID) then - itemp(1)=indminn - itemp(2)=indmaxx - endif - print *,'before mp_bcast processor',MyID,' indminn=',indminn, - & ' indmaxx=',indmaxx,' itemp=',itemp(1),itemp(2) - call mp_bcast(itemp(1),8,MasterID,cgGroupID) - call mp_bcast(emax,8,MasterID,cgGroupID) - print *,'after mp_bcast processor',MyID,' indminn=',indminn, - & ' indmaxx=',indmaxx,' itemp=',itemp(1),itemp(2) - if (MyID .ne. MasterID) then - indminn=itemp(1) - indmaxx=itemp(2) - endif - msglen=(indmaxx-indminn+1)*8 - print *,'processor',MyID,' calling mp_bcast msglen=',msglen, - & ' indminn=',indminn,' indmaxx=',indmaxx,' isweep=',isweep - call mp_bcast(entropy(indminn),msglen,MasterID,cgGroupID) - IF(MyID.eq.MasterID .and. .not. ovrtim() .and. WhatsUp.ge.0)THEN - open (ientout,file=entname,status='unknown') - write (ientout,'(2i5,2e25.17)') indminn,indmaxx,emin,emax - do i=indminn,indmaxx - write (ientout,'(i5,f10.5,f20.15)') i,emin+i*delte,entropy(i) - enddo - close(ientout) - ELSE - write (iout,*) 'Received from master:' - write (iout,*) 'indminn=',indminn,' indmaxx=',indmaxx, - & ' emin=',emin,' emax=',emax - write (iout,'(/a)') 'Entropy' - do i=indminn,indmaxx - write (iout,'(i5,2f10.5)') i,emin+i*delte,entropy(i) - enddo - ENDIF ! MyID.eq.MasterID - print *,'Processor',MyID,' calls MP_GATHER' - call mp_gather(nbond_move,nbond_move1,4*Nbm,MasterID, - & cgGroupID) - call mp_gather(nbond_acc,nbond_acc1,4*Nbm,MasterID, - & cgGroupID) - print *,'Processor',MyID,' MP_GATHER call accomplished' - if (MyID.eq.MasterID) then - - write (iout,'(/80(1h*)/20x,a)') 'Summary run statistics:' - call statprint(nacc_tot,nfun,iretcode,etot,elowest) - write (iout,'(a)') - & 'Statistics of multiple-bond motions. Total motions:' - write (iout,'(8i10)') (nbond_move(i),i=1,Nbm) - write (iout,'(a)') 'Accepted motions:' - write (iout,'(8i10)') (nbond_acc(i),i=1,Nbm) - - write (iout,'(a)') - & 'Statistics of multi-bond moves of respective processors:' - do iproc=1,Nprocs-1 - do i=1,Nbm - ind=iproc*nbm+i - nbond_move(i)=nbond_move(i)+nbond_move1(ind) - nbond_acc(i)=nbond_acc(i)+nbond_acc1(ind) - enddo - enddo - do iproc=0,NProcs-1 - write (iout,*) 'Processor',iproc,' nbond_move:', - & (nbond_move1(iproc*nbm+i),i=1,Nbm), - & ' nbond_acc:',(nbond_acc1(iproc*nbm+i),i=1,Nbm) - enddo - endif - call mp_gather(moves,moves1,4*(MaxMoveType+3),MasterID, - & cgGroupID) - call mp_gather(moves_acc,moves_acc1,4*(MaxMoveType+3), - & MasterID,cgGroupID) - if (MyID.eq.MasterID) then - do iproc=1,Nprocs-1 - do i=-1,MaxMoveType+1 - moves(i)=moves(i)+moves1(i,iproc) - moves_acc(i)=moves_acc(i)+moves_acc1(i,iproc) - enddo - enddo - nmove=0 - do i=0,MaxMoveType+1 - nmove=nmove+moves(i) - enddo - do iproc=0,NProcs-1 - write (iout,*) 'Processor',iproc,' moves', - & (moves1(i,iproc),i=0,MaxMoveType+1), - & ' moves_acc:',(moves_acc1(i,iproc),i=0,MaxMoveType+1) - enddo - endif -#else - open (ientout,file=entname,status='unknown') - write (ientout,'(2i5,2e25.17)') indminn,indmaxx,emin,emax - do i=indminn,indmaxx - write (ientout,'(i5,f10.5,f20.15)') i,emin+i*delte,entropy(i) - enddo - close(ientout) -#endif - write (iout,'(/80(1h*)/20x,a)') 'Summary run statistics:' - call statprint(nacc_tot,nfun,iretcode,etot,elowest) - write (iout,'(a)') - & 'Statistics of multiple-bond motions. Total motions:' - write (iout,'(8i10)') (nbond_move(i),i=1,Nbm) - write (iout,'(a)') 'Accepted motions:' - write (iout,'(8i10)') (nbond_acc(i),i=1,Nbm) - if (ovrtim() .or. WhatsUp.lt.0) return - -C--------------------------------------------------------------------------- - ENDDO ! ISWEEP -C--------------------------------------------------------------------------- - - runtime=tcpu() - - if (isweep.eq.nsweep .and. it.ge.maxacc) - &write (iout,'(/80(1h*)/20x,a/80(1h*)/)') 'All iterations done.' - return - end -c------------------------------------------------------------------------------ - subroutine accept_mc(it,ecur,eold,scur,sold,x,xold,accepted) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.MCE' - include 'COMMON.IOUNITS' - include 'COMMON.VAR' -#ifdef MPL - include 'COMMON.INFO' -#endif - include 'COMMON.GEO' - double precision ecur,eold,xx,ran_number,bol - double precision x(maxvar),xold(maxvar) - logical accepted -C Check if the conformation is similar. -cd write (iout,*) 'Enter ACCEPTING' -cd write (iout,*) 'Old PHI angles:' -cd write (iout,*) (rad2deg*xold(i),i=1,nphi) -cd write (iout,*) 'Current angles' -cd write (iout,*) (rad2deg*x(i),i=1,nphi) -cd ddif=dif_ang(nphi,x,xold) -cd write (iout,*) 'Angle norm:',ddif -cd write (iout,*) 'ecur=',ecur,' emax=',emax - if (ecur.gt.emax) then - accepted=.false. - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) - & write (iout,'(a)') 'Conformation rejected as too high in energy' - return - endif -C Else evaluate the entropy of the conf and compare it with that of the previous -C one. - call entropia(ecur,scur,indecur) -cd print *,'Processor',MyID,' ecur=',ecur,' indecur=',indecur, -cd & ' scur=',scur,' eold=',eold,' sold=',sold -cd print *,'deix=',deix,' dent=',dent,' delte=',delte - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) then - write(iout,*)'it=',it,'ecur=',ecur,' indecur=',indecur, - & ' scur=',scur - write(iout,*)'eold=',eold,' sold=',sold - endif - if (scur.le.sold) then - accepted=.true. - else -C Else carry out acceptance test - xx=ran_number(0.0D0,1.0D0) - xxh=scur-sold - if (xxh.gt.50.0D0) then - bol=0.0D0 - else - bol=exp(-xxh) - endif - if (bol.gt.xx) then - accepted=.true. - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) - & write (iout,'(a)') 'Conformation accepted.' - else - accepted=.false. - if (print_mc.gt.0 .and. (it/print_freq)*print_freq.eq.it) - & write (iout,'(a)') 'Conformation rejected.' - endif - endif - return - end -c-------------------------------------------------------------------------- - integer function icialosc(x) - double precision x - if (x.lt.0.0D0) then - icialosc=dint(x)-1 - else - icialosc=dint(x) - endif - return - end -c-------------------------------------------------------------------------- - subroutine entropia(ecur,scur,indecur) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.MCE' - include 'COMMON.IOUNITS' - double precision ecur,scur - integer indecur - indecur=icialosc((ecur-emin)/delte) - if (iabs(indecur).gt.max_ene) then - if ((it/print_freq)*it.eq.it) write (iout,'(a,2i5)') - & 'Accepting: Index out of range:',indecur - scur=1000.0D0 - else if (indecur.ge.indmaxx) then - scur=entropy(indecur) - if (print_mc.gt.0 .and. (it/print_freq)*it.eq.it) - & write (iout,*)'Energy boundary reached', - & indmaxx,indecur,entropy(indecur) - else - deix=ecur-(emin+indecur*delte) - dent=entropy(indecur+1)-entropy(indecur) - scur=entropy(indecur)+(dent/delte)*deix - endif - return - end diff --git a/source/unres/src_MD-restraints-PM/mcm.F b/source/unres/src_MD-restraints-PM/mcm.F deleted file mode 100644 index d9ca9ad..0000000 --- a/source/unres/src_MD-restraints-PM/mcm.F +++ /dev/null @@ -1,1481 +0,0 @@ - subroutine mcm_setup - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.MCM' - include 'COMMON.CONTROL' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.CHAIN' - include 'COMMON.VAR' -C -C Set up variables used in MC/MCM. -C - write (iout,'(80(1h*)/20x,a/80(1h*))') 'MCM control parameters:' - write (iout,'(5(a,i7))') 'Maxacc:',maxacc,' MaxTrial:',MaxTrial, - & ' MaxRepm:',MaxRepm,' MaxGen:',MaxGen,' MaxOverlap:',MaxOverlap - write (iout,'(4(a,f8.1)/2(a,i3))') - & 'Tmin:',Tmin,' Tmax:',Tmax,' TstepH:',TstepH, - & ' TstepC:',TstepC,'NstepH:',NstepH,' NstepC:',NstepC - if (nwindow.gt.0) then - write (iout,'(a)') 'Perturbation windows:' - do i=1,nwindow - i1=winstart(i) - i2=winend(i) - it1=itype(i1) - it2=itype(i2) - write (iout,'(a,i3,a,i3,a,i3)') restyp(it1),i1,restyp(it2),i2, - & ' length',winlen(i) - enddo - endif -C Rbolt=8.3143D-3*2.388459D-01 kcal/(mol*K) - RBol=1.9858D-3 -C Number of "end bonds". - koniecl=0 -c koniecl=nphi - print *,'koniecl=',koniecl - write (iout,'(a)') 'Probabilities of move types:' - write (*,'(a)') 'Probabilities of move types:' - do i=1,MaxMoveType - write (iout,'(a,f10.5)') MovTypID(i), - & sumpro_type(i)-sumpro_type(i-1) - write (*,'(a,f10.5)') MovTypID(i), - & sumpro_type(i)-sumpro_type(i-1) - enddo - write (iout,*) -C Maximum length of N-bond segment to be moved -c nbm=nres-1-(2*koniecl-1) - if (nwindow.gt.0) then - maxwinlen=winlen(1) - do i=2,nwindow - if (winlen(i).gt.maxwinlen) maxwinlen=winlen(i) - enddo - nbm=min0(maxwinlen,6) - write (iout,'(a,i3,a,i3)') 'Nbm=',Nbm,' Maxwinlen=',Maxwinlen - else - nbm=min0(6,nres-2) - endif - sumpro_bond(0)=0.0D0 - sumpro_bond(1)=0.0D0 - do i=2,nbm - sumpro_bond(i)=sumpro_bond(i-1)+1.0D0/dfloat(i) - enddo - write (iout,'(a)') 'The SumPro_Bond array:' - write (iout,'(8f10.5)') (sumpro_bond(i),i=1,nbm) - write (*,'(a)') 'The SumPro_Bond array:' - write (*,'(8f10.5)') (sumpro_bond(i),i=1,nbm) -C Maximum number of side chains moved simultaneously -c print *,'nnt=',nnt,' nct=',nct - ngly=0 - do i=nnt,nct - if (itype(i).eq.10) ngly=ngly+1 - enddo - mmm=nct-nnt-ngly+1 - if (mmm.gt.0) then - MaxSideMove=min0((nct-nnt+1)/2,mmm) - endif -c print *,'MaxSideMove=',MaxSideMove -C Max. number of generated confs (not used at present). - maxgen=10000 -C Set initial temperature - Tcur=Tmin - betbol=1.0D0/(Rbol*Tcur) - write (iout,'(a,f8.1,a,f10.5)') 'Initial temperature:',Tcur, - & ' BetBol:',betbol - write (iout,*) 'RanFract=',ranfract - return - end -c------------------------------------------------------------------------------ -#ifndef MPI - subroutine do_mcm(i_orig) -C Monte-Carlo-with-Minimization calculations - serial code. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.CHAIN' - include 'COMMON.MCM' - include 'COMMON.CONTACTS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.CACHE' -crc include 'COMMON.DEFORM' -crc include 'COMMON.DEFORM1' - include 'COMMON.NAMES' - logical accepted,over,ovrtim,error,lprint,not_done,my_conf, - & enelower,non_conv - integer MoveType,nbond,conf_comp - integer ifeed(max_cache) - double precision varia(maxvar),varold(maxvar),elowest,eold, - & przes(3),obr(3,3) - double precision energia(0:n_ene) - double precision coord1(maxres,3) - -C--------------------------------------------------------------------------- -C Initialize counters. -C--------------------------------------------------------------------------- -C Total number of generated confs. - ngen=0 -C Total number of moves. In general this won't be equal to the number of -C attempted moves, because we may want to reject some "bad" confs just by -C overlap check. - nmove=0 -C Total number of temperature jumps. - ntherm=0 -C Total number of shift (nbond_move(1)), spike, crankshaft, three-bond,... -C motions. - ncache=0 - do i=1,nres - nbond_move(i)=0 - enddo -C Initialize total and accepted number of moves of various kind. - do i=0,MaxMoveType - moves(i)=0 - moves_acc(i)=0 - enddo -C Total number of energy evaluations. - neneval=0 - nfun=0 - nsave=0 - - write (iout,*) 'RanFract=',RanFract - - WhatsUp=0 - Kwita=0 - -c---------------------------------------------------------------------------- -C Compute and print initial energies. -c---------------------------------------------------------------------------- - call intout - write (iout,'(/80(1h*)/a)') 'Initial energies:' - call chainbuild - nf=0 - - call etotal(energia(0)) - etot = energia(0) -C Minimize the energy of the first conformation. - if (minim) then - call geom_to_var(nvar,varia) -! write (iout,*) 'The VARIA array' -! write (iout,'(8f10.4)') (rad2deg*varia(i),i=1,nvar) - call minimize(etot,varia,iretcode,nfun) - call var_to_geom(nvar,varia) - call chainbuild - write (iout,*) 'etot from MINIMIZE:',etot -! write (iout,*) 'Tha VARIA array' -! write (iout,'(8f10.4)') (rad2deg*varia(i),i=1,nvar) - - call etotal(energia(0)) - etot=energia(0) - call enerprint(energia(0)) - endif - if (refstr) then - call fitsq(rms,c(1,nstart_seq),cref(1,nstart_sup),nsup,przes, - & obr,non_conv) - rms=dsqrt(rms) - call contact(.false.,ncont,icont,co) - frac=contact_fract(ncont,ncont_ref,icont,icont_ref) - write (iout,'(a,f8.3,a,f8.3,a,f8.3)') - & 'RMS deviation from the reference structure:',rms, - & ' % of native contacts:',frac*100,' contact order:',co - if (print_stat) - & write (istat,'(i5,17(1pe14.5))') 0, - & (energia(print_order(i)),i=1,nprint_ene), - & etot,rms,frac,co - else - if (print_stat) write (istat,'(i5,16(1pe14.5))') 0, - & (energia(print_order(i)),i=1,nprint_ene),etot - endif - if (print_stat) close(istat) - neneval=neneval+nfun+1 - write (iout,'(/80(1h*)/20x,a/80(1h*))') - & 'Enter Monte Carlo procedure.' - if (print_int) then - close(igeom) - call briefout(0,etot) - endif - eold=etot - do i=1,nvar - varold(i)=varia(i) - enddo - elowest=etot - call zapis(varia,etot) - nacc=0 ! total # of accepted confs of the current processor. - nacc_tot=0 ! total # of accepted confs of all processors. - - not_done = (iretcode.ne.11) - -C---------------------------------------------------------------------------- -C Main loop. -c---------------------------------------------------------------------------- - it=0 - nout=0 - do while (not_done) - it=it+1 - write (iout,'(80(1h*)/20x,a,i7)') - & 'Beginning iteration #',it -C Initialize local counter. - ntrial=0 ! # of generated non-overlapping confs. - accepted=.false. - do while (.not. accepted) - -C Retrieve the angles of previously accepted conformation - noverlap=0 ! # of overlapping confs. - do j=1,nvar - varia(j)=varold(j) - enddo - call var_to_geom(nvar,varia) -C Rebuild the chain. - call chainbuild -C Heat up the system, if necessary. - call heat(over) -C If temperature cannot be further increased, stop. - if (over) goto 20 - MoveType=0 - nbond=0 - lprint=.true. -cd write (iout,'(a)') 'Old variables:' -cd write (iout,'(10f8.1)') (rad2deg*varia(i),i=1,nvar) -C Decide whether to generate a random conformation or perturb the old one - RandOrPert=ran_number(0.0D0,1.0D0) - if (RandOrPert.gt.RanFract) then - if (print_mc.gt.0) - & write (iout,'(a)') 'Perturbation-generated conformation.' - call perturb(error,lprint,MoveType,nbond,1.0D0) - if (error) goto 20 - if (MoveType.lt.1 .or. MoveType.gt.MaxMoveType) then - write (iout,'(/a,i7,a/)') 'Error - unknown MoveType=', - & MoveType,' returned from PERTURB.' - goto 20 - endif - call chainbuild - else - MoveType=0 - moves(0)=moves(0)+1 - nstart_grow=iran_num(3,nres) - if (print_mc.gt.0) - & write (iout,'(2a,i3)') 'Random-generated conformation', - & ' - chain regrown from residue',nstart_grow - call gen_rand_conf(nstart_grow,*30) - endif - call geom_to_var(nvar,varia) -cd write (iout,'(a)') 'New variables:' -cd write (iout,'(10f8.1)') (rad2deg*varia(i),i=1,nvar) - ngen=ngen+1 - - call etotal(energia(0)) - etot=energia(0) -c call enerprint(energia(0)) -c write (iout,'(2(a,1pe14.5))') 'Etot=',Etot,' Elowest=',Elowest - if (etot-elowest.gt.overlap_cut) then - if(iprint.gt.1.or.etot.lt.1d20) - & write (iout,'(a,1pe14.5)') - & 'Overlap detected in the current conf.; energy is',etot - neneval=neneval+1 - accepted=.false. - noverlap=noverlap+1 - if (noverlap.gt.maxoverlap) then - write (iout,'(a)') 'Too many overlapping confs.' - goto 20 - endif - else - if (minim) then - call minimize(etot,varia,iretcode,nfun) -cd write (iout,*) 'etot from MINIMIZE:',etot -cd write (iout,'(a)') 'Variables after minimization:' -cd write (iout,'(10f8.1)') (rad2deg*varia(i),i=1,nvar) - - call etotal(energia(0)) - etot = energia(0) - neneval=neneval+nfun+2 - endif -c call enerprint(energia(0)) - write (iout,'(a,i6,a,1pe16.6)') 'Conformation:',ngen, - & ' energy:',etot -C-------------------------------------------------------------------------- -C... Do Metropolis test -C-------------------------------------------------------------------------- - accepted=.false. - my_conf=.false. - - if (WhatsUp.eq.0 .and. Kwita.eq.0) then - call metropolis(nvar,varia,varold,etot,eold,accepted, - & my_conf,EneLower) - endif - write (iout,*) 'My_Conf=',My_Conf,' EneLower=',EneLower - if (accepted) then - - nacc=nacc+1 - nacc_tot=nacc_tot+1 - if (elowest.gt.etot) elowest=etot - moves_acc(MoveType)=moves_acc(MoveType)+1 - if (MoveType.eq.1) then - nbond_acc(nbond)=nbond_acc(nbond)+1 - endif -C Check against conformation repetitions. - irepet=conf_comp(varia,etot) - if (print_stat) then -#if defined(AIX) || defined(PGI) - open (istat,file=statname,position='append') -#else - open (istat,file=statname,access='append') -#endif - endif - call statprint(nacc,nfun,iretcode,etot,elowest) - if (refstr) then - call var_to_geom(nvar,varia) - call chainbuild - call fitsq(rms,c(1,nstart_seq),cref(1,nstart_sup), - & nsup,przes,obr,non_conv) - rms=dsqrt(rms) - call contact(.false.,ncont,icont,co) - frac=contact_fract(ncont,ncont_ref,icont,icont_ref) - write (iout,'(a,f8.3,a,f8.3)') - & 'RMS deviation from the reference structure:',rms, - & ' % of native contacts:',frac*100,' contact order',co - endif ! refstr - if (My_Conf) then - nout=nout+1 - write (iout,*) 'Writing new conformation',nout - if (refstr) then - write (istat,'(i5,16(1pe14.5))') nout, - & (energia(print_order(i)),i=1,nprint_ene), - & etot,rms,frac - else - if (print_stat) - & write (istat,'(i5,17(1pe14.5))') nout, - & (energia(print_order(i)),i=1,nprint_ene),etot - endif ! refstr - if (print_stat) close(istat) -C Print internal coordinates. - if (print_int) call briefout(nout,etot) -C Accumulate the newly accepted conf in the coord1 array, if it is different -C from all confs that are already there. - call compare_s1(n_thr,max_thread2,etot,varia,ii, - & enetb1,coord1,rms_deform,.true.,iprint) - write (iout,*) 'After compare_ss: n_thr=',n_thr - if (ii.eq.1 .or. ii.eq.3) then - write (iout,'(8f10.4)') - & (rad2deg*coord1(i,n_thr),i=1,nvar) - endif - else - write (iout,*) 'Conformation from cache, not written.' - endif ! My_Conf - - if (nrepm.gt.maxrepm) then - write (iout,'(a)') 'Too many conformation repetitions.' - goto 20 - endif -C Store the accepted conf. and its energy. - eold=etot - do i=1,nvar - varold(i)=varia(i) - enddo - if (irepet.eq.0) call zapis(varia,etot) -C Lower the temperature, if necessary. - call cool - - else - - ntrial=ntrial+1 - endif ! accepted - endif ! overlap - - 30 continue - enddo ! accepted -C Check for time limit. - if (ovrtim()) WhatsUp=-1 - not_done = (nacc_tot.lt.maxacc) .and. (WhatsUp.eq.0) - & .and. (Kwita.eq.0) - - enddo ! not_done - goto 21 - 20 WhatsUp=-3 - - 21 continue - runtime=tcpu() - write (iout,'(/80(1h*)/20x,a)') 'Summary run statistics:' - call statprint(nacc,nfun,iretcode,etot,elowest) - write (iout,'(a)') - & 'Statistics of multiple-bond motions. Total motions:' - write (iout,'(16i5)') (nbond_move(i),i=1,Nbm) - write (iout,'(a)') 'Accepted motions:' - write (iout,'(16i5)') (nbond_acc(i),i=1,Nbm) - if (it.ge.maxacc) - &write (iout,'(/80(1h*)/20x,a/80(1h*)/)') 'All iterations done.' - - return - end -#endif -#ifdef MPI -c------------------------------------------------------------------------------ - subroutine do_mcm(i_orig) -C Monte-Carlo-with-Minimization calculations - parallel code. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'mpif.h' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.CHAIN' - include 'COMMON.MCM' - include 'COMMON.CONTACTS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.INFO' - include 'COMMON.CACHE' -crc include 'COMMON.DEFORM' -crc include 'COMMON.DEFORM1' -crc include 'COMMON.DEFORM2' - include 'COMMON.MINIM' - include 'COMMON.NAMES' - logical accepted,over,ovrtim,error,lprint,not_done,similar, - & enelower,non_conv,flag,finish - integer MoveType,nbond,conf_comp - double precision varia(maxvar),varold(maxvar),elowest,eold, - & x1(maxvar), varold1(maxvar), przes(3),obr(3,3) - integer iparentx(max_threadss2) - integer iparentx1(max_threadss2) - integer imtasks(150),imtasks_n - double precision energia(0:n_ene) - - print *,'Master entered DO_MCM' - nodenum = nprocs - - finish=.false. - imtasks_n=0 - do i=1,nodenum-1 - imtasks(i)=0 - enddo -C--------------------------------------------------------------------------- -C Initialize counters. -C--------------------------------------------------------------------------- -C Total number of generated confs. - ngen=0 -C Total number of moves. In general this won`t be equal to the number of -C attempted moves, because we may want to reject some "bad" confs just by -C overlap check. - nmove=0 -C Total number of temperature jumps. - ntherm=0 -C Total number of shift (nbond_move(1)), spike, crankshaft, three-bond,... -C motions. - ncache=0 - do i=1,nres - nbond_move(i)=0 - enddo -C Initialize total and accepted number of moves of various kind. - do i=0,MaxMoveType - moves(i)=0 - moves_acc(i)=0 - enddo -C Total number of energy evaluations. - neneval=0 - nfun=0 - nsave=0 -c write (iout,*) 'RanFract=',RanFract - WhatsUp=0 - Kwita=0 -c---------------------------------------------------------------------------- -C Compute and print initial energies. -c---------------------------------------------------------------------------- - call intout - write (iout,'(/80(1h*)/a)') 'Initial energies:' - call chainbuild - nf=0 - call etotal(energia(0)) - etot = energia(0) - call enerprint(energia(0)) -C Request energy computation from slave processors. - call geom_to_var(nvar,varia) -! write (iout,*) 'The VARIA array' -! write (iout,'(8f10.4)') (rad2deg*varia(i),i=1,nvar) - call minimize(etot,varia,iretcode,nfun) - call var_to_geom(nvar,varia) - call chainbuild - write (iout,*) 'etot from MINIMIZE:',etot -! write (iout,*) 'Tha VARIA array' -! write (iout,'(8f10.4)') (rad2deg*varia(i),i=1,nvar) - neneval=0 - eneglobal=1.0d99 - if (print_mc .gt. 0) write (iout,'(/80(1h*)/20x,a/80(1h*))') - & 'Enter Monte Carlo procedure.' - if (print_mc .gt. 0) write (iout,'(i5,1pe14.5)' ) i_orig,etot - eold=etot - do i=1,nvar - varold(i)=varia(i) - enddo - elowest=etot - call zapis(varia,etot) -c diagnostics - call var_to_geom(nvar,varia) - call chainbuild - call etotal(energia(0)) - if (print_mc.gt.0) write (iout,*) 'Initial energy:',etot -c end diagnostics - nacc=0 ! total # of accepted confs of the current processor. - nacc_tot=0 ! total # of accepted confs of all processors. - not_done=.true. -C---------------------------------------------------------------------------- -C Main loop. -c---------------------------------------------------------------------------- - it=0 - nout=0 - LOOP1:do while (not_done) - it=it+1 - if (print_mc.gt.0) write (iout,'(80(1h*)/20x,a,i7)') - & 'Beginning iteration #',it -C Initialize local counter. - ntrial=0 ! # of generated non-overlapping confs. - noverlap=0 ! # of overlapping confs. - accepted=.false. - LOOP2:do while (.not. accepted) - - LOOP3:do while (imtasks_n.lt.nodenum-1.and..not.finish) - do i=1,nodenum-1 - if(imtasks(i).eq.0) then - is=i - exit - endif - enddo -C Retrieve the angles of previously accepted conformation - do j=1,nvar - varia(j)=varold(j) - enddo - call var_to_geom(nvar,varia) -C Rebuild the chain. - call chainbuild -C Heat up the system, if necessary. - call heat(over) -C If temperature cannot be further increased, stop. - if (over) then - finish=.true. - endif - MoveType=0 - nbond=0 -c write (iout,'(a)') 'Old variables:' -c write (iout,'(10f8.1)') (rad2deg*varia(i),i=1,nvar) -C Decide whether to generate a random conformation or perturb the old one - RandOrPert=ran_number(0.0D0,1.0D0) - if (RandOrPert.gt.RanFract) then - if (print_mc.gt.0) - & write (iout,'(a)') 'Perturbation-generated conformation.' - call perturb(error,lprint,MoveType,nbond,1.0D0) -c print *,'after perturb',error,finish - if (error) finish = .true. - if (MoveType.lt.1 .or. MoveType.gt.MaxMoveType) then - write (iout,'(/a,i7,a/)') 'Error - unknown MoveType=', - & MoveType,' returned from PERTURB.' - finish=.true. - write (*,'(/a,i7,a/)') 'Error - unknown MoveType=', - & MoveType,' returned from PERTURB.' - endif - call chainbuild - else - MoveType=0 - moves(0)=moves(0)+1 - nstart_grow=iran_num(3,nres) - if (print_mc.gt.0) - & write (iout,'(2a,i3)') 'Random-generated conformation', - & ' - chain regrown from residue',nstart_grow - call gen_rand_conf(nstart_grow,*30) - endif - call geom_to_var(nvar,varia) - ngen=ngen+1 -c print *,'finish=',finish - if (etot-elowest.gt.overlap_cut) then - if (print_mc.gt.1) write (iout,'(a,1pe14.5)') - & 'Overlap detected in the current conf.; energy is',etot - if(iprint.gt.1.or.etot.lt.1d19) print *, - & 'Overlap detected in the current conf.; energy is',etot - neneval=neneval+1 - accepted=.false. - noverlap=noverlap+1 - if (noverlap.gt.maxoverlap) then - write (iout,*) 'Too many overlapping confs.', - & ' etot, elowest, overlap_cut', etot, elowest, overlap_cut - finish=.true. - endif - else if (.not. finish) then -C Distribute tasks to processors -c print *,'Master sending order' - call MPI_SEND(12, 1, MPI_INTEGER, is, tag, - & CG_COMM, ierr) -c write (iout,*) '12: tag=',tag -c print *,'Master sent order to processor',is - call MPI_SEND(it, 1, MPI_INTEGER, is, tag, - & CG_COMM, ierr) -c write (iout,*) 'it: tag=',tag - call MPI_SEND(eold, 1, MPI_DOUBLE_PRECISION, is, tag, - & CG_COMM, ierr) -c write (iout,*) 'eold: tag=',tag - call MPI_SEND(varia(1), nvar, MPI_DOUBLE_PRECISION, - & is, tag, - & CG_COMM, ierr) -c write (iout,*) 'varia: tag=',tag - call MPI_SEND(varold(1), nvar, MPI_DOUBLE_PRECISION, - & is, tag, - & CG_COMM, ierr) -c write (iout,*) 'varold: tag=',tag -#ifdef AIX - call flush_(iout) -#else - call flush(iout) -#endif - imtasks(is)=1 - imtasks_n=imtasks_n+1 -C End distribution - endif ! overlap - enddo LOOP3 - - flag = .false. - LOOP_RECV:do while(.not.flag) - do is=1, nodenum-1 - call MPI_IPROBE(is,tag,CG_COMM,flag,status,ierr) - if(flag) then - call MPI_RECV(iitt, 1, MPI_INTEGER, is, tag, - & CG_COMM, status, ierr) - call MPI_RECV(eold1, 1, MPI_DOUBLE_PRECISION, is, tag, - & CG_COMM, status, ierr) - call MPI_RECV(etot, 1, MPI_DOUBLE_PRECISION, is, tag, - & CG_COMM, status, ierr) - call MPI_RECV(varia(1), nvar, MPI_DOUBLE_PRECISION,is,tag, - & CG_COMM, status, ierr) - call MPI_RECV(varold1(1), nvar, MPI_DOUBLE_PRECISION, is, - & tag, CG_COMM, status, ierr) - call MPI_RECV(ii_grnum_d, 1, MPI_INTEGER, is, tag, - & CG_COMM, status, ierr) - call MPI_RECV(ii_ennum_d, 1, MPI_INTEGER, is, tag, - & CG_COMM, status, ierr) - call MPI_RECV(ii_hesnum_d, 1, MPI_INTEGER, is, tag, - & CG_COMM, status, ierr) - i_grnum_d=i_grnum_d+ii_grnum_d - i_ennum_d=i_ennum_d+ii_ennum_d - neneval = neneval+ii_ennum_d - i_hesnum_d=i_hesnum_d+ii_hesnum_d - i_minimiz=i_minimiz+1 - imtasks(is)=0 - imtasks_n=imtasks_n-1 - exit - endif - enddo - enddo LOOP_RECV - - if(print_mc.gt.0) write (iout,'(a,i6,a,i6,a,i6,a,1pe16.6)') - & 'From Worker #',is,' iitt',iitt, - & ' Conformation:',ngen,' energy:',etot -C-------------------------------------------------------------------------- -C... Do Metropolis test -C-------------------------------------------------------------------------- - call metropolis(nvar,varia,varold1,etot,eold1,accepted, - & similar,EneLower) - if(iitt.ne.it.and..not.similar) then - call metropolis(nvar,varia,varold,etot,eold,accepted, - & similar,EneLower) - accepted=enelower - endif - if(etot.lt.eneglobal)eneglobal=etot -c if(mod(it,100).eq.0) - write(iout,*)'CHUJOJEB ',neneval,eneglobal - if (accepted) then -C Write the accepted conformation. - nout=nout+1 - if (refstr) then - call var_to_geom(nvar,varia) - call chainbuild - call fitsq(rms,c(1,nstart_seq),cref(1,nstart_sup), - & nsup,przes,obr,non_conv) - rms=dsqrt(rms) - call contact(.false.,ncont,icont,co) - frac=contact_fract(ncont,ncont_ref,icont,icont_ref) - write (iout,'(a,f8.3,a,f8.3,a,f8.3)') - & 'RMS deviation from the reference structure:',rms, - & ' % of native contacts:',frac*100,' contact order:',co - endif ! refstr - if (print_mc.gt.0) - & write (iout,*) 'Writing new conformation',nout - if (print_stat) then - call var_to_geom(nvar,varia) -#if defined(AIX) || defined(PGI) - open (istat,file=statname,position='append') -#else - open (istat,file=statname,access='append') -#endif - if (refstr) then - write (istat,'(i5,16(1pe14.5))') nout, - & (energia(print_order(i)),i=1,nprint_ene), - & etot,rms,frac - else - write (istat,'(i5,16(1pe14.5))') nout, - & (energia(print_order(i)),i=1,nprint_ene),etot - endif ! refstr - close(istat) - endif ! print_stat -C Print internal coordinates. - if (print_int) call briefout(nout,etot) - nacc=nacc+1 - nacc_tot=nacc_tot+1 - if (elowest.gt.etot) elowest=etot - moves_acc(MoveType)=moves_acc(MoveType)+1 - if (MoveType.eq.1) then - nbond_acc(nbond)=nbond_acc(nbond)+1 - endif -C Check against conformation repetitions. - irepet=conf_comp(varia,etot) - if (nrepm.gt.maxrepm) then - if (print_mc.gt.0) - & write (iout,'(a)') 'Too many conformation repetitions.' - finish=.true. - endif -C Store the accepted conf. and its energy. - eold=etot - do i=1,nvar - varold(i)=varia(i) - enddo - if (irepet.eq.0) call zapis(varia,etot) -C Lower the temperature, if necessary. - call cool - else - ntrial=ntrial+1 - endif ! accepted - 30 continue - if(finish.and.imtasks_n.eq.0)exit LOOP2 - enddo LOOP2 ! accepted -C Check for time limit. - not_done = (it.lt.max_mcm_it) .and. (nacc_tot.lt.maxacc) - if(.not.not_done .or. finish) then - if(imtasks_n.gt.0) then - not_done=.true. - else - not_done=.false. - endif - finish=.true. - endif - enddo LOOP1 ! not_done - runtime=tcpu() - if (print_mc.gt.0) then - write (iout,'(/80(1h*)/20x,a)') 'Summary run statistics:' - call statprint(nacc,nfun,iretcode,etot,elowest) - write (iout,'(a)') - & 'Statistics of multiple-bond motions. Total motions:' - write (iout,'(16i5)') (nbond_move(i),i=1,Nbm) - write (iout,'(a)') 'Accepted motions:' - write (iout,'(16i5)') (nbond_acc(i),i=1,Nbm) - if (it.ge.maxacc) - &write (iout,'(/80(1h*)/20x,a/80(1h*)/)') 'All iterations done.' - endif -#ifdef AIX - call flush_(iout) -#else - call flush(iout) -#endif - do is=1,nodenum-1 - call MPI_SEND(999, 1, MPI_INTEGER, is, tag, - & CG_COMM, ierr) - enddo - return - end -c------------------------------------------------------------------------------ - subroutine execute_slave(nodeinfo,iprint) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'mpif.h' - include 'COMMON.TIME1' - include 'COMMON.IOUNITS' -crc include 'COMMON.DEFORM' -crc include 'COMMON.DEFORM1' -crc include 'COMMON.DEFORM2' - include 'COMMON.LOCAL' - include 'COMMON.VAR' - include 'COMMON.INFO' - include 'COMMON.MINIM' - character*10 nodeinfo - double precision x(maxvar),x1(maxvar) - nodeinfo='chujwdupe' -c print *,'Processor:',MyID,' Entering execute_slave' - tag=0 -c call MPI_SEND(nodeinfo, 10, MPI_CHARACTER, 0, tag, -c & CG_COMM, ierr) - -1001 call MPI_RECV(i_switch, 1, MPI_INTEGER, 0, tag, - & CG_COMM, status, ierr) -c write(iout,*)'12: tag=',tag - if(iprint.ge.2)print *, MyID,' recv order ',i_switch - if (i_switch.eq.12) then - i_grnum_d=0 - i_ennum_d=0 - i_hesnum_d=0 - call MPI_RECV(iitt, 1, MPI_INTEGER, 0, tag, - & CG_COMM, status, ierr) -c write(iout,*)'12: tag=',tag - call MPI_RECV(ener, 1, MPI_DOUBLE_PRECISION, 0, tag, - & CG_COMM, status, ierr) -c write(iout,*)'ener: tag=',tag - call MPI_RECV(x(1), nvar, MPI_DOUBLE_PRECISION, 0, tag, - & CG_COMM, status, ierr) -c write(iout,*)'x: tag=',tag - call MPI_RECV(x1(1), nvar, MPI_DOUBLE_PRECISION, 0, tag, - & CG_COMM, status, ierr) -c write(iout,*)'x1: tag=',tag -#ifdef AIX - call flush_(iout) -#else - call flush(iout) -#endif -c print *,'calling minimize' - call minimize(energyx,x,iretcode,nfun) - if(iprint.gt.0) - & write(iout,100)'minimized energy = ',energyx, - & ' # funeval:',nfun,' iret ',iretcode - write(*,100)'minimized energy = ',energyx, - & ' # funeval:',nfun,' iret ',iretcode - 100 format(a20,f10.5,a12,i5,a6,i2) - if(iretcode.eq.10) then - do iminrep=2,3 - if(iprint.gt.1) - & write(iout,*)' ... not converged - trying again ',iminrep - call minimize(energyx,x,iretcode,nfun) - if(iprint.gt.1) - & write(iout,*)'minimized energy = ',energyx, - & ' # funeval:',nfun,' iret ',iretcode - if(iretcode.ne.10)go to 812 - enddo - if(iretcode.eq.10) then - if(iprint.gt.1) - & write(iout,*)' ... not converged again - giving up' - go to 812 - endif - endif -812 continue -c print *,'Sending results' - call MPI_SEND(iitt, 1, MPI_INTEGER, 0, tag, - & CG_COMM, ierr) - call MPI_SEND(ener, 1, MPI_DOUBLE_PRECISION, 0, tag, - & CG_COMM, ierr) - call MPI_SEND(energyx, 1, MPI_DOUBLE_PRECISION, 0, tag, - & CG_COMM, ierr) - call MPI_SEND(x(1), nvar, MPI_DOUBLE_PRECISION, 0, tag, - & CG_COMM, ierr) - call MPI_SEND(x1(1), nvar, MPI_DOUBLE_PRECISION, 0, tag, - & CG_COMM, ierr) - call MPI_SEND(i_grnum_d, 1, MPI_INTEGER, 0, tag, - & CG_COMM, ierr) - call MPI_SEND(nfun, 1, MPI_INTEGER, 0, tag, - & CG_COMM, ierr) - call MPI_SEND(i_hesnum_d, 1, MPI_INTEGER, 0, tag, - & CG_COMM, ierr) -c print *,'End sending' - go to 1001 - endif - - return - end -#endif -c------------------------------------------------------------------------------ - subroutine statprint(it,nfun,iretcode,etot,elowest) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CONTROL' - include 'COMMON.MCM' - if (minim) then - write (iout, - & '(80(1h*)/a,i5,a,1pe14.5,a,1pe14.5/a,i3,a,i10,a,i5,a,i5)') - & 'Finished iteration #',it,' energy is',etot, - & ' lowest energy:',elowest, - & 'SUMSL return code:',iretcode, - & ' # of energy evaluations:',neneval, - & '# of temperature jumps:',ntherm, - & ' # of minima repetitions:',nrepm - else - write (iout,'(80(1h*)/a,i8,a,1pe14.5,a,1pe14.5)') - & 'Finished iteration #',it,' energy is',etot, - & ' lowest energy:',elowest - endif - write (iout,'(/4a)') - & 'Kind of move ',' total',' accepted', - & ' fraction' - write (iout,'(58(1h-))') - do i=-1,MaxMoveType - if (moves(i).eq.0) then - fr_mov_i=0.0d0 - else - fr_mov_i=dfloat(moves_acc(i))/dfloat(moves(i)) - endif - write(iout,'(a,2i15,f10.5)')MovTypID(i),moves(i),moves_acc(i), - & fr_mov_i - enddo - write (iout,'(a,2i15,f10.5)') 'total ',nmove,nacc_tot, - & dfloat(nacc_tot)/dfloat(nmove) - write (iout,'(58(1h-))') - write (iout,'(a,1pe12.4)') 'Elapsed time:',tcpu() - return - end -c------------------------------------------------------------------------------ - subroutine heat(over) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.IOUNITS' - logical over -C Check if there`s a need to increase temperature. - if (ntrial.gt.maxtrial) then - if (NstepH.gt.0) then - if (dabs(Tcur-TMax).lt.1.0D-7) then - if (print_mc.gt.0) - & write (iout,'(/80(1h*)/a,f8.3,a/80(1h*))') - & 'Upper limit of temperature reached. Terminating.' - over=.true. - Tcur=Tmin - else - Tcur=Tcur*TstepH - if (Tcur.gt.Tmax) Tcur=Tmax - betbol=1.0D0/(Rbol*Tcur) - if (print_mc.gt.0) - & write (iout,'(/80(1h*)/a,f8.3,a,f10.5/80(1h*))') - & 'System heated up to ',Tcur,' K; BetBol:',betbol - ntherm=ntherm+1 - ntrial=0 - over=.false. - endif - else - if (print_mc.gt.0) - & write (iout,'(a)') - & 'Maximum number of trials in a single MCM iteration exceeded.' - over=.true. - Tcur=Tmin - endif - else - over=.false. - endif - return - end -c------------------------------------------------------------------------------ - subroutine cool - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.IOUNITS' - if (nstepC.gt.0 .and. dabs(Tcur-Tmin).gt.1.0D-7) then - Tcur=Tcur/TstepC - if (Tcur.lt.Tmin) Tcur=Tmin - betbol=1.0D0/(Rbol*Tcur) - if (print_mc.gt.0) - & write (iout,'(/80(1h*)/a,f8.3,a,f10.5/80(1h*))') - & 'System cooled down up to ',Tcur,' K; BetBol:',betbol - endif - return - end -C--------------------------------------------------------------------------- - subroutine zapis(varia,etot) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MP - include 'mpif.h' - include 'COMMON.INFO' -#endif - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.MCM' - include 'COMMON.IOUNITS' - integer itemp(maxsave) - double precision varia(maxvar) - logical lprint - lprint=.false. - if (lprint) then - write (iout,'(a,i5,a,i5)') 'Enter ZAPIS NSave=',Nsave, - & ' MaxSave=',MaxSave - write (iout,'(a)') 'Current energy and conformation:' - write (iout,'(1pe14.5)') etot - write (iout,'(10f8.3)') (rad2deg*varia(i),i=1,nvar) - endif -C Shift the contents of the esave and varsave arrays if filled up. - call add2cache(maxvar,maxsave,nsave,nvar,MyID,itemp, - & etot,varia,esave,varsave) - if (lprint) then - write (iout,'(a)') 'Energies and the VarSave array.' - do i=1,nsave - write (iout,'(i5,1pe14.5)') i,esave(i) - write (iout,'(10f8.3)') (rad2deg*varsave(j,i),j=1,nvar) - enddo - endif - return - end -C--------------------------------------------------------------------------- - subroutine perturb(error,lprint,MoveType,nbond,max_phi) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - parameter (MMaxSideMove=100) - include 'COMMON.MCM' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' -crc include 'COMMON.DEFORM1' - logical error,lprint,fail - integer MoveType,nbond,end_select,ind_side(MMaxSideMove) - double precision max_phi - double precision psi,gen_psi - external iran_num - integer iran_num - integer ifour - data ifour /4/ - error=.false. - lprint=.false. -C Perturb the conformation according to a randomly selected move. - call SelectMove(MoveType) -c write (iout,*) 'MoveType=',MoveType - itrial=0 - goto (100,200,300,400,500) MoveType -C------------------------------------------------------------------------------ -C Backbone N-bond move. -C Select the number of bonds (length of the segment to perturb). - 100 continue - if (itrial.gt.1000) then - write (iout,'(a)') 'Too many attempts at multiple-bond move.' - error=.true. - return - endif - bond_prob=ran_number(0.0D0,sumpro_bond(nbm)) -c print *,'sumpro_bond(nbm)=',sumpro_bond(nbm), -c & ' Bond_prob=',Bond_Prob - do i=1,nbm-1 -c print *,i,Bond_Prob,sumpro_bond(i),sumpro_bond(i+1) - if (bond_prob.ge.sumpro_bond(i) .and. - & bond_prob.le.sumpro_bond(i+1)) then - nbond=i+1 - goto 10 - endif - enddo - write (iout,'(2a)') 'In PERTURB: Error - number of bonds', - & ' to move out of range.' - error=.true. - return - 10 continue - if (nwindow.gt.0) then -C Select the first residue to perturb - iwindow=iran_num(1,nwindow) - print *,'iwindow=',iwindow - iiwin=1 - do while (winlen(iwindow).lt.nbond) - iwindow=iran_num(1,nwindow) - iiwin=iiwin+1 - if (iiwin.gt.1000) then - write (iout,'(a)') 'Cannot select moveable residues.' - error=.true. - return - endif - enddo - nstart=iran_num(winstart(iwindow),winend(iwindow)) - else - nstart = iran_num(koniecl+2,nres-nbond-koniecl) -cd print *,'nres=',nres,' nbond=',nbond,' koniecl=',koniecl, -cd & ' nstart=',nstart - endif - psi = gen_psi() - if (psi.eq.0.0) then - error=.true. - return - endif - if (print_mc.gt.1) write (iout,'(a,i4,a,i4,a,f8.3)') - & 'PERTURB: nbond=',nbond,' nstart=',nstart,' psi=',psi*rad2deg -cd print *,'nstart=',nstart - call bond_move(nbond,nstart,psi,.false.,error) - if (error) then - write (iout,'(2a)') - & 'Could not define reference system in bond_move, ', - & 'choosing ahother segment.' - itrial=itrial+1 - goto 100 - endif - nbond_move(nbond)=nbond_move(nbond)+1 - moves(1)=moves(1)+1 - nmove=nmove+1 - return -C------------------------------------------------------------------------------ -C Backbone endmove. Perturb a SINGLE angle of a residue close to the end of -C the chain. - 200 continue - lprint=.true. -c end_select=iran_num(1,2*koniecl) -c if (end_select.gt.koniecl) then -c end_select=nphi-(end_select-koniecl) -c else -c end_select=koniecl+3 -c endif -c if (nwindow.gt.0) then -c iwin=iran_num(1,nwindow) -c i1=max0(4,winstart(iwin)) -c i2=min0(winend(imin)+2,nres) -c end_select=iran_num(i1,i2) -c else -c iselect = iran_num(1,nmov_var) -c jj = 0 -c do i=1,nphi -c if (isearch_tab(i).eq.1) jj = jj+1 -c if (jj.eq.iselect) then -c end_select=i+3 -c exit -c endif -c enddo -c endif - end_select = iran_num(4,nres) - psi=max_phi*gen_psi() - if (psi.eq.0.0D0) then - error=.true. - return - endif - phi(end_select)=pinorm(phi(end_select)+psi) - if (print_mc.gt.1) write (iout,'(a,i4,a,f8.3,a,f8.3)') - & 'End angle',end_select,' moved by ',psi*rad2deg,' new angle:', - & phi(end_select)*rad2deg -c if (end_select.gt.3) -c & theta(end_select-1)=gen_theta(itype(end_select-2), -c & phi(end_select-1),phi(end_select)) -c if (end_select.lt.nres) -c & theta(end_select)=gen_theta(itype(end_select-1), -c & phi(end_select),phi(end_select+1)) -cd print *,'nres=',nres,' end_select=',end_select -cd print *,'theta',end_select-1,theta(end_select-1) -cd print *,'theta',end_select,theta(end_select) - moves(2)=moves(2)+1 - nmove=nmove+1 - lprint=.false. - return -C------------------------------------------------------------------------------ -C Side chain move. -C Select the number of SCs to perturb. - 300 isctry=0 - 301 nside_move=iran_num(1,MaxSideMove) -c print *,'nside_move=',nside_move,' MaxSideMove',MaxSideMove -C Select the indices. - do i=1,nside_move - icount=0 - 111 inds=iran_num(nnt,nct) - icount=icount+1 - if (icount.gt.1000) then - write (iout,'(a)')'Error - cannot select side chains to move.' - error=.true. - return - endif - if (itype(inds).eq.10) goto 111 - do j=1,i-1 - if (inds.eq.ind_side(j)) goto 111 - enddo - do j=1,i-1 - if (inds.lt.ind_side(j)) then - indx=j - goto 112 - endif - enddo - indx=i - 112 do j=i,indx+1,-1 - ind_side(j)=ind_side(j-1) - enddo - 113 ind_side(indx)=inds - enddo -C Carry out perturbation. - do i=1,nside_move - ii=ind_side(i) - iti=itype(ii) - call gen_side(iti,theta(ii+1),alph(ii),omeg(ii),fail) - if (fail) then - isctry=isctry+1 - if (isctry.gt.1000) then - write (iout,'(a)') 'Too many errors in SC generation.' - error=.true. - return - endif - goto 301 - endif - if (print_mc.gt.1) write (iout,'(2a,i4,a,2f8.3)') - & 'Side chain ',restyp(iti),ii,' moved to ', - & alph(ii)*rad2deg,omeg(ii)*rad2deg - enddo - moves(3)=moves(3)+1 - nmove=nmove+1 - return -C------------------------------------------------------------------------------ -C THETA move - 400 end_select=iran_num(3,nres) - theta_new=gen_theta(itype(end_select),phi(end_select), - & phi(end_select+1)) - if (print_mc.gt.1) write (iout,'(a,i3,a,f8.3,a,f8.3)') - & 'Theta ',end_select,' moved from',theta(end_select)*rad2deg, - & ' to ',theta_new*rad2deg - theta(end_select)=theta_new - moves(4)=moves(4)+1 - nmove=nmove+1 - return -C------------------------------------------------------------------------------ -C Error returned from SelectMove. - 500 error=.true. - return - end -C------------------------------------------------------------------------------ - subroutine SelectMove(MoveType) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.IOUNITS' - what_move=ran_number(0.0D0,sumpro_type(MaxMoveType)) - do i=1,MaxMoveType - if (what_move.ge.sumpro_type(i-1).and. - & what_move.lt.sumpro_type(i)) then - MoveType=i - return - endif - enddo - write (iout,'(a)') - & 'Fatal error in SelectMoveType: cannot select move.' - MoveType=MaxMoveType+1 - return - end -c---------------------------------------------------------------------------- - double precision function gen_psi() - implicit none - integer i - double precision x,ran_number - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - x=0.0D0 - do i=1,100 - x=ran_number(-pi,pi) - if (dabs(x).gt.angmin) then - gen_psi=x - return - endif - enddo - write (iout,'(a)')'From Gen_Psi: Cannot generate angle increment.' - gen_psi=0.0D0 - return - end -c---------------------------------------------------------------------------- - subroutine metropolis(n,xcur,xold,ecur,eold,accepted,similar, - & enelower) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.GEO' -crc include 'COMMON.DEFORM' - double precision ecur,eold,xx,ran_number,bol - double precision xcur(n),xold(n) - double precision ecut1 ,ecut2 ,tola - logical accepted,similar,not_done,enelower - logical lprn - data ecut1 /-1.0D-5/,ecut2 /5.0D-3/,tola/5.0D0/ -! ecut1=-5*enedif -! ecut2=50*enedif -! tola=5.0d0 -C Set lprn=.true. for debugging. - lprn=.false. - if (lprn) - &write(iout,*)'enedif',enedif,' ecut1',ecut1,' ecut2',ecut2 - similar=.false. - enelower=.false. - accepted=.false. -C Check if the conformation is similar. - difene=ecur-eold - reldife=difene/dmax1(dabs(eold),dabs(ecur),1.0D0) - if (lprn) then - write (iout,*) 'Metropolis' - write(iout,*)'ecur,eold,difene,reldife',ecur,eold,difene,reldife - endif -C If energy went down remarkably, we accept the new conformation -C unconditionally. -cjp if (reldife.lt.ecut1) then - if (difene.lt.ecut1) then - accepted=.true. - EneLower=.true. - if (lprn) write (iout,'(a)') - & 'Conformation accepted, because energy has lowered remarkably.' -! elseif (reldife.lt.ecut2 .and. dif_ang(nphi,xcur,xold).lt.tola) -cjp elseif (reldife.lt.ecut2) - elseif (difene.lt.ecut2) - & then -C Reject the conf. if energy has changed insignificantly and there is not -C much change in conformation. - if (lprn) - & write (iout,'(2a)') 'Conformation rejected, because it is', - & ' similar to the preceding one.' - accepted=.false. - similar=.true. - else -C Else carry out Metropolis test. - EneLower=.false. - xx=ran_number(0.0D0,1.0D0) - xxh=betbol*difene - if (lprn) - & write (iout,*) 'betbol=',betbol,' difene=',difene,' xxh=',xxh - if (xxh.gt.50.0D0) then - bol=0.0D0 - else - bol=exp(-xxh) - endif - if (lprn) write (iout,*) 'bol=',bol,' xx=',xx - if (bol.gt.xx) then - accepted=.true. - if (lprn) write (iout,'(a)') - & 'Conformation accepted, because it passed Metropolis test.' - else - accepted=.false. - if (lprn) write (iout,'(a)') - & 'Conformation rejected, because it did not pass Metropolis test.' - endif - endif -#ifdef AIX - call flush_(iout) -#else - call flush(iout) -#endif - return - end -c------------------------------------------------------------------------------ - integer function conf_comp(x,ene) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - double precision etol , angtol - double precision x(maxvar) - double precision dif_ang,difa - data etol /0.1D0/, angtol /20.0D0/ - do ii=nsave,1,-1 -c write (iout,*) 'ii=',ii,'ene=',ene,esave(ii),dabs(ene-esave(ii)) - if (dabs(ene-esave(ii)).lt.etol) then - difa=dif_ang(nphi,x,varsave(1,ii)) -c do i=1,nphi -c write(iout,'(i3,3f8.3)')i,rad2deg*x(i), -c & rad2deg*varsave(i,ii) -c enddo -c write(iout,*) 'ii=',ii,' difa=',difa,' angtol=',angtol - if (difa.le.angtol) then - if (print_mc.gt.0) then - write (iout,'(a,i5,2(a,1pe15.4))') - & 'Current conformation matches #',ii, - & ' in the store array ene=',ene,' esave=',esave(ii) -c write (*,'(a,i5,a)') 'Current conformation matches #',ii, -c & ' in the store array.' - endif ! print_mc.gt.0 - if (print_mc.gt.1) then - do i=1,nphi - write(iout,'(i3,3f8.3)')i,rad2deg*x(i), - & rad2deg*varsave(i,ii) - enddo - endif ! print_mc.gt.1 - nrepm=nrepm+1 - conf_comp=ii - return - endif - endif - enddo - conf_comp=0 - return - end -C---------------------------------------------------------------------------- - double precision function dif_ang(n,x,y) - implicit none - integer i,n - double precision x(n),y(n) - double precision w,wa,dif,difa - double precision pinorm - include 'COMMON.GEO' - wa=0.0D0 - difa=0.0D0 - do i=1,n - dif=dabs(pinorm(y(i)-x(i))) - if (dabs(dif-dwapi).lt.dif) dif=dabs(dif-dwapi) - w=1.0D0-(2.0D0*(i-1)/(n-1)-1.0D0)**2+1.0D0/n - wa=wa+w - difa=difa+dif*dif*w - enddo - dif_ang=rad2deg*dsqrt(difa/wa) - return - end -c-------------------------------------------------------------------------- - subroutine add2cache(n1,n2,ncache,nvar,SourceID,CachSrc, - & ecur,xcur,ecache,xcache) - implicit none - include 'COMMON.GEO' - include 'COMMON.IOUNITS' - integer n1,n2,ncache,nvar,SourceID,CachSrc(n2) - integer i,ii,j - double precision ecur,xcur(nvar),ecache(n2),xcache(n1,n2) -cd write (iout,*) 'Enter ADD2CACHE ncache=',ncache ,' ecur',ecur -cd write (iout,'(10f8.3)') (rad2deg*xcur(i),i=1,nvar) -cd write (iout,*) 'Old CACHE array:' -cd do i=1,ncache -cd write (iout,*) 'i=',i,' ecache=',ecache(i),' CachSrc',CachSrc(i) -cd write (iout,'(10f8.3)') (rad2deg*xcache(j,i),j=1,nvar) -cd enddo - - i=ncache - do while (i.gt.0 .and. ecur.lt.ecache(i)) - i=i-1 - enddo - i=i+1 -cd write (iout,*) 'i=',i,' ncache=',ncache - if (ncache.eq.n2) then - write (iout,*) 'Cache dimension exceeded',ncache,n2 - write (iout,*) 'Highest-energy conformation will be removed.' - ncache=ncache-1 - endif - do ii=ncache,i,-1 - ecache(ii+1)=ecache(ii) - CachSrc(ii+1)=CachSrc(ii) - do j=1,nvar - xcache(j,ii+1)=xcache(j,ii) - enddo - enddo - ecache(i)=ecur - CachSrc(i)=SourceID - do j=1,nvar - xcache(j,i)=xcur(j) - enddo - ncache=ncache+1 -cd write (iout,*) 'New CACHE array:' -cd do i=1,ncache -cd write (iout,*) 'i=',i,' ecache=',ecache(i),' CachSrc',CachSrc(i) -cd write (iout,'(10f8.3)') (rad2deg*xcache(j,i),j=1,nvar) -cd enddo - return - end -c-------------------------------------------------------------------------- - subroutine rm_from_cache(i,n1,n2,ncache,nvar,CachSrc,ecache, - & xcache) - implicit none - include 'COMMON.GEO' - include 'COMMON.IOUNITS' - integer n1,n2,ncache,nvar,CachSrc(n2) - integer i,ii,j - double precision ecache(n2),xcache(n1,n2) - -cd write (iout,*) 'Enter RM_FROM_CACHE' -cd write (iout,*) 'Old CACHE array:' -cd do ii=1,ncache -cd write (iout,*)'i=',ii,' ecache=',ecache(ii),' CachSrc',CachSrc(ii) -cd write (iout,'(10f8.3)') (rad2deg*xcache(j,ii),j=1,nvar) -cd enddo - - do ii=i+1,ncache - ecache(ii-1)=ecache(ii) - CachSrc(ii-1)=CachSrc(ii) - do j=1,nvar - xcache(j,ii-1)=xcache(j,ii) - enddo - enddo - ncache=ncache-1 -cd write (iout,*) 'New CACHE array:' -cd do i=1,ncache -cd write (iout,*) 'i=',i,' ecache=',ecache(i),' CachSrc',CachSrc(i) -cd write (iout,'(10f8.3)') (rad2deg*xcache(j,i),j=1,nvar) -cd enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/minim_mcmf.F b/source/unres/src_MD-restraints-PM/minim_mcmf.F deleted file mode 100644 index beb3d4c..0000000 --- a/source/unres/src_MD-restraints-PM/minim_mcmf.F +++ /dev/null @@ -1,121 +0,0 @@ -#ifdef MPI - subroutine minim_mcmf - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - parameter (liv=60,lv=(77+maxvar*(maxvar+17)/2)) - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.MINIM' - include 'mpif.h' - external func,gradient,fdum - real ran1,ran2,ran3 - include 'COMMON.SETUP' - include 'COMMON.GEO' - include 'COMMON.CHAIN' - include 'COMMON.FFIELD' - dimension muster(mpi_status_size) - dimension var(maxvar),erg(mxch*(mxch+1)/2+1) - double precision d(maxvar),v(1:lv+1),garbage(maxvar) - dimension indx(6) - dimension iv(liv) - dimension idum(1),rdum(1) - double precision przes(3),obrot(3,3) - logical non_conv - data rad /1.745329252d-2/ - common /przechowalnia/ v - - ichuj=0 - 10 continue - ichuj = ichuj + 1 - call mpi_recv(indx,6,mpi_integer,king,idint,CG_COMM, - * muster,ierr) - if (indx(1).eq.0) return -c print *, 'worker ',me,' received order ',n,ichuj - call mpi_recv(var,nvar,mpi_double_precision, - * king,idreal,CG_COMM,muster,ierr) - call mpi_recv(ene0,1,mpi_double_precision, - * king,idreal,CG_COMM,muster,ierr) -c print *, 'worker ',me,' var read ' - - - call deflt(2,iv,liv,lv,v) -* 12 means fresh start, dont call deflt - iv(1)=12 -* max num of fun calls - if (maxfun.eq.0) maxfun=500 - iv(17)=maxfun -* max num of iterations - if (maxmin.eq.0) maxmin=1000 - iv(18)=maxmin -* controls output - iv(19)=2 -* selects output unit -c iv(21)=iout - iv(21)=0 -* 1 means to print out result - iv(22)=0 -* 1 means to print out summary stats - iv(23)=0 -* 1 means to print initial x and d - iv(24)=0 -* min val for v(radfac) default is 0.1 - v(24)=0.1D0 -* max val for v(radfac) default is 4.0 - v(25)=2.0D0 -* check false conv if (act fnctn decrease) .lt. v(26)*(exp decrease) -* the sumsl default is 0.1 - v(26)=0.1D0 -* false conv if (act fnctn decrease) .lt. v(34) -* the sumsl default is 100*machep - v(34)=v(34)/100.0D0 -* absolute convergence - if (tolf.eq.0.0D0) tolf=1.0D-4 - v(31)=tolf -* relative convergence - if (rtolf.eq.0.0D0) rtolf=1.0D-4 - v(32)=rtolf -* controls initial step size - v(35)=1.0D-1 -* large vals of d correspond to small components of step - do i=1,nphi - d(i)=1.0D-1 - enddo - do i=nphi+1,nvar - d(i)=1.0D-1 - enddo -c minimize energy - - call func(nvar,var,nf,eee,idum,rdum,fdum) - if(eee.gt.1.0d18) then -c print *,'MINIM_JLEE: ',me,' CHUJ NASTAPIL' -c print *,' energy before SUMSL =',eee -c print *,' aborting local minimization' - iv(1)=-1 - v(10)=eee - nf=1 - go to 201 - endif - - call sumsl(nvar,d,var,func,gradient,iv,liv,lv,v,idum,rdum,fdum) -c find which conformation was returned from sumsl - nf=iv(7)+1 - 201 continue -c total # of ftn evaluations (for iwf=0, it includes all minimizations). - indx(4)=nf - indx(5)=iv(1) - eee=v(10) - - call mpi_send(indx,6,mpi_integer,king,idint,CG_COMM, - * ierr) -c print '(a5,i3,15f10.5)', 'ENEX0',indx(1),v(10) - call mpi_send(var,nvar,mpi_double_precision, - * king,idreal,CG_COMM,ierr) - call mpi_send(eee,1,mpi_double_precision,king,idreal, - * CG_COMM,ierr) - call mpi_send(ene0,1,mpi_double_precision,king,idreal, - * CG_COMM,ierr) - go to 10 - - return - end -#endif diff --git a/source/unres/src_MD-restraints-PM/minimize_p.F b/source/unres/src_MD-restraints-PM/minimize_p.F deleted file mode 100644 index c7922c7..0000000 --- a/source/unres/src_MD-restraints-PM/minimize_p.F +++ /dev/null @@ -1,641 +0,0 @@ - subroutine minimize(etot,x,iretcode,nfun) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - parameter (liv=60,lv=(77+maxvar*(maxvar+17)/2)) -********************************************************************* -* OPTIMIZE sets up SUMSL or DFP and provides a simple interface for * -* the calling subprogram. * -* when d(i)=1.0, then v(35) is the length of the initial step, * -* calculated in the usual pythagorean way. * -* absolute convergence occurs when the function is within v(31) of * -* zero. unless you know the minimum value in advance, abs convg * -* is probably not useful. * -* relative convergence is when the model predicts that the function * -* will decrease by less than v(32)*abs(fun). * -********************************************************************* - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.MINIM' - common /srutu/ icall - dimension iv(liv) - double precision minval,x(maxvar),d(maxvar),v(1:lv),xx(maxvar) - double precision energia(0:n_ene) - external func,gradient,fdum - external func_restr,grad_restr - logical not_done,change,reduce -c common /przechowalnia/ v - - icall = 1 - - NOT_DONE=.TRUE. - -c DO WHILE (NOT_DONE) - - call deflt(2,iv,liv,lv,v) -* 12 means fresh start, dont call deflt - iv(1)=12 -* max num of fun calls - if (maxfun.eq.0) maxfun=500 - iv(17)=maxfun -* max num of iterations - if (maxmin.eq.0) maxmin=1000 - iv(18)=maxmin -* controls output - iv(19)=2 -* selects output unit - iv(21)=0 - if (print_min_ini+print_min_stat+print_min_res.gt.0) iv(21)=iout -* 1 means to print out result - iv(22)=print_min_res -* 1 means to print out summary stats - iv(23)=print_min_stat -* 1 means to print initial x and d - iv(24)=print_min_ini -* min val for v(radfac) default is 0.1 - v(24)=0.1D0 -* max val for v(radfac) default is 4.0 - v(25)=2.0D0 -c v(25)=4.0D0 -* check false conv if (act fnctn decrease) .lt. v(26)*(exp decrease) -* the sumsl default is 0.1 - v(26)=0.1D0 -* false conv if (act fnctn decrease) .lt. v(34) -* the sumsl default is 100*machep - v(34)=v(34)/100.0D0 -* absolute convergence - if (tolf.eq.0.0D0) tolf=1.0D-4 - v(31)=tolf -* relative convergence - if (rtolf.eq.0.0D0) rtolf=1.0D-4 - v(32)=rtolf -* controls initial step size - v(35)=1.0D-1 -* large vals of d correspond to small components of step - do i=1,nphi - d(i)=1.0D-1 - enddo - do i=nphi+1,nvar - d(i)=1.0D-1 - enddo -cd print *,'Calling SUMSL' -c call var_to_geom(nvar,x) -c call chainbuild -c call etotal(energia(0)) -c etot = energia(0) - IF (mask_r) THEN - call x2xx(x,xx,nvar_restr) - call sumsl(nvar_restr,d,xx,func_restr,grad_restr, - & iv,liv,lv,v,idum,rdum,fdum) - call xx2x(x,xx) - ELSE - call sumsl(nvar,d,x,func,gradient,iv,liv,lv,v,idum,rdum,fdum) - ENDIF - etot=v(10) - iretcode=iv(1) -cd print *,'Exit SUMSL; return code:',iretcode,' energy:',etot -cd write (iout,'(/a,i4/)') 'SUMSL return code:',iv(1) -c call intout -c change=reduce(x) - call var_to_geom(nvar,x) -c if (change) then -c write (iout,'(a)') 'Reduction worked, minimizing again...' -c else -c not_done=.false. -c endif - call chainbuild -c call etotal(energia(0)) -c etot=energia(0) -c call enerprint(energia(0)) - nfun=iv(6) - -c write (*,*) 'Processor',MyID,' leaves MINIMIZE.' - -c ENDDO ! NOT_DONE - - return - end -#ifdef MPI -c---------------------------------------------------------------------------- - subroutine ergastulum - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include "mpif.h" -#endif - include 'COMMON.SETUP' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.INTERACT' - include 'COMMON.MD' - include 'COMMON.TIME1' - double precision z(maxres6),d_a_tmp(maxres6) - double precision edum(0:n_ene),time_order(0:10) - double precision Gcopy(maxres2,maxres2) - common /przechowalnia/ Gcopy - integer icall /0/ -C Workers wait for variables and NF, and NFL from the boss - iorder=0 - do while (iorder.ge.0) -c write (*,*) 'Processor',fg_rank,' CG group',kolor, -c & ' receives order from Master' - time00=MPI_Wtime() - call MPI_Bcast(iorder,1,MPI_INTEGER,king,FG_COMM,IERR) - time_Bcast=time_Bcast+MPI_Wtime()-time00 - if (icall.gt.4 .and. iorder.ge.0) - & time_order(iorder)=time_order(iorder)+MPI_Wtime()-time00 - icall=icall+1 -c write (*,*) -c & 'Processor',fg_rank,' completed receive MPI_BCAST order',iorder - if (iorder.eq.0) then - call zerograd - call etotal(edum) -c write (2,*) "After etotal" -c write (2,*) "dimen",dimen," dimen3",dimen3 -c call flush(2) - else if (iorder.eq.2) then - call zerograd - call etotal_short(edum) -c write (2,*) "After etotal_short" -c write (2,*) "dimen",dimen," dimen3",dimen3 -c call flush(2) - else if (iorder.eq.3) then - call zerograd - call etotal_long(edum) -c write (2,*) "After etotal_long" -c write (2,*) "dimen",dimen," dimen3",dimen3 -c call flush(2) - else if (iorder.eq.1) then - call sum_gradient -c write (2,*) "After sum_gradient" -c write (2,*) "dimen",dimen," dimen3",dimen3 -c call flush(2) - else if (iorder.eq.4) then - call ginv_mult(z,d_a_tmp) - else if (iorder.eq.5) then -c Setup MD things for a slave - dimen=(nct-nnt+1)+nside - dimen1=(nct-nnt)+(nct-nnt+1) - dimen3=dimen*3 -c write (2,*) "dimen",dimen," dimen3",dimen3 -c call flush(2) - call int_bounds(dimen,igmult_start,igmult_end) - igmult_start=igmult_start-1 - call MPI_Allgather(3*igmult_start,1,MPI_INTEGER, - & ng_start(0),1,MPI_INTEGER,FG_COMM,IERROR) - my_ng_count=igmult_end-igmult_start - call MPI_Allgather(3*my_ng_count,1,MPI_INTEGER,ng_counts(0),1, - & MPI_INTEGER,FG_COMM,IERROR) -c write (2,*) "ng_start",(ng_start(i),i=0,nfgtasks-1) -c write (2,*) "ng_counts",(ng_counts(i),i=0,nfgtasks-1) - myginv_ng_count=maxres2*my_ng_count -c write (2,*) "igmult_start",igmult_start," igmult_end", -c & igmult_end," my_ng_count",my_ng_count -c call flush(2) - call MPI_Allgather(maxres2*igmult_start,1,MPI_INTEGER, - & nginv_start(0),1,MPI_INTEGER,FG_COMM,IERROR) - call MPI_Allgather(myginv_ng_count,1,MPI_INTEGER, - & nginv_counts(0),1,MPI_INTEGER,FG_COMM,IERROR) -c write (2,*) "nginv_start",(nginv_start(i),i=0,nfgtasks-1) -c write (2,*) "nginv_counts",(nginv_counts(i),i=0,nfgtasks-1) -c call flush(2) -c call MPI_Barrier(FG_COMM,IERROR) - time00=MPI_Wtime() - call MPI_Scatterv(ginv(1,1),nginv_counts(0), - & nginv_start(0),MPI_DOUBLE_PRECISION,gcopy(1,1), - & myginv_ng_count,MPI_DOUBLE_PRECISION,king,FG_COMM,IERR) -#ifdef TIMING - time_scatter_ginv=time_scatter_ginv+MPI_Wtime()-time00 -#endif - do i=1,dimen - do j=1,2*my_ng_count - ginv(j,i)=gcopy(i,j) - enddo - enddo -c write (2,*) "dimen",dimen," dimen3",dimen3 -c write (2,*) "End MD setup" -c call flush(2) -c write (iout,*) "My chunk of ginv_block" -c call MATOUT2(my_ng_count,dimen3,maxres2,maxers2,ginv_block) - else if (iorder.eq.6) then - call int_from_cart1(.false.) - else if (iorder.eq.7) then - call chainbuild_cart - else if (iorder.eq.8) then - call intcartderiv - else if (iorder.eq.9) then - call fricmat_mult(z,d_a_tmp) - else if (iorder.eq.10) then - call setup_fricmat - endif - enddo - write (*,*) 'Processor',fg_rank,' CG group',kolor, - & ' absolute rank',myrank,' leves ERGASTULUM.' - write(*,*)'Processor',fg_rank,' wait times for respective orders', - & (' order[',i,']',time_order(i),i=0,10) - return - end -#endif -************************************************************************ - subroutine func(n,x,nf,f,uiparm,urparm,ufparm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - common /chuju/ jjj - double precision energia(0:n_ene) - integer jjj - double precision ufparm - external ufparm - integer uiparm(1) - real*8 urparm(1) - dimension x(maxvar) -c if (jjj.gt.0) then -c write (iout,'(10f8.3)') (rad2deg*x(i),i=1,n) -c endif - nfl=nf - icg=mod(nf,2)+1 -cd print *,'func',nf,nfl,icg - call var_to_geom(n,x) - call zerograd - call chainbuild -cd write (iout,*) 'ETOTAL called from FUNC' - call etotal(energia(0)) - call sum_gradient - f=energia(0) -c if (jjj.gt.0) then -c write (iout,'(10f8.3)') (rad2deg*x(i),i=1,n) -c write (iout,*) 'f=',etot -c jjj=0 -c endif - return - end -************************************************************************ - subroutine func_restr(n,x,nf,f,uiparm,urparm,ufparm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - common /chuju/ jjj - double precision energia(0:n_ene) - integer jjj - double precision ufparm - external ufparm - integer uiparm(1) - real*8 urparm(1) - dimension x(maxvar) -c if (jjj.gt.0) then -c write (iout,'(10f8.3)') (rad2deg*x(i),i=1,n) -c endif - nfl=nf - icg=mod(nf,2)+1 - call var_to_geom_restr(n,x) - call zerograd - call chainbuild -cd write (iout,*) 'ETOTAL called from FUNC' - call etotal(energia(0)) - call sum_gradient - f=energia(0) -c if (jjj.gt.0) then -c write (iout,'(10f8.3)') (rad2deg*x(i),i=1,n) -c write (iout,*) 'f=',etot -c jjj=0 -c endif - return - end -c------------------------------------------------------- - subroutine x2xx(x,xx,n) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - double precision xx(maxvar),x(maxvar) - - do i=1,nvar - varall(i)=x(i) - enddo - - ig=0 - igall=0 - do i=4,nres - igall=igall+1 - if (mask_phi(i).eq.1) then - ig=ig+1 - xx(ig)=x(igall) - endif - enddo - - do i=3,nres - igall=igall+1 - if (mask_theta(i).eq.1) then - ig=ig+1 - xx(ig)=x(igall) - endif - enddo - - do ij=1,2 - do i=2,nres-1 - if (itype(i).ne.10) then - igall=igall+1 - if (mask_side(i).eq.1) then - ig=ig+1 - xx(ig)=x(igall) - endif - endif - enddo - enddo - - n=ig - - return - end - - subroutine xx2x(x,xx) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - double precision xx(maxvar),x(maxvar) - - do i=1,nvar - x(i)=varall(i) - enddo - - ig=0 - igall=0 - do i=4,nres - igall=igall+1 - if (mask_phi(i).eq.1) then - ig=ig+1 - x(igall)=xx(ig) - endif - enddo - - do i=3,nres - igall=igall+1 - if (mask_theta(i).eq.1) then - ig=ig+1 - x(igall)=xx(ig) - endif - enddo - - do ij=1,2 - do i=2,nres-1 - if (itype(i).ne.10) then - igall=igall+1 - if (mask_side(i).eq.1) then - ig=ig+1 - x(igall)=xx(ig) - endif - endif - enddo - enddo - - return - end - -c---------------------------------------------------------- - subroutine minim_dc(etot,iretcode,nfun) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - parameter (liv=60,lv=(77+maxvar*(maxvar+17)/2)) -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.MINIM' - include 'COMMON.CHAIN' - dimension iv(liv) - double precision minval,x(maxvar),d(maxvar),v(1:lv),xx(maxvar) -c common /przechowalnia/ v - - double precision energia(0:n_ene) - external func_dc,grad_dc,fdum - logical not_done,change,reduce - double precision g(maxvar),f1 - - call deflt(2,iv,liv,lv,v) -* 12 means fresh start, dont call deflt - iv(1)=12 -* max num of fun calls - if (maxfun.eq.0) maxfun=500 - iv(17)=maxfun -* max num of iterations - if (maxmin.eq.0) maxmin=1000 - iv(18)=maxmin -* controls output - iv(19)=2 -* selects output unit - iv(21)=0 - if (print_min_ini+print_min_stat+print_min_res.gt.0) iv(21)=iout -* 1 means to print out result - iv(22)=print_min_res -* 1 means to print out summary stats - iv(23)=print_min_stat -* 1 means to print initial x and d - iv(24)=print_min_ini -* min val for v(radfac) default is 0.1 - v(24)=0.1D0 -* max val for v(radfac) default is 4.0 - v(25)=2.0D0 -c v(25)=4.0D0 -* check false conv if (act fnctn decrease) .lt. v(26)*(exp decrease) -* the sumsl default is 0.1 - v(26)=0.1D0 -* false conv if (act fnctn decrease) .lt. v(34) -* the sumsl default is 100*machep - v(34)=v(34)/100.0D0 -* absolute convergence - if (tolf.eq.0.0D0) tolf=1.0D-4 - v(31)=tolf -* relative convergence - if (rtolf.eq.0.0D0) rtolf=1.0D-4 - v(32)=rtolf -* controls initial step size - v(35)=1.0D-1 -* large vals of d correspond to small components of step - do i=1,6*nres - d(i)=1.0D-1 - enddo - - k=0 - do i=1,nres-1 - do j=1,3 - k=k+1 - x(k)=dc(j,i) - enddo - enddo - do i=2,nres-1 - if (ialph(i,1).gt.0) then - do j=1,3 - k=k+1 - x(k)=dc(j,i+nres) - enddo - endif - enddo - - call sumsl(k,d,x,func_dc,grad_dc,iv,liv,lv,v,idum,rdum,fdum) - - k=0 - do i=1,nres-1 - do j=1,3 - k=k+1 - dc(j,i)=x(k) - enddo - enddo - do i=2,nres-1 - if (ialph(i,1).gt.0) then - do j=1,3 - k=k+1 - dc(j,i+nres)=x(k) - enddo - endif - enddo - call chainbuild_cart - -cd call zerograd -cd nf=0 -cd call func_dc(k,x,nf,f,idum,rdum,fdum) -cd call grad_dc(k,x,nf,g,idum,rdum,fdum) -cd -cd do i=1,k -cd x(i)=x(i)+1.0D-5 -cd call func_dc(k,x,nf,f1,idum,rdum,fdum) -cd x(i)=x(i)-1.0D-5 -cd print '(i5,2f15.5)',i,g(i),(f1-f)/1.0D-5 -cd enddo - - etot=v(10) - iretcode=iv(1) - nfun=iv(6) - return - end - - subroutine func_dc(n,x,nf,f,uiparm,urparm,ufparm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - double precision energia(0:n_ene) - double precision ufparm - external ufparm - integer uiparm(1) - real*8 urparm(1) - dimension x(maxvar) - nfl=nf -cbad icg=mod(nf,2)+1 - icg=1 - - k=0 - do i=1,nres-1 - do j=1,3 - k=k+1 - dc(j,i)=x(k) - enddo - enddo - do i=2,nres-1 - if (ialph(i,1).gt.0) then - do j=1,3 - k=k+1 - dc(j,i+nres)=x(k) - enddo - endif - enddo - call chainbuild_cart - - call zerograd - call etotal(energia(0)) - f=energia(0) - -cd print *,'func_dc ',nf,nfl,f - - return - end - - subroutine grad_dc(n,x,nf,g,uiparm,urparm,ufparm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.MD' - include 'COMMON.IOUNITS' - external ufparm - integer uiparm(1),k - double precision urparm(1) - dimension x(maxvar),g(maxvar) -c -c -c -cbad icg=mod(nf,2)+1 - icg=1 -cd print *,'grad_dc ',nf,nfl,nf-nfl+1,icg - if (nf-nfl+1) 20,30,40 - 20 call func_dc(n,x,nf,f,uiparm,urparm,ufparm) -cd print *,20 - if (nf.eq.0) return - goto 40 - 30 continue -cd print *,30 - k=0 - do i=1,nres-1 - do j=1,3 - k=k+1 - dc(j,i)=x(k) - enddo - enddo - do i=2,nres-1 - if (ialph(i,1).gt.0) then - do j=1,3 - k=k+1 - dc(j,i+nres)=x(k) - enddo - endif - enddo - call chainbuild_cart - -C -C Evaluate the derivatives of virtual bond lengths and SC vectors in variables. -C - 40 call cartgrad -cd print *,40 - k=0 - do i=1,nres-1 - do j=1,3 - k=k+1 - g(k)=gcart(j,i) - enddo - enddo - do i=2,nres-1 - if (ialph(i,1).gt.0) then - do j=1,3 - k=k+1 - g(k)=gxcart(j,i) - enddo - endif - enddo - - return - end diff --git a/source/unres/src_MD-restraints-PM/misc.f b/source/unres/src_MD-restraints-PM/misc.f deleted file mode 100644 index e189839..0000000 --- a/source/unres/src_MD-restraints-PM/misc.f +++ /dev/null @@ -1,203 +0,0 @@ -C $Date: 1994/10/12 17:24:21 $ -C $Revision: 2.5 $ -C -C -C - logical function find_arg(ipos,line,errflag) - parameter (maxlen=80) - character*80 line - character*1 empty /' '/,equal /'='/ - logical errflag -* This function returns .TRUE., if an argument follows keyword keywd; if so -* IPOS will point to the first non-blank character of the argument. Returns -* .FALSE., if no argument follows the keyword; in this case IPOS points -* to the first non-blank character of the next keyword. - do while (line(ipos:ipos) .eq. empty .and. ipos.le.maxlen) - ipos=ipos+1 - enddo - errflag=.false. - if (line(ipos:ipos).eq.equal) then - find_arg=.true. - ipos=ipos+1 - do while (line(ipos:ipos) .eq. empty .and. ipos.le.maxlen) - ipos=ipos+1 - enddo - if (ipos.gt.maxlen) errflag=.true. - else - find_arg=.false. - endif - return - end - logical function find_group(iunit,jout,key1) - character*(*) key1 - character*80 karta,ucase - integer ilen - external ilen - logical lcom - rewind (iunit) - karta=' ' - ll=ilen(key1) - do while (index(ucase(karta),key1(1:ll)).eq.0.or.lcom(1,karta)) - read (iunit,'(a)',end=10) karta - enddo - write (jout,'(2a)') '> ',karta(1:78) - find_group=.true. - return - 10 find_group=.false. - return - end - logical function iblnk(charc) - character*1 charc - integer n - n = ichar(charc) - iblnk = (n.eq.9) .or. (n.eq.10) .or. (charc.eq. ' ') - return - end - integer function ilen(string) - character*(*) string - logical iblnk - - ilen = len(string) -1 if ( ilen .gt. 0 ) then - if ( iblnk( string(ilen:ilen) ) ) then - ilen = ilen - 1 - goto 1 - endif - endif - return - end - integer function in_keywd_set(nkey,ikey,narg,keywd,keywdset) - character*16 keywd,keywdset(1:nkey,0:nkey) - character*16 ucase - do i=1,narg - if (ucase(keywd).eq.keywdset(i,ikey)) then -* Match found - in_keywd_set=i - return - endif - enddo -* No match to the allowed set of keywords if this point is reached. - in_keywd_set=0 - return - end - character*(*) function lcase(string) - integer i, k, idiff - character*(*) string - character*1 c - character*40 chtmp -c - i = len(lcase) - k = len(string) - if (i .lt. k) then - k = i - if (string(k+1:) .ne. ' ') then - chtmp = string - endif - endif - idiff = ichar('a') - ichar('A') - lcase = string - do 99 i = 1, k - c = string(i:i) - if (lge(c,'A') .and. lle(c,'Z')) then - lcase(i:i) = char(ichar(c) + idiff) - endif - 99 continue - return - end - logical function lcom(ipos,karta) - character*80 karta - character koment(2) /'!','#'/ - lcom=.false. - do i=1,2 - if (karta(ipos:ipos).eq.koment(i)) lcom=.true. - enddo - return - end - logical function lower_case(ch) - character*(*) ch - lower_case=(ch.ge.'a' .and. ch.le.'z') - return - end - subroutine mykey(line,keywd,ipos,blankline,errflag) -* This subroutine seeks a non-empty substring keywd in the string LINE. -* The substring begins with the first character different from blank and -* "=" encountered right to the pointer IPOS (inclusively) and terminates -* at the character left to the first blank or "=". When the subroutine is -* exited, the pointer IPOS is moved to the position of the terminator in LINE. -* The logical variable BLANKLINE is set at .TRUE., if LINE(IPOS:) contains -* only separators or the maximum length of the data line (80) has been reached. -* The logical variable ERRFLAG is set at .TRUE. if the string -* consists only from a "=". - parameter (maxlen=80) - character*1 empty /' '/,equal /'='/,comma /','/ - character*(*) keywd - character*80 line - logical blankline,errflag,lcom - errflag=.false. - do while (line(ipos:ipos).eq.empty .and. (ipos.le.maxlen)) - ipos=ipos+1 - enddo - if (ipos.gt.maxlen .or. lcom(ipos,line) ) then -* At this point the rest of the input line turned out to contain only blanks -* or to be commented out. - blankline=.true. - return - endif - blankline=.false. - istart=ipos -* Checks whether the current char is a separator. - do while (line(ipos:ipos).ne.empty .and. line(ipos:ipos).ne.equal - & .and. line(ipos:ipos).ne.comma .and. ipos.le.maxlen) - ipos=ipos+1 - enddo - iend=ipos-1 -* Error flag set to .true., if the length of the keyword was found less than 1. - if (iend.lt.istart) then - errflag=.true. - return - endif - keywd=line(istart:iend) - return - end - subroutine numstr(inum,numm) - character*10 huj /'0123456789'/ - character*(*) numm - inumm=inum - inum1=inumm/10 - inum2=inumm-10*inum1 - inumm=inum1 - numm(3:3)=huj(inum2+1:inum2+1) - inum1=inumm/10 - inum2=inumm-10*inum1 - inumm=inum1 - numm(2:2)=huj(inum2+1:inum2+1) - inum1=inumm/10 - inum2=inumm-10*inum1 - inumm=inum1 - numm(1:1)=huj(inum2+1:inum2+1) - return - end - character*(*) function ucase(string) - integer i, k, idiff - character*(*) string - character*1 c - character*40 chtmp -c - i = len(ucase) - k = len(string) - if (i .lt. k) then - k = i - if (string(k+1:) .ne. ' ') then - chtmp = string - endif - endif - idiff = ichar('a') - ichar('A') - ucase = string - do 99 i = 1, k - c = string(i:i) - if (lge(c,'a') .and. lle(c,'z')) then - ucase(i:i) = char(ichar(c) - idiff) - endif - 99 continue - return - end diff --git a/source/unres/src_MD-restraints-PM/moments.f b/source/unres/src_MD-restraints-PM/moments.f deleted file mode 100644 index 5adbf21..0000000 --- a/source/unres/src_MD-restraints-PM/moments.f +++ /dev/null @@ -1,328 +0,0 @@ - subroutine inertia_tensor -c Calculating the intertia tensor for the entire protein in order to -c remove the perpendicular components of velocity matrix which cause -c the molecule to rotate. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - - double precision Im(3,3),Imcp(3,3),cm(3),pr(3),M_SC, - & eigvec(3,3),Id(3,3),eigval(3),L(3),vp(3),vrot(3), - & vpp(3,0:MAXRES),vs_p(3),pr1(3,3), - & pr2(3,3),pp(3),incr(3),v(3),mag,mag2 - common /gucio/ cm - integer iti,inres - do i=1,3 - do j=1,3 - Im(i,j)=0.0d0 - pr1(i,j)=0.0d0 - pr2(i,j)=0.0d0 - enddo - L(i)=0.0d0 - cm(i)=0.0d0 - vrot(i)=0.0d0 - enddo -c calculating the center of the mass of the protein - do i=nnt,nct-1 - do j=1,3 - cm(j)=cm(j)+c(j,i)+0.5d0*dc(j,i) - enddo - enddo - do j=1,3 - cm(j)=mp*cm(j) - enddo - M_SC=0.0d0 - do i=nnt,nct - iti=itype(i) - M_SC=M_SC+msc(iti) - inres=i+nres - do j=1,3 - cm(j)=cm(j)+msc(iti)*c(j,inres) - enddo - enddo - do j=1,3 - cm(j)=cm(j)/(M_SC+(nct-nnt)*mp) - enddo - - do i=nnt,nct-1 - do j=1,3 - pr(j)=c(j,i)+0.5d0*dc(j,i)-cm(j) - enddo - Im(1,1)=Im(1,1)+mp*(pr(2)*pr(2)+pr(3)*pr(3)) - Im(1,2)=Im(1,2)-mp*pr(1)*pr(2) - Im(1,3)=Im(1,3)-mp*pr(1)*pr(3) - Im(2,3)=Im(2,3)-mp*pr(2)*pr(3) - Im(2,2)=Im(2,2)+mp*(pr(3)*pr(3)+pr(1)*pr(1)) - Im(3,3)=Im(3,3)+mp*(pr(1)*pr(1)+pr(2)*pr(2)) - enddo - - do i=nnt,nct - iti=itype(i) - inres=i+nres - do j=1,3 - pr(j)=c(j,inres)-cm(j) - enddo - Im(1,1)=Im(1,1)+msc(iti)*(pr(2)*pr(2)+pr(3)*pr(3)) - Im(1,2)=Im(1,2)-msc(iti)*pr(1)*pr(2) - Im(1,3)=Im(1,3)-msc(iti)*pr(1)*pr(3) - Im(2,3)=Im(2,3)-msc(iti)*pr(2)*pr(3) - Im(2,2)=Im(2,2)+msc(iti)*(pr(3)*pr(3)+pr(1)*pr(1)) - Im(3,3)=Im(3,3)+msc(iti)*(pr(1)*pr(1)+pr(2)*pr(2)) - enddo - - do i=nnt,nct-1 - Im(1,1)=Im(1,1)+Ip*(1-dc_norm(1,i)*dc_norm(1,i))* - & vbld(i+1)*vbld(i+1)*0.25d0 - Im(1,2)=Im(1,2)+Ip*(-dc_norm(1,i)*dc_norm(2,i))* - & vbld(i+1)*vbld(i+1)*0.25d0 - Im(1,3)=Im(1,3)+Ip*(-dc_norm(1,i)*dc_norm(3,i))* - & vbld(i+1)*vbld(i+1)*0.25d0 - Im(2,3)=Im(2,3)+Ip*(-dc_norm(2,i)*dc_norm(3,i))* - & vbld(i+1)*vbld(i+1)*0.25d0 - Im(2,2)=Im(2,2)+Ip*(1-dc_norm(2,i)*dc_norm(2,i))* - & vbld(i+1)*vbld(i+1)*0.25d0 - Im(3,3)=Im(3,3)+Ip*(1-dc_norm(3,i)*dc_norm(3,i))* - & vbld(i+1)*vbld(i+1)*0.25d0 - enddo - - - do i=nnt,nct - if (itype(i).ne.10) then - iti=itype(i) - inres=i+nres - Im(1,1)=Im(1,1)+Isc(iti)*(1-dc_norm(1,inres)* - & dc_norm(1,inres))*vbld(inres)*vbld(inres) - Im(1,2)=Im(1,2)-Isc(iti)*(dc_norm(1,inres)* - & dc_norm(2,inres))*vbld(inres)*vbld(inres) - Im(1,3)=Im(1,3)-Isc(iti)*(dc_norm(1,inres)* - & dc_norm(3,inres))*vbld(inres)*vbld(inres) - Im(2,3)=Im(2,3)-Isc(iti)*(dc_norm(2,inres)* - & dc_norm(3,inres))*vbld(inres)*vbld(inres) - Im(2,2)=Im(2,2)+Isc(iti)*(1-dc_norm(2,inres)* - & dc_norm(2,inres))*vbld(inres)*vbld(inres) - Im(3,3)=Im(3,3)+Isc(iti)*(1-dc_norm(3,inres)* - & dc_norm(3,inres))*vbld(inres)*vbld(inres) - endif - enddo - - call angmom(cm,L) -c write(iout,*) "The angular momentum before adjustment:" -c write(iout,*) (L(j),j=1,3) - - Im(2,1)=Im(1,2) - Im(3,1)=Im(1,3) - Im(3,2)=Im(2,3) - -c Copying the Im matrix for the djacob subroutine - do i=1,3 - do j=1,3 - Imcp(i,j)=Im(i,j) - Id(i,j)=0.0d0 - enddo - enddo - -c Finding the eigenvectors and eignvalues of the inertia tensor - call djacob(3,3,10000,1.0d-10,Imcp,eigvec,eigval) -c write (iout,*) "Eigenvalues & Eigenvectors" -c write (iout,'(5x,3f10.5)') (eigval(i),i=1,3) -c write (iout,*) -c do i=1,3 -c write (iout,'(i5,3f10.5)') i,(eigvec(i,j),j=1,3) -c enddo -c Constructing the diagonalized matrix - do i=1,3 - if (dabs(eigval(i)).gt.1.0d-15) then - Id(i,i)=1.0d0/eigval(i) - else - Id(i,i)=0.0d0 - endif - enddo - do i=1,3 - do j=1,3 - Imcp(i,j)=eigvec(j,i) - enddo - enddo - do i=1,3 - do j=1,3 - do k=1,3 - pr1(i,j)=pr1(i,j)+Id(i,k)*Imcp(k,j) - enddo - enddo - enddo - do i=1,3 - do j=1,3 - do k=1,3 - pr2(i,j)=pr2(i,j)+eigvec(i,k)*pr1(k,j) - enddo - enddo - enddo -c Calculating the total rotational velocity of the molecule - do i=1,3 - do j=1,3 - vrot(i)=vrot(i)+pr2(i,j)*L(j) - enddo - enddo -c Resetting the velocities - do i=nnt,nct-1 - call vecpr(vrot(1),dc(1,i),vp) - do j=1,3 - d_t(j,i)=d_t(j,i)-vp(j) - enddo - enddo - do i=nnt,nct - if(itype(i).ne.10) then - inres=i+nres - call vecpr(vrot(1),dc(1,inres),vp) - do j=1,3 - d_t(j,inres)=d_t(j,inres)-vp(j) - enddo - endif - enddo - call angmom(cm,L) -c write(iout,*) "The angular momentum after adjustment:" -c write(iout,*) (L(j),j=1,3) - return - end -c---------------------------------------------------------------------------- - subroutine angmom(cm,L) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - - double precision L(3),cm(3),pr(3),vp(3),vrot(3),incr(3),v(3), - & pp(3) - integer iti,inres -c Calculate the angular momentum - do j=1,3 - L(j)=0.0d0 - enddo - do j=1,3 - incr(j)=d_t(j,0) - enddo - do i=nnt,nct-1 - do j=1,3 - pr(j)=c(j,i)+0.5d0*dc(j,i)-cm(j) - enddo - do j=1,3 - v(j)=incr(j)+0.5d0*d_t(j,i) - enddo - do j=1,3 - incr(j)=incr(j)+d_t(j,i) - enddo - call vecpr(pr(1),v(1),vp) - do j=1,3 - L(j)=L(j)+mp*vp(j) - enddo - do j=1,3 - pr(j)=0.5d0*dc(j,i) - pp(j)=0.5d0*d_t(j,i) - enddo - call vecpr(pr(1),pp(1),vp) - do j=1,3 - L(j)=L(j)+Ip*vp(j) - enddo - enddo - do j=1,3 - incr(j)=d_t(j,0) - enddo - do i=nnt,nct - iti=itype(i) - inres=i+nres - do j=1,3 - pr(j)=c(j,inres)-cm(j) - enddo - if (itype(i).ne.10) then - do j=1,3 - v(j)=incr(j)+d_t(j,inres) - enddo - else - do j=1,3 - v(j)=incr(j) - enddo - endif - call vecpr(pr(1),v(1),vp) -c write (iout,*) "i",i," iti",iti," pr",(pr(j),j=1,3), -c & " v",(v(j),j=1,3)," vp",(vp(j),j=1,3) - do j=1,3 - L(j)=L(j)+msc(iti)*vp(j) - enddo -c write (iout,*) "L",(l(j),j=1,3) - if (itype(i).ne.10) then - do j=1,3 - v(j)=incr(j)+d_t(j,inres) - enddo - call vecpr(dc(1,inres),d_t(1,inres),vp) - do j=1,3 - L(j)=L(j)+Isc(iti)*vp(j) - enddo - endif - do j=1,3 - incr(j)=incr(j)+d_t(j,i) - enddo - enddo - return - end -c------------------------------------------------------------------------------ - subroutine vcm_vel(vcm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.MD' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - double precision vcm(3),vv(3),summas,amas - do j=1,3 - vcm(j)=0.0d0 - vv(j)=d_t(j,0) - enddo - summas=0.0d0 - do i=nnt,nct - if (i.lt.nct) then - summas=summas+mp - do j=1,3 - vcm(j)=vcm(j)+mp*(vv(j)+0.5d0*d_t(j,i)) - enddo - endif - amas=msc(itype(i)) - summas=summas+amas - if (itype(i).ne.10) then - do j=1,3 - vcm(j)=vcm(j)+amas*(vv(j)+d_t(j,i+nres)) - enddo - else - do j=1,3 - vcm(j)=vcm(j)+amas*vv(j) - enddo - endif - do j=1,3 - vv(j)=vv(j)+d_t(j,i) - enddo - enddo -c write (iout,*) "vcm",(vcm(j),j=1,3)," summas",summas - do j=1,3 - vcm(j)=vcm(j)/summas - enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/muca_md.f b/source/unres/src_MD-restraints-PM/muca_md.f deleted file mode 100644 index c10a6a7..0000000 --- a/source/unres/src_MD-restraints-PM/muca_md.f +++ /dev/null @@ -1,334 +0,0 @@ - subroutine muca_delta(remd_t_bath,remd_ene,i,iex,delta) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MUCA' - include 'COMMON.MD' - double precision remd_t_bath(maxprocs) - double precision remd_ene(maxprocs) - double precision muca_ene - double precision betai,betaiex,delta - - betai=1.0/(Rb*remd_t_bath(i)) - betaiex=1.0/(Rb*remd_t_bath(iex)) - - delta=betai*(muca_ene(remd_ene(iex),i,remd_t_bath)- - & muca_ene(remd_ene(i),i,remd_t_bath)) - & -betaiex*(muca_ene(remd_ene(iex),iex,remd_t_bath)- - & muca_ene(remd_ene(i),iex,remd_t_bath)) - - return - end - - double precision function muca_ene(energy,i,remd_t_bath) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MUCA' - include 'COMMON.MD' - double precision y,yp,energy - double precision remd_t_bath(maxprocs) - integer i - - if (energy.lt.elowi(i)) then - call splint(emuca,nemuca,nemuca2,nmuca,elowi(i),y,yp) - muca_ene=remd_t_bath(i)*Rb*(yp*(energy-elowi(i))+y) - elseif (energy.gt.ehighi(i)) then - call splint(emuca,nemuca,nemuca2,nmuca,ehighi(i),y,yp) - muca_ene=remd_t_bath(i)*Rb*(yp*(energy-ehighi(i))+y) - else - call splint(emuca,nemuca,nemuca2,nmuca,energy,y,yp) - muca_ene=remd_t_bath(i)*Rb*y - endif - return - end - - subroutine read_muca - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MUCA' - include 'COMMON.CONTROL' - include 'COMMON.MD' - include 'COMMON.REMD' - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - double precision yp1,ypn,yp,x,muca_factor,y,muca_ene - imtime=0 - do i=1,4*maxres - hist(i)=0 - enddo - if (modecalc.eq.14.and..not.remd_tlist) then - print *,"MUCAREMD works only with TLIST" - stop - endif - open(89,file='muca.input') - read(89,*) - read(89,*) - if (modecalc.eq.14) then - read(89,*) (elowi(i),ehighi(i),i=1,nrep) - if (remd_mlist) then - k=0 - do i=1,nrep - do j=1,remd_m(i) - i2rep(k)=i - k=k+1 - enddo - enddo - elow=elowi(i2rep(me)) - ehigh=ehighi(i2rep(me)) - elowi(me+1)=elow - ehighi(me+1)=ehigh - else - elow=elowi(me+1) - ehigh=ehighi(me+1) - endif - else - read(89,*) elow,ehigh - elowi(1)=elow - ehighi(1)=ehigh - endif - i=0 - do while(.true.) - i=i+1 - read(89,*,end=100) emuca(i),nemuca(i) -cd nemuca(i)=nemuca(i)*remd_t(me+1)*Rb - enddo - 100 continue - nmuca=i-1 - hbin=emuca(nmuca)-emuca(nmuca-1) - write (iout,*) 'hbin',hbin - write (iout,*) me,'elow,ehigh',elow,ehigh - yp1=0 - ypn=0 - call spline(emuca,nemuca,nmuca,yp1,ypn,nemuca2) - factor_min=0.0d0 - factor_min=muca_factor(ehigh) - call print_muca - return - end - - - subroutine print_muca - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MUCA' - include 'COMMON.CONTROL' - include 'COMMON.MD' - include 'COMMON.REMD' - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - double precision yp1,ypn,yp,x,muca_factor,y,muca_ene - double precision dummy(maxprocs) - - if (remd_mlist) then - k=0 - do i=1,nrep - do j=1,remd_m(i) - i2rep(k)=i - k=k+1 - enddo - enddo - endif - - do i=1,nmuca -c print *,'nemuca ',emuca(i),nemuca(i) - do j=0,4 - x=emuca(i)+hbin/5*j - if (modecalc.eq.14) then - if (remd_mlist) then - yp=muca_factor(x)*remd_t(i2rep(me))*Rb - dummy(me+1)=remd_t(i2rep(me)) - y=muca_ene(x,me+1,dummy) - else - yp=muca_factor(x)*remd_t(me+1)*Rb - y=muca_ene(x,me+1,remd_t) - endif - write (iout,'(i4,i12,a12,2f15.5,a10,f15.5)') me,imtime, - & 'muca factor ',x,yp,' muca ene',y - else - yp=muca_factor(x)*t_bath*Rb - dummy(1)=t_bath - y=muca_ene(x,1,dummy) - write (iout,'(i4,i12,a12,2f15.5,a10,f15.5)') me,imtime, - & 'muca factor ',x,yp,' muca ene',y - endif - enddo - enddo - if(mucadyn.gt.0) then - do i=1,nmuca - write(iout,'(a13,i8,2f12.5)') 'nemuca after ', - & imtime,emuca(i),nemuca(i) - enddo - endif - return - end - - subroutine muca_update(energy) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MUCA' - include 'COMMON.CONTROL' - include 'COMMON.MD' - include 'COMMON.REMD' - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - double precision energy - double precision yp1,ypn - integer k - logical lnotend - - k=int((energy-emuca(1))/hbin)+1 - - IF(muca_smooth.eq.1.or.muca_smooth.eq.3) THEN - if(energy.ge.ehigh) - & write (iout,*) 'MUCA reject',energy,emuca(k) - if(energy.ge.ehigh.and.(energy-ehigh).lt.hbin) then - write (iout,*) 'MUCA ehigh',energy,emuca(k) - do i=k,nmuca - hist(i)=hist(i)+1 - enddo - endif - if(k.gt.0.and.energy.lt.ehigh) hist(k)=hist(k)+1 - ELSE - if(k.gt.0.and.k.lt.4*maxres) hist(k)=hist(k)+1 - ENDIF - if(mod(imtime,mucadyn).eq.0) then - - do i=1,nmuca - IF(muca_smooth.eq.2.or.muca_smooth.eq.3) THEN - nemuca(i)=nemuca(i)+dlog(hist(i)+1) - ELSE - if (hist(i).gt.0) hist(i)=dlog(hist(i)) - nemuca(i)=nemuca(i)+hist(i) - ENDIF - hist(i)=0 - write(iout,'(a24,i8,2f12.5)')'nemuca before smoothing ', - & imtime,emuca(i),nemuca(i) - enddo - - - lnotend=.true. - ismooth=0 - ist=2 - ien=nmuca-1 - IF(muca_smooth.eq.1.or.muca_smooth.eq.3) THEN -c lnotend=.false. -c do i=1,nmuca-1 -c do j=i+1,nmuca -c if(nemuca(j).lt.nemuca(i)) lnotend=.true. -c enddo -c enddo - do while(lnotend) - ismooth=ismooth+1 - write (iout,*) 'MUCA update smoothing',ist,ien - do i=ist,ien - nemuca(i)=(nemuca(i-1)+nemuca(i)+nemuca(i+1))/3 - enddo - lnotend=.false. - ist=0 - ien=0 - do i=1,nmuca-1 - do j=i+1,nmuca - if(nemuca(j).lt.nemuca(i)) then - lnotend=.true. - if(ist.eq.0) ist=i-1 - if(ien.lt.j+1) ien=j+1 - endif - enddo - enddo - enddo - ENDIF - - write (iout,*) 'MUCA update ',imtime,' smooth= ',ismooth - yp1=0 - ypn=0 - call spline(emuca,nemuca,nmuca,yp1,ypn,nemuca2) - call print_muca - - endif - return - end - - double precision function muca_factor(energy) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MUCA' - double precision y,yp,energy - - if (energy.lt.elow) then - call splint(emuca,nemuca,nemuca2,nmuca,elow,y,yp) - elseif (energy.gt.ehigh) then - call splint(emuca,nemuca,nemuca2,nmuca,ehigh,y,yp) - else - call splint(emuca,nemuca,nemuca2,nmuca,energy,y,yp) - endif - - if(yp.ge.factor_min) then - muca_factor=yp - else - muca_factor=factor_min - endif -cd print *,'energy, muca_factor',energy,muca_factor - return - end - - - SUBROUTINE spline(x,y,n,yp1,ypn,y2) - INTEGER n,NMAX - REAL*8 yp1,ypn,x(n),y(n),y2(n) - PARAMETER (NMAX=500) - INTEGER i,k - REAL*8 p,qn,sig,un,u(NMAX) - if (yp1.gt..99e30) then - y2(1)=0. - u(1)=0. - else - y2(1)=-0.5 - u(1)=(3./(x(2)-x(1)))*((y(2)-y(1))/(x(2)-x(1))-yp1) - endif - do i=2,n-1 - sig=(x(i)-x(i-1))/(x(i+1)-x(i-1)) - p=sig*y2(i-1)+2. - y2(i)=(sig-1.)/p - u(i)=(6.*((y(i+1)-y(i))/(x(i+1)-x(i))-(y(i)-y(i-1)) - * /(x(i)-x(i-1)))/(x(i+1)-x(i-1))-sig*u(i-1))/p - enddo - if (ypn.gt..99e30) then - qn=0. - un=0. - else - qn=0.5 - un=(3./(x(n)-x(n-1)))*(ypn-(y(n)-y(n-1))/(x(n)-x(n-1))) - endif - y2(n)=(un-qn*u(n-1))/(qn*y2(n-1)+1.) - do k=n-1,1,-1 - y2(k)=y2(k)*y2(k+1)+u(k) - enddo - return - END - - - SUBROUTINE splint(xa,ya,y2a,n,x,y,yp) - INTEGER n - REAL*8 x,y,xa(n),y2a(n),ya(n),yp - INTEGER k,khi,klo - REAL*8 a,b,h - klo=1 - khi=n - 1 if (khi-klo.gt.1) then - k=(khi+klo)/2 - if (xa(k).gt.x) then - khi=k - else - klo=k - endif - goto 1 - endif - h=xa(khi)-xa(klo) - if (h.eq.0.) pause 'bad xa input in splint' - a=(xa(khi)-x)/h - b=(x-xa(klo))/h - y=a*ya(klo)+b*ya(khi)+ - * ((a**3-a)*y2a(klo)+(b**3-b)*y2a(khi))*(h**2)/6. - yp=-ya(klo)/h+ya(khi)/h-3*(a**2)*y2a(klo)*h/6. - + +(3*(b**2)-1)*y2a(khi)*h/6. - return - END diff --git a/source/unres/src_MD-restraints-PM/parmread.F b/source/unres/src_MD-restraints-PM/parmread.F deleted file mode 100644 index 030d64e..0000000 --- a/source/unres/src_MD-restraints-PM/parmread.F +++ /dev/null @@ -1,1036 +0,0 @@ - subroutine parmread -C -C Read the parameters of the probability distributions of the virtual-bond -C valence angles and the side chains and energy parameters. -C -C Important! Energy-term weights ARE NOT read here; they are read from the -C main input file instead, because NO defaults have yet been set for these -C parameters. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include "mpif.h" - integer IERROR -#endif - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.TORSION' - include 'COMMON.SCCOR' - include 'COMMON.SCROT' - include 'COMMON.FFIELD' - include 'COMMON.NAMES' - include 'COMMON.SBRIDGE' - include 'COMMON.MD' - include 'COMMON.SETUP' - character*1 t1,t2,t3 - character*1 onelett(4) /"G","A","P","D"/ - logical lprint,LaTeX - dimension blower(3,3,maxlob) - dimension b(13) - character*3 lancuch,ucase -C -C For printing parameters after they are read set the following in the UNRES -C C-shell script: -C -C setenv PRINT_PARM YES -C -C To print parameters in LaTeX format rather than as ASCII tables: -C -C setenv LATEX YES -C - call getenv_loc("PRINT_PARM",lancuch) - lprint = (ucase(lancuch).eq."YES" .or. ucase(lancuch).eq."Y") - call getenv_loc("LATEX",lancuch) - LaTeX = (ucase(lancuch).eq."YES" .or. ucase(lancuch).eq."Y") -C - dwa16=2.0d0**(1.0d0/6.0d0) - itypro=20 -C Assign virtual-bond length - vbl=3.8D0 - vblinv=1.0D0/vbl - vblinv2=vblinv*vblinv -c -c Read the virtual-bond parameters, masses, and moments of inertia -c and Stokes' radii of the peptide group and side chains -c -#ifdef CRYST_BOND - read (ibond,*) vbldp0,akp,mp,ip,pstok - do i=1,ntyp - nbondterm(i)=1 - read (ibond,*) vbldsc0(1,i),aksc(1,i),msc(i),isc(i),restok(i) - dsc(i) = vbldsc0(1,i) - if (i.eq.10) then - dsc_inv(i)=0.0D0 - else - dsc_inv(i)=1.0D0/dsc(i) - endif - enddo -#else - read (ibond,*) junk,vbldp0,akp,rjunk,mp,ip,pstok - do i=1,ntyp - read (ibond,*) nbondterm(i),(vbldsc0(j,i),aksc(j,i),abond0(j,i), - & j=1,nbondterm(i)),msc(i),isc(i),restok(i) - dsc(i) = vbldsc0(1,i) - if (i.eq.10) then - dsc_inv(i)=0.0D0 - else - dsc_inv(i)=1.0D0/dsc(i) - endif - enddo -#endif - if (lprint) then - write(iout,'(/a/)')"Dynamic constants of the interaction sites:" - write (iout,'(a10,a3,6a10)') 'Type','N','VBL','K','A0','mass', - & 'inertia','Pstok' - write(iout,'(a10,i3,6f10.5)') "p",1,vbldp0,akp,0.0d0,mp,ip,pstok - do i=1,ntyp - write (iout,'(a10,i3,6f10.5)') restyp(i),nbondterm(i), - & vbldsc0(1,i),aksc(1,i),abond0(1,i),msc(i),isc(i),restok(i) - do j=2,nbondterm(i) - write (iout,'(13x,3f10.5)') - & vbldsc0(j,i),aksc(j,i),abond0(j,i) - enddo - enddo - endif -#ifdef CRYST_THETA -C -C Read the parameters of the probability distribution/energy expression -C of the virtual-bond valence angles theta -C - do i=1,ntyp - read (ithep,*,err=111,end=111) a0thet(i),(athet(j,i),j=1,2), - & (bthet(j,i),j=1,2) - read (ithep,*,err=111,end=111) (polthet(j,i),j=0,3) - read (ithep,*,err=111,end=111) (gthet(j,i),j=1,3) - read (ithep,*,err=111,end=111) theta0(i),sig0(i),sigc0(i) - sigc0(i)=sigc0(i)**2 - enddo - close (ithep) - if (lprint) then - if (.not.LaTeX) then - write (iout,'(a)') - & 'Parameters of the virtual-bond valence angles:' - write (iout,'(/a/9x,5a/79(1h-))') 'Fourier coefficients:', - & ' ATHETA0 ',' A1 ',' A2 ', - & ' B1 ',' B2 ' - do i=1,ntyp - write(iout,'(a3,i4,2x,5(1pe14.5))') restyp(i),i, - & a0thet(i),(athet(j,i),j=1,2),(bthet(j,i),j=1,2) - enddo - write (iout,'(/a/9x,5a/79(1h-))') - & 'Parameters of the expression for sigma(theta_c):', - & ' ALPH0 ',' ALPH1 ',' ALPH2 ', - & ' ALPH3 ',' SIGMA0C ' - do i=1,ntyp - write (iout,'(a3,i4,2x,5(1pe14.5))') restyp(i),i, - & (polthet(j,i),j=0,3),sigc0(i) - enddo - write (iout,'(/a/9x,5a/79(1h-))') - & 'Parameters of the second gaussian:', - & ' THETA0 ',' SIGMA0 ',' G1 ', - & ' G2 ',' G3 ' - do i=1,ntyp - write (iout,'(a3,i4,2x,5(1pe14.5))') restyp(i),i,theta0(i), - & sig0(i),(gthet(j,i),j=1,3) - enddo - else - write (iout,'(a)') - & 'Parameters of the virtual-bond valence angles:' - write (iout,'(/a/9x,5a/79(1h-))') - & 'Coefficients of expansion', - & ' theta0 ',' a1*10^2 ',' a2*10^2 ', - & ' b1*10^1 ',' b2*10^1 ' - do i=1,ntyp - write(iout,'(a3,1h&,2x,5(f8.3,1h&))') restyp(i), - & a0thet(i),(100*athet(j,i),j=1,2),(10*bthet(j,i),j=1,2) - enddo - write (iout,'(/a/9x,5a/79(1h-))') - & 'Parameters of the expression for sigma(theta_c):', - & ' alpha0 ',' alph1 ',' alph2 ', - & ' alhp3 ',' sigma0c ' - do i=1,ntyp - write (iout,'(a3,1h&,2x,5(1pe12.3,1h&))') restyp(i), - & (polthet(j,i),j=0,3),sigc0(i) - enddo - write (iout,'(/a/9x,5a/79(1h-))') - & 'Parameters of the second gaussian:', - & ' theta0 ',' sigma0*10^2 ',' G1*10^-1', - & ' G2 ',' G3*10^1 ' - do i=1,ntyp - write (iout,'(a3,1h&,2x,5(f8.3,1h&))') restyp(i),theta0(i), - & 100*sig0(i),gthet(1,i)*0.1D0,gthet(2,i),gthet(3,i)*10.0D0 - enddo - endif - endif -#else -C -C Read the parameters of Utheta determined from ab initio surfaces -C Kozlowska et al., J. Phys.: Condens. Matter 19 (2007) 285203 -C - read (ithep,*,err=111,end=111) nthetyp,ntheterm,ntheterm2, - & ntheterm3,nsingle,ndouble - nntheterm=max0(ntheterm,ntheterm2,ntheterm3) - read (ithep,*,err=111,end=111) (ithetyp(i),i=1,ntyp1) - do i=1,maxthetyp - do j=1,maxthetyp - do k=1,maxthetyp - aa0thet(i,j,k)=0.0d0 - do l=1,ntheterm - aathet(l,i,j,k)=0.0d0 - enddo - do l=1,ntheterm2 - do m=1,nsingle - bbthet(m,l,i,j,k)=0.0d0 - ccthet(m,l,i,j,k)=0.0d0 - ddthet(m,l,i,j,k)=0.0d0 - eethet(m,l,i,j,k)=0.0d0 - enddo - enddo - do l=1,ntheterm3 - do m=1,ndouble - do mm=1,ndouble - ffthet(mm,m,l,i,j,k)=0.0d0 - ggthet(mm,m,l,i,j,k)=0.0d0 - enddo - enddo - enddo - enddo - enddo - enddo - do i=1,nthetyp - do j=1,nthetyp - do k=1,nthetyp - read (ithep,'(3a)',end=111,err=111) res1,res2,res3 - read (ithep,*,end=111,err=111) aa0thet(i,j,k) - read (ithep,*,end=111,err=111)(aathet(l,i,j,k),l=1,ntheterm) - read (ithep,*,end=111,err=111) - & ((bbthet(lll,ll,i,j,k),lll=1,nsingle), - & (ccthet(lll,ll,i,j,k),lll=1,nsingle), - & (ddthet(lll,ll,i,j,k),lll=1,nsingle), - & (eethet(lll,ll,i,j,k),lll=1,nsingle),ll=1,ntheterm2) - read (ithep,*,end=111,err=111) - & (((ffthet(llll,lll,ll,i,j,k),ffthet(lll,llll,ll,i,j,k), - & ggthet(llll,lll,ll,i,j,k),ggthet(lll,llll,ll,i,j,k), - & llll=1,lll-1),lll=2,ndouble),ll=1,ntheterm3) - enddo - enddo - enddo -C -C For dummy ends assign glycine-type coefficients of theta-only terms; the -C coefficients of theta-and-gamma-dependent terms are zero. -C - do i=1,nthetyp - do j=1,nthetyp - do l=1,ntheterm - aathet(l,i,j,nthetyp+1)=aathet(l,i,j,1) - aathet(l,nthetyp+1,i,j)=aathet(l,1,i,j) - enddo - aa0thet(i,j,nthetyp+1)=aa0thet(i,j,1) - aa0thet(nthetyp+1,i,j)=aa0thet(1,i,j) - enddo - do l=1,ntheterm - aathet(l,nthetyp+1,i,nthetyp+1)=aathet(l,1,i,1) - enddo - aa0thet(nthetyp+1,i,nthetyp+1)=aa0thet(1,i,1) - enddo -C -C Control printout of the coefficients of virtual-bond-angle potentials -C - if (lprint) then - write (iout,'(//a)') 'Parameter of virtual-bond-angle potential' - do i=1,nthetyp+1 - do j=1,nthetyp+1 - do k=1,nthetyp+1 - write (iout,'(//4a)') - & 'Type ',onelett(i),onelett(j),onelett(k) - write (iout,'(//a,10x,a)') " l","a[l]" - write (iout,'(i2,1pe15.5)') 0,aa0thet(i,j,k) - write (iout,'(i2,1pe15.5)') - & (l,aathet(l,i,j,k),l=1,ntheterm) - do l=1,ntheterm2 - write (iout,'(//2h m,4(9x,a,3h[m,,i1,1h]))') - & "b",l,"c",l,"d",l,"e",l - do m=1,nsingle - write (iout,'(i2,4(1pe15.5))') m, - & bbthet(m,l,i,j,k),ccthet(m,l,i,j,k), - & ddthet(m,l,i,j,k),eethet(m,l,i,j,k) - enddo - enddo - do l=1,ntheterm3 - write (iout,'(//3hm,n,4(6x,a,5h[m,n,,i1,1h]))') - & "f+",l,"f-",l,"g+",l,"g-",l - do m=2,ndouble - do n=1,m-1 - write (iout,'(i1,1x,i1,4(1pe15.5))') n,m, - & ffthet(n,m,l,i,j,k),ffthet(m,n,l,i,j,k), - & ggthet(n,m,l,i,j,k),ggthet(m,n,l,i,j,k) - enddo - enddo - enddo - enddo - enddo - enddo - call flush(iout) - endif - write (2,*) "Start reading THETA_PDB" - do i=1,ntyp - read (ithep_pdb,*,err=111,end=111) a0thet(i),(athet(j,i),j=1,2), - & (bthet(j,i),j=1,2) - read (ithep_pdb,*,err=111,end=111) (polthet(j,i),j=0,3) - read (ithep_pdb,*,err=111,end=111) (gthet(j,i),j=1,3) - read (ithep_pdb,*,err=111,end=111) theta0(i),sig0(i),sigc0(i) - sigc0(i)=sigc0(i)**2 - enddo - write (2,*) "End reading THETA_PDB" - close (ithep_pdb) -#endif - close(ithep) -#ifdef CRYST_SC -C -C Read the parameters of the probability distribution/energy expression -C of the side chains. -C - do i=1,ntyp - read (irotam,'(3x,i3,f8.3)',end=112,err=112) nlob(i),dsc(i) - if (i.eq.10) then - dsc_inv(i)=0.0D0 - else - dsc_inv(i)=1.0D0/dsc(i) - endif - if (i.ne.10) then - do j=1,nlob(i) - do k=1,3 - do l=1,3 - blower(l,k,j)=0.0D0 - enddo - enddo - enddo - bsc(1,i)=0.0D0 - read(irotam,*,end=112,err=112)(censc(k,1,i),k=1,3), - & ((blower(k,l,1),l=1,k),k=1,3) - do j=2,nlob(i) - read (irotam,*,end=112,err=112) bsc(j,i) - read (irotam,*,end=112,err=112) (censc(k,j,i),k=1,3), - & ((blower(k,l,j),l=1,k),k=1,3) - enddo - do j=1,nlob(i) - do k=1,3 - do l=1,k - akl=0.0D0 - do m=1,3 - akl=akl+blower(k,m,j)*blower(l,m,j) - enddo - gaussc(k,l,j,i)=akl - gaussc(l,k,j,i)=akl - enddo - enddo - enddo - endif - enddo - close (irotam) - if (lprint) then - write (iout,'(/a)') 'Parameters of side-chain local geometry' - do i=1,ntyp - nlobi=nlob(i) - if (nlobi.gt.0) then - if (LaTeX) then - write (iout,'(/3a,i2,a,f8.3)') 'Residue type: ',restyp(i), - & ' # of gaussian lobes:',nlobi,' dsc:',dsc(i) - write (iout,'(1h&,a,3(2h&&,f8.3,2h&&))') - & 'log h',(bsc(j,i),j=1,nlobi) - write (iout,'(1h&,a,3(1h&,f8.3,1h&,f8.3,1h&,f8.3,1h&))') - & 'x',((censc(k,j,i),k=1,3),j=1,nlobi) - do k=1,3 - write (iout,'(2h& ,5(2x,1h&,3(f7.3,1h&)))') - & ((gaussc(k,l,j,i),l=1,3),j=1,nlobi) - enddo - else - write (iout,'(/a,8x,i1,4(25x,i1))') 'Lobe:',(j,j=1,nlobi) - write (iout,'(a,f10.4,4(16x,f10.4))') - & 'Center ',(bsc(j,i),j=1,nlobi) - write (iout,'(5(2x,3f8.4))') ((censc(k,j,i),k=1,3), - & j=1,nlobi) - write (iout,'(a)') - endif - endif - enddo - endif -#else -C -C Read scrot parameters for potentials determined from all-atom AM1 calculations -C added by Urszula Kozlowska 07/11/2007 -C - do i=1,ntyp - read (irotam,*,end=112,err=112) - if (i.eq.10) then - read (irotam,*,end=112,err=112) - else - do j=1,65 - read(irotam,*,end=112,err=112) sc_parmin(j,i) - enddo - endif - enddo -C -C Read the parameters of the probability distribution/energy expression -C of the side chains. -C - do i=1,ntyp - read (irotam_pdb,'(3x,i3,f8.3)',end=112,err=112) nlob(i),dsc(i) - if (i.eq.10) then - dsc_inv(i)=0.0D0 - else - dsc_inv(i)=1.0D0/dsc(i) - endif - if (i.ne.10) then - do j=1,nlob(i) - do k=1,3 - do l=1,3 - blower(l,k,j)=0.0D0 - enddo - enddo - enddo - bsc(1,i)=0.0D0 - read(irotam_pdb,*,end=112,err=112)(censc(k,1,i),k=1,3), - & ((blower(k,l,1),l=1,k),k=1,3) - do j=2,nlob(i) - read (irotam_pdb,*,end=112,err=112) bsc(j,i) - read (irotam_pdb,*,end=112,err=112) (censc(k,j,i),k=1,3), - & ((blower(k,l,j),l=1,k),k=1,3) - enddo - do j=1,nlob(i) - do k=1,3 - do l=1,k - akl=0.0D0 - do m=1,3 - akl=akl+blower(k,m,j)*blower(l,m,j) - enddo - gaussc(k,l,j,i)=akl - gaussc(l,k,j,i)=akl - enddo - enddo - enddo - endif - enddo - close (irotam_pdb) -#endif - close(irotam) - -#ifdef CRYST_TOR -C -C Read torsional parameters in old format -C - read (itorp,*,end=113,err=113) ntortyp,nterm_old - if (lprint)write (iout,*) 'ntortyp,nterm',ntortyp,nterm_old - read (itorp,*,end=113,err=113) (itortyp(i),i=1,ntyp) - do i=1,ntortyp - do j=1,ntortyp - read (itorp,'(a)') - do k=1,nterm_old - read (itorp,*,end=113,err=113) kk,v1(k,j,i),v2(k,j,i) - enddo - enddo - enddo - close (itorp) - if (lprint) then - write (iout,'(/a/)') 'Torsional constants:' - do i=1,ntortyp - do j=1,ntortyp - write (iout,'(2i3,6f10.5)') i,j,(v1(k,i,j),k=1,nterm_old) - write (iout,'(6x,6f10.5)') (v2(k,i,j),k=1,nterm_old) - enddo - enddo - endif -#else -C -C Read torsional parameters -C - read (itorp,*,end=113,err=113) ntortyp - read (itorp,*,end=113,err=113) (itortyp(i),i=1,ntyp) -c write (iout,*) 'ntortyp',ntortyp - do i=1,ntortyp - do j=1,ntortyp - read (itorp,*,end=113,err=113) nterm(i,j),nlor(i,j) - v0ij=0.0d0 - si=-1.0d0 - do k=1,nterm(i,j) - read (itorp,*,end=113,err=113) kk,v1(k,i,j),v2(k,i,j) - v0ij=v0ij+si*v1(k,i,j) - si=-si - enddo - do k=1,nlor(i,j) - read (itorp,*,end=113,err=113) kk,vlor1(k,i,j), - & vlor2(k,i,j),vlor3(k,i,j) - v0ij=v0ij+vlor1(k,i,j)/(1+vlor3(k,i,j)**2) - enddo - v0(i,j)=v0ij - enddo - enddo - close (itorp) - if (lprint) then - write (iout,'(/a/)') 'Torsional constants:' - do i=1,ntortyp - do j=1,ntortyp - write (iout,*) 'ityp',i,' jtyp',j - write (iout,*) 'Fourier constants' - do k=1,nterm(i,j) - write (iout,'(2(1pe15.5))') v1(k,i,j),v2(k,i,j) - enddo - write (iout,*) 'Lorenz constants' - do k=1,nlor(i,j) - write (iout,'(3(1pe15.5))') - & vlor1(k,i,j),vlor2(k,i,j),vlor3(k,i,j) - enddo - enddo - enddo - endif -C -C 6/23/01 Read parameters for double torsionals -C - do i=1,ntortyp - do j=1,ntortyp - do k=1,ntortyp - read (itordp,'(3a1)',end=114,err=114) t1,t2,t3 - if (t1.ne.onelett(i) .or. t2.ne.onelett(j) - & .or. t3.ne.onelett(k)) then - write (iout,*) "Error in double torsional parameter file", - & i,j,k,t1,t2,t3 -#ifdef MPI - call MPI_Finalize(Ierror) -#endif - stop "Error in double torsional parameter file" - endif - read (itordp,*,end=114,err=114) ntermd_1(i,j,k), - & ntermd_2(i,j,k) - read (itordp,*,end=114,err=114) (v1c(1,l,i,j,k),l=1, - & ntermd_1(i,j,k)) - read (itordp,*,end=114,err=114) (v1s(1,l,i,j,k),l=1, - & ntermd_1(i,j,k)) - read (itordp,*,end=114,err=114) (v1c(2,l,i,j,k),l=1, - & ntermd_1(i,j,k)) - read (itordp,*,end=114,err=114) (v1s(2,l,i,j,k),l=1, - & ntermd_1(i,j,k)) - read (itordp,*,end=114,err=114) ((v2c(l,m,i,j,k), - & v2c(m,l,i,j,k),v2s(l,m,i,j,k),v2s(m,l,i,j,k), - & m=1,l-1),l=1,ntermd_2(i,j,k)) - enddo - enddo - enddo - if (lprint) then - write (iout,*) - write (iout,*) 'Constants for double torsionals' - do i=1,ntortyp - do j=1,ntortyp - do k=1,ntortyp - write (iout,*) 'ityp',i,' jtyp',j,' ktyp',k, - & ' nsingle',ntermd_1(i,j,k),' ndouble',ntermd_2(i,j,k) - write (iout,*) - write (iout,*) 'Single angles:' - do l=1,ntermd_1(i,j,k) - write (iout,'(i5,2f10.5,5x,2f10.5)') l, - & v1c(1,l,i,j,k),v1s(1,l,i,j,k), - & v1c(2,l,i,j,k),v1s(2,l,i,j,k) - enddo - write (iout,*) - write (iout,*) 'Pairs of angles:' - write (iout,'(3x,20i10)') (l,l=1,ntermd_2(i,j,k)) - do l=1,ntermd_2(i,j,k) - write (iout,'(i5,20f10.5)') - & l,(v2c(l,m,i,j,k),m=1,ntermd_2(i,j,k)) - enddo - write (iout,*) - write (iout,'(3x,20i10)') (l,l=1,ntermd_2(i,j,k)) - do l=1,ntermd_2(i,j,k) - write (iout,'(i5,20f10.5)') - & l,(v2s(l,m,i,j,k),m=1,ntermd_2(i,j,k)) - enddo - write (iout,*) - enddo - enddo - enddo - endif -#endif -C Read of Side-chain backbone correlation parameters -C Modified 11 May 2012 by Adasko -CCC -C - read (isccor,*,end=1113,err=1113) nsccortyp - read (isccor,*,end=1113,err=1113) (isccortyp(i),i=1,ntyp) -c write (iout,*) 'ntortyp',ntortyp - maxinter=3 -cc maxinter is maximum interaction sites - do l=1,maxinter - do i=1,nsccortyp - do j=1,nsccortyp - read (isccor,*,end=1113,err=1113) nterm_sccor(i,j), - & nlor_sccor(i,j) - v0ijsccor=0.0d0 - si=-1.0d0 - - do k=1,nterm_sccor(i,j) - read (isccor,*,end=1113,err=1113) kk,v1sccor(k,l,i,j) - & ,v2sccor(k,l,i,j) - v0ijsccor=v0ijsccor+si*v1sccor(k,l,i,j) - si=-si - enddo - do k=1,nlor_sccor(i,j) - read (isccor,*,end=1113,err=1113) kk,vlor1sccor(k,i,j), - & vlor2sccor(k,i,j),vlor3sccor(k,i,j) - v0ijsccor=v0ijsccor+vlor1sccor(k,i,j)/ - &(1+vlor3sccor(k,i,j)**2) - enddo - v0sccor(i,j)=v0ijsccor - enddo - enddo - enddo - close (isccor) - - if (lprint) then - write (iout,'(/a/)') 'Torsional constants:' - do i=1,nsccortyp - do j=1,nsccortyp - write (iout,*) 'ityp',i,' jtyp',j - write (iout,*) 'Fourier constants' - do k=1,nterm_sccor(i,j) - write (iout,'(2(1pe15.5))') v1sccor(k,l,i,j),v2sccor(k,l,i,j) - enddo - write (iout,*) 'Lorenz constants' - do k=1,nlor_sccor(i,j) - write (iout,'(3(1pe15.5))') - & vlor1sccor(k,i,j),vlor2sccor(k,i,j),vlor3sccor(k,i,j) - enddo - enddo - enddo - endif -C -C -C 9/18/99 (AL) Read coefficients of the Fourier expansion of the local -C interaction energy of the Gly, Ala, and Pro prototypes. -C - if (lprint) then - write (iout,*) - write (iout,*) "Coefficients of the cumulants" - endif - read (ifourier,*) nloctyp - do i=1,nloctyp - read (ifourier,*,end=115,err=115) - read (ifourier,*,end=115,err=115) (b(ii),ii=1,13) - if (lprint) then - write (iout,*) 'Type',i - write (iout,'(a,i2,a,f10.5)') ('b(',ii,')=',b(ii),ii=1,13) - endif - B1(1,i) = b(3) - B1(2,i) = b(5) -c b1(1,i)=0.0d0 -c b1(2,i)=0.0d0 - B1tilde(1,i) = b(3) - B1tilde(2,i) =-b(5) -c b1tilde(1,i)=0.0d0 -c b1tilde(2,i)=0.0d0 - B2(1,i) = b(2) - B2(2,i) = b(4) -c b2(1,i)=0.0d0 -c b2(2,i)=0.0d0 - CC(1,1,i)= b(7) - CC(2,2,i)=-b(7) - CC(2,1,i)= b(9) - CC(1,2,i)= b(9) -c CC(1,1,i)=0.0d0 -c CC(2,2,i)=0.0d0 -c CC(2,1,i)=0.0d0 -c CC(1,2,i)=0.0d0 - Ctilde(1,1,i)=b(7) - Ctilde(1,2,i)=b(9) - Ctilde(2,1,i)=-b(9) - Ctilde(2,2,i)=b(7) -c Ctilde(1,1,i)=0.0d0 -c Ctilde(1,2,i)=0.0d0 -c Ctilde(2,1,i)=0.0d0 -c Ctilde(2,2,i)=0.0d0 - DD(1,1,i)= b(6) - DD(2,2,i)=-b(6) - DD(2,1,i)= b(8) - DD(1,2,i)= b(8) -c DD(1,1,i)=0.0d0 -c DD(2,2,i)=0.0d0 -c DD(2,1,i)=0.0d0 -c DD(1,2,i)=0.0d0 - Dtilde(1,1,i)=b(6) - Dtilde(1,2,i)=b(8) - Dtilde(2,1,i)=-b(8) - Dtilde(2,2,i)=b(6) -c Dtilde(1,1,i)=0.0d0 -c Dtilde(1,2,i)=0.0d0 -c Dtilde(2,1,i)=0.0d0 -c Dtilde(2,2,i)=0.0d0 - EE(1,1,i)= b(10)+b(11) - EE(2,2,i)=-b(10)+b(11) - EE(2,1,i)= b(12)-b(13) - EE(1,2,i)= b(12)+b(13) -c ee(1,1,i)=1.0d0 -c ee(2,2,i)=1.0d0 -c ee(2,1,i)=0.0d0 -c ee(1,2,i)=0.0d0 -c ee(2,1,i)=ee(1,2,i) - enddo - if (lprint) then - do i=1,nloctyp - write (iout,*) 'Type',i - write (iout,*) 'B1' - write(iout,*) B1(1,i),B1(2,i) - write (iout,*) 'B2' - write(iout,*) B2(1,i),B2(2,i) - write (iout,*) 'CC' - do j=1,2 - write (iout,'(2f10.5)') CC(j,1,i),CC(j,2,i) - enddo - write(iout,*) 'DD' - do j=1,2 - write (iout,'(2f10.5)') DD(j,1,i),DD(j,2,i) - enddo - write(iout,*) 'EE' - do j=1,2 - write (iout,'(2f10.5)') EE(j,1,i),EE(j,2,i) - enddo - enddo - endif -C -C Read electrostatic-interaction parameters -C - if (lprint) then - write (iout,*) - write (iout,'(/a)') 'Electrostatic interaction constants:' - write (iout,'(1x,a,1x,a,10x,a,11x,a,11x,a,11x,a)') - & 'IT','JT','APP','BPP','AEL6','AEL3' - endif - read (ielep,*,end=116,err=116) ((epp(i,j),j=1,2),i=1,2) - read (ielep,*,end=116,err=116) ((rpp(i,j),j=1,2),i=1,2) - read (ielep,*,end=116,err=116) ((elpp6(i,j),j=1,2),i=1,2) - read (ielep,*,end=116,err=116) ((elpp3(i,j),j=1,2),i=1,2) - close (ielep) - do i=1,2 - do j=1,2 - rri=rpp(i,j)**6 - app (i,j)=epp(i,j)*rri*rri - bpp (i,j)=-2.0D0*epp(i,j)*rri - ael6(i,j)=elpp6(i,j)*4.2D0**6 - ael3(i,j)=elpp3(i,j)*4.2D0**3 - if (lprint) write(iout,'(2i3,4(1pe15.4))')i,j,app(i,j),bpp(i,j), - & ael6(i,j),ael3(i,j) - enddo - enddo -C -C Read side-chain interaction parameters. -C - read (isidep,*,end=117,err=117) ipot,expon - if (ipot.lt.1 .or. ipot.gt.5) then - write (iout,'(2a)') 'Error while reading SC interaction', - & 'potential file - unknown potential type.' -#ifdef MPI - call MPI_Finalize(Ierror) -#endif - stop - endif - expon2=expon/2 - if(me.eq.king) - & write(iout,'(/3a,2i3)') 'Potential is ',potname(ipot), - & ', exponents are ',expon,2*expon - goto (10,20,30,30,40) ipot -C----------------------- LJ potential --------------------------------- - 10 read (isidep,*,end=116,err=116)((eps(i,j),j=i,ntyp),i=1,ntyp), - & (sigma0(i),i=1,ntyp) - if (lprint) then - write (iout,'(/a/)') 'Parameters of the LJ potential:' - write (iout,'(a/)') 'The epsilon array:' - call printmat(ntyp,ntyp,ntyp,iout,restyp,eps) - write (iout,'(/a)') 'One-body parameters:' - write (iout,'(a,4x,a)') 'residue','sigma' - write (iout,'(a3,6x,f10.5)') (restyp(i),sigma0(i),i=1,ntyp) - endif - goto 50 -C----------------------- LJK potential -------------------------------- - 20 read (isidep,*,end=116,err=116)((eps(i,j),j=i,ntyp),i=1,ntyp), - & (sigma0(i),i=1,ntyp),(rr0(i),i=1,ntyp) - if (lprint) then - write (iout,'(/a/)') 'Parameters of the LJK potential:' - write (iout,'(a/)') 'The epsilon array:' - call printmat(ntyp,ntyp,ntyp,iout,restyp,eps) - write (iout,'(/a)') 'One-body parameters:' - write (iout,'(a,4x,2a)') 'residue',' sigma ',' r0 ' - write (iout,'(a3,6x,2f10.5)') (restyp(i),sigma0(i),rr0(i), - & i=1,ntyp) - endif - goto 50 -C---------------------- GB or BP potential ----------------------------- - 30 read (isidep,*,end=116,err=116)((eps(i,j),j=i,ntyp),i=1,ntyp), - & (sigma0(i),i=1,ntyp),(sigii(i),i=1,ntyp),(chip(i),i=1,ntyp), - & (alp(i),i=1,ntyp) -C For the GB potential convert sigma'**2 into chi' - if (ipot.eq.4) then - do i=1,ntyp - chip(i)=(chip(i)-1.0D0)/(chip(i)+1.0D0) - enddo - endif - if (lprint) then - write (iout,'(/a/)') 'Parameters of the BP potential:' - write (iout,'(a/)') 'The epsilon array:' - call printmat(ntyp,ntyp,ntyp,iout,restyp,eps) - write (iout,'(/a)') 'One-body parameters:' - write (iout,'(a,4x,4a)') 'residue',' sigma ','s||/s_|_^2', - & ' chip ',' alph ' - write (iout,'(a3,6x,4f10.5)') (restyp(i),sigma0(i),sigii(i), - & chip(i),alp(i),i=1,ntyp) - endif - goto 50 -C--------------------- GBV potential ----------------------------------- - 40 read (isidep,*,end=116,err=116)((eps(i,j),j=i,ntyp),i=1,ntyp), - & (sigma0(i),i=1,ntyp),(rr0(i),i=1,ntyp),(sigii(i),i=1,ntyp), - & (chip(i),i=1,ntyp),(alp(i),i=1,ntyp) - if (lprint) then - write (iout,'(/a/)') 'Parameters of the GBV potential:' - write (iout,'(a/)') 'The epsilon array:' - call printmat(ntyp,ntyp,ntyp,iout,restyp,eps) - write (iout,'(/a)') 'One-body parameters:' - write (iout,'(a,4x,5a)') 'residue',' sigma ',' r0 ', - & 's||/s_|_^2',' chip ',' alph ' - write (iout,'(a3,6x,5f10.5)') (restyp(i),sigma0(i),rr0(i), - & sigii(i),chip(i),alp(i),i=1,ntyp) - endif - 50 continue - close (isidep) -C----------------------------------------------------------------------- -C Calculate the "working" parameters of SC interactions. - do i=2,ntyp - do j=1,i-1 - eps(i,j)=eps(j,i) - enddo - enddo - do i=1,ntyp - do j=i,ntyp - sigma(i,j)=dsqrt(sigma0(i)**2+sigma0(j)**2) - sigma(j,i)=sigma(i,j) - rs0(i,j)=dwa16*sigma(i,j) - rs0(j,i)=rs0(i,j) - enddo - enddo - if (lprint) write (iout,'(/a/10x,7a/72(1h-))') - & 'Working parameters of the SC interactions:', - & ' a ',' b ',' augm ',' sigma ',' r0 ', - & ' chi1 ',' chi2 ' - do i=1,ntyp - do j=i,ntyp - epsij=eps(i,j) - if (ipot.eq.1 .or. ipot.eq.3 .or. ipot.eq.4) then - rrij=sigma(i,j) - else - rrij=rr0(i)+rr0(j) - endif - r0(i,j)=rrij - r0(j,i)=rrij - rrij=rrij**expon - epsij=eps(i,j) - sigeps=dsign(1.0D0,epsij) - epsij=dabs(epsij) - aa(i,j)=epsij*rrij*rrij - bb(i,j)=-sigeps*epsij*rrij - aa(j,i)=aa(i,j) - bb(j,i)=bb(i,j) - if (ipot.gt.2) then - sigt1sq=sigma0(i)**2 - sigt2sq=sigma0(j)**2 - sigii1=sigii(i) - sigii2=sigii(j) - ratsig1=sigt2sq/sigt1sq - ratsig2=1.0D0/ratsig1 - chi(i,j)=(sigii1-1.0D0)/(sigii1+ratsig1) - if (j.gt.i) chi(j,i)=(sigii2-1.0D0)/(sigii2+ratsig2) - rsum_max=dsqrt(sigii1*sigt1sq+sigii2*sigt2sq) - else - rsum_max=sigma(i,j) - endif -c if (ipot.eq.1 .or. ipot.eq.3 .or. ipot.eq.4) then - sigmaii(i,j)=rsum_max - sigmaii(j,i)=rsum_max -c else -c sigmaii(i,j)=r0(i,j) -c sigmaii(j,i)=r0(i,j) -c endif -cd write (iout,*) i,j,r0(i,j),sigma(i,j),rsum_max - if ((ipot.eq.2 .or. ipot.eq.5) .and. r0(i,j).gt.rsum_max) then - r_augm=sigma(i,j)*(rrij-sigma(i,j))/rrij - augm(i,j)=epsij*r_augm**(2*expon) -c augm(i,j)=0.5D0**(2*expon)*aa(i,j) - augm(j,i)=augm(i,j) - else - augm(i,j)=0.0D0 - augm(j,i)=0.0D0 - endif - if (lprint) then - write (iout,'(2(a3,2x),3(1pe10.3),5(0pf8.3))') - & restyp(i),restyp(j),aa(i,j),bb(i,j),augm(i,j), - & sigma(i,j),r0(i,j),chi(i,j),chi(j,i) - endif - enddo - enddo -#ifdef OLDSCP -C -C Define the SC-p interaction constants (hard-coded; old style) -C - do i=1,20 -C "Soft" SC-p repulsion (causes helices to be too flat, but facilitates -C helix formation) -c aad(i,1)=0.3D0*4.0D0**12 -C Following line for constants currently implemented -C "Hard" SC-p repulsion (gives correct turn spacing in helices) - aad(i,1)=1.5D0*4.0D0**12 -c aad(i,1)=0.17D0*5.6D0**12 - aad(i,2)=aad(i,1) -C "Soft" SC-p repulsion - bad(i,1)=0.0D0 -C Following line for constants currently implemented -c aad(i,1)=0.3D0*4.0D0**6 -C "Hard" SC-p repulsion - bad(i,1)=3.0D0*4.0D0**6 -c bad(i,1)=-2.0D0*0.17D0*5.6D0**6 - bad(i,2)=bad(i,1) -c aad(i,1)=0.0D0 -c aad(i,2)=0.0D0 -c bad(i,1)=1228.8D0 -c bad(i,2)=1228.8D0 - enddo -#else -C -C 8/9/01 Read the SC-p interaction constants from file -C - do i=1,ntyp - read (iscpp,*,end=118,err=118) (eps_scp(i,j),rscp(i,j),j=1,2) - enddo - do i=1,ntyp - aad(i,1)=dabs(eps_scp(i,1))*rscp(i,1)**12 - aad(i,2)=dabs(eps_scp(i,2))*rscp(i,2)**12 - bad(i,1)=-2*eps_scp(i,1)*rscp(i,1)**6 - bad(i,2)=-2*eps_scp(i,2)*rscp(i,2)**6 - enddo - - if (lprint) then - write (iout,*) "Parameters of SC-p interactions:" - do i=1,20 - write (iout,'(4f8.3,4e12.4)') eps_scp(i,1),rscp(i,1), - & eps_scp(i,2),rscp(i,2),aad(i,1),bad(i,1),aad(i,2),bad(i,2) - enddo - endif -#endif -C -C Define the constants of the disulfide bridge -C - ebr=-5.50D0 -c -c Old arbitrary potential - commented out. -c -c dbr= 4.20D0 -c fbr= 3.30D0 -c -c Constants of the disulfide-bond potential determined based on the RHF/6-31G** -c energy surface of diethyl disulfide. -c A. Liwo and U. Kozlowska, 11/24/03 -c - D0CM = 3.78d0 - AKCM = 15.1d0 - AKTH = 11.0d0 - AKCT = 12.0d0 - V1SS =-1.08d0 - V2SS = 7.61d0 - V3SS = 13.7d0 -c akcm=0.0d0 -c akth=0.0d0 -c akct=0.0d0 -c v1ss=0.0d0 -c v2ss=0.0d0 -c v3ss=0.0d0 - - if(me.eq.king) then - write (iout,'(/a)') "Disulfide bridge parameters:" - write (iout,'(a,f10.2)') 'S-S bridge energy: ',ebr - write (iout,'(2(a,f10.2))') 'd0cm:',d0cm,' akcm:',akcm - write (iout,'(2(a,f10.2))') 'akth:',akth,' akct:',akct - write (iout,'(3(a,f10.2))') 'v1ss:',v1ss,' v2ss:',v2ss, - & ' v3ss:',v3ss - endif - return - 111 write (iout,*) "Error reading bending energy parameters." - goto 999 - 112 write (iout,*) "Error reading rotamer energy parameters." - goto 999 - 113 write (iout,*) "Error reading torsional energy parameters." - goto 999 - 1113 write (iout,*) - & "Error reading side-chain torsional energy parameters." - goto 999 - 114 write (iout,*) "Error reading double torsional energy parameters." - goto 999 - 115 write (iout,*) - & "Error reading cumulant (multibody energy) parameters." - goto 999 - 116 write (iout,*) "Error reading electrostatic energy parameters." - goto 999 - 117 write (iout,*) "Error reading side chain interaction parameters." - goto 999 - 118 write (iout,*) "Error reading SCp interaction parameters." - goto 999 - 119 write (iout,*) "Error reading SCCOR parameters" - 999 continue -#ifdef MPI - call MPI_Finalize(Ierror) -#endif - stop - return - end - - - subroutine getenv_loc(var, val) - character(*) var, val - -#ifdef WINIFL - character(2000) line - external ilen - - open (196,file='env',status='old',readonly,shared) - iread=0 -c write(*,*)'looking for ',var -10 read(196,*,err=11,end=11)line - iread=index(line,var) -c write(*,*)iread,' ',var,' ',line - if (iread.eq.0) go to 10 -c write(*,*)'---> ',line -11 continue - if(iread.eq.0) then -c write(*,*)'CHUJ' - val='' - else - iread=iread+ilen(var)+1 - read (line(iread:),*,err=12,end=12) val -c write(*,*)'OK: ',var,' = ',val - endif - close(196) - return -12 val='' - close(196) -#elif (defined CRAY) - integer lennam,lenval,ierror -c -c getenv using a POSIX call, useful on the T3D -c Sept 1996, comment out error check on advice of H. Pritchard -c - lennam = len(var) - if(lennam.le.0) stop '--error calling getenv--' - call pxfgetenv(var,lennam,val,lenval,ierror) -c-HP- if(ierror.ne.0) stop '--error returned by pxfgetenv--' -#else - call getenv(var,val) -#endif - - return - end diff --git a/source/unres/src_MD-restraints-PM/pinorm.f b/source/unres/src_MD-restraints-PM/pinorm.f deleted file mode 100644 index 91392bf..0000000 --- a/source/unres/src_MD-restraints-PM/pinorm.f +++ /dev/null @@ -1,17 +0,0 @@ - double precision function pinorm(x) - implicit real*8 (a-h,o-z) -c -c this function takes an angle (in radians) and puts it in the range of -c -pi to +pi. -c - integer n - include 'COMMON.GEO' - n = x / dwapi - pinorm = x - n * dwapi - if ( pinorm .gt. pi ) then - pinorm = pinorm - dwapi - else if ( pinorm .lt. - pi ) then - pinorm = pinorm + dwapi - end if - return - end diff --git a/source/unres/src_MD-restraints-PM/printmat.f b/source/unres/src_MD-restraints-PM/printmat.f deleted file mode 100644 index be2b38f..0000000 --- a/source/unres/src_MD-restraints-PM/printmat.f +++ /dev/null @@ -1,16 +0,0 @@ - subroutine printmat(ldim,m,n,iout,key,a) - character*3 key(n) - double precision a(ldim,n) - do 1 i=1,n,8 - nlim=min0(i+7,n) - write (iout,1000) (key(k),k=i,nlim) - write (iout,1020) - 1000 format (/5x,8(6x,a3)) - 1020 format (/80(1h-)/) - do 2 j=1,n - write (iout,1010) key(j),(a(j,k),k=i,nlim) - 2 continue - 1 continue - 1010 format (a3,2x,8(f9.4)) - return - end diff --git a/source/unres/src_MD-restraints-PM/prng.f b/source/unres/src_MD-restraints-PM/prng.f deleted file mode 100644 index 73f6766..0000000 --- a/source/unres/src_MD-restraints-PM/prng.f +++ /dev/null @@ -1,525 +0,0 @@ - real*8 function prng_next(me) - implicit none - integer me -c -c Calling sequence: -c = prng_next ( ) -c = vprng ( , , ) -c -c This code is based on a sequential algorithm provided by Mal Kalos. -c This version uses a single 64-bit word to store the initial seeds -c and additive constants. -c A 64-bit floating point number is returned. -c -c The array "iparam" is full-word aligned, being padded by zeros to -c let each generator be on a subpage boundary. -c That is, rows 1 and 2 in a given column of the array are for real, -c rows 3-16 are bogus. -c -c July 12, 1993: double the number of sequences. We should have been -c using two packets per seed, rather than four -c October 31, 1993: merge the two arrays of seeds and constants, -c and switch to 64-bit arithmetic. -c June 1994: port to RS6K. Internal state is kept as 2 64-bit integers -c The ishft function is defined only on 32-bit integers, so we will -c shift numbers by dividing by 2**11 and then adding on 2**53-1. -c -c November 1994: ishift now works on 64-bit numbers (though it gives a -c warning). Thus we go back to using it. John Zollweg also added the -c vprng() routine to return vectors of real*8 random numbers. -c - real*8 recip53 - parameter ( recip53 = 2.0D0**(-53) ) - integer*8 two - parameter ( two = 2**11) - integer*8 m,ishift -c parameter ( m = 34522712143931 ) ! 11**13 -c parameter ( ishift = 9007199254740991 ) ! 2**53-1 - - integer nmax - integer*8 iparam - parameter(nmax=1021) - common/ksrprng/iparam(2,0:nmax) - - integer*8 next - -crc g77 doesn't support integer*8 constants - m = dint(34522712143931.0d0) - ishift = dint(9007199254740991.0d0) - -c RS6K porting note: ishift now takes 64-bit integers , with a warning - if ( 0.le.me .and. me.le.nmax ) then - next = iparam(1,me)*m + iparam(2,me) - iparam(1,me) = next - prng_next = recip53 * ishft( next, -11 ) - else - prng_next=-1.0D0 - endif - - end -c -c vprng(me, rn, num) Get a vector of random numbers -c - subroutine vprng(me,rn,num) - real*8 recip53, rn(1) - parameter ( recip53 = 2.0D0**(-53) ) - integer*8 m,iparam -c parameter ( m = 34522712143931 ) ! 11**13 - integer nmax, num, me - parameter(nmax=1021) - common/ksrprng/iparam(2,0:nmax) - - integer*8 next - -crc g77 doesn't support integer*8 constants - m = dint(34522712143931.0d0) - - if ( 0.le.me .and. me.le.nmax ) then - do 1 i=1,num - next = iparam(1,me)*m + iparam(2,me) - iparam(1,me) = next - rn(i) = recip53 * ishft( next, -11 ) - 1 continue - else - rn(1)=-1.0D0 - endif - return - end - -c -c prng_chkpnt Get the current state of a generator -c -c Calling sequence: -c logical prng_chkpnt, status -c status = prng_chkpnt (me, iseed) where -c -c me is the particular generator whose state is being gotten -c seed is an 4-element integer array where the "l"-values will be saved -c - logical function prng_chkpnt (me, iseed) - implicit none - integer me - integer*8 iseed - - integer nmax - integer*8 iparam - parameter(nmax=1021) - common/ksrprng/iparam(2,0:nmax) - - if (me .lt. 0 .or. me .gt. nmax) then - prng_chkpnt=.false. - else - prng_chkpnt=.true. - iseed=iparam(1,me) - endif - end -c -c prng_restart Restart generator from a saved state -c -c Calling sequence: -c logical prng_restart, status -c status = prng_restart (me, iseed) where -c -c me is the particular generator being restarted -c iseed is a 8-byte integer containing the "l"-values -c - logical function prng_restart (me, iseed) - implicit none - integer me - integer*8 iseed - - integer nmax - integer*8 iparam - parameter(nmax=1021) - common/ksrprng/iparam(2,0:nmax) - - if (me .lt. 0 .or. me .gt. nmax) then - prng_restart=.false. - return - else - prng_restart=.true. - iparam(1,me)=iseed - endif - end - - block data prngblk - parameter(nmax=1021) - integer*8 iparam - common/ksrprng/iparam(2,0:nmax) - data (iparam(1,i),iparam(2,i),i= 0, 29) / - + 11848219, 11848219, 11848237, 11848237, 11848241, 11848241, - + 11848247, 11848247, 11848253, 11848253, 11848271, 11848271, - + 11848297, 11848297, 11848313, 11848313, 11848339, 11848339, - + 11848351, 11848351, 11848357, 11848357, 11848363, 11848363, - + 11848367, 11848367, 11848373, 11848373, 11848379, 11848379, - + 11848393, 11848393, 11848433, 11848433, 11848451, 11848451, - + 11848469, 11848469, 11848477, 11848477, 11848489, 11848489, - + 11848493, 11848493, 11848513, 11848513, 11848523, 11848523, - + 11848531, 11848531, 11848537, 11848537, 11848553, 11848553, - + 11848589, 11848589, 11848591, 11848591, 11848601, 11848601 / - data (iparam(1,i),iparam(2,i),i= 30, 59) / - + 11848619, 11848619, 11848637, 11848637, 11848663, 11848663, - + 11848673, 11848673, 11848679, 11848679, 11848691, 11848691, - + 11848699, 11848699, 11848709, 11848709, 11848717, 11848717, - + 11848721, 11848721, 11848729, 11848729, 11848741, 11848741, - + 11848751, 11848751, 11848757, 11848757, 11848787, 11848787, - + 11848801, 11848801, 11848829, 11848829, 11848853, 11848853, - + 11848861, 11848861, 11848867, 11848867, 11848873, 11848873, - + 11848891, 11848891, 11848909, 11848909, 11848919, 11848919, - + 11848931, 11848931, 11848937, 11848937, 11848961, 11848961, - + 11848981, 11848981, 11849021, 11849021, 11849039, 11849039 / - data (iparam(1,i),iparam(2,i),i= 60, 89) / - + 11849053, 11849053, 11849059, 11849059, 11849069, 11849069, - + 11849077, 11849077, 11849087, 11849087, 11849093, 11849093, - + 11849107, 11849107, 11849111, 11849111, 11849129, 11849129, - + 11849137, 11849137, 11849177, 11849177, 11849183, 11849183, - + 11849203, 11849203, 11849231, 11849231, 11849237, 11849237, - + 11849239, 11849239, 11849249, 11849249, 11849251, 11849251, - + 11849269, 11849269, 11849273, 11849273, 11849291, 11849291, - + 11849297, 11849297, 11849309, 11849309, 11849339, 11849339, - + 11849359, 11849359, 11849363, 11849363, 11849399, 11849399, - + 11849401, 11849401, 11849413, 11849413, 11849417, 11849417 / - data (iparam(1,i),iparam(2,i),i= 90, 119) / - + 11849437, 11849437, 11849443, 11849443, 11849473, 11849473, - + 11849491, 11849491, 11849503, 11849503, 11849507, 11849507, - + 11849557, 11849557, 11849567, 11849567, 11849569, 11849569, - + 11849573, 11849573, 11849587, 11849587, 11849599, 11849599, - + 11849633, 11849633, 11849641, 11849641, 11849653, 11849653, - + 11849659, 11849659, 11849671, 11849671, 11849683, 11849683, - + 11849689, 11849689, 11849693, 11849693, 11849699, 11849699, - + 11849701, 11849701, 11849707, 11849707, 11849713, 11849713, - + 11849723, 11849723, 11849741, 11849741, 11849743, 11849743, - + 11849759, 11849759, 11849767, 11849767, 11849771, 11849771 / - data (iparam(1,i),iparam(2,i),i= 120, 149) / - + 11849791, 11849791, 11849801, 11849801, 11849809, 11849809, - + 11849813, 11849813, 11849869, 11849869, 11849881, 11849881, - + 11849891, 11849891, 11849909, 11849909, 11849923, 11849923, - + 11849933, 11849933, 11849947, 11849947, 11849987, 11849987, - + 11850001, 11850001, 11850011, 11850011, 11850019, 11850019, - + 11850023, 11850023, 11850031, 11850031, 11850049, 11850049, - + 11850061, 11850061, 11850073, 11850073, 11850077, 11850077, - + 11850103, 11850103, 11850109, 11850109, 11850121, 11850121, - + 11850127, 11850127, 11850133, 11850133, 11850149, 11850149, - + 11850161, 11850161, 11850169, 11850169, 11850191, 11850191 / - data (iparam(1,i),iparam(2,i),i= 150, 179) / - + 11850233, 11850233, 11850247, 11850247, 11850259, 11850259, - + 11850269, 11850269, 11850283, 11850283, 11850301, 11850301, - + 11850341, 11850341, 11850347, 11850347, 11850367, 11850367, - + 11850373, 11850373, 11850379, 11850379, 11850389, 11850389, - + 11850407, 11850407, 11850427, 11850427, 11850437, 11850437, - + 11850469, 11850469, 11850481, 11850481, 11850511, 11850511, - + 11850529, 11850529, 11850541, 11850541, 11850557, 11850557, - + 11850607, 11850607, 11850611, 11850611, 11850667, 11850667, - + 11850677, 11850677, 11850679, 11850679, 11850701, 11850701, - + 11850731, 11850731, 11850739, 11850739, 11850749, 11850749 / - data (iparam(1,i),iparam(2,i),i= 180, 209) / - + 11850791, 11850791, 11850803, 11850803, 11850829, 11850829, - + 11850833, 11850833, 11850859, 11850859, 11850877, 11850877, - + 11850899, 11850899, 11850907, 11850907, 11850913, 11850913, - + 11850919, 11850919, 11850931, 11850931, 11850941, 11850941, - + 11850947, 11850947, 11850953, 11850953, 11850961, 11850961, - + 11850983, 11850983, 11850991, 11850991, 11850997, 11850997, - + 11851031, 11851031, 11851033, 11851033, 11851051, 11851051, - + 11851061, 11851061, 11851067, 11851067, 11851093, 11851093, - + 11851109, 11851109, 11851123, 11851123, 11851127, 11851127, - + 11851139, 11851139, 11851157, 11851157, 11851163, 11851163 / - data (iparam(1,i),iparam(2,i),i= 210, 239) / - + 11851181, 11851181, 11851201, 11851201, 11851219, 11851219, - + 11851291, 11851291, 11851303, 11851303, 11851309, 11851309, - + 11851313, 11851313, 11851319, 11851319, 11851349, 11851349, - + 11851351, 11851351, 11851361, 11851361, 11851373, 11851373, - + 11851403, 11851403, 11851409, 11851409, 11851423, 11851423, - + 11851447, 11851447, 11851451, 11851451, 11851481, 11851481, - + 11851493, 11851493, 11851519, 11851519, 11851523, 11851523, - + 11851529, 11851529, 11851547, 11851547, 11851549, 11851549, - + 11851559, 11851559, 11851577, 11851577, 11851589, 11851589, - + 11851591, 11851591, 11851597, 11851597, 11851603, 11851603 / - data (iparam(1,i),iparam(2,i),i= 240, 269) / - + 11851607, 11851607, 11851613, 11851613, 11851621, 11851621, - + 11851627, 11851627, 11851639, 11851639, 11851673, 11851673, - + 11851681, 11851681, 11851727, 11851727, 11851753, 11851753, - + 11851759, 11851759, 11851787, 11851787, 11851793, 11851793, - + 11851799, 11851799, 11851813, 11851813, 11851841, 11851841, - + 11851859, 11851859, 11851867, 11851867, 11851891, 11851891, - + 11851909, 11851909, 11851919, 11851919, 11851927, 11851927, - + 11851933, 11851933, 11851949, 11851949, 11851967, 11851967, - + 11851997, 11851997, 11852017, 11852017, 11852051, 11852051, - + 11852053, 11852053, 11852059, 11852059, 11852083, 11852083 / - data (iparam(1,i),iparam(2,i),i= 270, 299) / - + 11852089, 11852089, 11852129, 11852129, 11852147, 11852147, - + 11852149, 11852149, 11852161, 11852161, 11852171, 11852171, - + 11852177, 11852177, 11852209, 11852209, 11852221, 11852221, - + 11852237, 11852237, 11852251, 11852251, 11852263, 11852263, - + 11852273, 11852273, 11852279, 11852279, 11852287, 11852287, - + 11852293, 11852293, 11852297, 11852297, 11852303, 11852303, - + 11852311, 11852311, 11852327, 11852327, 11852339, 11852339, - + 11852341, 11852341, 11852359, 11852359, 11852369, 11852369, - + 11852437, 11852437, 11852453, 11852453, 11852459, 11852459, - + 11852473, 11852473, 11852513, 11852513, 11852531, 11852531 / - data (iparam(1,i),iparam(2,i),i= 300, 329) / - + 11852537, 11852537, 11852539, 11852539, 11852557, 11852557, - + 11852573, 11852573, 11852579, 11852579, 11852591, 11852591, - + 11852609, 11852609, 11852611, 11852611, 11852623, 11852623, - + 11852641, 11852641, 11852647, 11852647, 11852657, 11852657, - + 11852663, 11852663, 11852717, 11852717, 11852719, 11852719, - + 11852741, 11852741, 11852759, 11852759, 11852767, 11852767, - + 11852773, 11852773, 11852803, 11852803, 11852807, 11852807, - + 11852809, 11852809, 11852831, 11852831, 11852833, 11852833, - + 11852837, 11852837, 11852857, 11852857, 11852873, 11852873, - + 11852879, 11852879, 11852891, 11852891, 11852917, 11852917 / - data (iparam(1,i),iparam(2,i),i= 330, 359) / - + 11852921, 11852921, 11852957, 11852957, 11852959, 11852959, - + 11852969, 11852969, 11852983, 11852983, 11852989, 11852989, - + 11853001, 11853001, 11853013, 11853013, 11853019, 11853019, - + 11853031, 11853031, 11853089, 11853089, 11853133, 11853133, - + 11853157, 11853157, 11853161, 11853161, 11853181, 11853181, - + 11853203, 11853203, 11853217, 11853217, 11853221, 11853221, - + 11853227, 11853227, 11853241, 11853241, 11853307, 11853307, - + 11853319, 11853319, 11853323, 11853323, 11853329, 11853329, - + 11853367, 11853367, 11853383, 11853383, 11853419, 11853419, - + 11853421, 11853421, 11853427, 11853427, 11853449, 11853449 / - data (iparam(1,i),iparam(2,i),i= 360, 389) / - + 11853451, 11853451, 11853463, 11853463, 11853529, 11853529, - + 11853557, 11853557, 11853571, 11853571, 11853601, 11853601, - + 11853613, 11853613, 11853617, 11853617, 11853629, 11853629, - + 11853649, 11853649, 11853659, 11853659, 11853679, 11853679, - + 11853689, 11853689, 11853719, 11853719, 11853731, 11853731, - + 11853757, 11853757, 11853761, 11853761, 11853773, 11853773, - + 11853791, 11853791, 11853817, 11853817, 11853839, 11853839, - + 11853847, 11853847, 11853857, 11853857, 11853869, 11853869, - + 11853883, 11853883, 11853887, 11853887, 11853889, 11853889, - + 11853893, 11853893, 11853899, 11853899, 11853911, 11853911 / - data (iparam(1,i),iparam(2,i),i= 390, 419) / - + 11853931, 11853931, 11853943, 11853943, 11853979, 11853979, - + 11853991, 11853991, 11854001, 11854001, 11854009, 11854009, - + 11854019, 11854019, 11854057, 11854057, 11854061, 11854061, - + 11854147, 11854147, 11854159, 11854159, 11854163, 11854163, - + 11854169, 11854169, 11854211, 11854211, 11854247, 11854247, - + 11854261, 11854261, 11854267, 11854267, 11854279, 11854279, - + 11854303, 11854303, 11854327, 11854327, 11854331, 11854331, - + 11854333, 11854333, 11854363, 11854363, 11854379, 11854379, - + 11854399, 11854399, 11854411, 11854411, 11854429, 11854429, - + 11854433, 11854433, 11854439, 11854439, 11854441, 11854441 / - data (iparam(1,i),iparam(2,i),i= 420, 449) / - + 11854463, 11854463, 11854477, 11854477, 11854489, 11854489, - + 11854517, 11854517, 11854519, 11854519, 11854523, 11854523, - + 11854529, 11854529, 11854567, 11854567, 11854571, 11854571, - + 11854573, 11854573, 11854603, 11854603, 11854607, 11854607, - + 11854681, 11854681, 11854691, 11854691, 11854709, 11854709, - + 11854723, 11854723, 11854757, 11854757, 11854783, 11854783, - + 11854793, 11854793, 11854813, 11854813, 11854847, 11854847, - + 11854853, 11854853, 11854873, 11854873, 11854877, 11854877, - + 11854883, 11854883, 11854891, 11854891, 11854897, 11854897, - + 11854901, 11854901, 11854919, 11854919, 11854937, 11854937 / - data (iparam(1,i),iparam(2,i),i= 450, 479) / - + 11854961, 11854961, 11854963, 11854963, 11854979, 11854979, - + 11855003, 11855003, 11855017, 11855017, 11855023, 11855023, - + 11855029, 11855029, 11855033, 11855033, 11855111, 11855111, - + 11855141, 11855141, 11855147, 11855147, 11855149, 11855149, - + 11855159, 11855159, 11855177, 11855177, 11855203, 11855203, - + 11855213, 11855213, 11855219, 11855219, 11855231, 11855231, - + 11855267, 11855267, 11855269, 11855269, 11855303, 11855303, - + 11855309, 11855309, 11855321, 11855321, 11855329, 11855329, - + 11855339, 11855339, 11855351, 11855351, 11855353, 11855353, - + 11855357, 11855357, 11855359, 11855359, 11855381, 11855381 / - data (iparam(1,i),iparam(2,i),i= 480, 509) / - + 11855383, 11855383, 11855387, 11855387, 11855399, 11855399, - + 11855407, 11855407, 11855413, 11855413, 11855489, 11855489, - + 11855491, 11855491, 11855507, 11855507, 11855521, 11855521, - + 11855531, 11855531, 11855549, 11855549, 11855551, 11855551, - + 11855567, 11855567, 11855581, 11855581, 11855587, 11855587, - + 11855593, 11855593, 11855633, 11855633, 11855653, 11855653, - + 11855663, 11855663, 11855687, 11855687, 11855689, 11855689, - + 11855699, 11855699, 11855713, 11855713, 11855731, 11855731, - + 11855737, 11855737, 11855743, 11855743, 11855747, 11855747, - + 11855759, 11855759, 11855773, 11855773, 11855801, 11855801 / - data (iparam(1,i),iparam(2,i),i= 510, 539) / - + 11855807, 11855807, 11855813, 11855813, 11855827, 11855827, - + 11855839, 11855839, 11855869, 11855869, 11855881, 11855881, - + 11855903, 11855903, 11855911, 11855911, 11855933, 11855933, - + 11855959, 11855959, 11855989, 11855989, 11855993, 11855993, - + 11855999, 11855999, 11856001, 11856001, 11856023, 11856023, - + 11856049, 11856049, 11856071, 11856071, 11856101, 11856101, - + 11856107, 11856107, 11856113, 11856113, 11856139, 11856139, - + 11856151, 11856151, 11856161, 11856161, 11856179, 11856179, - + 11856193, 11856193, 11856199, 11856199, 11856223, 11856223, - + 11856239, 11856239, 11856263, 11856263, 11856269, 11856269 / - data (iparam(1,i),iparam(2,i),i= 540, 569) / - + 11856281, 11856281, 11856287, 11856287, 11856307, 11856307, - + 11856311, 11856311, 11856329, 11856329, 11856343, 11856343, - + 11856359, 11856359, 11856371, 11856371, 11856373, 11856373, - + 11856409, 11856409, 11856419, 11856419, 11856461, 11856461, - + 11856469, 11856469, 11856473, 11856473, 11856479, 11856479, - + 11856511, 11856511, 11856517, 11856517, 11856541, 11856541, - + 11856547, 11856547, 11856553, 11856553, 11856583, 11856583, - + 11856629, 11856629, 11856641, 11856641, 11856653, 11856653, - + 11856659, 11856659, 11856673, 11856673, 11856697, 11856697, - + 11856709, 11856709, 11856727, 11856727, 11856731, 11856731 / - data (iparam(1,i),iparam(2,i),i= 570, 599) / - + 11856763, 11856763, 11856809, 11856809, 11856811, 11856811, - + 11856821, 11856821, 11856841, 11856841, 11856857, 11856857, - + 11856877, 11856877, 11856883, 11856883, 11856899, 11856899, - + 11856919, 11856919, 11856947, 11856947, 11856953, 11856953, - + 11856979, 11856979, 11857003, 11857003, 11857033, 11857033, - + 11857037, 11857037, 11857039, 11857039, 11857049, 11857049, - + 11857061, 11857061, 11857067, 11857067, 11857073, 11857073, - + 11857081, 11857081, 11857091, 11857091, 11857093, 11857093, - + 11857099, 11857099, 11857123, 11857123, 11857127, 11857127, - + 11857147, 11857147, 11857151, 11857151, 11857193, 11857193 / - data (iparam(1,i),iparam(2,i),i= 600, 629) / - + 11857217, 11857217, 11857229, 11857229, 11857243, 11857243, - + 11857249, 11857249, 11857267, 11857267, 11857277, 11857277, - + 11857291, 11857291, 11857303, 11857303, 11857309, 11857309, - + 11857327, 11857327, 11857331, 11857331, 11857333, 11857333, - + 11857361, 11857361, 11857367, 11857367, 11857369, 11857369, - + 11857393, 11857393, 11857399, 11857399, 11857409, 11857409, - + 11857421, 11857421, 11857423, 11857423, 11857451, 11857451, - + 11857453, 11857453, 11857457, 11857457, 11857477, 11857477, - + 11857481, 11857481, 11857493, 11857493, 11857499, 11857499, - + 11857519, 11857519, 11857523, 11857523, 11857529, 11857529 / - data (iparam(1,i),iparam(2,i),i= 630, 659) / - + 11857543, 11857543, 11857561, 11857561, 11857589, 11857589, - + 11857591, 11857591, 11857613, 11857613, 11857621, 11857621, - + 11857661, 11857661, 11857667, 11857667, 11857693, 11857693, - + 11857697, 11857697, 11857709, 11857709, 11857711, 11857711, - + 11857751, 11857751, 11857753, 11857753, 11857759, 11857759, - + 11857763, 11857763, 11857777, 11857777, 11857787, 11857787, - + 11857793, 11857793, 11857801, 11857801, 11857817, 11857817, - + 11857819, 11857819, 11857831, 11857831, 11857837, 11857837, - + 11857873, 11857873, 11857877, 11857877, 11857883, 11857883, - + 11857889, 11857889, 11857907, 11857907, 11857913, 11857913 / - data (iparam(1,i),iparam(2,i),i= 660, 689) / - + 11857931, 11857931, 11857969, 11857969, 11857991, 11857991, - + 11857999, 11857999, 11858009, 11858009, 11858017, 11858017, - + 11858023, 11858023, 11858029, 11858029, 11858039, 11858039, - + 11858051, 11858051, 11858057, 11858057, 11858059, 11858059, - + 11858101, 11858101, 11858111, 11858111, 11858131, 11858131, - + 11858149, 11858149, 11858159, 11858159, 11858177, 11858177, - + 11858191, 11858191, 11858201, 11858201, 11858227, 11858227, - + 11858243, 11858243, 11858267, 11858267, 11858269, 11858269, - + 11858279, 11858279, 11858281, 11858281, 11858291, 11858291, - + 11858311, 11858311, 11858323, 11858323, 11858359, 11858359 / - data (iparam(1,i),iparam(2,i),i= 690, 719) / - + 11858377, 11858377, 11858381, 11858381, 11858387, 11858387, - + 11858423, 11858423, 11858443, 11858443, 11858447, 11858447, - + 11858479, 11858479, 11858533, 11858533, 11858543, 11858543, - + 11858551, 11858551, 11858557, 11858557, 11858569, 11858569, - + 11858573, 11858573, 11858579, 11858579, 11858597, 11858597, - + 11858599, 11858599, 11858629, 11858629, 11858657, 11858657, - + 11858659, 11858659, 11858683, 11858683, 11858701, 11858701, - + 11858719, 11858719, 11858723, 11858723, 11858729, 11858729, - + 11858747, 11858747, 11858779, 11858779, 11858783, 11858783, - + 11858801, 11858801, 11858807, 11858807, 11858813, 11858813 / - data (iparam(1,i),iparam(2,i),i= 720, 749) / - + 11858839, 11858839, 11858851, 11858851, 11858893, 11858893, - + 11858897, 11858897, 11858921, 11858921, 11858947, 11858947, - + 11858953, 11858953, 11858969, 11858969, 11858971, 11858971, - + 11858989, 11858989, 11859017, 11859017, 11859031, 11859031, - + 11859049, 11859049, 11859061, 11859061, 11859073, 11859073, - + 11859077, 11859077, 11859079, 11859079, 11859083, 11859083, - + 11859101, 11859101, 11859109, 11859109, 11859137, 11859137, - + 11859139, 11859139, 11859151, 11859151, 11859157, 11859157, - + 11859163, 11859163, 11859167, 11859167, 11859179, 11859179, - + 11859187, 11859187, 11859229, 11859229, 11859233, 11859233 / - data (iparam(1,i),iparam(2,i),i= 750, 779) / - + 11859241, 11859241, 11859247, 11859247, 11859269, 11859269, - + 11859293, 11859293, 11859307, 11859307, 11859311, 11859311, - + 11859349, 11859349, 11859359, 11859359, 11859371, 11859371, - + 11859377, 11859377, 11859383, 11859383, 11859427, 11859427, - + 11859433, 11859433, 11859451, 11859451, 11859457, 11859457, - + 11859461, 11859461, 11859473, 11859473, 11859481, 11859481, - + 11859487, 11859487, 11859493, 11859493, 11859503, 11859503, - + 11859509, 11859509, 11859539, 11859539, 11859541, 11859541, - + 11859563, 11859563, 11859569, 11859569, 11859571, 11859571, - + 11859583, 11859583, 11859599, 11859599, 11859611, 11859611 / - data (iparam(1,i),iparam(2,i),i= 780, 809) / - + 11859643, 11859643, 11859707, 11859707, 11859713, 11859713, - + 11859719, 11859719, 11859739, 11859739, 11859751, 11859751, - + 11859791, 11859791, 11859817, 11859817, 11859821, 11859821, - + 11859833, 11859833, 11859847, 11859847, 11859853, 11859853, - + 11859877, 11859877, 11859889, 11859889, 11859893, 11859893, - + 11859901, 11859901, 11859907, 11859907, 11859917, 11859917, - + 11859923, 11859923, 11859929, 11859929, 11859961, 11859961, - + 11859979, 11859979, 11859989, 11859989, 11859997, 11859997, - + 11860021, 11860021, 11860031, 11860031, 11860039, 11860039, - + 11860049, 11860049, 11860081, 11860081, 11860087, 11860087 / - data (iparam(1,i),iparam(2,i),i= 810, 839) / - + 11860097, 11860097, 11860103, 11860103, 11860109, 11860109, - + 11860117, 11860117, 11860133, 11860133, 11860151, 11860151, - + 11860171, 11860171, 11860207, 11860207, 11860223, 11860223, - + 11860231, 11860231, 11860243, 11860243, 11860267, 11860267, - + 11860301, 11860301, 11860307, 11860307, 11860327, 11860327, - + 11860379, 11860379, 11860397, 11860397, 11860411, 11860411, - + 11860469, 11860469, 11860477, 11860477, 11860483, 11860483, - + 11860487, 11860487, 11860489, 11860489, 11860493, 11860493, - + 11860517, 11860517, 11860547, 11860547, 11860567, 11860567, - + 11860573, 11860573, 11860613, 11860613, 11860619, 11860619 / - data (iparam(1,i),iparam(2,i),i= 840, 869) / - + 11860627, 11860627, 11860637, 11860637, 11860643, 11860643, - + 11860649, 11860649, 11860661, 11860661, 11860669, 11860669, - + 11860687, 11860687, 11860691, 11860691, 11860697, 11860697, - + 11860699, 11860699, 11860703, 11860703, 11860727, 11860727, - + 11860741, 11860741, 11860753, 11860753, 11860777, 11860777, - + 11860787, 11860787, 11860789, 11860789, 11860811, 11860811, - + 11860837, 11860837, 11860859, 11860859, 11860867, 11860867, - + 11860889, 11860889, 11860897, 11860897, 11860963, 11860963, - + 11860969, 11860969, 11860973, 11860973, 11860993, 11860993, - + 11861011, 11861011, 11861033, 11861033, 11861071, 11861071 / - data (iparam(1,i),iparam(2,i),i= 870, 899) / - + 11861081, 11861081, 11861089, 11861089, 11861093, 11861093, - + 11861099, 11861099, 11861107, 11861107, 11861131, 11861131, - + 11861141, 11861141, 11861159, 11861159, 11861167, 11861167, - + 11861191, 11861191, 11861197, 11861197, 11861207, 11861207, - + 11861219, 11861219, 11861221, 11861221, 11861231, 11861231, - + 11861237, 11861237, 11861273, 11861273, 11861293, 11861293, - + 11861299, 11861299, 11861303, 11861303, 11861327, 11861327, - + 11861351, 11861351, 11861357, 11861357, 11861363, 11861363, - + 11861371, 11861371, 11861401, 11861401, 11861407, 11861407, - + 11861411, 11861411, 11861413, 11861413, 11861429, 11861429 / - data (iparam(1,i),iparam(2,i),i= 900, 929) / - + 11861441, 11861441, 11861467, 11861467, 11861527, 11861527, - + 11861539, 11861539, 11861543, 11861543, 11861557, 11861557, - + 11861569, 11861569, 11861573, 11861573, 11861579, 11861579, - + 11861581, 11861581, 11861599, 11861599, 11861611, 11861611, - + 11861617, 11861617, 11861627, 11861627, 11861639, 11861639, - + 11861651, 11861651, 11861659, 11861659, 11861671, 11861671, - + 11861683, 11861683, 11861687, 11861687, 11861693, 11861693, - + 11861701, 11861701, 11861711, 11861711, 11861713, 11861713, - + 11861749, 11861749, 11861791, 11861791, 11861803, 11861803, - + 11861819, 11861819, 11861827, 11861827, 11861849, 11861849 / - data (iparam(1,i),iparam(2,i),i= 930, 959) / - + 11861873, 11861873, 11861879, 11861879, 11861887, 11861887, - + 11861911, 11861911, 11861917, 11861917, 11861921, 11861921, - + 11861923, 11861923, 11861953, 11861953, 11861959, 11861959, - + 11861987, 11861987, 11862007, 11862007, 11862013, 11862013, - + 11862029, 11862029, 11862031, 11862031, 11862049, 11862049, - + 11862077, 11862077, 11862083, 11862083, 11862157, 11862157, - + 11862167, 11862167, 11862199, 11862199, 11862203, 11862203, - + 11862217, 11862217, 11862223, 11862223, 11862229, 11862229, - + 11862233, 11862233, 11862239, 11862239, 11862241, 11862241, - + 11862259, 11862259, 11862269, 11862269, 11862271, 11862271 / - data (iparam(1,i),iparam(2,i),i= 960, 989) / - + 11862293, 11862293, 11862307, 11862307, 11862313, 11862313, - + 11862317, 11862317, 11862343, 11862343, 11862353, 11862353, - + 11862373, 11862373, 11862391, 11862391, 11862439, 11862439, - + 11862469, 11862469, 11862493, 11862493, 11862527, 11862527, - + 11862547, 11862547, 11862563, 11862563, 11862569, 11862569, - + 11862577, 11862577, 11862581, 11862581, 11862611, 11862611, - + 11862623, 11862623, 11862661, 11862661, 11862673, 11862673, - + 11862679, 11862679, 11862701, 11862701, 11862703, 11862703, - + 11862713, 11862713, 11862761, 11862761, 11862791, 11862791, - + 11862803, 11862803, 11862839, 11862839, 11862841, 11862841 / - data (iparam(1,i),iparam(2,i),i= 990,1019) / - + 11862857, 11862857, 11862869, 11862869, 11862881, 11862881, - + 11862911, 11862911, 11862919, 11862919, 11862959, 11862959, - + 11862979, 11862979, 11862989, 11862989, 11862997, 11862997, - + 11863021, 11863021, 11863031, 11863031, 11863037, 11863037, - + 11863039, 11863039, 11863057, 11863057, 11863067, 11863067, - + 11863073, 11863073, 11863099, 11863099, 11863109, 11863109, - + 11863121, 11863121, 11863123, 11863123, 11863133, 11863133, - + 11863151, 11863151, 11863153, 11863153, 11863171, 11863171, - + 11863183, 11863183, 11863207, 11863207, 11863213, 11863213, - + 11863237, 11863237, 11863249, 11863249, 11863253, 11863253 / - data (iparam(1,i),iparam(2,i),i=1020,1021) / - + 11863259, 11863259, 11863279, 11863279 / - end diff --git a/source/unres/src_MD-restraints-PM/prng_32.F b/source/unres/src_MD-restraints-PM/prng_32.F deleted file mode 100644 index 9448f31..0000000 --- a/source/unres/src_MD-restraints-PM/prng_32.F +++ /dev/null @@ -1,1077 +0,0 @@ -#if defined(AIX) || defined(AMD64) - real*8 function prng_next(mel) - implicit none - integer me,mel -c -c Calling sequence: -c = prng_next ( ) -c = vprng ( , , ) -c -c This code is based on a sequential algorithm provided by Mal Kalos. -c This version uses a single 64-bit word to store the initial seeds -c and additive constants. -c A 64-bit floating point number is returned. -c -c The array "iparam" is full-word aligned, being padded by zeros to -c let each generator be on a subpage boundary. -c That is, rows 1 and 2 in a given column of the array are for real, -c rows 3-16 are bogus. -c -c July 12, 1993: double the number of sequences. We should have been -c using two packets per seed, rather than four -c October 31, 1993: merge the two arrays of seeds and constants, -c and switch to 64-bit arithmetic. -c June 1994: port to RS6K. Internal state is kept as 2 64-bit integers -c The ishft function is defined only on 32-bit integers, so we will -c shift numbers by dividing by 2**11 and then adding on 2**53-1. -c -c November 1994: ishift now works on 64-bit numbers (though it gives a -c warning). Thus we go back to using it. John Zollweg also added the -c vprng() routine to return vectors of real*8 random numbers. -c - real*8 recip53 - parameter ( recip53 = 2.0D0**(-53) ) - integer*8 two - parameter ( two = 2**11) - integer*8 m,ishift -c parameter ( m = 34522712143931 ) ! 11**13 -c parameter ( ishift = 9007199254740991 ) ! 2**53-1 - - integer nmax - integer*8 iparam - parameter(nmax=1021) - common/ksrprng/iparam(2,0:nmax) - - integer*8 next - -crc g77 doesn't support integer*8 constants - m = dint(34522712143931.0d0) - ishift = dint(9007199254740991.0d0) - if(mel.gt.nmax) then - me=mod(mel,nmax) - else - me=mel - endif -c RS6K porting note: ishift now takes 64-bit integers , with a warning - if ( 0.le.me .and. me.le.nmax ) then - next = iparam(1,me)*m + iparam(2,me) - iparam(1,me) = next - prng_next = recip53 * ishft( next, -11 ) - else - prng_next=-1.0D0 - endif - - end -c -c vprng(me, rn, num) Get a vector of random numbers -c - subroutine vprng(me,rn,num) - real*8 recip53, rn(1) - parameter ( recip53 = 2.0D0**(-53) ) - integer*8 m,iparam -c parameter ( m = 34522712143931 ) ! 11**13 - integer nmax, num, me - parameter(nmax=1021) - common/ksrprng/iparam(2,0:nmax) - - integer*8 next - -crc g77 doesn't support integer*8 constants - m = dint(34522712143931.0d0) - - if ( 0.le.me .and. me.le.nmax ) then - do 1 i=1,num - next = iparam(1,me)*m + iparam(2,me) - iparam(1,me) = next - rn(i) = recip53 * ishft( next, -11 ) - 1 continue - else - rn(1)=-1.0D0 - endif - return - end - -c -c prng_chkpnt Get the current state of a generator -c -c Calling sequence: -c logical prng_chkpnt, status -c status = prng_chkpnt (me, iseed) where -c -c me is the particular generator whose state is being gotten -c seed is an 4-element integer array where the "l"-values will be saved -c - logical function prng_chkpnt (me, iseed) - implicit none - integer me - integer*8 iseed - - integer nmax - integer*8 iparam - parameter(nmax=1021) - common/ksrprng/iparam(2,0:nmax) - - if (me .lt. 0 .or. me .gt. nmax) then - prng_chkpnt=.false. - else - prng_chkpnt=.true. - iseed=iparam(1,me) - endif - end -c -c prng_restart Restart generator from a saved state -c -c Calling sequence: -c logical prng_restart, status -c status = prng_restart (me, iseed) where -c -c me is the particular generator being restarted -c iseed is a 8-byte integer containing the "l"-values -c - logical function prng_restart (mel, iseed) - implicit none - integer me,mel - integer*8 iseed - - integer nmax - integer*8 iparam - parameter(nmax=1021) - common/ksrprng/iparam(2,0:nmax) - - if(mel.gt.nmax) then - me=mod(mel,nmax) - else - me=mel - endif - if (me .lt. 0 .or. me .gt. nmax) then - prng_restart=.false. - return - else - prng_restart=.true. - iparam(1,me)=iseed - endif - end - - block data prngblk - parameter(nmax=1021) - integer*8 iparam - common/ksrprng/iparam(2,0:nmax) - data (iparam(1,i),iparam(2,i),i= 0, 29) / - + 11848219, 11848219, 11848237, 11848237, 11848241, 11848241, - + 11848247, 11848247, 11848253, 11848253, 11848271, 11848271, - + 11848297, 11848297, 11848313, 11848313, 11848339, 11848339, - + 11848351, 11848351, 11848357, 11848357, 11848363, 11848363, - + 11848367, 11848367, 11848373, 11848373, 11848379, 11848379, - + 11848393, 11848393, 11848433, 11848433, 11848451, 11848451, - + 11848469, 11848469, 11848477, 11848477, 11848489, 11848489, - + 11848493, 11848493, 11848513, 11848513, 11848523, 11848523, - + 11848531, 11848531, 11848537, 11848537, 11848553, 11848553, - + 11848589, 11848589, 11848591, 11848591, 11848601, 11848601 / - data (iparam(1,i),iparam(2,i),i= 30, 59) / - + 11848619, 11848619, 11848637, 11848637, 11848663, 11848663, - + 11848673, 11848673, 11848679, 11848679, 11848691, 11848691, - + 11848699, 11848699, 11848709, 11848709, 11848717, 11848717, - + 11848721, 11848721, 11848729, 11848729, 11848741, 11848741, - + 11848751, 11848751, 11848757, 11848757, 11848787, 11848787, - + 11848801, 11848801, 11848829, 11848829, 11848853, 11848853, - + 11848861, 11848861, 11848867, 11848867, 11848873, 11848873, - + 11848891, 11848891, 11848909, 11848909, 11848919, 11848919, - + 11848931, 11848931, 11848937, 11848937, 11848961, 11848961, - + 11848981, 11848981, 11849021, 11849021, 11849039, 11849039 / - data (iparam(1,i),iparam(2,i),i= 60, 89) / - + 11849053, 11849053, 11849059, 11849059, 11849069, 11849069, - + 11849077, 11849077, 11849087, 11849087, 11849093, 11849093, - + 11849107, 11849107, 11849111, 11849111, 11849129, 11849129, - + 11849137, 11849137, 11849177, 11849177, 11849183, 11849183, - + 11849203, 11849203, 11849231, 11849231, 11849237, 11849237, - + 11849239, 11849239, 11849249, 11849249, 11849251, 11849251, - + 11849269, 11849269, 11849273, 11849273, 11849291, 11849291, - + 11849297, 11849297, 11849309, 11849309, 11849339, 11849339, - + 11849359, 11849359, 11849363, 11849363, 11849399, 11849399, - + 11849401, 11849401, 11849413, 11849413, 11849417, 11849417 / - data (iparam(1,i),iparam(2,i),i= 90, 119) / - + 11849437, 11849437, 11849443, 11849443, 11849473, 11849473, - + 11849491, 11849491, 11849503, 11849503, 11849507, 11849507, - + 11849557, 11849557, 11849567, 11849567, 11849569, 11849569, - + 11849573, 11849573, 11849587, 11849587, 11849599, 11849599, - + 11849633, 11849633, 11849641, 11849641, 11849653, 11849653, - + 11849659, 11849659, 11849671, 11849671, 11849683, 11849683, - + 11849689, 11849689, 11849693, 11849693, 11849699, 11849699, - + 11849701, 11849701, 11849707, 11849707, 11849713, 11849713, - + 11849723, 11849723, 11849741, 11849741, 11849743, 11849743, - + 11849759, 11849759, 11849767, 11849767, 11849771, 11849771 / - data (iparam(1,i),iparam(2,i),i= 120, 149) / - + 11849791, 11849791, 11849801, 11849801, 11849809, 11849809, - + 11849813, 11849813, 11849869, 11849869, 11849881, 11849881, - + 11849891, 11849891, 11849909, 11849909, 11849923, 11849923, - + 11849933, 11849933, 11849947, 11849947, 11849987, 11849987, - + 11850001, 11850001, 11850011, 11850011, 11850019, 11850019, - + 11850023, 11850023, 11850031, 11850031, 11850049, 11850049, - + 11850061, 11850061, 11850073, 11850073, 11850077, 11850077, - + 11850103, 11850103, 11850109, 11850109, 11850121, 11850121, - + 11850127, 11850127, 11850133, 11850133, 11850149, 11850149, - + 11850161, 11850161, 11850169, 11850169, 11850191, 11850191 / - data (iparam(1,i),iparam(2,i),i= 150, 179) / - + 11850233, 11850233, 11850247, 11850247, 11850259, 11850259, - + 11850269, 11850269, 11850283, 11850283, 11850301, 11850301, - + 11850341, 11850341, 11850347, 11850347, 11850367, 11850367, - + 11850373, 11850373, 11850379, 11850379, 11850389, 11850389, - + 11850407, 11850407, 11850427, 11850427, 11850437, 11850437, - + 11850469, 11850469, 11850481, 11850481, 11850511, 11850511, - + 11850529, 11850529, 11850541, 11850541, 11850557, 11850557, - + 11850607, 11850607, 11850611, 11850611, 11850667, 11850667, - + 11850677, 11850677, 11850679, 11850679, 11850701, 11850701, - + 11850731, 11850731, 11850739, 11850739, 11850749, 11850749 / - data (iparam(1,i),iparam(2,i),i= 180, 209) / - + 11850791, 11850791, 11850803, 11850803, 11850829, 11850829, - + 11850833, 11850833, 11850859, 11850859, 11850877, 11850877, - + 11850899, 11850899, 11850907, 11850907, 11850913, 11850913, - + 11850919, 11850919, 11850931, 11850931, 11850941, 11850941, - + 11850947, 11850947, 11850953, 11850953, 11850961, 11850961, - + 11850983, 11850983, 11850991, 11850991, 11850997, 11850997, - + 11851031, 11851031, 11851033, 11851033, 11851051, 11851051, - + 11851061, 11851061, 11851067, 11851067, 11851093, 11851093, - + 11851109, 11851109, 11851123, 11851123, 11851127, 11851127, - + 11851139, 11851139, 11851157, 11851157, 11851163, 11851163 / - data (iparam(1,i),iparam(2,i),i= 210, 239) / - + 11851181, 11851181, 11851201, 11851201, 11851219, 11851219, - + 11851291, 11851291, 11851303, 11851303, 11851309, 11851309, - + 11851313, 11851313, 11851319, 11851319, 11851349, 11851349, - + 11851351, 11851351, 11851361, 11851361, 11851373, 11851373, - + 11851403, 11851403, 11851409, 11851409, 11851423, 11851423, - + 11851447, 11851447, 11851451, 11851451, 11851481, 11851481, - + 11851493, 11851493, 11851519, 11851519, 11851523, 11851523, - + 11851529, 11851529, 11851547, 11851547, 11851549, 11851549, - + 11851559, 11851559, 11851577, 11851577, 11851589, 11851589, - + 11851591, 11851591, 11851597, 11851597, 11851603, 11851603 / - data (iparam(1,i),iparam(2,i),i= 240, 269) / - + 11851607, 11851607, 11851613, 11851613, 11851621, 11851621, - + 11851627, 11851627, 11851639, 11851639, 11851673, 11851673, - + 11851681, 11851681, 11851727, 11851727, 11851753, 11851753, - + 11851759, 11851759, 11851787, 11851787, 11851793, 11851793, - + 11851799, 11851799, 11851813, 11851813, 11851841, 11851841, - + 11851859, 11851859, 11851867, 11851867, 11851891, 11851891, - + 11851909, 11851909, 11851919, 11851919, 11851927, 11851927, - + 11851933, 11851933, 11851949, 11851949, 11851967, 11851967, - + 11851997, 11851997, 11852017, 11852017, 11852051, 11852051, - + 11852053, 11852053, 11852059, 11852059, 11852083, 11852083 / - data (iparam(1,i),iparam(2,i),i= 270, 299) / - + 11852089, 11852089, 11852129, 11852129, 11852147, 11852147, - + 11852149, 11852149, 11852161, 11852161, 11852171, 11852171, - + 11852177, 11852177, 11852209, 11852209, 11852221, 11852221, - + 11852237, 11852237, 11852251, 11852251, 11852263, 11852263, - + 11852273, 11852273, 11852279, 11852279, 11852287, 11852287, - + 11852293, 11852293, 11852297, 11852297, 11852303, 11852303, - + 11852311, 11852311, 11852327, 11852327, 11852339, 11852339, - + 11852341, 11852341, 11852359, 11852359, 11852369, 11852369, - + 11852437, 11852437, 11852453, 11852453, 11852459, 11852459, - + 11852473, 11852473, 11852513, 11852513, 11852531, 11852531 / - data (iparam(1,i),iparam(2,i),i= 300, 329) / - + 11852537, 11852537, 11852539, 11852539, 11852557, 11852557, - + 11852573, 11852573, 11852579, 11852579, 11852591, 11852591, - + 11852609, 11852609, 11852611, 11852611, 11852623, 11852623, - + 11852641, 11852641, 11852647, 11852647, 11852657, 11852657, - + 11852663, 11852663, 11852717, 11852717, 11852719, 11852719, - + 11852741, 11852741, 11852759, 11852759, 11852767, 11852767, - + 11852773, 11852773, 11852803, 11852803, 11852807, 11852807, - + 11852809, 11852809, 11852831, 11852831, 11852833, 11852833, - + 11852837, 11852837, 11852857, 11852857, 11852873, 11852873, - + 11852879, 11852879, 11852891, 11852891, 11852917, 11852917 / - data (iparam(1,i),iparam(2,i),i= 330, 359) / - + 11852921, 11852921, 11852957, 11852957, 11852959, 11852959, - + 11852969, 11852969, 11852983, 11852983, 11852989, 11852989, - + 11853001, 11853001, 11853013, 11853013, 11853019, 11853019, - + 11853031, 11853031, 11853089, 11853089, 11853133, 11853133, - + 11853157, 11853157, 11853161, 11853161, 11853181, 11853181, - + 11853203, 11853203, 11853217, 11853217, 11853221, 11853221, - + 11853227, 11853227, 11853241, 11853241, 11853307, 11853307, - + 11853319, 11853319, 11853323, 11853323, 11853329, 11853329, - + 11853367, 11853367, 11853383, 11853383, 11853419, 11853419, - + 11853421, 11853421, 11853427, 11853427, 11853449, 11853449 / - data (iparam(1,i),iparam(2,i),i= 360, 389) / - + 11853451, 11853451, 11853463, 11853463, 11853529, 11853529, - + 11853557, 11853557, 11853571, 11853571, 11853601, 11853601, - + 11853613, 11853613, 11853617, 11853617, 11853629, 11853629, - + 11853649, 11853649, 11853659, 11853659, 11853679, 11853679, - + 11853689, 11853689, 11853719, 11853719, 11853731, 11853731, - + 11853757, 11853757, 11853761, 11853761, 11853773, 11853773, - + 11853791, 11853791, 11853817, 11853817, 11853839, 11853839, - + 11853847, 11853847, 11853857, 11853857, 11853869, 11853869, - + 11853883, 11853883, 11853887, 11853887, 11853889, 11853889, - + 11853893, 11853893, 11853899, 11853899, 11853911, 11853911 / - data (iparam(1,i),iparam(2,i),i= 390, 419) / - + 11853931, 11853931, 11853943, 11853943, 11853979, 11853979, - + 11853991, 11853991, 11854001, 11854001, 11854009, 11854009, - + 11854019, 11854019, 11854057, 11854057, 11854061, 11854061, - + 11854147, 11854147, 11854159, 11854159, 11854163, 11854163, - + 11854169, 11854169, 11854211, 11854211, 11854247, 11854247, - + 11854261, 11854261, 11854267, 11854267, 11854279, 11854279, - + 11854303, 11854303, 11854327, 11854327, 11854331, 11854331, - + 11854333, 11854333, 11854363, 11854363, 11854379, 11854379, - + 11854399, 11854399, 11854411, 11854411, 11854429, 11854429, - + 11854433, 11854433, 11854439, 11854439, 11854441, 11854441 / - data (iparam(1,i),iparam(2,i),i= 420, 449) / - + 11854463, 11854463, 11854477, 11854477, 11854489, 11854489, - + 11854517, 11854517, 11854519, 11854519, 11854523, 11854523, - + 11854529, 11854529, 11854567, 11854567, 11854571, 11854571, - + 11854573, 11854573, 11854603, 11854603, 11854607, 11854607, - + 11854681, 11854681, 11854691, 11854691, 11854709, 11854709, - + 11854723, 11854723, 11854757, 11854757, 11854783, 11854783, - + 11854793, 11854793, 11854813, 11854813, 11854847, 11854847, - + 11854853, 11854853, 11854873, 11854873, 11854877, 11854877, - + 11854883, 11854883, 11854891, 11854891, 11854897, 11854897, - + 11854901, 11854901, 11854919, 11854919, 11854937, 11854937 / - data (iparam(1,i),iparam(2,i),i= 450, 479) / - + 11854961, 11854961, 11854963, 11854963, 11854979, 11854979, - + 11855003, 11855003, 11855017, 11855017, 11855023, 11855023, - + 11855029, 11855029, 11855033, 11855033, 11855111, 11855111, - + 11855141, 11855141, 11855147, 11855147, 11855149, 11855149, - + 11855159, 11855159, 11855177, 11855177, 11855203, 11855203, - + 11855213, 11855213, 11855219, 11855219, 11855231, 11855231, - + 11855267, 11855267, 11855269, 11855269, 11855303, 11855303, - + 11855309, 11855309, 11855321, 11855321, 11855329, 11855329, - + 11855339, 11855339, 11855351, 11855351, 11855353, 11855353, - + 11855357, 11855357, 11855359, 11855359, 11855381, 11855381 / - data (iparam(1,i),iparam(2,i),i= 480, 509) / - + 11855383, 11855383, 11855387, 11855387, 11855399, 11855399, - + 11855407, 11855407, 11855413, 11855413, 11855489, 11855489, - + 11855491, 11855491, 11855507, 11855507, 11855521, 11855521, - + 11855531, 11855531, 11855549, 11855549, 11855551, 11855551, - + 11855567, 11855567, 11855581, 11855581, 11855587, 11855587, - + 11855593, 11855593, 11855633, 11855633, 11855653, 11855653, - + 11855663, 11855663, 11855687, 11855687, 11855689, 11855689, - + 11855699, 11855699, 11855713, 11855713, 11855731, 11855731, - + 11855737, 11855737, 11855743, 11855743, 11855747, 11855747, - + 11855759, 11855759, 11855773, 11855773, 11855801, 11855801 / - data (iparam(1,i),iparam(2,i),i= 510, 539) / - + 11855807, 11855807, 11855813, 11855813, 11855827, 11855827, - + 11855839, 11855839, 11855869, 11855869, 11855881, 11855881, - + 11855903, 11855903, 11855911, 11855911, 11855933, 11855933, - + 11855959, 11855959, 11855989, 11855989, 11855993, 11855993, - + 11855999, 11855999, 11856001, 11856001, 11856023, 11856023, - + 11856049, 11856049, 11856071, 11856071, 11856101, 11856101, - + 11856107, 11856107, 11856113, 11856113, 11856139, 11856139, - + 11856151, 11856151, 11856161, 11856161, 11856179, 11856179, - + 11856193, 11856193, 11856199, 11856199, 11856223, 11856223, - + 11856239, 11856239, 11856263, 11856263, 11856269, 11856269 / - data (iparam(1,i),iparam(2,i),i= 540, 569) / - + 11856281, 11856281, 11856287, 11856287, 11856307, 11856307, - + 11856311, 11856311, 11856329, 11856329, 11856343, 11856343, - + 11856359, 11856359, 11856371, 11856371, 11856373, 11856373, - + 11856409, 11856409, 11856419, 11856419, 11856461, 11856461, - + 11856469, 11856469, 11856473, 11856473, 11856479, 11856479, - + 11856511, 11856511, 11856517, 11856517, 11856541, 11856541, - + 11856547, 11856547, 11856553, 11856553, 11856583, 11856583, - + 11856629, 11856629, 11856641, 11856641, 11856653, 11856653, - + 11856659, 11856659, 11856673, 11856673, 11856697, 11856697, - + 11856709, 11856709, 11856727, 11856727, 11856731, 11856731 / - data (iparam(1,i),iparam(2,i),i= 570, 599) / - + 11856763, 11856763, 11856809, 11856809, 11856811, 11856811, - + 11856821, 11856821, 11856841, 11856841, 11856857, 11856857, - + 11856877, 11856877, 11856883, 11856883, 11856899, 11856899, - + 11856919, 11856919, 11856947, 11856947, 11856953, 11856953, - + 11856979, 11856979, 11857003, 11857003, 11857033, 11857033, - + 11857037, 11857037, 11857039, 11857039, 11857049, 11857049, - + 11857061, 11857061, 11857067, 11857067, 11857073, 11857073, - + 11857081, 11857081, 11857091, 11857091, 11857093, 11857093, - + 11857099, 11857099, 11857123, 11857123, 11857127, 11857127, - + 11857147, 11857147, 11857151, 11857151, 11857193, 11857193 / - data (iparam(1,i),iparam(2,i),i= 600, 629) / - + 11857217, 11857217, 11857229, 11857229, 11857243, 11857243, - + 11857249, 11857249, 11857267, 11857267, 11857277, 11857277, - + 11857291, 11857291, 11857303, 11857303, 11857309, 11857309, - + 11857327, 11857327, 11857331, 11857331, 11857333, 11857333, - + 11857361, 11857361, 11857367, 11857367, 11857369, 11857369, - + 11857393, 11857393, 11857399, 11857399, 11857409, 11857409, - + 11857421, 11857421, 11857423, 11857423, 11857451, 11857451, - + 11857453, 11857453, 11857457, 11857457, 11857477, 11857477, - + 11857481, 11857481, 11857493, 11857493, 11857499, 11857499, - + 11857519, 11857519, 11857523, 11857523, 11857529, 11857529 / - data (iparam(1,i),iparam(2,i),i= 630, 659) / - + 11857543, 11857543, 11857561, 11857561, 11857589, 11857589, - + 11857591, 11857591, 11857613, 11857613, 11857621, 11857621, - + 11857661, 11857661, 11857667, 11857667, 11857693, 11857693, - + 11857697, 11857697, 11857709, 11857709, 11857711, 11857711, - + 11857751, 11857751, 11857753, 11857753, 11857759, 11857759, - + 11857763, 11857763, 11857777, 11857777, 11857787, 11857787, - + 11857793, 11857793, 11857801, 11857801, 11857817, 11857817, - + 11857819, 11857819, 11857831, 11857831, 11857837, 11857837, - + 11857873, 11857873, 11857877, 11857877, 11857883, 11857883, - + 11857889, 11857889, 11857907, 11857907, 11857913, 11857913 / - data (iparam(1,i),iparam(2,i),i= 660, 689) / - + 11857931, 11857931, 11857969, 11857969, 11857991, 11857991, - + 11857999, 11857999, 11858009, 11858009, 11858017, 11858017, - + 11858023, 11858023, 11858029, 11858029, 11858039, 11858039, - + 11858051, 11858051, 11858057, 11858057, 11858059, 11858059, - + 11858101, 11858101, 11858111, 11858111, 11858131, 11858131, - + 11858149, 11858149, 11858159, 11858159, 11858177, 11858177, - + 11858191, 11858191, 11858201, 11858201, 11858227, 11858227, - + 11858243, 11858243, 11858267, 11858267, 11858269, 11858269, - + 11858279, 11858279, 11858281, 11858281, 11858291, 11858291, - + 11858311, 11858311, 11858323, 11858323, 11858359, 11858359 / - data (iparam(1,i),iparam(2,i),i= 690, 719) / - + 11858377, 11858377, 11858381, 11858381, 11858387, 11858387, - + 11858423, 11858423, 11858443, 11858443, 11858447, 11858447, - + 11858479, 11858479, 11858533, 11858533, 11858543, 11858543, - + 11858551, 11858551, 11858557, 11858557, 11858569, 11858569, - + 11858573, 11858573, 11858579, 11858579, 11858597, 11858597, - + 11858599, 11858599, 11858629, 11858629, 11858657, 11858657, - + 11858659, 11858659, 11858683, 11858683, 11858701, 11858701, - + 11858719, 11858719, 11858723, 11858723, 11858729, 11858729, - + 11858747, 11858747, 11858779, 11858779, 11858783, 11858783, - + 11858801, 11858801, 11858807, 11858807, 11858813, 11858813 / - data (iparam(1,i),iparam(2,i),i= 720, 749) / - + 11858839, 11858839, 11858851, 11858851, 11858893, 11858893, - + 11858897, 11858897, 11858921, 11858921, 11858947, 11858947, - + 11858953, 11858953, 11858969, 11858969, 11858971, 11858971, - + 11858989, 11858989, 11859017, 11859017, 11859031, 11859031, - + 11859049, 11859049, 11859061, 11859061, 11859073, 11859073, - + 11859077, 11859077, 11859079, 11859079, 11859083, 11859083, - + 11859101, 11859101, 11859109, 11859109, 11859137, 11859137, - + 11859139, 11859139, 11859151, 11859151, 11859157, 11859157, - + 11859163, 11859163, 11859167, 11859167, 11859179, 11859179, - + 11859187, 11859187, 11859229, 11859229, 11859233, 11859233 / - data (iparam(1,i),iparam(2,i),i= 750, 779) / - + 11859241, 11859241, 11859247, 11859247, 11859269, 11859269, - + 11859293, 11859293, 11859307, 11859307, 11859311, 11859311, - + 11859349, 11859349, 11859359, 11859359, 11859371, 11859371, - + 11859377, 11859377, 11859383, 11859383, 11859427, 11859427, - + 11859433, 11859433, 11859451, 11859451, 11859457, 11859457, - + 11859461, 11859461, 11859473, 11859473, 11859481, 11859481, - + 11859487, 11859487, 11859493, 11859493, 11859503, 11859503, - + 11859509, 11859509, 11859539, 11859539, 11859541, 11859541, - + 11859563, 11859563, 11859569, 11859569, 11859571, 11859571, - + 11859583, 11859583, 11859599, 11859599, 11859611, 11859611 / - data (iparam(1,i),iparam(2,i),i= 780, 809) / - + 11859643, 11859643, 11859707, 11859707, 11859713, 11859713, - + 11859719, 11859719, 11859739, 11859739, 11859751, 11859751, - + 11859791, 11859791, 11859817, 11859817, 11859821, 11859821, - + 11859833, 11859833, 11859847, 11859847, 11859853, 11859853, - + 11859877, 11859877, 11859889, 11859889, 11859893, 11859893, - + 11859901, 11859901, 11859907, 11859907, 11859917, 11859917, - + 11859923, 11859923, 11859929, 11859929, 11859961, 11859961, - + 11859979, 11859979, 11859989, 11859989, 11859997, 11859997, - + 11860021, 11860021, 11860031, 11860031, 11860039, 11860039, - + 11860049, 11860049, 11860081, 11860081, 11860087, 11860087 / - data (iparam(1,i),iparam(2,i),i= 810, 839) / - + 11860097, 11860097, 11860103, 11860103, 11860109, 11860109, - + 11860117, 11860117, 11860133, 11860133, 11860151, 11860151, - + 11860171, 11860171, 11860207, 11860207, 11860223, 11860223, - + 11860231, 11860231, 11860243, 11860243, 11860267, 11860267, - + 11860301, 11860301, 11860307, 11860307, 11860327, 11860327, - + 11860379, 11860379, 11860397, 11860397, 11860411, 11860411, - + 11860469, 11860469, 11860477, 11860477, 11860483, 11860483, - + 11860487, 11860487, 11860489, 11860489, 11860493, 11860493, - + 11860517, 11860517, 11860547, 11860547, 11860567, 11860567, - + 11860573, 11860573, 11860613, 11860613, 11860619, 11860619 / - data (iparam(1,i),iparam(2,i),i= 840, 869) / - + 11860627, 11860627, 11860637, 11860637, 11860643, 11860643, - + 11860649, 11860649, 11860661, 11860661, 11860669, 11860669, - + 11860687, 11860687, 11860691, 11860691, 11860697, 11860697, - + 11860699, 11860699, 11860703, 11860703, 11860727, 11860727, - + 11860741, 11860741, 11860753, 11860753, 11860777, 11860777, - + 11860787, 11860787, 11860789, 11860789, 11860811, 11860811, - + 11860837, 11860837, 11860859, 11860859, 11860867, 11860867, - + 11860889, 11860889, 11860897, 11860897, 11860963, 11860963, - + 11860969, 11860969, 11860973, 11860973, 11860993, 11860993, - + 11861011, 11861011, 11861033, 11861033, 11861071, 11861071 / - data (iparam(1,i),iparam(2,i),i= 870, 899) / - + 11861081, 11861081, 11861089, 11861089, 11861093, 11861093, - + 11861099, 11861099, 11861107, 11861107, 11861131, 11861131, - + 11861141, 11861141, 11861159, 11861159, 11861167, 11861167, - + 11861191, 11861191, 11861197, 11861197, 11861207, 11861207, - + 11861219, 11861219, 11861221, 11861221, 11861231, 11861231, - + 11861237, 11861237, 11861273, 11861273, 11861293, 11861293, - + 11861299, 11861299, 11861303, 11861303, 11861327, 11861327, - + 11861351, 11861351, 11861357, 11861357, 11861363, 11861363, - + 11861371, 11861371, 11861401, 11861401, 11861407, 11861407, - + 11861411, 11861411, 11861413, 11861413, 11861429, 11861429 / - data (iparam(1,i),iparam(2,i),i= 900, 929) / - + 11861441, 11861441, 11861467, 11861467, 11861527, 11861527, - + 11861539, 11861539, 11861543, 11861543, 11861557, 11861557, - + 11861569, 11861569, 11861573, 11861573, 11861579, 11861579, - + 11861581, 11861581, 11861599, 11861599, 11861611, 11861611, - + 11861617, 11861617, 11861627, 11861627, 11861639, 11861639, - + 11861651, 11861651, 11861659, 11861659, 11861671, 11861671, - + 11861683, 11861683, 11861687, 11861687, 11861693, 11861693, - + 11861701, 11861701, 11861711, 11861711, 11861713, 11861713, - + 11861749, 11861749, 11861791, 11861791, 11861803, 11861803, - + 11861819, 11861819, 11861827, 11861827, 11861849, 11861849 / - data (iparam(1,i),iparam(2,i),i= 930, 959) / - + 11861873, 11861873, 11861879, 11861879, 11861887, 11861887, - + 11861911, 11861911, 11861917, 11861917, 11861921, 11861921, - + 11861923, 11861923, 11861953, 11861953, 11861959, 11861959, - + 11861987, 11861987, 11862007, 11862007, 11862013, 11862013, - + 11862029, 11862029, 11862031, 11862031, 11862049, 11862049, - + 11862077, 11862077, 11862083, 11862083, 11862157, 11862157, - + 11862167, 11862167, 11862199, 11862199, 11862203, 11862203, - + 11862217, 11862217, 11862223, 11862223, 11862229, 11862229, - + 11862233, 11862233, 11862239, 11862239, 11862241, 11862241, - + 11862259, 11862259, 11862269, 11862269, 11862271, 11862271 / - data (iparam(1,i),iparam(2,i),i= 960, 989) / - + 11862293, 11862293, 11862307, 11862307, 11862313, 11862313, - + 11862317, 11862317, 11862343, 11862343, 11862353, 11862353, - + 11862373, 11862373, 11862391, 11862391, 11862439, 11862439, - + 11862469, 11862469, 11862493, 11862493, 11862527, 11862527, - + 11862547, 11862547, 11862563, 11862563, 11862569, 11862569, - + 11862577, 11862577, 11862581, 11862581, 11862611, 11862611, - + 11862623, 11862623, 11862661, 11862661, 11862673, 11862673, - + 11862679, 11862679, 11862701, 11862701, 11862703, 11862703, - + 11862713, 11862713, 11862761, 11862761, 11862791, 11862791, - + 11862803, 11862803, 11862839, 11862839, 11862841, 11862841 / - data (iparam(1,i),iparam(2,i),i= 990,1019) / - + 11862857, 11862857, 11862869, 11862869, 11862881, 11862881, - + 11862911, 11862911, 11862919, 11862919, 11862959, 11862959, - + 11862979, 11862979, 11862989, 11862989, 11862997, 11862997, - + 11863021, 11863021, 11863031, 11863031, 11863037, 11863037, - + 11863039, 11863039, 11863057, 11863057, 11863067, 11863067, - + 11863073, 11863073, 11863099, 11863099, 11863109, 11863109, - + 11863121, 11863121, 11863123, 11863123, 11863133, 11863133, - + 11863151, 11863151, 11863153, 11863153, 11863171, 11863171, - + 11863183, 11863183, 11863207, 11863207, 11863213, 11863213, - + 11863237, 11863237, 11863249, 11863249, 11863253, 11863253 / - data (iparam(1,i),iparam(2,i),i=1020,1021) / - + 11863259, 11863259, 11863279, 11863279 / - end -#else - real function prng_next(me) -crc logical prng_restart, prng_chkpnt -c -c Calling sequence: -c = prng_next ( ) -c -c This code is based on a sequential algorithm provided by Mal Kalos. -c This version uses 4 16-bit packets, and uses a block data common -c area for the initial seeds and constants. A 64-bit floating point -c number is returned. -c -c The arrays "l" and "n" are full-word aligned, being padded by zeros -c That is, rows 1-4 in a given column are for real, rows 5-16 are bogus -c -c July 12, 1993: double the number of sequences. We should have been -c using two packets per seed, rather than four -c - real tpm12 - integer iseed(4) - parameter(tpm12 = 1.d0/65536.d0) - parameter(nmax=1021) -c external prngblk - common/ksrprng/l(16,0:nmax),n(16,0:nmax) -c*ksr*subpage /ksrprng/ - data m1,m2,m3,m4 / 0, 8037, 61950, 30779/ - if (me .lt. 0 .or. me .gt. nmax) then - prng_next=-1.0 - return - endif - l1=l(1,me) - l2=l(2,me) - l3=l(3,me) - l4=l(4,me) - i1=l1*m4+l2*m3+l3*m2+l4*m1 + n(1,me) - i2=l2*m4+l3*m3+l4*m2 + n(2,me) - i3=l3*m4+l4*m3 + n(3,me) - i4=l4*m4 + n(4,me) - l4=and(i4,65535) - i3=i3+ishft(i4,-16) - l3=and(i3,65535) - i2=i2+ishft(i3,-16) - l2=and(i2,65535) - l1=and(i1+ishft(i2,-16),65535) - prng_next=tpm12*(l1+tpm12*(l2+tpm12*(l3+tpm12*l4))) - l(1,me)=l1 - l(2,me)=l2 - l(3,me)=l3 - l(4,me)=l4 - return - end -c -c prng_chkpnt Get the current state of a generator -c -c Calling sequence: -c logical prng_chkpnt, status -c status = prng_chkpnt (me, iseed) where -c -c me is the particular generator whose state is being gotten -c seed is an 4-element integer array where the "l"-values will be saved -c -crc entry prng_chkpnt (me, iseed) - logical function prng_chkpnt (me, iseed) - integer iseed(4) - parameter(nmax=1021) - common/ksrprng/l(16,0:nmax),n(16,0:nmax) - if (me .lt. 0 .or. me .gt. nmax) then - prng_chkpnt=.false. - else - prng_chkpnt=.true. - iseed(1)=l(1,me) - iseed(2)=l(2,me) - iseed(3)=l(3,me) - iseed(4)=l(4,me) - endif - return - end -c -c prng_restart Restart generator from a saved state -c -c Calling sequence: -c logical prng_restart, status -c status = prng_restart (me, iseed) where -c -c me is the particular generator being restarted -c seed is an 4-element integer array containing the "l"-values -c -crc entry prng_restart (me, iseed) - logical function prng_restart (me, iseed) - integer iseed(4) - parameter(nmax=1021) - common/ksrprng/l(16,0:nmax),n(16,0:nmax) - if (me .lt. 0 .or. me .gt. nmax) then - prng_restart=.false. - return - else - prng_restart=.true. - l(1,me)=iseed(1) - l(2,me)=iseed(2) - l(3,me)=iseed(3) - l(4,me)=iseed(4) - endif - return - end - - block data prngblk -c -c Sequence of prime numbers represented as pairs of 16-bit integers -c modulo 2**16, obtained from Mal Kalos August 28, 1992. Only 98 -c continuation cards are allowed by ksr Fortran, so several DATA -c statements are used to initialize 1022 generators. -c -c @cornell university, 1992 -c - parameter(nmax=1021,nmax1=2*nmax+2) - common/ksrprng/l(16,0:nmax),n(16,0:nmax) -c*ksr*subpage /ksrprng/ - -c High order quads in arrays "l" and "n" are initialized to zero : rows 1-2 -c Rows 5-16 remain uninitialized. They are just pads, never used. - DATA ((l(i,j),i=1,2),j=0,nmax)/nmax1*0.0/ - DATA ((n(i,j),i=1,2),j=0,nmax)/nmax1*0.0/ - -c The rest of array "l" and "n" are initialized to a 20-bit seed - DATA ((l(i,j),i=3,4),j=0,489)/ - .180, 51739,180, 51757,180, 51761,180, 51767,180,51773, - .180, 51791,180, 51817,180, 51833,180, 51859,180, 51871, - .180, 51877,180, 51883,180, 51887,180, 51893,180, 51899, - .180, 51913,180, 51953,180, 51971,180, 51989,180, 51997, - .180, 52009,180, 52013,180, 52033,180, 52043,180, 52051, - .180, 52057,180, 52073,180, 52109,180, 52111,180, 52121, - .180, 52139,180, 52157,180, 52183,180, 52193,180, 52199, - .180, 52211,180, 52219,180, 52229,180, 52237,180, 52241, - .180, 52249,180, 52261,180, 52271,180, 52277,180, 52307, - .180, 52321,180, 52349,180, 52373,180, 52381,180, 52387, - .180, 52393,180, 52411,180, 52429,180, 52439,180, 52451, - .180, 52457,180, 52481,180, 52501,180, 52541,180, 52559, - .180, 52573,180, 52579,180, 52589,180, 52597,180, 52607, - .180, 52613,180, 52627,180, 52631,180, 52649,180, 52657, - .180, 52697,180, 52703,180, 52723,180, 52751,180, 52757, - .180, 52759,180, 52769,180, 52771,180, 52789,180, 52793, - .180, 52811,180, 52817,180, 52829,180, 52859,180, 52879, - .180, 52883,180, 52919,180, 52921,180, 52933,180, 52937, - .180, 52957,180, 52963,180, 52993,180, 53011,180, 53023, - .180, 53027,180, 53077,180, 53087,180, 53089,180, 53093, - .180, 53107,180, 53119,180, 53153,180, 53161,180, 53173, - .180, 53179,180, 53191,180, 53203,180, 53209,180, 53213, - .180, 53219,180, 53221,180, 53227,180, 53233,180, 53243, - .180, 53261,180, 53263,180, 53279,180, 53287,180, 53291, - .180, 53311,180, 53321,180, 53329,180, 53333,180, 53389, - .180, 53401,180, 53411,180, 53429,180, 53443,180, 53453, - .180, 53467,180, 53507,180, 53521,180, 53531,180, 53539, - .180, 53543,180, 53551,180, 53569,180, 53581,180, 53593, - .180, 53597,180, 53623,180, 53629,180, 53641,180, 53647, - .180, 53653,180, 53669,180, 53681,180, 53689,180, 53711, - .180, 53753,180, 53767,180, 53779,180, 53789,180, 53803, - .180, 53821,180, 53861,180, 53867,180, 53887,180, 53893, - .180, 53899,180, 53909,180, 53927,180, 53947,180, 53957, - .180, 53989,180, 54001,180, 54031,180, 54049,180, 54061, - .180, 54077,180, 54127,180, 54131,180, 54187,180, 54197, - .180, 54199,180, 54221,180, 54251,180, 54259,180, 54269, - .180, 54311,180, 54323,180, 54349,180, 54353,180, 54379, - .180, 54397,180, 54419,180, 54427,180, 54433,180, 54439, - .180, 54451,180, 54461,180, 54467,180, 54473,180, 54481, - .180, 54503,180, 54511,180, 54517,180, 54551,180, 54553, - .180, 54571,180, 54581,180, 54587,180, 54613,180, 54629, - .180, 54643,180, 54647,180, 54659,180, 54677,180, 54683, - .180, 54701,180, 54721,180, 54739,180, 54811,180, 54823, - .180, 54829,180, 54833,180, 54839,180, 54869,180, 54871, - .180, 54881,180, 54893,180, 54923,180, 54929,180, 54943, - .180, 54967,180, 54971,180, 55001,180, 55013,180, 55039, - .180, 55043,180, 55049,180, 55067,180, 55069,180, 55079, - .180, 55097,180, 55109,180, 55111,180, 55117,180, 55123, - .180, 55127,180, 55133,180, 55141,180, 55147,180, 55159, - .180, 55193,180, 55201,180, 55247,180, 55273,180, 55279, - .180, 55307,180, 55313,180, 55319,180, 55333,180, 55361, - .180, 55379,180, 55387,180, 55411,180, 55429,180, 55439, - .180, 55447,180, 55453,180, 55469,180, 55487,180, 55517, - .180, 55537,180, 55571,180, 55573,180, 55579,180, 55603, - .180, 55609,180, 55649,180, 55667,180, 55669,180, 55681, - .180, 55691,180, 55697,180, 55729,180, 55741,180, 55757, - .180, 55771,180, 55783,180, 55793,180, 55799,180, 55807, - .180, 55813,180, 55817,180, 55823,180, 55831,180, 55847, - .180, 55859,180, 55861,180, 55879,180, 55889,180, 55957, - .180, 55973,180, 55979,180, 55993,180, 56033,180, 56051, - .180, 56057,180, 56059,180, 56077,180, 56093,180, 56099, - .180, 56111,180, 56129,180, 56131,180, 56143,180, 56161, - .180, 56167,180, 56177,180, 56183,180, 56237,180, 56239, - .180, 56261,180, 56279,180, 56287,180, 56293,180, 56323, - .180, 56327,180, 56329,180, 56351,180, 56353,180, 56357, - .180, 56377,180, 56393,180, 56399,180, 56411,180, 56437, - .180, 56441,180, 56477,180, 56479,180, 56489,180, 56503, - .180, 56509,180, 56521,180, 56533,180, 56539,180, 56551, - .180, 56609,180, 56653,180, 56677,180, 56681,180, 56701, - .180, 56723,180, 56737,180, 56741,180, 56747,180, 56761, - .180, 56827,180, 56839,180, 56843,180, 56849,180, 56887, - .180, 56903,180, 56939,180, 56941,180, 56947,180, 56969, - .180, 56971,180, 56983,180, 57049,180, 57077,180, 57091, - .180, 57121,180, 57133,180, 57137,180, 57149,180, 57169, - .180, 57179,180, 57199,180, 57209,180, 57239,180, 57251, - .180, 57277,180, 57281,180, 57293,180, 57311,180, 57337, - .180, 57359,180, 57367,180, 57377,180, 57389,180, 57403, - .180, 57407,180, 57409,180, 57413,180, 57419,180, 57431, - .180, 57451,180, 57463,180, 57499,180, 57511,180, 57521, - .180, 57529,180, 57539,180, 57577,180, 57581,180, 57667, - .180, 57679,180, 57683,180, 57689,180, 57731,180, 57767, - .180, 57781,180, 57787,180, 57799,180, 57823,180, 57847, - .180, 57851,180, 57853,180, 57883,180, 57899,180, 57919, - .180, 57931,180, 57949,180, 57953,180, 57959,180, 57961, - .180, 57983,180, 57997,180, 58009,180, 58037,180, 58039, - .180, 58043,180, 58049,180, 58087,180, 58091,180, 58093, - .180, 58123,180, 58127,180, 58201,180, 58211,180, 58229, - .180, 58243,180, 58277,180, 58303,180, 58313,180, 58333, - .180, 58367,180, 58373,180, 58393,180, 58397,180, 58403, - .180, 58411,180, 58417,180, 58421,180, 58439,180, 58457, - .180, 58481,180, 58483,180, 58499,180, 58523,180, 58537, - .180, 58543,180, 58549,180, 58553,180, 58631,180, 58661, - .180, 58667,180, 58669,180, 58679,180, 58697,180, 58723, - .180, 58733,180, 58739,180, 58751,180, 58787,180, 58789, - .180, 58823,180, 58829,180, 58841,180, 58849,180, 58859, - .180, 58871,180, 58873,180, 58877,180, 58879,180, 58901, - .180, 58903,180, 58907,180, 58919,180, 58927,180, 58933, - .180, 59009,180, 59011,180, 59027,180, 59041,180, 59051/ - DATA ((l(i,j),i=3,4),j=490,979)/ - .180, 59069,180, 59071,180, 59087,180, 59101,180, 59107, - .180, 59113,180, 59153,180, 59173,180, 59183,180, 59207, - .180, 59209,180, 59219,180, 59233,180, 59251,180, 59257, - .180, 59263,180, 59267,180, 59279,180, 59293,180, 59321, - .180, 59327,180, 59333,180, 59347,180, 59359,180, 59389, - .180, 59401,180, 59423,180, 59431,180, 59453,180, 59479, - .180, 59509,180, 59513,180, 59519,180, 59521,180, 59543, - .180, 59569,180, 59591,180, 59621,180, 59627,180, 59633, - .180, 59659,180, 59671,180, 59681,180, 59699,180, 59713, - .180, 59719,180, 59743,180, 59759,180, 59783,180, 59789, - .180, 59801,180, 59807,180, 59827,180, 59831,180, 59849, - .180, 59863,180, 59879,180, 59891,180, 59893,180, 59929, - .180, 59939,180, 59981,180, 59989,180, 59993,180, 59999, - .180, 60031,180, 60037,180, 60061,180, 60067,180, 60073, - .180, 60103,180, 60149,180, 60161,180, 60173,180, 60179, - .180, 60193,180, 60217,180, 60229,180, 60247,180, 60251, - .180, 60283,180, 60329,180, 60331,180, 60341,180, 60361, - .180, 60377,180, 60397,180, 60403,180, 60419,180, 60439, - .180, 60467,180, 60473,180, 60499,180, 60523,180, 60553, - .180, 60557,180, 60559,180, 60569,180, 60581,180, 60587, - .180, 60593,180, 60601,180, 60611,180, 60613,180, 60619, - .180, 60643,180, 60647,180, 60667,180, 60671,180, 60713, - .180, 60737,180, 60749,180, 60763,180, 60769,180, 60787, - .180, 60797,180, 60811,180, 60823,180, 60829,180, 60847, - .180, 60851,180, 60853,180, 60881,180, 60887,180, 60889, - .180, 60913,180, 60919,180, 60929,180, 60941,180, 60943, - .180, 60971,180, 60973,180, 60977,180, 60997,180, 61001, - .180, 61013,180, 61019,180, 61039,180, 61043,180, 61049, - .180, 61063,180, 61081,180, 61109,180, 61111,180, 61133, - .180, 61141,180, 61181,180, 61187,180, 61213,180, 61217, - .180, 61229,180, 61231,180, 61271,180, 61273,180, 61279, - .180, 61283,180, 61297,180, 61307,180, 61313,180, 61321, - .180, 61337,180, 61339,180, 61351,180, 61357,180, 61393, - .180, 61397,180, 61403,180, 61409,180, 61427,180, 61433, - .180, 61451,180, 61489,180, 61511,180, 61519,180, 61529, - .180, 61537,180, 61543,180, 61549,180, 61559,180, 61571, - .180, 61577,180, 61579,180, 61621,180, 61631,180, 61651, - .180, 61669,180, 61679,180, 61697,180, 61711,180, 61721, - .180, 61747,180, 61763,180, 61787,180, 61789,180, 61799, - .180, 61801,180, 61811,180, 61831,180, 61843,180, 61879, - .180, 61897,180, 61901,180, 61907,180, 61943,180, 61963, - .180, 61967,180, 61999,180, 62053,180, 62063,180, 62071, - .180, 62077,180, 62089,180, 62093,180, 62099,180, 62117, - .180, 62119,180, 62149,180, 62177,180, 62179,180, 62203, - .180, 62221,180, 62239,180, 62243,180, 62249,180, 62267, - .180, 62299,180, 62303,180, 62321,180, 62327,180, 62333, - .180, 62359,180, 62371,180, 62413,180, 62417,180, 62441, - .180, 62467,180, 62473,180, 62489,180, 62491,180, 62509, - .180, 62537,180, 62551,180, 62569,180, 62581,180, 62593, - .180, 62597,180, 62599,180, 62603,180, 62621,180, 62629, - .180, 62657,180, 62659,180, 62671,180, 62677,180, 62683, - .180, 62687,180, 62699,180, 62707,180, 62749,180, 62753, - .180, 62761,180, 62767,180, 62789,180, 62813,180, 62827, - .180, 62831,180, 62869,180, 62879,180, 62891,180, 62897, - .180, 62903,180, 62947,180, 62953,180, 62971,180, 62977, - .180, 62981,180, 62993,180, 63001,180, 63007,180, 63013, - .180, 63023,180, 63029,180, 63059,180, 63061,180, 63083, - .180, 63089,180, 63091,180, 63103,180, 63119,180, 63131, - .180, 63163,180, 63227,180, 63233,180, 63239,180, 63259, - .180, 63271,180, 63311,180, 63337,180, 63341,180, 63353, - .180, 63367,180, 63373,180, 63397,180, 63409,180, 63413, - .180, 63421,180, 63427,180, 63437,180, 63443,180, 63449, - .180, 63481,180, 63499,180, 63509,180, 63517,180, 63541, - .180, 63551,180, 63559,180, 63569,180, 63601,180, 63607, - .180, 63617,180, 63623,180, 63629,180, 63637,180, 63653, - .180, 63671,180, 63691,180, 63727,180, 63743,180, 63751, - .180, 63763,180, 63787,180, 63821,180, 63827,180, 63847, - .180, 63899,180, 63917,180, 63931,180, 63989,180, 63997, - .180, 64003,180, 64007,180, 64009,180, 64013,180, 64037, - .180, 64067,180, 64087,180, 64093,180, 64133,180, 64139, - .180, 64147,180, 64157,180, 64163,180, 64169,180, 64181, - .180, 64189,180, 64207,180, 64211,180, 64217,180, 64219, - .180, 64223,180, 64247,180, 64261,180, 64273,180, 64297, - .180, 64307,180, 64309,180, 64331,180, 64357,180, 64379, - .180, 64387,180, 64409,180, 64417,180, 64483,180, 64489, - .180, 64493,180, 64513,180, 64531,180, 64553,180, 64591, - .180, 64601,180, 64609,180, 64613,180, 64619,180, 64627, - .180, 64651,180, 64661,180, 64679,180, 64687,180, 64711, - .180, 64717,180, 64727,180, 64739,180, 64741,180, 64751, - .180, 64757,180, 64793,180, 64813,180, 64819,180, 64823, - .180, 64847,180, 64871,180, 64877,180, 64883,180, 64891, - .180, 64921,180, 64927,180, 64931,180, 64933,180, 64949, - .180, 64961,180, 64987,180, 65047,180, 65059,180, 65063, - .180, 65077,180, 65089,180, 65093,180, 65099,180, 65101, - .180, 65119,180, 65131,180, 65137,180, 65147,180, 65159, - .180, 65171,180, 65179,180, 65191,180, 65203,180, 65207, - .180, 65213,180, 65221,180, 65231,180, 65233,180, 65269, - .180, 65311,180, 65323,180, 65339,180, 65347,180, 65369, - .180, 65393,180, 65399,180, 65407,180, 65431,180, 65437, - .180, 65441,180, 65443,180, 65473,180, 65479,180, 65507, - .180, 65527,180, 65533,181, 13,181, 15,181, 33, - .181, 61,181, 67,181, 141,181, 151,181, 183, - .181, 187,181, 201,181, 207,181, 213,181, 217, - .181, 223,181, 225,181, 243,181, 253,181, 255, - .181, 277,181, 291,181, 297,181, 301,181, 327, - .181, 337,181, 357,181, 375,181, 423,181, 453, - .181, 477,181, 511,181, 531,181, 547,181, 553, - .181, 561,181, 565,181, 595,181, 607,181, 645/ - DATA ((l(i,j),i=3,4),j=980,nmax)/ - .181, 657,181, 663,181, 685,181, 687,181, 697, - .181, 745,181, 775,181, 787,181, 823,181, 825, - .181, 841,181, 853,181, 865,181, 895,181, 903, - .181, 943,181, 963,181, 973,181, 981,181, 1005, - .181,1015,181,1021,181,1023,181,1041,181,1051, - .181, 1057,181, 1083,181, 1093,181, 1105,181, 1107, - .181, 1117,181, 1135,181, 1137,181, 1155,181, 1167, - .181, 1191,181, 1197,181, 1221,181, 1233,181, 1237, - .181, 1243,181, 1263/ - DATA ((n(i,j),i=3,4),j=0,489)/ - .180, 51739,180, 51757,180, 51761,180, 51767,180, 51773, - .180, 51791,180, 51817,180, 51833,180, 51859,180, 51871, - .180, 51877,180, 51883,180, 51887,180, 51893,180, 51899, - .180, 51913,180, 51953,180, 51971,180, 51989,180, 51997, - .180, 52009,180, 52013,180, 52033,180, 52043,180, 52051, - .180, 52057,180, 52073,180, 52109,180, 52111,180, 52121, - .180, 52139,180, 52157,180, 52183,180, 52193,180, 52199, - .180, 52211,180, 52219,180, 52229,180, 52237,180, 52241, - .180, 52249,180, 52261,180, 52271,180, 52277,180, 52307, - .180, 52321,180, 52349,180, 52373,180, 52381,180, 52387, - .180, 52393,180, 52411,180, 52429,180, 52439,180, 52451, - .180, 52457,180, 52481,180, 52501,180, 52541,180, 52559, - .180, 52573,180, 52579,180, 52589,180, 52597,180, 52607, - .180, 52613,180, 52627,180, 52631,180, 52649,180, 52657, - .180, 52697,180, 52703,180, 52723,180, 52751,180, 52757, - .180, 52759,180, 52769,180, 52771,180, 52789,180, 52793, - .180, 52811,180, 52817,180, 52829,180, 52859,180, 52879, - .180, 52883,180, 52919,180, 52921,180, 52933,180, 52937, - .180, 52957,180, 52963,180, 52993,180, 53011,180, 53023, - .180, 53027,180, 53077,180, 53087,180, 53089,180, 53093, - .180, 53107,180, 53119,180, 53153,180, 53161,180, 53173, - .180, 53179,180, 53191,180, 53203,180, 53209,180, 53213, - .180, 53219,180, 53221,180, 53227,180, 53233,180, 53243, - .180, 53261,180, 53263,180, 53279,180, 53287,180, 53291, - .180, 53311,180, 53321,180, 53329,180, 53333,180, 53389, - .180, 53401,180, 53411,180, 53429,180, 53443,180, 53453, - .180, 53467,180, 53507,180, 53521,180, 53531,180, 53539, - .180, 53543,180, 53551,180, 53569,180, 53581,180, 53593, - .180, 53597,180, 53623,180, 53629,180, 53641,180, 53647, - .180, 53653,180, 53669,180, 53681,180, 53689,180, 53711, - .180, 53753,180, 53767,180, 53779,180, 53789,180, 53803, - .180, 53821,180, 53861,180, 53867,180, 53887,180, 53893, - .180, 53899,180, 53909,180, 53927,180, 53947,180, 53957, - .180, 53989,180, 54001,180, 54031,180, 54049,180, 54061, - .180, 54077,180, 54127,180, 54131,180, 54187,180, 54197, - .180, 54199,180, 54221,180, 54251,180, 54259,180, 54269, - .180, 54311,180, 54323,180, 54349,180, 54353,180, 54379, - .180, 54397,180, 54419,180, 54427,180, 54433,180, 54439, - .180, 54451,180, 54461,180, 54467,180, 54473,180, 54481, - .180, 54503,180, 54511,180, 54517,180, 54551,180, 54553, - .180, 54571,180, 54581,180, 54587,180, 54613,180, 54629, - .180, 54643,180, 54647,180, 54659,180, 54677,180, 54683, - .180, 54701,180, 54721,180, 54739,180, 54811,180, 54823, - .180, 54829,180, 54833,180, 54839,180, 54869,180, 54871, - .180, 54881,180, 54893,180, 54923,180, 54929,180, 54943, - .180, 54967,180, 54971,180, 55001,180, 55013,180, 55039, - .180, 55043,180, 55049,180, 55067,180, 55069,180, 55079, - .180, 55097,180, 55109,180, 55111,180, 55117,180, 55123, - .180, 55127,180, 55133,180, 55141,180, 55147,180, 55159, - .180, 55193,180, 55201,180, 55247,180, 55273,180, 55279, - .180, 55307,180, 55313,180, 55319,180, 55333,180, 55361, - .180, 55379,180, 55387,180, 55411,180, 55429,180, 55439, - .180, 55447,180, 55453,180, 55469,180, 55487,180, 55517, - .180, 55537,180, 55571,180, 55573,180, 55579,180, 55603, - .180, 55609,180, 55649,180, 55667,180, 55669,180, 55681, - .180, 55691,180, 55697,180, 55729,180, 55741,180, 55757, - .180, 55771,180, 55783,180, 55793,180, 55799,180, 55807, - .180, 55813,180, 55817,180, 55823,180, 55831,180, 55847, - .180, 55859,180, 55861,180, 55879,180, 55889,180, 55957, - .180, 55973,180, 55979,180, 55993,180, 56033,180, 56051, - .180, 56057,180, 56059,180, 56077,180, 56093,180, 56099, - .180, 56111,180, 56129,180, 56131,180, 56143,180, 56161, - .180, 56167,180, 56177,180, 56183,180, 56237,180, 56239, - .180, 56261,180, 56279,180, 56287,180, 56293,180, 56323, - .180, 56327,180, 56329,180, 56351,180, 56353,180, 56357, - .180, 56377,180, 56393,180, 56399,180, 56411,180, 56437, - .180, 56441,180, 56477,180, 56479,180, 56489,180, 56503, - .180, 56509,180, 56521,180, 56533,180, 56539,180, 56551, - .180, 56609,180, 56653,180, 56677,180, 56681,180, 56701, - .180, 56723,180, 56737,180, 56741,180, 56747,180, 56761, - .180, 56827,180, 56839,180, 56843,180, 56849,180, 56887, - .180, 56903,180, 56939,180, 56941,180, 56947,180, 56969, - .180, 56971,180, 56983,180, 57049,180, 57077,180, 57091, - .180, 57121,180, 57133,180, 57137,180, 57149,180, 57169, - .180, 57179,180, 57199,180, 57209,180, 57239,180, 57251, - .180, 57277,180, 57281,180, 57293,180, 57311,180, 57337, - .180, 57359,180, 57367,180, 57377,180, 57389,180, 57403, - .180, 57407,180, 57409,180, 57413,180, 57419,180, 57431, - .180, 57451,180, 57463,180, 57499,180, 57511,180, 57521, - .180, 57529,180, 57539,180, 57577,180, 57581,180, 57667, - .180, 57679,180, 57683,180, 57689,180, 57731,180, 57767, - .180, 57781,180, 57787,180, 57799,180, 57823,180, 57847, - .180, 57851,180, 57853,180, 57883,180, 57899,180, 57919, - .180, 57931,180, 57949,180, 57953,180, 57959,180, 57961, - .180, 57983,180, 57997,180, 58009,180, 58037,180, 58039, - .180, 58043,180, 58049,180, 58087,180, 58091,180, 58093, - .180, 58123,180, 58127,180, 58201,180, 58211,180, 58229, - .180, 58243,180, 58277,180, 58303,180, 58313,180, 58333, - .180, 58367,180, 58373,180, 58393,180, 58397,180, 58403, - .180, 58411,180, 58417,180, 58421,180, 58439,180, 58457, - .180, 58481,180, 58483,180, 58499,180, 58523,180, 58537, - .180, 58543,180, 58549,180, 58553,180, 58631,180, 58661, - .180, 58667,180, 58669,180, 58679,180, 58697,180, 58723, - .180, 58733,180, 58739,180, 58751,180, 58787,180, 58789, - .180, 58823,180, 58829,180, 58841,180, 58849,180, 58859, - .180, 58871,180, 58873,180, 58877,180, 58879,180, 58901, - .180, 58903,180, 58907,180, 58919,180, 58927,180, 58933, - .180, 59009,180, 59011,180, 59027,180, 59041,180, 59051/ - DATA ((n(i,j),i=3,4),j=490,979)/ - .180, 59069,180, 59071,180, 59087,180, 59101,180, 59107, - .180, 59113,180, 59153,180, 59173,180, 59183,180, 59207, - .180, 59209,180, 59219,180, 59233,180, 59251,180, 59257, - .180, 59263,180, 59267,180, 59279,180, 59293,180, 59321, - .180, 59327,180, 59333,180, 59347,180, 59359,180, 59389, - .180, 59401,180, 59423,180, 59431,180, 59453,180, 59479, - .180, 59509,180, 59513,180, 59519,180, 59521,180, 59543, - .180, 59569,180, 59591,180, 59621,180, 59627,180, 59633, - .180, 59659,180, 59671,180, 59681,180, 59699,180, 59713, - .180, 59719,180, 59743,180, 59759,180, 59783,180, 59789, - .180, 59801,180, 59807,180, 59827,180, 59831,180, 59849, - .180, 59863,180, 59879,180, 59891,180, 59893,180, 59929, - .180, 59939,180, 59981,180, 59989,180, 59993,180, 59999, - .180, 60031,180, 60037,180, 60061,180, 60067,180, 60073, - .180, 60103,180, 60149,180, 60161,180, 60173,180, 60179, - .180, 60193,180, 60217,180, 60229,180, 60247,180, 60251, - .180, 60283,180, 60329,180, 60331,180, 60341,180, 60361, - .180, 60377,180, 60397,180, 60403,180, 60419,180, 60439, - .180, 60467,180, 60473,180, 60499,180, 60523,180, 60553, - .180, 60557,180, 60559,180, 60569,180, 60581,180, 60587, - .180, 60593,180, 60601,180, 60611,180, 60613,180, 60619, - .180, 60643,180, 60647,180, 60667,180, 60671,180, 60713, - .180, 60737,180, 60749,180, 60763,180, 60769,180, 60787, - .180, 60797,180, 60811,180, 60823,180, 60829,180, 60847, - .180, 60851,180, 60853,180, 60881,180, 60887,180, 60889, - .180, 60913,180, 60919,180, 60929,180, 60941,180, 60943, - .180, 60971,180, 60973,180, 60977,180, 60997,180, 61001, - .180, 61013,180, 61019,180, 61039,180, 61043,180, 61049, - .180, 61063,180, 61081,180, 61109,180, 61111,180, 61133, - .180, 61141,180, 61181,180, 61187,180, 61213,180, 61217, - .180, 61229,180, 61231,180, 61271,180, 61273,180, 61279, - .180, 61283,180, 61297,180, 61307,180, 61313,180, 61321, - .180, 61337,180, 61339,180, 61351,180, 61357,180, 61393, - .180, 61397,180, 61403,180, 61409,180, 61427,180, 61433, - .180, 61451,180, 61489,180, 61511,180, 61519,180, 61529, - .180, 61537,180, 61543,180, 61549,180, 61559,180, 61571, - .180, 61577,180, 61579,180, 61621,180, 61631,180, 61651, - .180, 61669,180, 61679,180, 61697,180, 61711,180, 61721, - .180, 61747,180, 61763,180, 61787,180, 61789,180, 61799, - .180, 61801,180, 61811,180, 61831,180, 61843,180, 61879, - .180, 61897,180, 61901,180, 61907,180, 61943,180, 61963, - .180, 61967,180, 61999,180, 62053,180, 62063,180, 62071, - .180, 62077,180, 62089,180, 62093,180, 62099,180, 62117, - .180, 62119,180, 62149,180, 62177,180, 62179,180, 62203, - .180, 62221,180, 62239,180, 62243,180, 62249,180, 62267, - .180, 62299,180, 62303,180, 62321,180, 62327,180, 62333, - .180, 62359,180, 62371,180, 62413,180, 62417,180, 62441, - .180, 62467,180, 62473,180, 62489,180, 62491,180, 62509, - .180, 62537,180, 62551,180, 62569,180, 62581,180, 62593, - .180, 62597,180, 62599,180, 62603,180, 62621,180, 62629, - .180, 62657,180, 62659,180, 62671,180, 62677,180, 62683, - .180, 62687,180, 62699,180, 62707,180, 62749,180, 62753, - .180, 62761,180, 62767,180, 62789,180, 62813,180, 62827, - .180, 62831,180, 62869,180, 62879,180, 62891,180, 62897, - .180, 62903,180, 62947,180, 62953,180, 62971,180, 62977, - .180, 62981,180, 62993,180, 63001,180, 63007,180, 63013, - .180, 63023,180, 63029,180, 63059,180, 63061,180, 63083, - .180, 63089,180, 63091,180, 63103,180, 63119,180, 63131, - .180, 63163,180, 63227,180, 63233,180, 63239,180, 63259, - .180, 63271,180, 63311,180, 63337,180, 63341,180, 63353, - .180, 63367,180, 63373,180, 63397,180, 63409,180, 63413, - .180, 63421,180, 63427,180, 63437,180, 63443,180, 63449, - .180, 63481,180, 63499,180, 63509,180, 63517,180, 63541, - .180, 63551,180, 63559,180, 63569,180, 63601,180, 63607, - .180, 63617,180, 63623,180, 63629,180, 63637,180, 63653, - .180, 63671,180, 63691,180, 63727,180, 63743,180, 63751, - .180, 63763,180, 63787,180, 63821,180, 63827,180, 63847, - .180, 63899,180, 63917,180, 63931,180, 63989,180, 63997, - .180, 64003,180, 64007,180, 64009,180, 64013,180, 64037, - .180, 64067,180, 64087,180, 64093,180, 64133,180, 64139, - .180, 64147,180, 64157,180, 64163,180, 64169,180, 64181, - .180, 64189,180, 64207,180, 64211,180, 64217,180, 64219, - .180, 64223,180, 64247,180, 64261,180, 64273,180, 64297, - .180, 64307,180, 64309,180, 64331,180, 64357,180, 64379, - .180, 64387,180, 64409,180, 64417,180, 64483,180, 64489, - .180, 64493,180, 64513,180, 64531,180, 64553,180, 64591, - .180, 64601,180, 64609,180, 64613,180, 64619,180, 64627, - .180, 64651,180, 64661,180, 64679,180, 64687,180, 64711, - .180, 64717,180, 64727,180, 64739,180, 64741,180, 64751, - .180, 64757,180, 64793,180, 64813,180, 64819,180, 64823, - .180, 64847,180, 64871,180, 64877,180, 64883,180, 64891, - .180, 64921,180, 64927,180, 64931,180, 64933,180, 64949, - .180, 64961,180, 64987,180, 65047,180, 65059,180, 65063, - .180, 65077,180, 65089,180, 65093,180, 65099,180, 65101, - .180, 65119,180, 65131,180, 65137,180, 65147,180, 65159, - .180, 65171,180, 65179,180, 65191,180, 65203,180, 65207, - .180, 65213,180, 65221,180, 65231,180, 65233,180, 65269, - .180, 65311,180, 65323,180, 65339,180, 65347,180, 65369, - .180, 65393,180, 65399,180, 65407,180, 65431,180, 65437, - .180, 65441,180, 65443,180, 65473,180, 65479,180, 65507, - .180, 65527,180, 65533,181, 13,181, 15,181, 33, - .181, 61,181, 67,181, 141,181, 151,181, 183, - .181, 187,181, 201,181, 207,181, 213,181, 217, - .181, 223,181, 225,181, 243,181, 253,181, 255, - .181, 277,181, 291,181, 297,181, 301,181, 327, - .181, 337,181, 357,181, 375,181, 423,181, 453, - .181, 477,181, 511,181, 531,181, 547,181, 553, - .181, 561,181, 565,181, 595,181, 607,181, 645/ - DATA ((n(i,j),i=3,4),j=980,nmax)/ - .181, 657,181, 663,181, 685,181, 687,181, 697, - .181, 745,181, 775,181, 787,181, 823,181, 825, - .181, 841,181, 853,181, 865,181, 895,181, 903, - .181, 943,181, 963,181, 973,181, 981,181, 1005, - .181, 1015,181, 1021,181, 1023,181, 1041,181, 1051, - .181, 1057,181, 1083,181, 1093,181, 1105,181, 1107, - .181, 1117,181, 1135,181, 1137,181, 1155,181, 1167, - .181, 1191,181, 1197,181, 1221,181, 1233,181, 1237, - .181, 1243,181, 1263/ - end -#endif diff --git a/source/unres/src_MD-restraints-PM/proc_proc.c b/source/unres/src_MD-restraints-PM/proc_proc.c deleted file mode 100644 index d77c5a4..0000000 --- a/source/unres/src_MD-restraints-PM/proc_proc.c +++ /dev/null @@ -1,139 +0,0 @@ -#include -#include - -#ifdef CRAY -void PROC_PROC(long int *f, int *i) -#else -#ifdef LINUX -#ifdef PGI -void proc_proc_(long int *f, int *i) -#else -void proc_proc__(long int *f, int *i) -#endif -#endif -#ifdef SGI -void proc_proc_(long int *f, int *i) -#endif -#if defined(WIN) && !defined(WINIFL) -void _stdcall PROC_PROC(long int *f, int *i) -#endif -#ifdef WINIFL -void proc_proc(long int *f, int *i) -#endif -#if defined(AIX) || defined(WINPGI) -void proc_proc(long int *f, int *i) -#endif -#endif - -{ -static long int NaNQ; -static long int NaNQm; - -if(*i==-1) - { - NaNQ=*f; - NaNQm=0xffffffff; - return; - } -*i=0; -if(*f==NaNQ) - *i=1; -if(*f==NaNQm) - *i=1; -} - -#ifdef CRAY -void PROC_CONV(char *buf, int *i, int n) -#endif -#ifdef LINUX -void proc_conv__(char *buf, int *i, int n) -#endif -#ifdef SGI -void proc_conv_(char *buf, int *i, int n) -#endif -#if defined(AIX) || defined(WINPGI) -void proc_conv(char *buf, int *i, int n) -#endif -#ifdef WIN -void _stdcall PROC_CONV(char *buf, int *i, int n) -#endif -{ -int j; - -sscanf(buf,"%d",&j); -*i=j; -return; -} - -#ifdef CRAY -void PROC_CONV_R(char *buf, int *i, int n) -#endif -#ifdef LINUX -void proc_conv_r__(char *buf, int *i, int n) -#endif -#ifdef SGI -void proc_conv_r_(char *buf, int *i, int n) -#endif -#if defined(AIX) || defined(WINPGI) -void proc_conv_r(char *buf, int *i, int n) -#endif -#ifdef WIN -void _stdcall PROC_CONV_R(char *buf, int *i, int n) -#endif - -{ - -/* sprintf(buf,"%d",*i); */ - -return; -} - - -#ifndef IMSL -#ifdef CRAY -void DSVRGP(int *n, double *tab1, double *tab2, int *itab) -#endif -#ifdef LINUX -void dsvrgp__(int *n, double *tab1, double *tab2, int *itab) -#endif -#ifdef SGI -void dsvrgp_(int *n, double *tab1, double *tab2, int *itab) -#endif -#if defined(AIX) || defined(WINPGI) -void dsvrgp(int *n, double *tab1, double *tab2, int *itab) -#endif -#ifdef WIN -void _stdcall DSVRGP(int *n, double *tab1, double *tab2, int *itab) -#endif -{ -double t; -int i,j,k; - -if(tab1 != tab2) - { - for(i=0; i<*n; i++) - tab2[i]=tab1[i]; - } -k=0; -while(k<*n-1) - { - j=k; - t=tab2[k]; - for(i=k+1; i<*n; i++) - if(t>tab2[i]) - { - j=i; - t=tab2[i]; - } - if(j!=k) - { - tab2[j]=tab2[k]; - tab2[k]=t; - i=itab[j]; - itab[j]=itab[k]; - itab[k]=i; - } - k++; - } -} -#endif diff --git a/source/unres/src_MD-restraints-PM/q_measure.F b/source/unres/src_MD-restraints-PM/q_measure.F deleted file mode 100644 index 417cf35..0000000 --- a/source/unres/src_MD-restraints-PM/q_measure.F +++ /dev/null @@ -1,487 +0,0 @@ - double precision function qwolynes(seg1,seg2,flag,seg3,seg4) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - integer i,j,jl,k,l,il,kl,nl,np,ip,kp,seg1,seg2,seg3,seg4, - & secseg - integer nsep /3/ - double precision dist,qm - double precision qq,qqij,qqijCM,dij,d0ij,dijCM,d0ijCM - logical lprn /.false./ - logical flag - double precision sigm,x - sigm(x)=0.25d0*x - qq = 0.0d0 - nl=0 - if(flag) then - do il=seg1+nsep,seg2 - do jl=seg1,il-nsep - nl=nl+1 - d0ij=dsqrt((cref(1,jl)-cref(1,il))**2+ - & (cref(2,jl)-cref(2,il))**2+ - & (cref(3,jl)-cref(3,il))**2) - dij=dist(il,jl) - qqij = dexp(-0.5d0*((dij-d0ij)/(sigm(d0ij)))**2) - if (itype(il).ne.10 .or. itype(jl).ne.10) then - nl=nl+1 - d0ijCM=dsqrt( - & (cref(1,jl+nres)-cref(1,il+nres))**2+ - & (cref(2,jl+nres)-cref(2,il+nres))**2+ - & (cref(3,jl+nres)-cref(3,il+nres))**2) - dijCM=dist(il+nres,jl+nres) - qqijCM = dexp(-0.5d0*((dijCM-d0ijCM)/(sigm(d0ijCM)))**2) - endif - qq = qq+qqij+qqijCM - enddo - enddo - qq = qq/nl - else - do il=seg1,seg2 - if((seg3-il).lt.3) then - secseg=il+3 - else - secseg=seg3 - endif - do jl=secseg,seg4 - nl=nl+1 - d0ij=dsqrt((cref(1,jl)-cref(1,il))**2+ - & (cref(2,jl)-cref(2,il))**2+ - & (cref(3,jl)-cref(3,il))**2) - dij=dist(il,jl) - qqij = dexp(-0.5d0*((dij-d0ij)/(sigm(d0ij)))**2) - if (itype(il).ne.10 .or. itype(jl).ne.10) then - nl=nl+1 - d0ijCM=dsqrt( - & (cref(1,jl+nres)-cref(1,il+nres))**2+ - & (cref(2,jl+nres)-cref(2,il+nres))**2+ - & (cref(3,jl+nres)-cref(3,il+nres))**2) - dijCM=dist(il+nres,jl+nres) - qqijCM = dexp(-0.5d0*((dijCM-d0ijCM)/(sigm(d0ijCM)))**2) - endif - qq = qq+qqij+qqijCM - enddo - enddo - qq = qq/nl - endif - qwolynes=1.0d0-qq - return - end -c------------------------------------------------------------------- - subroutine qwolynes_prim(seg1,seg2,flag,seg3,seg4) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.MD' - integer i,j,jl,k,l,il,nl,seg1,seg2,seg3,seg4, - & secseg - integer nsep /3/ - double precision dist - double precision dij,d0ij,dijCM,d0ijCM - logical lprn /.false./ - logical flag - double precision sigm,x,sim,dd0,fac,ddqij - sigm(x)=0.25d0*x - - do i=0,nres - do j=1,3 - dqwol(j,i)=0.0d0 - dxqwol(j,i)=0.0d0 - enddo - enddo - nl=0 - if(flag) then - do il=seg1+nsep,seg2 - do jl=seg1,il-nsep - nl=nl+1 - d0ij=dsqrt((cref(1,jl)-cref(1,il))**2+ - & (cref(2,jl)-cref(2,il))**2+ - & (cref(3,jl)-cref(3,il))**2) - dij=dist(il,jl) - sim = 1.0d0/sigm(d0ij) - sim = sim*sim - dd0 = dij-d0ij - fac = dd0*sim/dij*dexp(-0.5d0*dd0*dd0*sim) - do k=1,3 - ddqij = (c(k,il)-c(k,jl))*fac - dqwol(k,il)=dqwol(k,il)+ddqij - dqwol(k,jl)=dqwol(k,jl)-ddqij - enddo - - if (itype(il).ne.10 .or. itype(jl).ne.10) then - nl=nl+1 - d0ijCM=dsqrt( - & (cref(1,jl+nres)-cref(1,il+nres))**2+ - & (cref(2,jl+nres)-cref(2,il+nres))**2+ - & (cref(3,jl+nres)-cref(3,il+nres))**2) - dijCM=dist(il+nres,jl+nres) - sim = 1.0d0/sigm(d0ijCM) - sim = sim*sim - dd0=dijCM-d0ijCM - fac=dd0*sim/dijCM*dexp(-0.5d0*dd0*dd0*sim) - do k=1,3 - ddqij = (c(k,il+nres)-c(k,jl+nres))*fac - dxqwol(k,il)=dxqwol(k,il)+ddqij - dxqwol(k,jl)=dxqwol(k,jl)-ddqij - enddo - endif - enddo - enddo - else - do il=seg1,seg2 - if((seg3-il).lt.3) then - secseg=il+3 - else - secseg=seg3 - endif - do jl=secseg,seg4 - nl=nl+1 - d0ij=dsqrt((cref(1,jl)-cref(1,il))**2+ - & (cref(2,jl)-cref(2,il))**2+ - & (cref(3,jl)-cref(3,il))**2) - dij=dist(il,jl) - sim = 1.0d0/sigm(d0ij) - sim = sim*sim - dd0 = dij-d0ij - fac = dd0*sim/dij*dexp(-0.5d0*dd0*dd0*sim) - do k=1,3 - ddqij = (c(k,il)-c(k,jl))*fac - dqwol(k,il)=dqwol(k,il)+ddqij - dqwol(k,jl)=dqwol(k,jl)-ddqij - enddo - if (itype(il).ne.10 .or. itype(jl).ne.10) then - nl=nl+1 - d0ijCM=dsqrt( - & (cref(1,jl+nres)-cref(1,il+nres))**2+ - & (cref(2,jl+nres)-cref(2,il+nres))**2+ - & (cref(3,jl+nres)-cref(3,il+nres))**2) - dijCM=dist(il+nres,jl+nres) - sim = 1.0d0/sigm(d0ijCM) - sim=sim*sim - dd0 = dijCM-d0ijCM - fac = dd0*sim/dijCM*dexp(-0.5d0*dd0*dd0*sim) - do k=1,3 - ddqij = (c(k,il+nres)-c(k,jl+nres))*fac - dxqwol(k,il)=dxqwol(k,il)+ddqij - dxqwol(k,jl)=dxqwol(k,jl)-ddqij - enddo - endif - enddo - enddo - endif - do i=0,nres - do j=1,3 - dqwol(j,i)=dqwol(j,i)/nl - dxqwol(j,i)=dxqwol(j,i)/nl - enddo - enddo - return - end -c------------------------------------------------------------------- - subroutine qwol_num(seg1,seg2,flag,seg3,seg4) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - integer seg1,seg2,seg3,seg4 - logical flag - double precision qwolan(3,0:maxres),cdummy(3,0:maxres2), - & qwolxan(3,0:maxres),q1,q2 - double precision delta /1.0d-10/ - do i=0,nres - do j=1,3 - q1=qwolynes(seg1,seg2,flag,seg3,seg4) - cdummy(j,i)=c(j,i) - c(j,i)=c(j,i)+delta - q2=qwolynes(seg1,seg2,flag,seg3,seg4) - qwolan(j,i)=(q2-q1)/delta - c(j,i)=cdummy(j,i) - enddo - enddo - do i=0,nres - do j=1,3 - q1=qwolynes(seg1,seg2,flag,seg3,seg4) - cdummy(j,i+nres)=c(j,i+nres) - c(j,i+nres)=c(j,i+nres)+delta - q2=qwolynes(seg1,seg2,flag,seg3,seg4) - qwolxan(j,i)=(q2-q1)/delta - c(j,i+nres)=cdummy(j,i+nres) - enddo - enddo -c write(iout,*) "Numerical Q carteisan gradients backbone: " -c do i=0,nct -c write(iout,'(i5,3e15.5)') i, (qwolan(j,i),j=1,3) -c enddo -c write(iout,*) "Numerical Q carteisan gradients side-chain: " -c do i=0,nct -c write(iout,'(i5,3e15.5)') i, (qwolxan(j,i),j=1,3) -c enddo - return - end -c------------------------------------------------------------------------ - subroutine EconstrQ -c MD with umbrella_sampling using Wolyne's distance measure as a constraint - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - double precision uzap1,uzap2,hm1,hm2,hmnum - double precision ucdelan,dUcartan(3,0:MAXRES) - & ,dUxcartan(3,0:MAXRES),cdummy(3,0:MAXRES), - & duconst(3,0:MAXRES),duxconst(3,0:MAXRES) - integer kstart,kend,lstart,lend,idummy - double precision delta /1.0d-7/ - do i=0,nres - do j=1,3 - duconst(j,i)=0.0d0 - dudconst(j,i)=0.0d0 - duxconst(j,i)=0.0d0 - dudxconst(j,i)=0.0d0 - enddo - enddo - Uconst=0.0d0 - do i=1,nfrag - qfrag(i)=qwolynes(ifrag(1,i,iset),ifrag(2,i,iset),.true. - & ,idummy,idummy) - Uconst=Uconst+wfrag(i,iset)*harmonic(qfrag(i),qinfrag(i,iset)) -c Calculating the derivatives of Constraint energy with respect to Q - Ucdfrag=wfrag(i,iset)*harmonicprim(qfrag(i), - & qinfrag(i,iset)) -c hm1=harmonic(qfrag(i,iset),qinfrag(i,iset)) -c hm2=harmonic(qfrag(i,iset)+delta,qinfrag(i,iset)) -c hmnum=(hm2-hm1)/delta -c write(iout,*) "harmonicprim frag",harmonicprim(qfrag(i,iset), -c & qinfrag(i,iset)) -c write(iout,*) "harmonicnum frag", hmnum -c Calculating the derivatives of Q with respect to cartesian coordinates - call qwolynes_prim(ifrag(1,i,iset),ifrag(2,i,iset),.true. - & ,idummy,idummy) -c write(iout,*) "dqwol " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(dqwol(j,ii),j=1,3) -c enddo -c write(iout,*) "dxqwol " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(dxqwol(j,ii),j=1,3) -c enddo -c Calculating numerical gradients of dU/dQi and dQi/dxi -c call qwol_num(ifrag(1,i,iset),ifrag(2,i,iset),.true. -c & ,idummy,idummy) -c The gradients of Uconst in Cs - do ii=0,nres - do j=1,3 - duconst(j,ii)=dUconst(j,ii)+ucdfrag*dqwol(j,ii) - dUxconst(j,ii)=dUxconst(j,ii)+ucdfrag*dxqwol(j,ii) - enddo - enddo - enddo - do i=1,npair - kstart=ifrag(1,ipair(1,i,iset),iset) - kend=ifrag(2,ipair(1,i,iset),iset) - lstart=ifrag(1,ipair(2,i,iset),iset) - lend=ifrag(2,ipair(2,i,iset),iset) - qpair(i)=qwolynes(kstart,kend,.false.,lstart,lend) - Uconst=Uconst+wpair(i,iset)*harmonic(qpair(i),qinpair(i,iset)) -c Calculating dU/dQ - Ucdpair=wpair(i,iset)*harmonicprim(qpair(i),qinpair(i,iset)) -c hm1=harmonic(qpair(i),qinpair(i,iset)) -c hm2=harmonic(qpair(i)+delta,qinpair(i,iset)) -c hmnum=(hm2-hm1)/delta -c write(iout,*) "harmonicprim pair ",harmonicprim(qpair(i), -c & qinpair(i,iset)) -c write(iout,*) "harmonicnum pair ", hmnum -c Calculating dQ/dXi - call qwolynes_prim(kstart,kend,.false. - & ,lstart,lend) -c write(iout,*) "dqwol " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(dqwol(j,ii),j=1,3) -c enddo -c write(iout,*) "dxqwol " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(dxqwol(j,ii),j=1,3) -c enddo -c Calculating numerical gradients -c call qwol_num(kstart,kend,.false. -c & ,lstart,lend) -c The gradients of Uconst in Cs - do ii=0,nres - do j=1,3 - duconst(j,ii)=dUconst(j,ii)+ucdpair*dqwol(j,ii) - dUxconst(j,ii)=dUxconst(j,ii)+ucdpair*dxqwol(j,ii) - enddo - enddo - enddo -c write(iout,*) "Uconst inside subroutine ", Uconst -c Transforming the gradients from Cs to dCs for the backbone - do i=0,nres - do j=i+1,nres - do k=1,3 - dudconst(k,i)=dudconst(k,i)+duconst(k,j)+duxconst(k,j) - enddo - enddo - enddo -c Transforming the gradients from Cs to dCs for the side chains - do i=1,nres - do j=1,3 - dudxconst(j,i)=duxconst(j,i) - enddo - enddo -c write(iout,*) "dU/ddc backbone " -c do ii=0,nres -c write(iout,'(i5,3e15.5)') ii, (dudconst(j,ii),j=1,3) -c enddo -c write(iout,*) "dU/ddX side chain " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(duxconst(j,ii),j=1,3) -c enddo -c Calculating numerical gradients of dUconst/ddc and dUconst/ddx -c call dEconstrQ_num - return - end -c----------------------------------------------------------------------- - subroutine dEconstrQ_num -c Calculating numerical dUconst/ddc and dUconst/ddx - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - double precision uzap1,uzap2 - double precision dUcartan(3,0:MAXRES) - & ,dUxcartan(3,0:MAXRES),cdummy(3,0:MAXRES) - integer kstart,kend,lstart,lend,idummy - double precision delta /1.0d-7/ -c For the backbone - do i=0,nres-1 - do j=1,3 - dUcartan(j,i)=0.0d0 - cdummy(j,i)=dc(j,i) - dc(j,i)=dc(j,i)+delta - call chainbuild_cart - uzap2=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset),.true. - & ,idummy,idummy) - uzap2=uzap2+wfrag(ii,iset)*harmonic(qfrag(ii), - & qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap2=uzap2+wpair(ii,iset)*harmonic(qpair(ii), - & qinpair(ii,iset)) - enddo - dc(j,i)=cdummy(j,i) - call chainbuild_cart - uzap1=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset),.true. - & ,idummy,idummy) - uzap1=uzap1+wfrag(ii,iset)*harmonic(qfrag(ii), - & qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap1=uzap1+wpair(ii,iset)*harmonic(qpair(ii), - & qinpair(ii,iset)) - enddo - ducartan(j,i)=(uzap2-uzap1)/(delta) - enddo - enddo -c Calculating numerical gradients for dU/ddx - do i=0,nres-1 - duxcartan(j,i)=0.0d0 - do j=1,3 - cdummy(j,i)=dc(j,i+nres) - dc(j,i+nres)=dc(j,i+nres)+delta - call chainbuild_cart - uzap2=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset),.true. - & ,idummy,idummy) - uzap2=uzap2+wfrag(ii,iset)*harmonic(qfrag(ii), - & qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap2=uzap2+wpair(ii,iset)*harmonic(qpair(ii), - & qinpair(ii,iset)) - enddo - dc(j,i+nres)=cdummy(j,i) - call chainbuild_cart - uzap1=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset), - & ifrag(2,ii,iset),.true.,idummy,idummy) - uzap1=uzap1+wfrag(ii,iset)*harmonic(qfrag(ii), - & qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap1=uzap1+wpair(ii,iset)*harmonic(qpair(ii), - & qinpair(ii,iset)) - enddo - duxcartan(j,i)=(uzap2-uzap1)/(delta) - enddo - enddo - write(iout,*) "Numerical dUconst/ddc backbone " - do ii=0,nres - write(iout,'(i5,3e15.5)') ii,(dUcartan(j,ii),j=1,3) - enddo -c write(iout,*) "Numerical dUconst/ddx side-chain " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(dUxcartan(j,ii),j=1,3) -c enddo - return - end -c--------------------------------------------------------------------------- diff --git a/source/unres/src_MD-restraints-PM/q_measure1.F b/source/unres/src_MD-restraints-PM/q_measure1.F deleted file mode 100644 index 9c1546d..0000000 --- a/source/unres/src_MD-restraints-PM/q_measure1.F +++ /dev/null @@ -1,470 +0,0 @@ - double precision function qwolynes(seg1,seg2,flag,seg3,seg4) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.MD' - integer i,j,jl,k,l,il,kl,nl,np,seg1,seg2,seg3,seg4,secseg - integer nsep /3/ - double precision dist,qm - double precision qq,qqij,qqijCM,dij,d0ij,dijCM,d0ijCM - logical lprn /.false./ - logical flag - qq = 0.0d0 - nl=0 - do i=0,nres - do j=1,3 - dqwol(j,i)=0.0d0 - dxqwol(j,i)=0.0d0 - enddo - enddo - if (lprn) then - write (iout,*) "seg1",seg1," seg2",seg2," seg3",seg3," seg4",seg4, - & " flag",flag - call flush(iout) - endif - if (flag) then - do il=seg1+nsep,seg2 - do jl=seg1,il-nsep - nl=nl+1 - if (itype(il).ne.10) then - ilnres=il+nres - else - ilnres=il - endif - if (itype(jl).ne.10) then - jlnres=jl+nres - else - jlnres=jl - endif - qqijCM = qcontrib(il,jl,ilnres,jlnres) - qq = qq+qqijCM - if (lprn) then - write (iout,*) "qqijCM",qqijCM - call flush(iout) - endif - enddo - enddo - if (lprn) then - write (iout,*) "nl",nl," qq",qq - call flush(iout) - endif - else - do il=seg1,seg2 - if((seg3-il).lt.3) then - secseg=il+3 - else - secseg=seg3 - endif - do jl=secseg,seg4 - nl=nl+1 - if (itype(il).ne.10) then - ilnres=il+nres - else - ilnres=il - endif - if (itype(jl).ne.10) then - jlnres=jl+nres - else - jlnres=jl - endif - qqijCM = qcontrib(il,jl,ilnres,jlnres) - qq = qq+qqijCM - if (lprn) then - write (iout,*) "qqijCM",qqijCM - call flush(iout) - endif - enddo - enddo - endif - qq = qq/nl - qwolynes=1.0d0-qq - do i=0,nres - do j=1,3 - dqwol(j,i)=dqwol(j,i)/nl - dxqwol(j,i)=dxqwol(j,i)/nl - enddo - enddo - return - end -c------------------------------------------------------------------- - subroutine qwol_num(seg1,seg2,flag,seg3,seg4) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.MD' - integer seg1,seg2,seg3,seg4 - logical flag - double precision qwolan(3,0:maxres),cdummy(3,0:maxres2), - & qwolxan(3,0:maxres),q1,q2 - double precision delta /1.0d-7/ - write (iout,*) "seg1",seg1," seg2",seg2," seg3",seg3," seg4",seg4 - write(iout,*) "dQ/dc backbone " - do i=0,nres - write(iout,'(i5,3e15.5)') i, (dqwol(j,i),j=1,3) - enddo - write(iout,*) "dQ/dX side chain " - do i=1,nres - write(iout,'(i5,3e15.5)') i,(dxqwol(j,i),j=1,3) - enddo - do i=1,nres - do j=1,3 - cdummy(j,i)=c(j,i) - c(j,i)=c(j,i)-delta - q1=qwolynes(seg1,seg2,flag,seg3,seg4) - c(j,i)=cdummy(j,i)+delta - q2=qwolynes(seg1,seg2,flag,seg3,seg4) - qwolan(j,i)=0.5d0*(q2-q1)/delta - c(j,i)=cdummy(j,i) -c write (iout,*) "i",i," j",j," q1",q1," a2",q2 - enddo - enddo - do i=1,nres - do j=1,3 - cdummy(j,i+nres)=c(j,i+nres) - c(j,i+nres)=c(j,i+nres)-delta - q1=qwolynes(seg1,seg2,flag,seg3,seg4) - c(j,i+nres)=cdummy(j,i+nres)+delta - q2=qwolynes(seg1,seg2,flag,seg3,seg4) - qwolxan(j,i)=0.5d0*(q2-q1)/delta - c(j,i+nres)=cdummy(j,i+nres) - enddo - enddo - write(iout,*) "Numerical Q cartesian gradients backbone: " - do i=0,nres - write(iout,'(i5,3e15.5)') i, (qwolan(j,i),j=1,3) - enddo - write(iout,*) "Numerical Q cartesian gradients side-chain: " - do i=0,nres - write(iout,'(i5,3e15.5)') i, (qwolxan(j,i),j=1,3) - enddo - return - end -c------------------------------------------------------------------------ - subroutine EconstrQ -c MD with umbrella_sampling using Wolyne's distance measure as a constraint - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - double precision uzap1,uzap2,hm1,hm2,hmnum - double precision ucdelan,dUcartan(3,0:MAXRES) - & ,dUxcartan(3,0:MAXRES),cdummy(3,0:MAXRES), - & duconst(3,0:MAXRES),duxconst(3,0:MAXRES) - integer kstart,kend,lstart,lend,idummy - double precision delta /1.0d-7/ - do i=0,nres - do j=1,3 - duconst(j,i)=0.0d0 - dudconst(j,i)=0.0d0 - duxconst(j,i)=0.0d0 - dudxconst(j,i)=0.0d0 - enddo - enddo - Uconst=0.0d0 - do i=1,nfrag - qfrag(i)=qwolynes(ifrag(1,i,iset),ifrag(2,i,iset),.true. - & ,idummy,idummy) - Uconst=Uconst+wfrag(i,iset)*harmonic(qfrag(i),qinfrag(i,iset)) -c Calculating the derivatives of Constraint energy with respect to Q - Ucdfrag=wfrag(i,iset)*harmonicprim(qfrag(i),qinfrag(i,iset)) -c Calculating the derivatives of Q with respect to cartesian coordinates - do ii=0,nres - do j=1,3 - duconst(j,ii)=dUconst(j,ii)+ucdfrag*dqwol(j,ii) - dUxconst(j,ii)=dUxconst(j,ii)+ucdfrag*dxqwol(j,ii) - enddo - enddo -c write (iout,*) "Calling qwol_num" -c call qwol_num(ifrag(1,i),ifrag(2,i),.true.,idummy,idummy) - enddo - do i=1,npair - kstart=ifrag(1,ipair(1,i,iset),iset) - kend=ifrag(2,ipair(1,i,iset),iset) - lstart=ifrag(1,ipair(2,i,iset),iset) - lend=ifrag(2,ipair(2,i,iset),iset) - qpair(i)=qwolynes(kstart,kend,.false.,lstart,lend) - Uconst=Uconst+wpair(i,iset)*harmonic(qpair(i),qinpair(i,iset)) -c Calculating dU/dQ - Ucdpair=wpair(i,iset)*harmonicprim(qpair(i),qinpair(i,iset)) -c Calculating dQ/dXi - do ii=0,nres - do j=1,3 - duconst(j,ii)=dUconst(j,ii)+ucdpair*dqwol(j,ii) - dUxconst(j,ii)=dUxconst(j,ii)+ucdpair*dxqwol(j,ii) - enddo - enddo - enddo -c write(iout,*) "Uconst inside subroutine ", Uconst -c Transforming the gradients from Cs to dCs for the backbone - do i=0,nres - do j=i+1,nres - do k=1,3 - dudconst(k,i)=dudconst(k,i)+duconst(k,j)+duxconst(k,j) - enddo - enddo - enddo -c Transforming the gradients from Cs to dCs for the side chains - do i=1,nres - do j=1,3 - dudxconst(j,i)=duxconst(j,i) - enddo - enddo -c write(iout,*) "dU/dc backbone " -c do ii=0,nres -c write(iout,'(i5,3e15.5)') ii, (duconst(j,ii),j=1,3) -c enddo -c write(iout,*) "dU/dX side chain " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(duxconst(j,ii),j=1,3) -c enddo -c write(iout,*) "dU/ddc backbone " -c do ii=0,nres -c write(iout,'(i5,3e15.5)') ii, (dudconst(j,ii),j=1,3) -c enddo -c write(iout,*) "dU/ddX side chain " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(dudxconst(j,ii),j=1,3) -c enddo -c Calculating numerical gradients of dUconst/ddc and dUconst/ddx -c call dEconstrQ_num - return - end -c----------------------------------------------------------------------- - subroutine dEconstrQ_num -c Calculating numerical dUconst/ddc and dUconst/ddx - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - double precision uzap1,uzap2 - double precision dUcartan(3,0:MAXRES) - & ,dUxcartan(3,0:MAXRES),cdummy(3,0:MAXRES) - integer kstart,kend,lstart,lend,idummy - double precision delta /1.0d-7/ -c For the backbone - do i=0,nres-1 - do j=1,3 - dUcartan(j,i)=0.0d0 - cdummy(j,i)=dc(j,i) - dc(j,i)=dc(j,i)+delta - call chainbuild_cart - uzap2=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset), - & .true.,idummy,idummy) - uzap2=uzap2+wfrag(ii,iset)*harmonic(qfrag(ii), - & qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap2=uzap2+wpair(ii,iset)* - & harmonic(qpair(ii),qinpair(ii,iset)) - enddo - dc(j,i)=cdummy(j,i) - call chainbuild_cart - uzap1=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset), - & .true.,idummy,idummy) - uzap1=uzap1+wfrag(ii,iset)* - & harmonic(qfrag(ii),qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap1=uzap1+wpair(ii,iset)* - & harmonic(qpair(ii),qinpair(ii,iset)) - enddo - ducartan(j,i)=(uzap2-uzap1)/(delta) - enddo - enddo -c Calculating numerical gradients for dU/ddx - do i=0,nres-1 - do j=1,3 - duxcartan(j,i)=0.0d0 - enddo - do j=1,3 - cdummy(j,i)=dc(j,i+nres) - dc(j,i+nres)=dc(j,i+nres)+delta - call chainbuild_cart - uzap2=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset), - & .true.,idummy,idummy) - uzap2=uzap2+wfrag(ii,iset)* - & harmonic(qfrag(ii),qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap2=uzap2+wpair(ii,iset)* - & harmonic(qpair(ii),qinpair(ii,iset)) - enddo - dc(j,i+nres)=cdummy(j,i) - call chainbuild_cart - uzap1=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset), - & .true.,idummy,idummy) - uzap1=uzap1+wfrag(ii,iset)* - & harmonic(qfrag(ii),qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap1=uzap1+wpair(ii,iset)* - & harmonic(qpair(ii),qinpair(ii,iset)) - enddo - duxcartan(j,i)=(uzap2-uzap1)/(delta) - enddo - enddo - write(iout,*) "Numerical dUconst/ddc backbone " - do ii=0,nres - write(iout,'(i5,3e15.5)') ii,(dUcartan(j,ii),j=1,3) - enddo - write(iout,*) "Numerical dUconst/ddx side-chain " - do ii=1,nres - write(iout,'(i5,3e15.5)') ii,(dUxcartan(j,ii),j=1,3) - enddo - return - end -c--------------------------------------------------------------------------- - double precision function qcontrib(il,jl,il1,jl1) - implicit none - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.MD' - integer i,j,k,il,jl,il1,jl1,nd - double precision dist - external dist - double precision dij1,dij2,dij3,dij4,d0ij1,d0ij2,d0ij3,d0ij4,fac, - & fac1,ddave,ssij,ddqij - logical lprn /.false./ - d0ij1=dsqrt((cref(1,jl)-cref(1,il))**2+ - & (cref(2,jl)-cref(2,il))**2+ - & (cref(3,jl)-cref(3,il))**2) - dij1=dist(il,jl) - ddave=(dij1-d0ij1)**2 - nd=1 - if (jl1.ne.jl) then - d0ij2=dsqrt((cref(1,jl1)-cref(1,il))**2+ - & (cref(2,jl1)-cref(2,il))**2+ - & (cref(3,jl1)-cref(3,il))**2) - dij2=dist(il,jl1) - ddave=ddave+(dij2-d0ij2)**2 - nd=nd+1 - endif - if (il1.ne.il) then - d0ij3=dsqrt((cref(1,jl)-cref(1,il1))**2+ - & (cref(2,jl)-cref(2,il1))**2+ - & (cref(3,jl)-cref(3,il1))**2) - dij3=dist(il1,jl) - ddave=ddave+(dij3-d0ij3)**2 - nd=nd+1 - endif - if (il1.ne.il .and. jl1.ne.jl) then - d0ij4=dsqrt((cref(1,jl1)-cref(1,il1))**2+ - & (cref(2,jl1)-cref(2,il1))**2+ - & (cref(3,jl1)-cref(3,il1))**2) - dij4=dist(il1,jl1) - ddave=ddave+(dij4-d0ij4)**2 - nd=nd+1 - endif - ddave=ddave/nd - if (lprn) then - write (iout,*) "il",il," jl",jl, - & " itype",itype(il),itype(jl)," nd",nd - write (iout,*)"d0ij",d0ij1,d0ij2,d0ij3,d0ij4, - & " dij",dij1,dij2,dij3,dij4," ddave",ddave - call flush(iout) - endif -c ssij = (0.25d0*d0ij1)**2 - if (il.ne.il1 .and. jl.ne.jl1) then - ssij = 16.0d0/(d0ij1*d0ij4) - else - ssij = 16.0d0/(d0ij1*d0ij1) - endif - qcontrib = dexp(-0.5d0*ddave*ssij) -c Compute gradient - fac1 = qcontrib*ssij/nd - fac = fac1*(dij1-d0ij1)/dij1 - do k=1,3 - ddqij = (c(k,il)-c(k,jl))*fac - dqwol(k,il)=dqwol(k,il)+ddqij - dqwol(k,jl)=dqwol(k,jl)-ddqij - enddo - if (jl1.ne.jl) then - fac = fac1*(dij2-d0ij2)/dij2 - do k=1,3 - ddqij = (c(k,il)-c(k,jl1))*fac - dqwol(k,il)=dqwol(k,il)+ddqij - dxqwol(k,jl)=dxqwol(k,jl)-ddqij - enddo - endif - if (il1.ne.il) then - fac = fac1*(dij3-d0ij3)/dij3 - do k=1,3 - ddqij = (c(k,il1)-c(k,jl))*fac - dxqwol(k,il)=dxqwol(k,il)+ddqij - dqwol(k,jl)=dqwol(k,jl)-ddqij - enddo - endif - if (il1.ne.il .and. jl1.ne.jl) then - fac = fac1*(dij4-d0ij4)/dij4 - do k=1,3 - ddqij = (c(k,il1)-c(k,jl1))*fac - dxqwol(k,il)=dxqwol(k,il)+ddqij - dxqwol(k,jl)=dxqwol(k,jl)-ddqij - enddo - endif - return - end diff --git a/source/unres/src_MD-restraints-PM/q_measure3.F b/source/unres/src_MD-restraints-PM/q_measure3.F deleted file mode 100644 index f0a030e..0000000 --- a/source/unres/src_MD-restraints-PM/q_measure3.F +++ /dev/null @@ -1,529 +0,0 @@ - double precision function qwolynes(seg1,seg2,flag,seg3,seg4) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.MD' - integer i,j,jl,k,l,il,kl,nl,np,seg1,seg2,seg3,seg4,secseg - integer nsep /3/ - double precision dist,qm - double precision qq,qqij,qqijCM,dij,d0ij,dijCM,d0ijCM - logical lprn /.false./ - logical flag - qq = 0.0d0 - nl=0 - do i=0,nres - do j=1,3 - dqwol(j,i)=0.0d0 - dxqwol(j,i)=0.0d0 - enddo - enddo - if (lprn) then - write (iout,*) "seg1",seg1," seg2",seg2," seg3",seg3," seg4",seg4, - & " flag",flag - call flush(iout) - endif - if (flag) then - do il=seg1+nsep,seg2 - do jl=seg1,il-nsep - nl=nl+1 - if (itype(il).ne.10) then - ilnres=il+nres - else - ilnres=il - endif - if (itype(jl).ne.10) then - jlnres=jl+nres - else - jlnres=jl - endif - qqijCM = qcontrib(il,jl,ilnres,jlnres) - qq = qq+qqijCM - if (lprn) then - write (iout,*) "qqijCM",qqijCM - call flush(iout) - endif - enddo - enddo - if (lprn) then - write (iout,*) "nl",nl," qq",qq - call flush(iout) - endif - else - do il=seg1,seg2 - if((seg3-il).lt.3) then - secseg=il+3 - else - secseg=seg3 - endif - do jl=secseg,seg4 - nl=nl+1 - if (itype(il).ne.10) then - ilnres=il+nres - else - ilnres=il - endif - if (itype(jl).ne.10) then - jlnres=jl+nres - else - jlnres=jl - endif - qqijCM = qcontrib(il,jl,ilnres,jlnres) - qq = qq+qqijCM - if (lprn) then - write (iout,*) "qqijCM",qqijCM - call flush(iout) - endif - enddo - enddo - endif - qq = qq/nl - qwolynes=1.0d0-qq - do i=0,nres - do j=1,3 - dqwol(j,i)=dqwol(j,i)/nl - dxqwol(j,i)=dxqwol(j,i)/nl - enddo - enddo - return - end -c------------------------------------------------------------------- - subroutine qwol_num(seg1,seg2,flag,seg3,seg4) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.MD' - integer seg1,seg2,seg3,seg4 - logical flag - double precision qwolan(3,0:maxres),cdummy(3,0:maxres2), - & qwolxan(3,0:maxres),q1,q2 - double precision delta /1.0d-7/ - write (iout,*) "seg1",seg1," seg2",seg2," seg3",seg3," seg4",seg4 - write(iout,*) "dQ/dc backbone " - do i=0,nres - write(iout,'(i5,3e15.5)') i, (dqwol(j,i),j=1,3) - enddo - write(iout,*) "dQ/dX side chain " - do i=1,nres - write(iout,'(i5,3e15.5)') i,(dxqwol(j,i),j=1,3) - enddo - do i=1,nres - do j=1,3 - cdummy(j,i)=c(j,i) - c(j,i)=c(j,i)-delta - q1=qwolynes(seg1,seg2,flag,seg3,seg4) - c(j,i)=cdummy(j,i)+delta - q2=qwolynes(seg1,seg2,flag,seg3,seg4) - qwolan(j,i)=0.5d0*(q2-q1)/delta - c(j,i)=cdummy(j,i) -c write (iout,*) "i",i," j",j," q1",q1," a2",q2 - enddo - enddo - do i=1,nres - do j=1,3 - cdummy(j,i+nres)=c(j,i+nres) - c(j,i+nres)=c(j,i+nres)-delta - q1=qwolynes(seg1,seg2,flag,seg3,seg4) - c(j,i+nres)=cdummy(j,i+nres)+delta - q2=qwolynes(seg1,seg2,flag,seg3,seg4) - qwolxan(j,i)=0.5d0*(q2-q1)/delta - c(j,i+nres)=cdummy(j,i+nres) - enddo - enddo - write(iout,*) "Numerical Q cartesian gradients backbone: " - do i=0,nres - write(iout,'(i5,3e15.5)') i, (qwolan(j,i),j=1,3) - enddo - write(iout,*) "Numerical Q cartesian gradients side-chain: " - do i=0,nres - write(iout,'(i5,3e15.5)') i, (qwolxan(j,i),j=1,3) - enddo - return - end -c------------------------------------------------------------------------ - subroutine EconstrQ -c MD with umbrella_sampling using Wolyne's distance measure as a constraint - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - double precision uzap1,uzap2,hm1,hm2,hmnum - double precision ucdelan,dUcartan(3,0:MAXRES) - & ,dUxcartan(3,0:MAXRES),cdummy(3,0:MAXRES), - & duconst(3,0:MAXRES),duxconst(3,0:MAXRES) - integer kstart,kend,lstart,lend,idummy - double precision delta /1.0d-7/ - do i=0,nres - do j=1,3 - duconst(j,i)=0.0d0 - dudconst(j,i)=0.0d0 - duxconst(j,i)=0.0d0 - dudxconst(j,i)=0.0d0 - enddo - enddo - Uconst=0.0d0 - do i=1,nfrag - qfrag(i)=qwolynes(ifrag(1,i,iset),ifrag(2,i,iset),.true. - & ,idummy,idummy) - Uconst=Uconst+wfrag(i,iset)*harmonic(qfrag(i),qinfrag(i,iset)) -c Calculating the derivatives of Constraint energy with respect to Q - Ucdfrag=wfrag(i,iset)*harmonicprim(qfrag(i),qinfrag(i,iset)) -c Calculating the derivatives of Q with respect to cartesian coordinates - do ii=0,nres - do j=1,3 - duconst(j,ii)=dUconst(j,ii)+ucdfrag*dqwol(j,ii) - dUxconst(j,ii)=dUxconst(j,ii)+ucdfrag*dxqwol(j,ii) - enddo - enddo -c write (iout,*) "Calling qwol_num" -c call qwol_num(ifrag(1,i,iset),ifrag(2,i,iset),.true.,idummy,idummy) - enddo -c stop - do i=1,npair - kstart=ifrag(1,ipair(1,i,iset),iset) - kend=ifrag(2,ipair(1,i,iset),iset) - lstart=ifrag(1,ipair(2,i,iset),iset) - lend=ifrag(2,ipair(2,i,iset),iset) - qpair(i)=qwolynes(kstart,kend,.false.,lstart,lend) - Uconst=Uconst+wpair(i,iset)*harmonic(qpair(i),qinpair(i,iset)) -c Calculating dU/dQ - Ucdpair=wpair(i,iset)*harmonicprim(qpair(i),qinpair(i,iset)) -c Calculating dQ/dXi - do ii=0,nres - do j=1,3 - duconst(j,ii)=dUconst(j,ii)+ucdpair*dqwol(j,ii) - dUxconst(j,ii)=dUxconst(j,ii)+ucdpair*dxqwol(j,ii) - enddo - enddo - enddo -c write(iout,*) "Uconst inside subroutine ", Uconst -c Transforming the gradients from Cs to dCs for the backbone - do i=0,nres - do j=i+1,nres - do k=1,3 - dudconst(k,i)=dudconst(k,i)+duconst(k,j)+duxconst(k,j) - enddo - enddo - enddo -c Transforming the gradients from Cs to dCs for the side chains - do i=1,nres - do j=1,3 - dudxconst(j,i)=duxconst(j,i) - enddo - enddo -c write(iout,*) "dU/dc backbone " -c do ii=0,nres -c write(iout,'(i5,3e15.5)') ii, (duconst(j,ii),j=1,3) -c enddo -c write(iout,*) "dU/dX side chain " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(duxconst(j,ii),j=1,3) -c enddo -c write(iout,*) "dU/ddc backbone " -c do ii=0,nres -c write(iout,'(i5,3e15.5)') ii, (dudconst(j,ii),j=1,3) -c enddo -c write(iout,*) "dU/ddX side chain " -c do ii=1,nres -c write(iout,'(i5,3e15.5)') ii,(dudxconst(j,ii),j=1,3) -c enddo -c Calculating numerical gradients of dUconst/ddc and dUconst/ddx -c call dEconstrQ_num - return - end -c----------------------------------------------------------------------- - subroutine dEconstrQ_num -c Calculating numerical dUconst/ddc and dUconst/ddx - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - double precision uzap1,uzap2 - double precision dUcartan(3,0:MAXRES) - & ,dUxcartan(3,0:MAXRES),cdummy(3,0:MAXRES) - integer kstart,kend,lstart,lend,idummy - double precision delta /1.0d-7/ -c For the backbone - do i=0,nres-1 - do j=1,3 - dUcartan(j,i)=0.0d0 - cdummy(j,i)=dc(j,i) - dc(j,i)=dc(j,i)+delta - call chainbuild_cart - uzap2=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset), - & .true.,idummy,idummy) - uzap2=uzap2+wfrag(ii,iset)* - & harmonic(qfrag(ii),qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap2=uzap2+wpair(ii,iset)* - & harmonic(qpair(ii),qinpair(ii,iset)) - enddo - dc(j,i)=cdummy(j,i) - call chainbuild_cart - uzap1=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset), - & .true.,idummy,idummy) - uzap1=uzap1+wfrag(ii,iset)* - & harmonic(qfrag(ii),qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap1=uzap1+wpair(ii,iset)* - & harmonic(qpair(ii),qinpair(ii,iset)) - enddo - ducartan(j,i)=(uzap2-uzap1)/(delta) - enddo - enddo -c Calculating numerical gradients for dU/ddx - do i=0,nres-1 - do j=1,3 - duxcartan(j,i)=0.0d0 - enddo - do j=1,3 - cdummy(j,i)=dc(j,i+nres) - dc(j,i+nres)=dc(j,i+nres)+delta - call chainbuild_cart - uzap2=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset), - & .true.,idummy,idummy) - uzap2=uzap2+wfrag(ii,iset)* - & harmonic(qfrag(ii),qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap2=uzap2+wpair(ii,iset)* - & harmonic(qpair(ii),qinpair(ii,iset)) - enddo - dc(j,i+nres)=cdummy(j,i) - call chainbuild_cart - uzap1=0.0d0 - do ii=1,nfrag - qfrag(ii)=qwolynes(ifrag(1,ii,iset),ifrag(2,ii,iset), - & .true.,idummy,idummy) - uzap1=uzap1+wfrag(ii,iset)* - & harmonic(qfrag(ii),qinfrag(ii,iset)) - enddo - do ii=1,npair - kstart=ifrag(1,ipair(1,ii,iset),iset) - kend=ifrag(2,ipair(1,ii,iset),iset) - lstart=ifrag(1,ipair(2,ii,iset),iset) - lend=ifrag(2,ipair(2,ii,iset),iset) - qpair(ii)=qwolynes(kstart,kend,.false.,lstart,lend) - uzap1=uzap1+wpair(ii,iset)* - & harmonic(qpair(ii),qinpair(ii,iset)) - enddo - duxcartan(j,i)=(uzap2-uzap1)/(delta) - enddo - enddo - write(iout,*) "Numerical dUconst/ddc backbone " - do ii=0,nres - write(iout,'(i5,3e15.5)') ii,(dUcartan(j,ii),j=1,3) - enddo - write(iout,*) "Numerical dUconst/ddx side-chain " - do ii=1,nres - write(iout,'(i5,3e15.5)') ii,(dUxcartan(j,ii),j=1,3) - enddo - return - end -c--------------------------------------------------------------------------- - double precision function qcontrib(il,jl,il1,jl1) - implicit none - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.MD' - include 'COMMON.LOCAL' - integer i,j,k,il,jl,il1,jl1,nd,itl,jtl - double precision dist - external dist - double precision dij,dij1,d0ij,d0ij1,om1,om2,om12,om10,om20,om120 - & ,fac,fac1,ddave,ssij,ddqij,d0ii1,d0jj1,rij,eom1,eom2,eom12 - double precision u(3),v(3),er(3),er0(3),dcosom1(3),dcosom2(3), - & aux1,aux2 - double precision scalar - external scalar - logical lprn /.false./ - if (lprn) write (iout,*) "il",il," jl",jl," il1",il1," jl1",jl1 - d0ij=dsqrt((cref(1,jl)-cref(1,il))**2+ - & (cref(2,jl)-cref(2,il))**2+ - & (cref(3,jl)-cref(3,il))**2) - dij=dist(il,jl) - dij1=dist(il1,jl1) - do i=1,3 - er(i)=(c(i,jl1)-c(i,il1))/dij1 - enddo - do i=1,3 - er0(i)=cref(i,jl1)-cref(i,il1) - enddo - d0ij1=dsqrt(scalar(er0,er0)) - do i=1,3 - er0(i)=er0(i)/d0ij1 - enddo - if (il.ne.il1 .or. jl.ne.jl1) then - ddave=0.5d0*((dij-d0ij)**2+(dij1-d0ij1)**2) - nd=2 - else - ddave=(dij-d0ij)**2 - nd=1 - endif - if (il.ne.il1) then - do i=1,3 - u(i)=cref(i,il1)-cref(i,il) - enddo - d0ii1=dsqrt(scalar(u,u)) - do i=1,3 - u(i)=u(i)/d0ii1 - enddo - if (lprn) then - write (iout,*) "u",(u(i),i=1,3) - write (iout,*) "er0",(er0(i),i=1,3) - om10=scalar(er0,u) - om1=scalar(er,dc_norm(1,il1)) - write (iout,*) "om10",om10," om1",om1 - endif - else - om1=0.0d0 - om10=0.0d0 - endif - if (jl.ne.jl1) then - do i=1,3 - v(i)=cref(i,jl1)-cref(i,jl) - enddo - d0jj1=dsqrt(scalar(v,v)) - do i=1,3 - v(i)=v(i)/d0jj1 - enddo - if (lprn) then - write (iout,*) "v",(v(i),i=1,3) - write (iout,*) "er0",(er0(i),i=1,3) - om20=scalar(er,v) - om2=scalar(er,dc_norm(1,jl1)) - write (iout,*) "om20",om20," om2",om2 - endif - else - om2=0.0d0 - om20=0.0d0 - endif - if (il.ne.il1 .and. jl.ne.jl1) then - om120=scalar(u,v) - om12=scalar(dc_norm(1,il1),dc_norm(1,jl1)) - else - om12=0.0d0 - om120=0.0d0 - endif - if (lprn) then - write (iout,*) "il",il," jl",jl,itype(il),itype(jl) - write (iout,*)"d0ij",d0ij," om10",om10," om20",om20, - & " om120",om120, - & " dij",dij," om1",om1," om2",om2," om12",om12 - call flush(iout) - endif - ssij = 16.0d0/(d0ij*d0ij) - qcontrib = dexp(-0.5d0*(ddave*ssij+((om1-om10)**2 - & +(om2-om20)**2+(om12-om120)**2))) - if (lprn) write (iout,*) "ssij",ssij," qcontrib",qcontrib -c qcontrib = dexp(-0.5d0*(ddave*ssij)+(om1-om10)**2+(om2-om20)**2) -c qcontrib = dexp(-0.5d0*(ddave*ssij)) -c Compute gradient - radial component - fac1 = qcontrib*ssij/nd - fac = fac1*(dij-d0ij)/dij - do k=1,3 - ddqij = (c(k,il)-c(k,jl))*fac - dqwol(k,il)=dqwol(k,il)+ddqij - dqwol(k,jl)=dqwol(k,jl)-ddqij - enddo - if (il1.ne.il .or. jl1.ne.jl) then - fac = fac1*(dij1-d0ij1)/dij1 - do k=1,3 - ddqij = (c(k,il1)-c(k,jl1))*fac - if (il1.ne.il) then - dxqwol(k,il)=dxqwol(k,il)+ddqij - else - dqwol(k,il)=dqwol(k,il)+ddqij - endif - if (jl1.ne.jl) then - dxqwol(k,jl)=dxqwol(k,jl)-ddqij - else - dqwol(k,jl)=dqwol(k,jl)-ddqij - endif - enddo - endif -c return -c Orientational contributions - rij=1.0d0/dij1 - eom1=qcontrib*(om1-om10) - eom2=qcontrib*(om2-om20) - eom12=qcontrib*(om12-om120) - do k=1,3 - dcosom1(k)=rij*(dc_norm(k,il1)-om1*er(k)) - dcosom2(k)=rij*(dc_norm(k,jl1)-om2*er(k)) - enddo - do k=1,3 - ddqij=eom1*dcosom1(k)+eom2*dcosom2(k) - aux1=(eom12*(dc_norm(k,jl1)-om12*dc_norm(k,il1)) - & +eom1*(er(k)-om1*dc_norm(k,il1)))*vbld_inv(il1) - aux2=(eom12*(dc_norm(k,il1)-om12*dc_norm(k,jl1)) - & +eom2*(er(k)-om2*dc_norm(k,jl1)))*vbld_inv(jl1) - dqwol(k,il)=dqwol(k,il)-ddqij-aux1 - dqwol(k,jl)=dqwol(k,jl)+ddqij-aux2 - dxqwol(k,il)=dxqwol(k,il)-ddqij+aux1 -c & +(eom12*(dc_norm(k,jl1)-om12*dc_norm(k,il1)) -c & +eom1*(er(k)-om1*dc_norm(k,il1)))*vbld_inv(il1) - dxqwol(k,jl)=dxqwol(k,jl)+ddqij+aux2 -c & +(eom12*(dc_norm(k,il1)-om12*dc_norm(k,jl1)) -c & +eom2*(er(k)-om2*dc_norm(k,jl1)))*vbld_inv(jl1) - enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/randgens.f b/source/unres/src_MD-restraints-PM/randgens.f deleted file mode 100644 index 0daeb35..0000000 --- a/source/unres/src_MD-restraints-PM/randgens.f +++ /dev/null @@ -1,99 +0,0 @@ -C $Date: 1994/10/04 16:19:52 $ -C $Revision: 2.1 $ -C -C -C See help for RANDOMV on the PSFSHARE disk to understand these -C subroutines. This is the VS Fortran version of this code. -C -C - SUBROUTINE VRND(VEC,N) - INTEGER A(250) - COMMON /VRANDD/ A, I, I147 - INTEGER LOOP,I,I147,VEC(N) - DO 23000 LOOP=1,N - I=I+1 - IF(.NOT.(I.GE.251))GOTO 23002 - I=1 -23002 CONTINUE - I147=I147+1 - IF(.NOT.(I147.GE.251))GOTO 23004 - I147=1 -23004 CONTINUE - A(I)=IEOR(A(I147),A(I)) - VEC(LOOP)=A(I) -23000 CONTINUE - RETURN - END -C -C - DOUBLE PRECISION FUNCTION RNDV(IDUM) - DOUBLE PRECISION RM1,RM2,R(99) - INTEGER IA1,IC1,M1, IA2,IC2,M2, IA3,IC3,M3, IDUM - SAVE - DATA IA1,IC1,M1/1279,351762,1664557/ - DATA IA2,IC2,M2/2011,221592,1048583/ - DATA IA3,IC3,M3/15551,6150,29101/ - IF(.NOT.(IDUM.LT.0))GOTO 23006 - IX1 = MOD(-IDUM,M1) - IX1 = MOD(IA1*IX1+IC1,M1) - IX2 = MOD(IX1,M2) - IX1 = MOD(IA1*IX1+IC1,M1) - IX3 = MOD(IX1,M3) - RM1 = 1./DBLE(M1) - RM2 = 1./DBLE(M2) - DO 23008 J = 1,99 - IX1 = MOD(IA1*IX1+IC1,M1) - IX2 = MOD(IA2*IX2+IC2,M2) - R(J) = (DBLE(IX1)+DBLE(IX2)*RM2)*RM1 -23008 CONTINUE -23006 CONTINUE - IX1 = MOD(IA1*IX1+IC1,M1) - IX2 = MOD(IA2*IX2+IC2,M2) - IX3 = MOD(IA3*IX3+IC3,M3) - J = 1+(99*IX3)/M3 - RNDV = R(J) - R(J) = (DBLE(IX1)+DBLE(IX2)*RM2)*RM1 - IDUM = IX1 - RETURN - END -C -C - SUBROUTINE VRNDST(SEED) - INTEGER A(250),LOOP,IDUM,SEED - DOUBLE PRECISION RNDV - COMMON /VRANDD/ A, I, I147 - I=0 - I147=103 - IDUM=SEED - DO 23010 LOOP=1,250 - A(LOOP)=INT(RNDV(IDUM)*2147483647) -23010 CONTINUE - RETURN - END -C -C - SUBROUTINE VRNDIN(IODEV) - INTEGER IODEV, A(250) - COMMON/VRANDD/ A, I, I147 - READ(IODEV) A, I, I147 - RETURN - END -C -C - SUBROUTINE VRNDOU(IODEV) -C This corresponds to VRNDOUT in the APFTN64 version - INTEGER IODEV, A(250) - COMMON/VRANDD/ A, I, I147 - WRITE(IODEV) A, I, I147 - RETURN - END - FUNCTION RNUNF(N) - INTEGER IRAN1(2000) - DATA FCTOR /2147483647.0D0/ -C We get only one random number, here! DR 9/1/92 - CALL VRND(IRAN1,1) - RNUNF= DBLE( IRAN1(1) ) / FCTOR -C****************************** -C write(6,*) 'rnunf in rnunf = ',rnunf - RETURN - END diff --git a/source/unres/src_MD-restraints-PM/rattle.F b/source/unres/src_MD-restraints-PM/rattle.F deleted file mode 100644 index a2e5034..0000000 --- a/source/unres/src_MD-restraints-PM/rattle.F +++ /dev/null @@ -1,706 +0,0 @@ - subroutine rattle1 -c RATTLE algorithm for velocity Verlet - step 1, UNRES -c AL 9/24/04 - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - double precision gginv(maxres2,maxres2), - & gdc(3,MAXRES2,MAXRES2),dC_uncor(3,MAXRES2), - & Cmat(MAXRES2,MAXRES2),x(MAXRES2),xcorr(3,MAXRES2) - common /przechowalnia/ GGinv,gdc,Cmat,nbond - integer max_rattle /5/ - logical lprn /.false./, lprn1 /.false./,not_done - double precision tol_rattle /1.0d-5/ - if (lprn) write (iout,*) "RATTLE1" - nbond=nct-nnt - do i=nnt,nct - if (itype(i).ne.10) nbond=nbond+1 - enddo -c Make a folded form of the Ginv-matrix - ind=0 - ii=0 - do i=nnt,nct-1 - ii=ii+1 - do j=1,3 - ind=ind+1 - ind1=0 - jj=0 - do k=nnt,nct-1 - jj=jj+1 - do l=1,3 - ind1=ind1+1 - if (j.eq.1 .and. l.eq.1) GGinv(ii,jj)=Ginv(ind,ind1) - enddo - enddo - do k=nnt,nct - if (itype(k).ne.10) then - jj=jj+1 - do l=1,3 - ind1=ind1+1 - if (j.eq.1 .and. l.eq.1) GGinv(ii,jj)=Ginv(ind,ind1) - enddo - endif - enddo - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ii=ii+1 - do j=1,3 - ind=ind+1 - ind1=0 - jj=0 - do k=nnt,nct-1 - jj=jj+1 - do l=1,3 - ind1=ind1+1 - if (j.eq.1 .and. l.eq.1) GGinv(ii,jj)=Ginv(ind,ind1) - enddo - enddo - do k=nnt,nct - if (itype(k).ne.10) then - jj=jj+1 - do l=1,3 - ind1=ind1+1 - if (j.eq.1 .and. l.eq.1) GGinv(ii,jj)=Ginv(ind,ind1) - enddo - endif - enddo - enddo - endif - enddo - if (lprn1) then - write (iout,*) "Matrix GGinv" - call MATOUT(nbond,nbond,MAXRES2,MAXRES2,GGinv) - endif - not_done=.true. - iter=0 - do while (not_done) - iter=iter+1 - if (iter.gt.max_rattle) then - write (iout,*) "Error - too many iterations in RATTLE." - stop - endif -c Calculate the matrix C = GG**(-1) dC_old o dC - ind1=0 - do i=nnt,nct-1 - ind1=ind1+1 - do j=1,3 - dC_uncor(j,ind1)=dC(j,i) - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind1=ind1+1 - do j=1,3 - dC_uncor(j,ind1)=dC(j,i+nres) - enddo - endif - enddo - do i=1,nbond - ind=0 - do k=nnt,nct-1 - ind=ind+1 - do j=1,3 - gdc(j,i,ind)=GGinv(i,ind)*dC_old(j,k) - enddo - enddo - do k=nnt,nct - if (itype(k).ne.10) then - ind=ind+1 - do j=1,3 - gdc(j,i,ind)=GGinv(i,ind)*dC_old(j,k+nres) - enddo - endif - enddo - enddo -c Calculate deviations from standard virtual-bond lengths - ind=0 - do i=nnt,nct-1 - ind=ind+1 - x(ind)=vbld(i+1)**2-vbl**2 - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - x(ind)=vbld(i+nres)**2-vbldsc0(1,itype(i))**2 - endif - enddo - if (lprn) then - write (iout,*) "Coordinates and violations" - do i=1,nbond - write(iout,'(i5,3f10.5,5x,e15.5)') - & i,(dC_uncor(j,i),j=1,3),x(i) - enddo - write (iout,*) "Velocities and violations" - ind=0 - do i=nnt,nct-1 - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i,ind,(d_t_new(j,i),j=1,3),scalar(d_t_new(1,i),dC_old(1,i)) - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i+nres,ind,(d_t_new(j,i+nres),j=1,3), - & scalar(d_t_new(1,i+nres),dC_old(1,i+nres)) - endif - enddo -c write (iout,*) "gdc" -c do i=1,nbond -c write (iout,*) "i",i -c do j=1,nbond -c write (iout,'(i5,3f10.5)') j,(gdc(k,j,i),k=1,3) -c enddo -c enddo - endif - xmax=dabs(x(1)) - do i=2,nbond - if (dabs(x(i)).gt.xmax) then - xmax=dabs(x(i)) - endif - enddo - if (xmax.lt.tol_rattle) then - not_done=.false. - goto 100 - endif -c Calculate the matrix of the system of equations - do i=1,nbond - do j=1,nbond - Cmat(i,j)=0.0d0 - do k=1,3 - Cmat(i,j)=Cmat(i,j)+dC_uncor(k,i)*gdc(k,i,j) - enddo - enddo - enddo - if (lprn1) then - write (iout,*) "Matrix Cmat" - call MATOUT(nbond,nbond,MAXRES2,MAXRES2,Cmat) - endif - call gauss(Cmat,X,MAXRES2,nbond,1,*10) -c Add constraint term to positions - ind=0 - do i=nnt,nct-1 - ind=ind+1 - do j=1,3 - xx=0.0d0 - do ii=1,nbond - xx = xx+x(ii)*gdc(j,ind,ii) - enddo - xx=0.5d0*xx - dC(j,i)=dC(j,i)-xx - d_t_new(j,i)=d_t_new(j,i)-xx/d_time - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - do j=1,3 - xx=0.0d0 - do ii=1,nbond - xx = xx+x(ii)*gdc(j,ind,ii) - enddo - xx=0.5d0*xx - dC(j,i+nres)=dC(j,i+nres)-xx - d_t_new(j,i+nres)=d_t_new(j,i+nres)-xx/d_time - enddo - endif - enddo -c Rebuild the chain using the new coordinates - call chainbuild_cart - if (lprn) then - write (iout,*) "New coordinates, Lagrange multipliers,", - & " and differences between actual and standard bond lengths" - ind=0 - do i=nnt,nct-1 - ind=ind+1 - xx=vbld(i+1)**2-vbl**2 - write (iout,'(i5,3f10.5,5x,f10.5,e15.5)') - & i,(dC(j,i),j=1,3),x(ind),xx - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - xx=vbld(i+nres)**2-vbldsc0(1,itype(i))**2 - write (iout,'(i5,3f10.5,5x,f10.5,e15.5)') - & i,(dC(j,i+nres),j=1,3),x(ind),xx - endif - enddo - write (iout,*) "Velocities and violations" - ind=0 - do i=nnt,nct-1 - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i,ind,(d_t_new(j,i),j=1,3),scalar(d_t_new(1,i),dC_old(1,i)) - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i+nres,ind,(d_t_new(j,i+nres),j=1,3), - & scalar(d_t_new(1,i+nres),dC_old(1,i+nres)) - endif - enddo - endif - enddo - 100 continue - return - 10 write (iout,*) "Error - singularity in solving the system", - & " of equations for Lagrange multipliers." - stop - end -c------------------------------------------------------------------------------ - subroutine rattle2 -c RATTLE algorithm for velocity Verlet - step 2, UNRES -c AL 9/24/04 - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - double precision gginv(maxres2,maxres2), - & gdc(3,MAXRES2,MAXRES2),dC_uncor(3,MAXRES2), - & Cmat(MAXRES2,MAXRES2),x(MAXRES2) - common /przechowalnia/ GGinv,gdc,Cmat,nbond - integer max_rattle /5/ - logical lprn /.false./, lprn1 /.false./,not_done - double precision tol_rattle /1.0d-5/ - if (lprn) write (iout,*) "RATTLE2" - if (lprn) write (iout,*) "Velocity correction" -c Calculate the matrix G dC - do i=1,nbond - ind=0 - do k=nnt,nct-1 - ind=ind+1 - do j=1,3 - gdc(j,i,ind)=GGinv(i,ind)*dC(j,k) - enddo - enddo - do k=nnt,nct - if (itype(k).ne.10) then - ind=ind+1 - do j=1,3 - gdc(j,i,ind)=GGinv(i,ind)*dC(j,k+nres) - enddo - endif - enddo - enddo -c if (lprn) then -c write (iout,*) "gdc" -c do i=1,nbond -c write (iout,*) "i",i -c do j=1,nbond -c write (iout,'(i5,3f10.5)') j,(gdc(k,j,i),k=1,3) -c enddo -c enddo -c endif -c Calculate the matrix of the system of equations - ind=0 - do i=nnt,nct-1 - ind=ind+1 - do j=1,nbond - Cmat(ind,j)=0.0d0 - do k=1,3 - Cmat(ind,j)=Cmat(ind,j)+dC(k,i)*gdc(k,ind,j) - enddo - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - do j=1,nbond - Cmat(ind,j)=0.0d0 - do k=1,3 - Cmat(ind,j)=Cmat(ind,j)+dC(k,i+nres)*gdc(k,ind,j) - enddo - enddo - endif - enddo -c Calculate the scalar product dC o d_t_new - ind=0 - do i=nnt,nct-1 - ind=ind+1 - x(ind)=scalar(d_t(1,i),dC(1,i)) - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - x(ind)=scalar(d_t(1,i+nres),dC(1,i+nres)) - endif - enddo - if (lprn) then - write (iout,*) "Velocities and violations" - ind=0 - do i=nnt,nct-1 - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i,ind,(d_t(j,i),j=1,3),x(ind) - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i+nres,ind,(d_t(j,i+nres),j=1,3),x(ind) - endif - enddo - endif - xmax=dabs(x(1)) - do i=2,nbond - if (dabs(x(i)).gt.xmax) then - xmax=dabs(x(i)) - endif - enddo - if (xmax.lt.tol_rattle) then - not_done=.false. - goto 100 - endif - if (lprn1) then - write (iout,*) "Matrix Cmat" - call MATOUT(nbond,nbond,MAXRES2,MAXRES2,Cmat) - endif - call gauss(Cmat,X,MAXRES2,nbond,1,*10) -c Add constraint term to velocities - ind=0 - do i=nnt,nct-1 - ind=ind+1 - do j=1,3 - xx=0.0d0 - do ii=1,nbond - xx = xx+x(ii)*gdc(j,ind,ii) - enddo - d_t(j,i)=d_t(j,i)-xx - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - do j=1,3 - xx=0.0d0 - do ii=1,nbond - xx = xx+x(ii)*gdc(j,ind,ii) - enddo - d_t(j,i+nres)=d_t(j,i+nres)-xx - enddo - endif - enddo - if (lprn) then - write (iout,*) - & "New velocities, Lagrange multipliers violations" - ind=0 - do i=nnt,nct-1 - ind=ind+1 - if (lprn) write (iout,'(2i5,3f10.5,5x,2e15.5)') - & i,ind,(d_t(j,i),j=1,3),x(ind),scalar(d_t(1,i),dC(1,i)) - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,2e15.5)') - & i+nres,ind,(d_t(j,i+nres),j=1,3),x(ind), - & scalar(d_t(1,i+nres),dC(1,i+nres)) - endif - enddo - endif - 100 continue - return - 10 write (iout,*) "Error - singularity in solving the system", - & " of equations for Lagrange multipliers." - stop - end -c------------------------------------------------------------------------------ - subroutine rattle_brown -c RATTLE/LINCS algorithm for Brownian dynamics, UNRES -c AL 9/24/04 - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - include 'COMMON.TIME1' - double precision gginv(maxres2,maxres2), - & gdc(3,MAXRES2,MAXRES2),dC_uncor(3,MAXRES2), - & Cmat(MAXRES2,MAXRES2),x(MAXRES2) - common /przechowalnia/ GGinv,gdc,Cmat,nbond - integer max_rattle /5/ - logical lprn /.true./, lprn1 /.true./,not_done - double precision tol_rattle /1.0d-5/ - if (lprn) write (iout,*) "RATTLE_BROWN" - nbond=nct-nnt - do i=nnt,nct - if (itype(i).ne.10) nbond=nbond+1 - enddo -c Make a folded form of the Ginv-matrix - ind=0 - ii=0 - do i=nnt,nct-1 - ii=ii+1 - do j=1,3 - ind=ind+1 - ind1=0 - jj=0 - do k=nnt,nct-1 - jj=jj+1 - do l=1,3 - ind1=ind1+1 - if (j.eq.1 .and. l.eq.1) GGinv(ii,jj)=fricmat(ind,ind1) - enddo - enddo - do k=nnt,nct - if (itype(k).ne.10) then - jj=jj+1 - do l=1,3 - ind1=ind1+1 - if (j.eq.1 .and. l.eq.1) GGinv(ii,jj)=fricmat(ind,ind1) - enddo - endif - enddo - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ii=ii+1 - do j=1,3 - ind=ind+1 - ind1=0 - jj=0 - do k=nnt,nct-1 - jj=jj+1 - do l=1,3 - ind1=ind1+1 - if (j.eq.1 .and. l.eq.1) GGinv(ii,jj)=fricmat(ind,ind1) - enddo - enddo - do k=nnt,nct - if (itype(k).ne.10) then - jj=jj+1 - do l=1,3 - ind1=ind1+1 - if (j.eq.1 .and. l.eq.1)GGinv(ii,jj)=fricmat(ind,ind1) - enddo - endif - enddo - enddo - endif - enddo - if (lprn1) then - write (iout,*) "Matrix GGinv" - call MATOUT(nbond,nbond,MAXRES2,MAXRES2,GGinv) - endif - not_done=.true. - iter=0 - do while (not_done) - iter=iter+1 - if (iter.gt.max_rattle) then - write (iout,*) "Error - too many iterations in RATTLE." - stop - endif -c Calculate the matrix C = GG**(-1) dC_old o dC - ind1=0 - do i=nnt,nct-1 - ind1=ind1+1 - do j=1,3 - dC_uncor(j,ind1)=dC(j,i) - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind1=ind1+1 - do j=1,3 - dC_uncor(j,ind1)=dC(j,i+nres) - enddo - endif - enddo - do i=1,nbond - ind=0 - do k=nnt,nct-1 - ind=ind+1 - do j=1,3 - gdc(j,i,ind)=GGinv(i,ind)*dC_old(j,k) - enddo - enddo - do k=nnt,nct - if (itype(k).ne.10) then - ind=ind+1 - do j=1,3 - gdc(j,i,ind)=GGinv(i,ind)*dC_old(j,k+nres) - enddo - endif - enddo - enddo -c Calculate deviations from standard virtual-bond lengths - ind=0 - do i=nnt,nct-1 - ind=ind+1 - x(ind)=vbld(i+1)**2-vbl**2 - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - x(ind)=vbld(i+nres)**2-vbldsc0(1,itype(i))**2 - endif - enddo - if (lprn) then - write (iout,*) "Coordinates and violations" - do i=1,nbond - write(iout,'(i5,3f10.5,5x,e15.5)') - & i,(dC_uncor(j,i),j=1,3),x(i) - enddo - write (iout,*) "Velocities and violations" - ind=0 - do i=nnt,nct-1 - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i,ind,(d_t(j,i),j=1,3),scalar(d_t(1,i),dC_old(1,i)) - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i+nres,ind,(d_t(j,i+nres),j=1,3), - & scalar(d_t(1,i+nres),dC_old(1,i+nres)) - endif - enddo - write (iout,*) "gdc" - do i=1,nbond - write (iout,*) "i",i - do j=1,nbond - write (iout,'(i5,3f10.5)') j,(gdc(k,j,i),k=1,3) - enddo - enddo - endif - xmax=dabs(x(1)) - do i=2,nbond - if (dabs(x(i)).gt.xmax) then - xmax=dabs(x(i)) - endif - enddo - if (xmax.lt.tol_rattle) then - not_done=.false. - goto 100 - endif -c Calculate the matrix of the system of equations - do i=1,nbond - do j=1,nbond - Cmat(i,j)=0.0d0 - do k=1,3 - Cmat(i,j)=Cmat(i,j)+dC_uncor(k,i)*gdc(k,i,j) - enddo - enddo - enddo - if (lprn1) then - write (iout,*) "Matrix Cmat" - call MATOUT(nbond,nbond,MAXRES2,MAXRES2,Cmat) - endif - call gauss(Cmat,X,MAXRES2,nbond,1,*10) -c Add constraint term to positions - ind=0 - do i=nnt,nct-1 - ind=ind+1 - do j=1,3 - xx=0.0d0 - do ii=1,nbond - xx = xx+x(ii)*gdc(j,ind,ii) - enddo - xx=-0.5d0*xx - d_t(j,i)=d_t(j,i)+xx/d_time - dC(j,i)=dC(j,i)+xx - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - do j=1,3 - xx=0.0d0 - do ii=1,nbond - xx = xx+x(ii)*gdc(j,ind,ii) - enddo - xx=-0.5d0*xx - d_t(j,i+nres)=d_t(j,i+nres)+xx/d_time - dC(j,i+nres)=dC(j,i+nres)+xx - enddo - endif - enddo -c Rebuild the chain using the new coordinates - call chainbuild_cart - if (lprn) then - write (iout,*) "New coordinates, Lagrange multipliers,", - & " and differences between actual and standard bond lengths" - ind=0 - do i=nnt,nct-1 - ind=ind+1 - xx=vbld(i+1)**2-vbl**2 - write (iout,'(i5,3f10.5,5x,f10.5,e15.5)') - & i,(dC(j,i),j=1,3),x(ind),xx - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - xx=vbld(i+nres)**2-vbldsc0(1,itype(i))**2 - write (iout,'(i5,3f10.5,5x,f10.5,e15.5)') - & i,(dC(j,i+nres),j=1,3),x(ind),xx - endif - enddo - write (iout,*) "Velocities and violations" - ind=0 - do i=nnt,nct-1 - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i,ind,(d_t_new(j,i),j=1,3),scalar(d_t_new(1,i),dC_old(1,i)) - enddo - do i=nnt,nct - if (itype(i).ne.10) then - ind=ind+1 - write (iout,'(2i5,3f10.5,5x,e15.5)') - & i+nres,ind,(d_t_new(j,i+nres),j=1,3), - & scalar(d_t_new(1,i+nres),dC_old(1,i+nres)) - endif - enddo - endif - enddo - 100 continue - return - 10 write (iout,*) "Error - singularity in solving the system", - & " of equations for Lagrange multipliers." - stop - end diff --git a/source/unres/src_MD-restraints-PM/readpdb.F b/source/unres/src_MD-restraints-PM/readpdb.F deleted file mode 100644 index 48e0abd..0000000 --- a/source/unres/src_MD-restraints-PM/readpdb.F +++ /dev/null @@ -1,432 +0,0 @@ - subroutine readpdb -C Read the PDB file and convert the peptide geometry into virtual-chain -C geometry. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.LOCAL' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.NAMES' - include 'COMMON.CONTROL' - include 'COMMON.DISTFIT' - include 'COMMON.SETUP' - character*3 seq,atom,res - character*80 card - dimension sccor(3,20) - double precision e1(3),e2(3),e3(3) - logical fail - integer rescode - ibeg=1 - lsecondary=.false. - nhfrag=0 - nbfrag=0 - do i=1,10000 - read (ipdbin,'(a80)',end=10) card - if (card(:5).eq.'HELIX') then - nhfrag=nhfrag+1 - lsecondary=.true. - read(card(22:25),*) hfrag(1,nhfrag) - read(card(34:37),*) hfrag(2,nhfrag) - endif - if (card(:5).eq.'SHEET') then - nbfrag=nbfrag+1 - lsecondary=.true. - read(card(24:26),*) bfrag(1,nbfrag) - read(card(35:37),*) bfrag(2,nbfrag) -crc---------------------------------------- -crc to be corrected !!! - bfrag(3,nbfrag)=bfrag(1,nbfrag) - bfrag(4,nbfrag)=bfrag(2,nbfrag) -crc---------------------------------------- - endif - if (card(:3).eq.'END' .or. card(:3).eq.'TER') goto 10 -C Fish out the ATOM cards. - if (index(card(1:4),'ATOM').gt.0) then - read (card(14:16),'(a3)') atom - if (atom.eq.'CA' .or. atom.eq.'CH3') then -C Calculate the CM of the preceding residue. - if (ibeg.eq.0) then - if (unres_pdb) then - do j=1,3 - dc(j,ires+nres)=sccor(j,iii) - enddo - else - call sccenter(ires,iii,sccor) - endif - endif -C Start new residue. - read (card(24:26),*) ires - read (card(18:20),'(a3)') res - if (ibeg.eq.1) then - ishift=ires-1 - if (res.ne.'GLY' .and. res.ne. 'ACE') then - ishift=ishift-1 - itype(1)=21 - endif - ibeg=0 - endif - ires=ires-ishift - if (res.eq.'ACE') then - ity=10 - else - itype(ires)=rescode(ires,res,0) - endif - read(card(31:54),'(3f8.3)') (c(j,ires),j=1,3) -c if(me.eq.king.or..not.out1file) -c & write (iout,'(2i3,2x,a,3f8.3)') -c & ires,itype(ires),res,(c(j,ires),j=1,3) - iii=1 - do j=1,3 - sccor(j,iii)=c(j,ires) - enddo - else if (atom.ne.'O '.and.atom(1:1).ne.'H' .and. - & atom.ne.'N ' .and. atom.ne.'C ') then - iii=iii+1 - read(card(31:54),'(3f8.3)') (sccor(j,iii),j=1,3) - endif - endif - enddo - 10 if(me.eq.king.or..not.out1file) - & write (iout,'(a,i5)') ' Nres: ',ires -C Calculate the CM of the last side chain. - if (unres_pdb) then - do j=1,3 - dc(j,ires+nres)=sccor(j,iii) - enddo - else - call sccenter(ires,iii,sccor) - endif - nres=ires - nsup=nres - nstart_sup=1 - if (itype(nres).ne.10) then - nres=nres+1 - itype(nres)=21 - if (unres_pdb) then -C 2/15/2013 by Adam: corrected insertion of the last dummy residue - call refsys(nres-3,nres-2,nres-1,e1,e2,e3,fail) - if (fail) then - e2(1)=0.0d0 - e2(2)=1.0d0 - e2(3)=0.0d0 - endif - do j=1,3 - c(j,nres)=c(j,nres-1)-3.8d0*e2(j) - enddo - else - do j=1,3 - dcj=c(j,nres-2)-c(j,nres-3) - c(j,nres)=c(j,nres-1)+dcj - c(j,2*nres)=c(j,nres) - enddo - endif - endif - do i=2,nres-1 - do j=1,3 - c(j,i+nres)=dc(j,i) - enddo - enddo - do j=1,3 - c(j,nres+1)=c(j,1) - c(j,2*nres)=c(j,nres) - enddo - if (itype(1).eq.21) then - nsup=nsup-1 - nstart_sup=2 - if (unres_pdb) then -C 2/15/2013 by Adam: corrected insertion of the first dummy residue - call refsys(2,3,4,e1,e2,e3,fail) - if (fail) then - e2(1)=0.0d0 - e2(2)=1.0d0 - e2(3)=0.0d0 - endif - do j=1,3 - c(j,1)=c(j,2)-3.8d0*e2(j) - enddo - else - do j=1,3 - dcj=c(j,4)-c(j,3) - c(j,1)=c(j,2)-dcj - c(j,nres+1)=c(j,1) - enddo - endif - endif -C Calculate internal coordinates. - if(me.eq.king.or..not.out1file)then - write (iout,'(a)') - & "Backbone and SC coordinates as read from the PDB" - do ires=1,nres - write (iout,'(2i3,2x,a,3f8.3,5x,3f8.3)') - & ires,itype(ires),restyp(itype(ires)),(c(j,ires),j=1,3), - & (c(j,nres+ires),j=1,3) - enddo - endif - call int_from_cart(.true.,.false.) - call sc_loc_geom(.false.) - do i=1,nres - thetaref(i)=theta(i) - phiref(i)=phi(i) - enddo - do i=1,nres-1 - do j=1,3 - dc(j,i)=c(j,i+1)-c(j,i) - dc_norm(j,i)=dc(j,i)*vbld_inv(i+1) - enddo - enddo - do i=2,nres-1 - do j=1,3 - dc(j,i+nres)=c(j,i+nres)-c(j,i) - dc_norm(j,i+nres)=dc(j,i+nres)*vbld_inv(i+nres) - enddo -c write (iout,*) i,(dc(j,i+nres),j=1,3),(dc_norm(j,i+nres),j=1,3), -c & vbld_inv(i+nres) - enddo -c call chainbuild -C Copy the coordinates to reference coordinates - do i=1,2*nres - do j=1,3 - cref(j,i)=c(j,i) - enddo - enddo - - - do j=1,nbfrag - do i=1,4 - bfrag(i,j)=bfrag(i,j)-ishift - enddo - enddo - - do j=1,nhfrag - do i=1,2 - hfrag(i,j)=hfrag(i,j)-ishift - enddo - enddo - - return - end -c--------------------------------------------------------------------------- - subroutine int_from_cart(lside,lprn) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include "mpif.h" -#endif - include 'COMMON.LOCAL' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.NAMES' - include 'COMMON.CONTROL' - include 'COMMON.SETUP' - character*3 seq,atom,res - character*80 card - dimension sccor(3,20) - integer rescode - logical lside,lprn - if(me.eq.king.or..not.out1file)then - if (lprn) then - write (iout,'(/a)') - & 'Internal coordinates calculated from crystal structure.' - if (lside) then - write (iout,'(8a)') ' Res ',' dvb',' Theta', - & ' Gamma',' Dsc_id',' Dsc',' Alpha', - & ' Beta ' - else - write (iout,'(4a)') ' Res ',' dvb',' Theta', - & ' Gamma' - endif - endif - endif - do i=1,nres-1 - iti=itype(i) - if (dist(i,i+1).lt.2.0D0 .or. dist(i,i+1).gt.5.0D0) then - write (iout,'(a,i4)') 'Bad Cartesians for residue',i -ctest stop - endif - vbld(i+1)=dist(i,i+1) - vbld_inv(i+1)=1.0d0/vbld(i+1) - if (i.gt.1) theta(i+1)=alpha(i-1,i,i+1) - if (i.gt.2) phi(i+1)=beta(i-2,i-1,i,i+1) - enddo -c if (unres_pdb) then -c if (itype(1).eq.21) then -c theta(3)=90.0d0*deg2rad -c phi(4)=180.0d0*deg2rad -c vbld(2)=3.8d0 -c vbld_inv(2)=1.0d0/vbld(2) -c endif -c if (itype(nres).eq.21) then -c theta(nres)=90.0d0*deg2rad -c phi(nres)=180.0d0*deg2rad -c vbld(nres)=3.8d0 -c vbld_inv(nres)=1.0d0/vbld(2) -c endif -c endif - if (lside) then - do i=2,nres-1 - do j=1,3 - c(j,maxres2)=0.5D0*(2*c(j,i)+(c(j,i-1)-c(j,i))*vbld_inv(i) - & +(c(j,i+1)-c(j,i))*vbld_inv(i+1)) - enddo - iti=itype(i) - di=dist(i,nres+i) -C 10/03/12 Adam: Correction for zero SC-SC bond length - if (itype(i).ne.10 .and. itype(i).ne.21. and. di.eq.0.0d0) - & di=dsc(itype(i)) - vbld(i+nres)=di - if (itype(i).ne.10) then - vbld_inv(i+nres)=1.0d0/di - else - vbld_inv(i+nres)=0.0d0 - endif - if (iti.ne.10) then - alph(i)=alpha(nres+i,i,maxres2) - omeg(i)=beta(nres+i,i,maxres2,i+1) - endif - if(me.eq.king.or..not.out1file)then - if (lprn) - & write (iout,'(a3,i4,7f10.3)') restyp(iti),i,vbld(i), - & rad2deg*theta(i),rad2deg*phi(i),dsc(iti),vbld(nres+i), - & rad2deg*alph(i),rad2deg*omeg(i) - endif - enddo - else if (lprn) then - do i=2,nres - iti=itype(i) - if(me.eq.king.or..not.out1file) - & write (iout,'(a3,i4,7f10.3)') restyp(iti),i,dist(i,i-1), - & rad2deg*theta(i),rad2deg*phi(i) - enddo - endif - return - end -c------------------------------------------------------------------------------- - subroutine sc_loc_geom(lprn) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include "mpif.h" -#endif - include 'COMMON.LOCAL' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.NAMES' - include 'COMMON.CONTROL' - include 'COMMON.SETUP' - double precision x_prime(3),y_prime(3),z_prime(3) - logical lprn - do i=1,nres-1 - do j=1,3 - dc_norm(j,i)=vbld_inv(i+1)*(c(j,i+1)-c(j,i)) - enddo - enddo - do i=2,nres-1 - if (itype(i).ne.10) then - do j=1,3 - dc_norm(j,i+nres)=vbld_inv(i+nres)*(c(j,i+nres)-c(j,i)) - enddo - else - do j=1,3 - dc_norm(j,i+nres)=0.0d0 - enddo - endif - enddo - do i=2,nres-1 - costtab(i+1) =dcos(theta(i+1)) - sinttab(i+1) =dsqrt(1-costtab(i+1)*costtab(i+1)) - cost2tab(i+1)=dsqrt(0.5d0*(1.0d0+costtab(i+1))) - sint2tab(i+1)=dsqrt(0.5d0*(1.0d0-costtab(i+1))) - cosfac2=0.5d0/(1.0d0+costtab(i+1)) - cosfac=dsqrt(cosfac2) - sinfac2=0.5d0/(1.0d0-costtab(i+1)) - sinfac=dsqrt(sinfac2) - it=itype(i) - if (it.ne.10) then -c -C Compute the axes of tghe local cartesian coordinates system; store in -c x_prime, y_prime and z_prime -c - do j=1,3 - x_prime(j) = 0.00 - y_prime(j) = 0.00 - z_prime(j) = 0.00 - enddo - do j = 1,3 - x_prime(j) = (dc_norm(j,i) - dc_norm(j,i-1))*cosfac - y_prime(j) = (dc_norm(j,i) + dc_norm(j,i-1))*sinfac - enddo - call vecpr(x_prime,y_prime,z_prime) -c -C Transform the unit vector of the ith side-chain centroid, dC_norm(*,i), -C to local coordinate system. Store in xx, yy, zz. -c - xx=0.0d0 - yy=0.0d0 - zz=0.0d0 - do j = 1,3 - xx = xx + x_prime(j)*dc_norm(j,i+nres) - yy = yy + y_prime(j)*dc_norm(j,i+nres) - zz = zz + z_prime(j)*dc_norm(j,i+nres) - enddo - - xxref(i)=xx - yyref(i)=yy - zzref(i)=zz - else - xxref(i)=0.0d0 - yyref(i)=0.0d0 - zzref(i)=0.0d0 - endif - enddo - if (lprn) then - do i=2,nres - iti=itype(i) - if(me.eq.king.or..not.out1file) - & write (iout,'(a3,i4,3f10.5)') restyp(iti),i,xxref(i), - & yyref(i),zzref(i) - enddo - endif - return - end -c--------------------------------------------------------------------------- - subroutine sccenter(ires,nscat,sccor) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - dimension sccor(3,20) - do j=1,3 - sccmj=0.0D0 - do i=1,nscat - sccmj=sccmj+sccor(j,i) - enddo - dc(j,ires)=sccmj/nscat - enddo - return - end -c--------------------------------------------------------------------------- - subroutine bond_regular - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CALC' - include 'COMMON.INTERACT' - include 'COMMON.CHAIN' - do i=1,nres-1 - vbld(i+1)=vbl - vbld_inv(i+1)=1.0d0/vbld(i+1) - vbld(i+1+nres)=dsc(itype(i+1)) - vbld_inv(i+1+nres)=dsc_inv(itype(i+1)) -c print *,vbld(i+1),vbld(i+1+nres) - enddo - return - end diff --git a/source/unres/src_MD-restraints-PM/readrtns.F b/source/unres/src_MD-restraints-PM/readrtns.F deleted file mode 100644 index 348559c..0000000 --- a/source/unres/src_MD-restraints-PM/readrtns.F +++ /dev/null @@ -1,2778 +0,0 @@ - subroutine readrtns - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.CONTROL' - include 'COMMON.SBRIDGE' - include 'COMMON.IOUNITS' - logical file_exist -C Read force-field parameters except weights - call parmread -C Read job setup parameters - call read_control -C Read control parameters for energy minimzation if required - if (minim) call read_minim -C Read MCM control parameters if required - if (modecalc.eq.3 .or. modecalc.eq.6) call mcmread -C Read MD control parameters if reqjuired - if (modecalc.eq.12) call read_MDpar -C Read MREMD control parameters if required - if (modecalc.eq.14) then - call read_MDpar - call read_REMDpar - endif -C Read MUCA control parameters if required - if (lmuca) call read_muca -C Read CSA control parameters if required (from fort.40 if exists -C otherwise from general input file) -csa if (modecalc.eq.8) then -csa inquire (file="fort.40",exist=file_exist) -csa if (.not.file_exist) call csaread -csa endif -cfmc if (modecalc.eq.10) call mcmfread -C Read molecule information, molecule geometry, energy-term weights, and -C restraints if requested - call molread -C Print restraint information -#ifdef MPI - if (.not. out1file .or. me.eq.king) then -#endif - if (nhpb.gt.nss) - &write (iout,'(a,i5,a)') "The following",nhpb-nss, - & " distance constraints have been imposed" - do i=nss+1,nhpb - write (iout,'(3i6,i2,3f10.5)') i-nss,ihpb(i),jhpb(i), - & ibecarb(i),dhpb(i),dhpb1(i),forcon(i) - enddo -#ifdef MPI - endif -#endif -c print *,"Processor",myrank," leaves READRTNS" - return - end -C------------------------------------------------------------------------------- - subroutine read_control -C -C Read contorl data -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MP - include 'mpif.h' - logical OKRandom, prng_restart - real*8 r1 -#endif - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - include 'COMMON.THREAD' - include 'COMMON.SBRIDGE' - include 'COMMON.CONTROL' - include 'COMMON.MCM' - include 'COMMON.MAP' - include 'COMMON.HEADER' -csa include 'COMMON.CSA' - include 'COMMON.CHAIN' - include 'COMMON.MUCA' - include 'COMMON.MD' - include 'COMMON.FFIELD' - include 'COMMON.SETUP' - COMMON /MACHSW/ KDIAG,ICORFL,IXDR - character*8 diagmeth(0:3) /'Library','EVVRSP','Givens','Jacobi'/ - character*80 ucase - character*320 controlcard - - nglob_csa=0 - eglob_csa=1d99 - nmin_csa=0 - read (INP,'(a)') titel - call card_concat(controlcard) -c out1file=index(controlcard,'OUT1FILE').gt.0 .or. fg_rank.gt.0 -c print *,"Processor",me," fg_rank",fg_rank," out1file",out1file - call reada(controlcard,'SEED',seed,0.0D0) - call random_init(seed) -C Set up the time limit (caution! The time must be input in minutes!) - read_cart=index(controlcard,'READ_CART').gt.0 - call readi(controlcard,'CONSTR_DIST',constr_dist,0) - call readi(controlcard,'CONSTR_HOMOL',constr_homology,0) - call reada(controlcard,'TIMLIM',timlim,960.0D0) ! default 16 hours - unres_pdb = index(controlcard,'UNRES_PDB') .gt. 0 - call reada(controlcard,'SAFETY',safety,30.0D0) ! default 30 minutes - call reada(controlcard,'RMSDBC',rmsdbc,3.0D0) - call reada(controlcard,'RMSDBC1',rmsdbc1,0.5D0) - call reada(controlcard,'RMSDBC1MAX',rmsdbc1max,1.5D0) - call reada(controlcard,'RMSDBCM',rmsdbcm,3.0D0) - call reada(controlcard,'DRMS',drms,0.1D0) - if(me.eq.king .or. .not. out1file .and. fg_rank.eq.0) then - write (iout,'(a,f10.1)')'RMSDBC = ',rmsdbc - write (iout,'(a,f10.1)')'RMSDBC1 = ',rmsdbc1 - write (iout,'(a,f10.1)')'RMSDBC1MAX = ',rmsdbc1max - write (iout,'(a,f10.1)')'DRMS = ',drms - write (iout,'(a,f10.1)')'RMSDBCM = ',rmsdbcm - write (iout,'(a,f10.1)') 'Time limit (min):',timlim - endif - call readi(controlcard,'NZ_START',nz_start,0) - call readi(controlcard,'NZ_END',nz_end,0) - call readi(controlcard,'IZ_SC',iz_sc,0) - timlim=60.0D0*timlim - safety = 60.0d0*safety - timem=timlim - modecalc=0 - call reada(controlcard,"T_BATH",t_bath,300.0d0) - minim=(index(controlcard,'MINIMIZE').gt.0) - dccart=(index(controlcard,'CART').gt.0) - overlapsc=(index(controlcard,'OVERLAP').gt.0) - overlapsc=.not.overlapsc - searchsc=(index(controlcard,'NOSEARCHSC').gt.0) - searchsc=.not.searchsc - sideadd=(index(controlcard,'SIDEADD').gt.0) - energy_dec=(index(controlcard,'ENERGY_DEC').gt.0) - outpdb=(index(controlcard,'PDBOUT').gt.0) - outmol2=(index(controlcard,'MOL2OUT').gt.0) - pdbref=(index(controlcard,'PDBREF').gt.0) - refstr=pdbref .or. (index(controlcard,'REFSTR').gt.0) - indpdb=index(controlcard,'PDBSTART') - extconf=(index(controlcard,'EXTCONF').gt.0) - call readi(controlcard,'IPRINT',iprint,0) - call readi(controlcard,'MAXGEN',maxgen,10000) - call readi(controlcard,'MAXOVERLAP',maxoverlap,1000) - call readi(controlcard,"KDIAG",kdiag,0) - call readi(controlcard,"RESCALE_MODE",rescale_mode,2) - if(me.eq.king .or. .not. out1file .and. fg_rank.eq.0) - & write (iout,*) "RESCALE_MODE",rescale_mode - split_ene=index(controlcard,'SPLIT_ENE').gt.0 - if (index(controlcard,'REGULAR').gt.0.0D0) then - call reada(controlcard,'WEIDIS',weidis,0.1D0) - modecalc=1 - refstr=.true. - endif - if (index(controlcard,'CHECKGRAD').gt.0) then - modecalc=5 - if (index(controlcard,'CART').gt.0) then - icheckgrad=1 - elseif (index(controlcard,'CARINT').gt.0) then - icheckgrad=2 - else - icheckgrad=3 - endif - elseif (index(controlcard,'THREAD').gt.0) then - modecalc=2 - call readi(controlcard,'THREAD',nthread,0) - if (nthread.gt.0) then - call reada(controlcard,'WEIDIS',weidis,0.1D0) - else - if (fg_rank.eq.0) - & write (iout,'(a)')'A number has to follow the THREAD keyword.' - stop 'Error termination in Read_Control.' - endif - else if (index(controlcard,'MCMA').gt.0) then - modecalc=3 - else if (index(controlcard,'MCEE').gt.0) then - modecalc=6 - else if (index(controlcard,'MULTCONF').gt.0) then - modecalc=4 - else if (index(controlcard,'MAP').gt.0) then - modecalc=7 - call readi(controlcard,'MAP',nmap,0) - else if (index(controlcard,'CSA').gt.0) then - write(*,*) "CSA not supported in this version" - stop -csa modecalc=8 -crc else if (index(controlcard,'ZSCORE').gt.0) then -crc -crc ZSCORE is rm from UNRES, modecalc=9 is available -crc -crc modecalc=9 -cfcm else if (index(controlcard,'MCMF').gt.0) then -cfmc modecalc=10 - else if (index(controlcard,'SOFTREG').gt.0) then - modecalc=11 - else if (index(controlcard,'CHECK_BOND').gt.0) then - modecalc=-1 - else if (index(controlcard,'TEST').gt.0) then - modecalc=-2 - else if (index(controlcard,'MD').gt.0) then - modecalc=12 - else if (index(controlcard,'RE ').gt.0) then - modecalc=14 - endif - - lmuca=index(controlcard,'MUCA').gt.0 - call readi(controlcard,'MUCADYN',mucadyn,0) - call readi(controlcard,'MUCASMOOTH',muca_smooth,0) - if (lmuca .and. (me.eq.king .or. .not.out1file )) - & then - write (iout,*) 'MUCADYN=',mucadyn - write (iout,*) 'MUCASMOOTH=',muca_smooth - endif - - iscode=index(controlcard,'ONE_LETTER') - indphi=index(controlcard,'PHI') - indback=index(controlcard,'BACK') - iranconf=index(controlcard,'RAND_CONF') - i2ndstr=index(controlcard,'USE_SEC_PRED') - gradout=index(controlcard,'GRADOUT').gt.0 - gnorm_check=index(controlcard,'GNORM_CHECK').gt.0 - - if(me.eq.king.or..not.out1file) - & write (iout,'(2a)') diagmeth(kdiag), - & ' routine used to diagonalize matrices.' - return - end -c-------------------------------------------------------------------------- - subroutine read_REMDpar -C -C Read REMD settings -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - include 'COMMON.REMD' - include 'COMMON.CONTROL' - include 'COMMON.SETUP' - character*80 ucase - character*320 controlcard - character*3200 controlcard1 - integer iremd_m_total - - if(me.eq.king.or..not.out1file) - & write (iout,*) "REMD setup" - - call card_concat(controlcard) - call readi(controlcard,"NREP",nrep,3) - call readi(controlcard,"NSTEX",nstex,1000) - call reada(controlcard,"RETMIN",retmin,10.0d0) - call reada(controlcard,"RETMAX",retmax,1000.0d0) - mremdsync=(index(controlcard,'SYNC').gt.0) - call readi(controlcard,"NSYN",i_sync_step,100) - restart1file=(index(controlcard,'REST1FILE').gt.0) - traj1file=(index(controlcard,'TRAJ1FILE').gt.0) - call readi(controlcard,"TRAJCACHE",max_cache_traj_use,1) - if(max_cache_traj_use.gt.max_cache_traj) - & max_cache_traj_use=max_cache_traj - if(me.eq.king.or..not.out1file) then -cd if (traj1file) then -crc caching is in testing - NTWX is not ignored -cd write (iout,*) "NTWX value is ignored" -cd write (iout,*) " trajectory is stored to one file by master" -cd write (iout,*) " before exchange at NSTEX intervals" -cd endif - write (iout,*) "NREP= ",nrep - write (iout,*) "NSTEX= ",nstex - write (iout,*) "SYNC= ",mremdsync - write (iout,*) "NSYN= ",i_sync_step - write (iout,*) "TRAJCACHE= ",max_cache_traj_use - endif - - t_exchange_only=(index(controlcard,'TONLY').gt.0) - call readi(controlcard,"HREMD",hremd,0) - if((me.eq.king.or..not.out1file).and.hremd.gt.0) then - write (iout,*) "Hamiltonian REMD with ",hremd," sets of weights" - endif - if(usampl.and.hremd.gt.0) then - write (iout,'(//a)') - & "========== ERROR: USAMPL and HREMD cannot be used together" -#ifdef MPI - call MPI_Abort(MPI_COMM_WORLD,IERROR,ERRCODE) -#endif - stop - endif - - - remd_tlist=.false. - if (index(controlcard,'TLIST').gt.0) then - remd_tlist=.true. - call card_concat(controlcard1) - read(controlcard1,*) (remd_t(i),i=1,nrep) - if(me.eq.king.or..not.out1file) - & write (iout,*)'tlist',(remd_t(i),i=1,nrep) - endif - remd_mlist=.false. - if (index(controlcard,'MLIST').gt.0) then - remd_mlist=.true. - call card_concat(controlcard1) - read(controlcard1,*) (remd_m(i),i=1,nrep) - if(me.eq.king.or..not.out1file) then - write (iout,*)'mlist',(remd_m(i),i=1,nrep) - iremd_m_total=0 - do i=1,nrep - iremd_m_total=iremd_m_total+remd_m(i) - enddo - if(hremd.gt.1)then - write (iout,*) 'Total number of replicas ', - & iremd_m_total*hremd - else - write (iout,*) 'Total number of replicas ',iremd_m_total - endif - endif - endif - if(me.eq.king.or..not.out1file) - & write (iout,'(/30(1h=),a,29(1h=)/)') " End of REMD run setup " - return - end -c-------------------------------------------------------------------------- - subroutine read_MDpar -C -C Read MD settings -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - include 'COMMON.SETUP' - include 'COMMON.CONTROL' - include 'COMMON.SPLITELE' - character*80 ucase - character*320 controlcard - - call card_concat(controlcard) - call readi(controlcard,"NSTEP",n_timestep,1000000) - call readi(controlcard,"NTWE",ntwe,100) - call readi(controlcard,"NTWX",ntwx,1000) - call reada(controlcard,"DT",d_time,1.0d-1) - call reada(controlcard,"DVMAX",dvmax,2.0d1) - call reada(controlcard,"DAMAX",damax,1.0d1) - call reada(controlcard,"EDRIFTMAX",edriftmax,1.0d+1) - call readi(controlcard,"LANG",lang,0) - RESPA = index(controlcard,"RESPA") .gt. 0 - call readi(controlcard,"NTIME_SPLIT",ntime_split,1) - ntime_split0=ntime_split - call readi(controlcard,"MAXTIME_SPLIT",maxtime_split,64) - ntime_split0=ntime_split - call reada(controlcard,"R_CUT",r_cut,2.0d0) - call reada(controlcard,"LAMBDA",rlamb,0.3d0) - rest = index(controlcard,"REST").gt.0 - tbf = index(controlcard,"TBF").gt.0 - call readi(controlcard,"HMC",hmc,0) - tnp = index(controlcard,"NOSEPOINCARE99").gt.0 - tnp1 = index(controlcard,"NOSEPOINCARE01").gt.0 - tnh = index(controlcard,"NOSEHOOVER96").gt.0 - if (RESPA.and.tnh)then - xiresp = index(controlcard,"XIRESP").gt.0 - endif - call reada(controlcard,"Q_NP",Q_np,0.1d0) - usampl = index(controlcard,"USAMPL").gt.0 - - mdpdb = index(controlcard,"MDPDB").gt.0 - call reada(controlcard,"T_BATH",t_bath,300.0d0) - call reada(controlcard,"TAU_BATH",tau_bath,1.0d-1) - call reada(controlcard,"EQ_TIME",eq_time,1.0d+4) - call readi(controlcard,"RESET_MOMENT",count_reset_moment,1000) - if (count_reset_moment.eq.0) count_reset_moment=1000000000 - call readi(controlcard,"RESET_VEL",count_reset_vel,1000) - reset_moment=lang.eq.0 .and. tbf .and. count_reset_moment.gt.0 - reset_vel=lang.eq.0 .and. tbf .and. count_reset_vel.gt.0 - if (count_reset_vel.eq.0) count_reset_vel=1000000000 - large = index(controlcard,"LARGE").gt.0 - print_compon = index(controlcard,"PRINT_COMPON").gt.0 - rattle = index(controlcard,"RATTLE").gt.0 -c if performing umbrella sampling, fragments constrained are read from the fragment file - nset=0 - if(usampl) then - call read_fragments - endif - - if(me.eq.king.or..not.out1file) then - write (iout,*) - write (iout,'(27(1h=),a26,27(1h=))') " Parameters of the MD run " - write (iout,*) - write (iout,'(a)') "The units are:" - write (iout,'(a)') "positions: angstrom, time: 48.9 fs" - write (iout,'(2a)') "velocity: angstrom/(48.9 fs),", - & " acceleration: angstrom/(48.9 fs)**2" - write (iout,'(a)') "energy: kcal/mol, temperature: K" - write (iout,*) - write (iout,'(a60,i10)') "Number of time steps:",n_timestep - write (iout,'(a60,f10.5,a)') - & "Initial time step of numerical integration:",d_time, - & " natural units" - write (iout,'(60x,f10.5,a)') d_time*48.9," fs" - if (RESPA) then - write (iout,'(2a,i4,a)') - & "A-MTS algorithm used; initial time step for fast-varying", - & " short-range forces split into",ntime_split," steps." - write (iout,'(a,f5.2,a,f5.2)') "Short-range force cutoff", - & r_cut," lambda",rlamb - endif - write (iout,'(2a,f10.5)') - & "Maximum acceleration threshold to reduce the time step", - & "/increase split number:",damax - write (iout,'(2a,f10.5)') - & "Maximum predicted energy drift to reduce the timestep", - & "/increase split number:",edriftmax - write (iout,'(a60,f10.5)') - & "Maximum velocity threshold to reduce velocities:",dvmax - write (iout,'(a60,i10)') "Frequency of property output:",ntwe - write (iout,'(a60,i10)') "Frequency of coordinate output:",ntwx - if (rattle) write (iout,'(a60)') - & "Rattle algorithm used to constrain the virtual bonds" - endif - reset_fricmat=1000 - if (lang.gt.0) then - call reada(controlcard,"ETAWAT",etawat,0.8904d0) - call reada(controlcard,"RWAT",rwat,1.4d0) - call reada(controlcard,"SCAL_FRIC",scal_fric,2.0d-2) - surfarea=index(controlcard,"SURFAREA").gt.0 - call readi(controlcard,"RESET_FRICMAT",reset_fricmat,1000) - if(me.eq.king.or..not.out1file)then - write (iout,'(/a,$)') "Langevin dynamics calculation" - if (lang.eq.1) then - write (iout,'(a/)') - & " with direct integration of Langevin equations" - else if (lang.eq.2) then - write (iout,'(a/)') " with TINKER stochasic MD integrator" - else if (lang.eq.3) then - write (iout,'(a/)') " with Ciccotti's stochasic MD integrator" - else if (lang.eq.4) then - write (iout,'(a/)') " in overdamped mode" - else - write (iout,'(//a,i5)') - & "=========== ERROR: Unknown Langevin dynamics mode:",lang - stop - endif - write (iout,'(a60,f10.5)') "Temperature:",t_bath - write (iout,'(a60,f10.5)') "Viscosity of the solvent:",etawat - write (iout,'(a60,f10.5)') "Radius of solvent molecule:",rwat - write (iout,'(a60,f10.5)') - & "Scaling factor of the friction forces:",scal_fric - if (surfarea) write (iout,'(2a,i10,a)') - & "Friction coefficients will be scaled by solvent-accessible", - & " surface area every",reset_fricmat," steps." - endif -c Calculate friction coefficients and bounds of stochastic forces - eta=6*pi*cPoise*etawat - if(me.eq.king.or..not.out1file) - & write(iout,'(a60,f10.5)')"Eta of the solvent in natural units:" - & ,eta - gamp=scal_fric*(pstok+rwat)*eta - stdfp=dsqrt(2*Rb*t_bath/d_time) - do i=1,ntyp - gamsc(i)=scal_fric*(restok(i)+rwat)*eta - stdfsc(i)=dsqrt(2*Rb*t_bath/d_time) - enddo - if(me.eq.king.or..not.out1file)then - write (iout,'(/2a/)') - & "Radii of site types and friction coefficients and std's of", - & " stochastic forces of fully exposed sites" - write (iout,'(a5,f5.2,2f10.5)')'p',pstok,gamp,stdfp*dsqrt(gamp) - do i=1,ntyp - write (iout,'(a5,f5.2,2f10.5)') restyp(i),restok(i), - & gamsc(i),stdfsc(i)*dsqrt(gamsc(i)) - enddo - endif - else if (tbf) then - if(me.eq.king.or..not.out1file)then - write (iout,'(a)') "Berendsen bath calculation" - write (iout,'(a60,f10.5)') "Temperature:",t_bath - write (iout,'(a60,f10.5)') "Coupling constant (tau):",tau_bath - if (reset_moment) - & write (iout,'(a,i10,a)') "Momenta will be reset at zero every", - & count_reset_moment," steps" - if (reset_vel) - & write (iout,'(a,i10,a)') - & "Velocities will be reset at random every",count_reset_vel, - & " steps" - endif - else if (tnp .or. tnp1 .or. tnh) then - if (tnp .or. tnp1) then - write (iout,'(a)') "Nose-Poincare bath calculation" - if (tnp) write (iout,'(a)') - & "J.Comput.Phys. 151 114 (1999) S.D.Bond B.J.Leimkuhler B.B.Laird" - if (tnp1) write (iout,'(a)') "JPSJ 70 75 (2001) S. Nose" - else - write (iout,'(a)') "Nose-Hoover bath calculation" - write (iout,'(a)') "Mol.Phys. 87 1117 (1996) Martyna et al." - nresn=1 - nyosh=1 - nnos=1 - do i=1,nnos - qmass(i)=Q_np - xlogs(i)=1.0 - vlogs(i)=0.0 - enddo - do i=1,nyosh - WDTI(i) = 1.0*d_time/nresn - WDTI2(i)=WDTI(i)/2 - WDTI4(i)=WDTI(i)/4 - WDTI8(i)=WDTI(i)/8 - enddo - if (RESPA) then - if(xiresp) then - write (iout,'(a)') "NVT-XI-RESPA algorithm" - else - write (iout,'(a)') "NVT-XO-RESPA algorithm" - endif - do i=1,nyosh - WDTIi(i) = 1.0*d_time/nresn/ntime_split - WDTIi2(i)=WDTIi(i)/2 - WDTIi4(i)=WDTIi(i)/4 - WDTIi8(i)=WDTIi(i)/8 - enddo - endif - endif - - write (iout,'(a60,f10.5)') "Temperature:",t_bath - write (iout,'(a60,f10.5)') "Q =",Q_np - if (reset_moment) - & write (iout,'(a,i10,a)') "Momenta will be reset at zero every", - & count_reset_moment," steps" - if (reset_vel) - & write (iout,'(a,i10,a)') - & "Velocities will be reset at random every",count_reset_vel, - & " steps" - - else if (hmc.gt.0) then - write (iout,'(a)') "Hybrid Monte Carlo calculation" - write (iout,'(a60,f10.5)') "Temperature:",t_bath - write (iout,'(a60,i10)') - & "Number of MD steps between Metropolis tests:",hmc - - else - if(me.eq.king.or..not.out1file) - & write (iout,'(a31)') "Microcanonical mode calculation" - endif - if(me.eq.king.or..not.out1file)then - if (rest) write (iout,'(/a/)') "===== Calculation restarted ====" - if (usampl) then - write(iout,*) "MD running with constraints." - write(iout,*) "Equilibration time ", eq_time, " mtus." - write(iout,*) "Constraining ", nfrag," fragments." - write(iout,*) "Length of each fragment, weight and q0:" - do iset=1,nset - write (iout,*) "Set of restraints #",iset - do i=1,nfrag - write(iout,'(2i5,f8.1,f7.4)') ifrag(1,i,iset), - & ifrag(2,i,iset),wfrag(i,iset),qinfrag(i,iset) - enddo - write(iout,*) "constraints between ", npair, "fragments." - write(iout,*) "constraint pairs, weights and q0:" - do i=1,npair - write(iout,'(2i5,f8.1,f7.4)') ipair(1,i,iset), - & ipair(2,i,iset),wpair(i,iset),qinpair(i,iset) - enddo - write(iout,*) "angle constraints within ", nfrag_back, - & "backbone fragments." - write(iout,*) "fragment, weights:" - do i=1,nfrag_back - write(iout,'(2i5,3f8.1)') ifrag_back(1,i,iset), - & ifrag_back(2,i,iset),wfrag_back(1,i,iset), - & wfrag_back(2,i,iset),wfrag_back(3,i,iset) - enddo - enddo - iset=mod(kolor,nset)+1 - endif - endif - if(me.eq.king.or..not.out1file) - & write (iout,'(/30(1h=),a,29(1h=)/)') " End of MD run setup " - return - end -c------------------------------------------------------------------------------ - subroutine molread -C -C Read molecular data. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' - integer error_msg -#endif - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.LOCAL' - include 'COMMON.NAMES' - include 'COMMON.CHAIN' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.DBASE' - include 'COMMON.THREAD' - include 'COMMON.CONTACTS' - include 'COMMON.TORCNSTR' - include 'COMMON.TIME1' - include 'COMMON.BOUNDS' - include 'COMMON.MD' - include 'COMMON.REMD' - include 'COMMON.SETUP' - character*4 sequence(maxres) - integer rescode - double precision x(maxvar) - character*256 pdbfile - character*320 weightcard - character*80 weightcard_t,ucase - dimension itype_pdb(maxres) - common /pizda/ itype_pdb - logical seq_comp,fail - double precision energia(0:n_ene) - integer ilen - external ilen -C -C Body -C -C Read weights of the subsequent energy terms. - if(hremd.gt.0) then - - k=0 - do il=1,hremd - do i=1,nrep - do j=1,remd_m(i) - i2set(k)=il - k=k+1 - enddo - enddo - enddo - - if(me.eq.king.or..not.out1file) then - write (iout,*) 'Reading ',hremd,' sets of weights for HREMD' - write (iout,*) 'Current weights for processor ', - & me,' set ',i2set(me) - endif - - do i=1,hremd - call card_concat(weightcard) - call reada(weightcard,'WLONG',wlong,1.0D0) - call reada(weightcard,'WSC',wsc,wlong) - call reada(weightcard,'WSCP',wscp,wlong) - call reada(weightcard,'WELEC',welec,1.0D0) - call reada(weightcard,'WVDWPP',wvdwpp,welec) - call reada(weightcard,'WEL_LOC',wel_loc,1.0D0) - call reada(weightcard,'WCORR4',wcorr4,0.0D0) - call reada(weightcard,'WCORR5',wcorr5,0.0D0) - call reada(weightcard,'WCORR6',wcorr6,0.0D0) - call reada(weightcard,'WTURN3',wturn3,1.0D0) - call reada(weightcard,'WTURN4',wturn4,1.0D0) - call reada(weightcard,'WTURN6',wturn6,1.0D0) - call reada(weightcard,'WSCCOR',wsccor,1.0D0) - call reada(weightcard,'WSTRAIN',wstrain,1.0D0) - call reada(weightcard,'WBOND',wbond,1.0D0) - call reada(weightcard,'WTOR',wtor,1.0D0) - call reada(weightcard,'WTORD',wtor_d,1.0D0) - call reada(weightcard,'WANG',wang,1.0D0) - call reada(weightcard,'WSCLOC',wscloc,1.0D0) - call reada(weightcard,'SCAL14',scal14,0.4D0) - call reada(weightcard,'SCALSCP',scalscp,1.0d0) - call reada(weightcard,'CUTOFF',cutoff_corr,7.0d0) - call reada(weightcard,'DELT_CORR',delt_corr,0.5d0) - call reada(weightcard,'TEMP0',temp0,300.0d0) - if (index(weightcard,'SOFT').gt.0) ipot=6 -C 12/1/95 Added weight for the multi-body term WCORR - call reada(weightcard,'WCORRH',wcorr,1.0D0) - if (wcorr4.gt.0.0d0) wcorr=wcorr4 - - hweights(i,1)=wsc - hweights(i,2)=wscp - hweights(i,3)=welec - hweights(i,4)=wcorr - hweights(i,5)=wcorr5 - hweights(i,6)=wcorr6 - hweights(i,7)=wel_loc - hweights(i,8)=wturn3 - hweights(i,9)=wturn4 - hweights(i,10)=wturn6 - hweights(i,11)=wang - hweights(i,12)=wscloc - hweights(i,13)=wtor - hweights(i,14)=wtor_d - hweights(i,15)=wstrain - hweights(i,16)=wvdwpp - hweights(i,17)=wbond - hweights(i,18)=scal14 - hweights(i,21)=wsccor - - enddo - - do i=1,n_ene - weights(i)=hweights(i2set(me),i) - enddo - wsc =weights(1) - wscp =weights(2) - welec =weights(3) - wcorr =weights(4) - wcorr5 =weights(5) - wcorr6 =weights(6) - wel_loc=weights(7) - wturn3 =weights(8) - wturn4 =weights(9) - wturn6 =weights(10) - wang =weights(11) - wscloc =weights(12) - wtor =weights(13) - wtor_d =weights(14) - wstrain=weights(15) - wvdwpp =weights(16) - wbond =weights(17) - scal14 =weights(18) - wsccor =weights(21) - - - else - call card_concat(weightcard) - call reada(weightcard,'WLONG',wlong,1.0D0) - call reada(weightcard,'WSC',wsc,wlong) - call reada(weightcard,'WSCP',wscp,wlong) - call reada(weightcard,'WELEC',welec,1.0D0) - call reada(weightcard,'WVDWPP',wvdwpp,welec) - call reada(weightcard,'WEL_LOC',wel_loc,1.0D0) - call reada(weightcard,'WCORR4',wcorr4,0.0D0) - call reada(weightcard,'WCORR5',wcorr5,0.0D0) - call reada(weightcard,'WCORR6',wcorr6,0.0D0) - call reada(weightcard,'WTURN3',wturn3,1.0D0) - call reada(weightcard,'WTURN4',wturn4,1.0D0) - call reada(weightcard,'WTURN6',wturn6,1.0D0) - call reada(weightcard,'WSCCOR',wsccor,1.0D0) - call reada(weightcard,'WSTRAIN',wstrain,1.0D0) - call reada(weightcard,'WBOND',wbond,1.0D0) - call reada(weightcard,'WTOR',wtor,1.0D0) - call reada(weightcard,'WTORD',wtor_d,1.0D0) - call reada(weightcard,'WANG',wang,1.0D0) - call reada(weightcard,'WSCLOC',wscloc,1.0D0) - call reada(weightcard,'SCAL14',scal14,0.4D0) - call reada(weightcard,'SCALSCP',scalscp,1.0d0) - call reada(weightcard,'CUTOFF',cutoff_corr,7.0d0) - call reada(weightcard,'DELT_CORR',delt_corr,0.5d0) - call reada(weightcard,'TEMP0',temp0,300.0d0) - if (index(weightcard,'SOFT').gt.0) ipot=6 -C 12/1/95 Added weight for the multi-body term WCORR - call reada(weightcard,'WCORRH',wcorr,1.0D0) - if (wcorr4.gt.0.0d0) wcorr=wcorr4 - weights(1)=wsc - weights(2)=wscp - weights(3)=welec - weights(4)=wcorr - weights(5)=wcorr5 - weights(6)=wcorr6 - weights(7)=wel_loc - weights(8)=wturn3 - weights(9)=wturn4 - weights(10)=wturn6 - weights(11)=wang - weights(12)=wscloc - weights(13)=wtor - weights(14)=wtor_d - weights(15)=wstrain - weights(16)=wvdwpp - weights(17)=wbond - weights(18)=scal14 - weights(21)=wsccor - endif - - if(me.eq.king.or..not.out1file) - & write (iout,10) wsc,wscp,welec,wvdwpp,wbond,wang,wscloc,wtor, - & wtor_d,wstrain,wel_loc,wcorr,wcorr5,wcorr6,wsccor,wturn3, - & wturn4,wturn6 - 10 format (/'Energy-term weights (unscaled):'// - & 'WSCC= ',f10.6,' (SC-SC)'/ - & 'WSCP= ',f10.6,' (SC-p)'/ - & 'WELEC= ',f10.6,' (p-p electr)'/ - & 'WVDWPP= ',f10.6,' (p-p VDW)'/ - & 'WBOND= ',f10.6,' (stretching)'/ - & 'WANG= ',f10.6,' (bending)'/ - & 'WSCLOC= ',f10.6,' (SC local)'/ - & 'WTOR= ',f10.6,' (torsional)'/ - & 'WTORD= ',f10.6,' (double torsional)'/ - & 'WSTRAIN=',f10.6,' (SS bridges & dist. cnstr.)'/ - & 'WEL_LOC=',f10.6,' (multi-body 3-rd order)'/ - & 'WCORR4= ',f10.6,' (multi-body 4th order)'/ - & 'WCORR5= ',f10.6,' (multi-body 5th order)'/ - & 'WCORR6= ',f10.6,' (multi-body 6th order)'/ - & 'WSCCOR= ',f10.6,' (back-scloc correlation)'/ - & 'WTURN3= ',f10.6,' (turns, 3rd order)'/ - & 'WTURN4= ',f10.6,' (turns, 4th order)'/ - & 'WTURN6= ',f10.6,' (turns, 6th order)') - if(me.eq.king.or..not.out1file)then - if (wcorr4.gt.0.0d0) then - write (iout,'(/2a/)') 'Local-electrostatic type correlation ', - & 'between contact pairs of peptide groups' - write (iout,'(2(a,f5.3/))') - & 'Cutoff on 4-6th order correlation terms: ',cutoff_corr, - & 'Range of quenching the correlation terms:',2*delt_corr - else if (wcorr.gt.0.0d0) then - write (iout,'(/2a/)') 'Hydrogen-bonding correlation ', - & 'between contact pairs of peptide groups' - endif - write (iout,'(a,f8.3)') - & 'Scaling factor of 1,4 SC-p interactions:',scal14 - write (iout,'(a,f8.3)') - & 'General scaling factor of SC-p interactions:',scalscp - endif - r0_corr=cutoff_corr-delt_corr - do i=1,20 - aad(i,1)=scalscp*aad(i,1) - aad(i,2)=scalscp*aad(i,2) - bad(i,1)=scalscp*bad(i,1) - bad(i,2)=scalscp*bad(i,2) - enddo - call rescale_weights(t_bath) - if(me.eq.king.or..not.out1file) - & write (iout,22) wsc,wscp,welec,wvdwpp,wbond,wang,wscloc,wtor, - & wtor_d,wstrain,wel_loc,wcorr,wcorr5,wcorr6,wsccor,wturn3, - & wturn4,wturn6 - 22 format (/'Energy-term weights (scaled):'// - & 'WSCC= ',f10.6,' (SC-SC)'/ - & 'WSCP= ',f10.6,' (SC-p)'/ - & 'WELEC= ',f10.6,' (p-p electr)'/ - & 'WVDWPP= ',f10.6,' (p-p VDW)'/ - & 'WBOND= ',f10.6,' (stretching)'/ - & 'WANG= ',f10.6,' (bending)'/ - & 'WSCLOC= ',f10.6,' (SC local)'/ - & 'WTOR= ',f10.6,' (torsional)'/ - & 'WTORD= ',f10.6,' (double torsional)'/ - & 'WSTRAIN=',f10.6,' (SS bridges & dist. cnstr.)'/ - & 'WEL_LOC=',f10.6,' (multi-body 3-rd order)'/ - & 'WCORR4= ',f10.6,' (multi-body 4th order)'/ - & 'WCORR5= ',f10.6,' (multi-body 5th order)'/ - & 'WCORR6= ',f10.6,' (multi-body 6th order)'/ - & 'WSCCOR= ',f10.6,' (back-scloc correlatkion)'/ - & 'WTURN3= ',f10.6,' (turns, 3rd order)'/ - & 'WTURN4= ',f10.6,' (turns, 4th order)'/ - & 'WTURN6= ',f10.6,' (turns, 6th order)') - if(me.eq.king.or..not.out1file) - & write (iout,*) "Reference temperature for weights calculation:", - & temp0 - call reada(weightcard,"D0CM",d0cm,3.78d0) - call reada(weightcard,"AKCM",akcm,15.1d0) - call reada(weightcard,"AKTH",akth,11.0d0) - call reada(weightcard,"AKCT",akct,12.0d0) - call reada(weightcard,"V1SS",v1ss,-1.08d0) - call reada(weightcard,"V2SS",v2ss,7.61d0) - call reada(weightcard,"V3SS",v3ss,13.7d0) - call reada(weightcard,"EBR",ebr,-5.50D0) - dyn_ss=(index(weightcard,'DYN_SS').gt.0) - do i=1,maxres - dyn_ss_mask(i)=.false. - enddo - do i=1,maxres-1 - do j=i+1,maxres - dyn_ssbond_ij(i,j)=1.0d300 - enddo - enddo - call reada(weightcard,"HT",Ht,0.0D0) - if (dyn_ss) then - ss_depth=ebr/wsc-0.25*eps(1,1) - Ht=Ht/wsc-0.25*eps(1,1) - akcm=akcm*wstrain/wsc - akth=akth*wstrain/wsc - akct=akct*wstrain/wsc - v1ss=v1ss*wstrain/wsc - v2ss=v2ss*wstrain/wsc - v3ss=v3ss*wstrain/wsc - else - ss_depth=ebr/wstrain-0.25*eps(1,1)*wsc/wstrain - endif - - if(me.eq.king.or..not.out1file) then - write (iout,*) "Parameters of the SS-bond potential:" - write (iout,*) "D0CM",d0cm," AKCM",akcm," AKTH",akth, - & " AKCT",akct - write (iout,*) "V1SS",v1ss," V2SS",v2ss," V3SS",v3ss - write (iout,*) "EBR",ebr," SS_DEPTH",ss_depth - write (iout,*)" HT",Ht - print *,'indpdb=',indpdb,' pdbref=',pdbref - endif - if (indpdb.gt.0 .or. pdbref) then - read(inp,'(a)') pdbfile - if(me.eq.king.or..not.out1file) - & write (iout,'(2a)') 'PDB data will be read from file ', - & pdbfile(:ilen(pdbfile)) - open(ipdbin,file=pdbfile,status='old',err=33) - goto 34 - 33 write (iout,'(a)') 'Error opening PDB file.' - stop - 34 continue -c print *,'Begin reading pdb data' - call readpdb -c print *,'Finished reading pdb data' - if(me.eq.king.or..not.out1file) - & write (iout,'(a,i3,a,i3)')'nsup=',nsup, - & ' nstart_sup=',nstart_sup - do i=1,nres - itype_pdb(i)=itype(i) - enddo - close (ipdbin) - nnt=nstart_sup - nct=nstart_sup+nsup-1 - call contact(.false.,ncont_ref,icont_ref,co) - - if (sideadd) then -C Following 2 lines for diagnostics; comment out if not needed - write (iout,*) "Before sideadd" - call intout - if(me.eq.king.or..not.out1file) - & write(iout,*)'Adding sidechains' - maxsi=1000 - do i=2,nres-1 - iti=itype(i) - if (iti.ne.10) then - nsi=0 - fail=.true. - do while (fail.and.nsi.le.maxsi) - call gen_side(iti,theta(i+1),alph(i),omeg(i),fail) - nsi=nsi+1 - enddo - if(fail) write(iout,*)'Adding sidechain failed for res ', - & i,' after ',nsi,' trials' - endif - enddo -C 10/03/12 Adam: Recalculate coordinates with new side chain positions - call chainbuild - endif -C Following 2 lines for diagnostics; comment out if not needed -c write (iout,*) "After sideadd" -c call intout - endif - if (indpdb.eq.0) then -C Read sequence if not taken from the pdb file. - read (inp,*) nres -c print *,'nres=',nres - if (iscode.gt.0) then - read (inp,'(80a1)') (sequence(i)(1:1),i=1,nres) - else - read (inp,'(20(1x,a3))') (sequence(i),i=1,nres) - endif -C Convert sequence to numeric code - do i=1,nres - itype(i)=rescode(i,sequence(i),iscode) - enddo -C Assign initial virtual bond lengths - do i=2,nres - vbld(i)=vbl - vbld_inv(i)=vblinv - enddo - do i=2,nres-1 - vbld(i+nres)=dsc(itype(i)) - vbld_inv(i+nres)=dsc_inv(itype(i)) -c write (iout,*) "i",i," itype",itype(i), -c & " dsc",dsc(itype(i))," vbld",vbld(i),vbld(i+nres) - enddo - endif -c print *,nres -c print '(20i4)',(itype(i),i=1,nres) - do i=1,nres -#ifdef PROCOR - if (itype(i).eq.21 .or. itype(i+1).eq.21) then -#else - if (itype(i).eq.21) then -#endif - itel(i)=0 -#ifdef PROCOR - else if (itype(i+1).ne.20) then -#else - else if (itype(i).ne.20) then -#endif - itel(i)=1 - else - itel(i)=2 - endif - enddo - if(me.eq.king.or..not.out1file)then - write (iout,*) "ITEL" - do i=1,nres-1 - write (iout,*) i,itype(i),itel(i) - enddo - print *,'Call Read_Bridge.' - endif - call read_bridge -C 8/13/98 Set limits to generating the dihedral angles - do i=1,nres - phibound(1,i)=-pi - phibound(2,i)=pi - enddo - read (inp,*) ndih_constr - if (ndih_constr.gt.0) then - read (inp,*) ftors - read (inp,*) (idih_constr(i),phi0(i),drange(i),i=1,ndih_constr) - if(me.eq.king.or..not.out1file)then - write (iout,*) - & 'There are',ndih_constr,' constraints on phi angles.' - do i=1,ndih_constr - write (iout,'(i5,2f8.3)') idih_constr(i),phi0(i),drange(i) - enddo - endif - do i=1,ndih_constr - phi0(i)=deg2rad*phi0(i) - drange(i)=deg2rad*drange(i) - enddo - if(me.eq.king.or..not.out1file) - & write (iout,*) 'FTORS',ftors - do i=1,ndih_constr - ii = idih_constr(i) - phibound(1,ii) = phi0(i)-drange(i) - phibound(2,ii) = phi0(i)+drange(i) - enddo - endif - nnt=1 -#ifdef MPI - if (me.eq.king) then -#endif - write (iout,'(a)') 'Boundaries in phi angle sampling:' - do i=1,nres - write (iout,'(a3,i5,2f10.1)') - & restyp(itype(i)),i,phibound(1,i)*rad2deg,phibound(2,i)*rad2deg - enddo -#ifdef MP - endif -#endif - nct=nres -cd print *,'NNT=',NNT,' NCT=',NCT - if (itype(1).eq.21) nnt=2 - if (itype(nres).eq.21) nct=nct-1 - if (pdbref) then - if(me.eq.king.or..not.out1file) - & write (iout,'(a,i3)') 'nsup=',nsup - nstart_seq=nnt - if (nsup.le.(nct-nnt+1)) then - do i=0,nct-nnt+1-nsup - if (seq_comp(itype(nnt+i),itype_pdb(nstart_sup),nsup)) then - nstart_seq=nnt+i - goto 111 - endif - enddo - write (iout,'(a)') - & 'Error - sequences to be superposed do not match.' - stop - else - do i=0,nsup-(nct-nnt+1) - if (seq_comp(itype(nnt),itype_pdb(nstart_sup+i),nct-nnt+1)) - & then - nstart_sup=nstart_sup+i - nsup=nct-nnt+1 - goto 111 - endif - enddo - write (iout,'(a)') - & 'Error - sequences to be superposed do not match.' - endif - 111 continue - if (nsup.eq.0) nsup=nct-nnt - if (nstart_sup.eq.0) nstart_sup=nnt - if (nstart_seq.eq.0) nstart_seq=nnt - if(me.eq.king.or..not.out1file) - & write (iout,*) 'nsup=',nsup,' nstart_sup=',nstart_sup, - & ' nstart_seq=',nstart_seq - endif -c--- Zscore rms ------- - if (nz_start.eq.0) nz_start=nnt - if (nz_end.eq.0 .and. nsup.gt.0) then - nz_end=nnt+nsup-1 - else if (nz_end.eq.0) then - nz_end=nct - endif - if(me.eq.king.or..not.out1file)then - write (iout,*) 'NZ_START=',nz_start,' NZ_END=',nz_end - write (iout,*) 'IZ_SC=',iz_sc - endif -c---------------------- - call init_int_table - if (refstr) then - if (.not.pdbref) then - call read_angles(inp,*38) - goto 39 - 38 write (iout,'(a)') 'Error reading reference structure.' -#ifdef MPI - call MPI_Finalize(MPI_COMM_WORLD,IERROR) - stop 'Error reading reference structure' -#endif - 39 call chainbuild - call setup_var -czscore call geom_to_var(nvar,coord_exp_zs(1,1)) - nstart_sup=nnt - nstart_seq=nnt - nsup=nct-nnt+1 - do i=1,2*nres - do j=1,3 - cref(j,i)=c(j,i) - enddo - enddo - call contact(.true.,ncont_ref,icont_ref,co) - endif - if(me.eq.king.or..not.out1file) - & write (iout,*) 'Contact order:',co - if (pdbref) then - if(me.eq.king.or..not.out1file) - & write (2,*) 'Shifting contacts:',nstart_seq,nstart_sup - do i=1,ncont_ref - do j=1,2 - icont_ref(j,i)=icont_ref(j,i)+nstart_seq-nstart_sup - enddo - if(me.eq.king.or..not.out1file) - & write (2,*) i,' ',restyp(itype(icont_ref(1,i))),' ', - & icont_ref(1,i),' ', - & restyp(itype(icont_ref(2,i))),' ',icont_ref(2,i) - enddo - endif - endif -c write (iout,*) "constr_dist",constr_dist,nstart_sup,nsup - if (constr_dist.gt.0) then - call read_dist_constr - endif - - - if (constr_homology.gt.0) then - call read_constr_homology - endif - - - if (nhpb.gt.0) call hpb_partition -c write (iout,*) "After read_dist_constr nhpb",nhpb -c call flush(iout) - if (indpdb.eq.0 .and. modecalc.ne.2 .and. modecalc.ne.4 - & .and. modecalc.ne.8 .and. modecalc.ne.9 .and. - & modecalc.ne.10) then -C If input structure hasn't been supplied from the PDB file read or generate -C initial geometry. - if (iranconf.eq.0 .and. .not. extconf) then - if(me.eq.king.or..not.out1file .and.fg_rank.eq.0) - & write (iout,'(a)') 'Initial geometry will be read in.' - if (read_cart) then - read(inp,'(8f10.5)',end=36,err=36) - & ((c(l,k),l=1,3),k=1,nres), - & ((c(l,k+nres),l=1,3),k=nnt,nct) - call int_from_cart1(.false.) - do i=1,nres-1 - do j=1,3 - dc(j,i)=c(j,i+1)-c(j,i) - dc_norm(j,i)=dc_norm(j,i)*vbld_inv(i+1) - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - do j=1,3 - dc(j,i+nres)=c(j,i+nres)-c(j,i) - dc_norm(j,i+nres)=dc_norm(j,i+nres)*vbld_inv(i+nres) - enddo - endif - enddo - return - else - call read_angles(inp,*36) - endif - goto 37 - 36 write (iout,'(a)') 'Error reading angle file.' -#ifdef MPI - call mpi_finalize( MPI_COMM_WORLD,IERR ) -#endif - stop 'Error reading angle file.' - 37 continue - else if (extconf) then - if(me.eq.king.or..not.out1file .and. fg_rank.eq.0) - & write (iout,'(a)') 'Extended chain initial geometry.' - do i=3,nres - theta(i)=90d0*deg2rad - enddo - do i=4,nres - phi(i)=180d0*deg2rad - enddo - do i=2,nres-1 - alph(i)=110d0*deg2rad - enddo - do i=2,nres-1 - omeg(i)=-120d0*deg2rad - enddo - else - if(me.eq.king.or..not.out1file) - & write (iout,'(a)') 'Random-generated initial geometry.' - - -#ifdef MPI - if (me.eq.king .or. fg_rank.eq.0 .and. ( - & modecalc.eq.12 .or. modecalc.eq.14) ) then -#endif - do itrial=1,100 - itmp=1 - call gen_rand_conf(itmp,*30) - goto 40 - 30 write (iout,*) 'Failed to generate random conformation', - & ', itrial=',itrial - write (*,*) 'Processor:',me, - & ' Failed to generate random conformation', - & ' itrial=',itrial - call intout - -#ifdef AIX - call flush_(iout) -#else - call flush(iout) -#endif - enddo - write (iout,'(a,i3,a)') 'Processor:',me, - & ' error in generating random conformation.' - write (*,'(a,i3,a)') 'Processor:',me, - & ' error in generating random conformation.' - call flush(iout) -#ifdef MPI - call MPI_Abort(mpi_comm_world,error_msg,ierrcode) - 40 continue - endif -#else - 40 continue -#endif - endif - elseif (modecalc.eq.4) then - read (inp,'(a)') intinname - open (intin,file=intinname,status='old',err=333) - if (me.eq.king .or. .not.out1file.and.fg_rank.eq.0) - & write (iout,'(a)') 'intinname',intinname - write (*,'(a)') 'Processor',myrank,' intinname',intinname - goto 334 - 333 write (iout,'(2a)') 'Error opening angle file ',intinname -#ifdef MPI - call MPI_Finalize(MPI_COMM_WORLD,IERR) -#endif - stop 'Error opening angle file.' - 334 continue - - endif -C Generate distance constraints, if the PDB structure is to be regularized. - if (nthread.gt.0) then - call read_threadbase - endif - call setup_var - if (me.eq.king .or. .not. out1file) - & call intout - if (ns.gt.0 .and. (me.eq.king .or. .not.out1file) ) then - write (iout,'(/a,i3,a)') - & 'The chain contains',ns,' disulfide-bridging cysteines.' - write (iout,'(20i4)') (iss(i),i=1,ns) - if (dyn_ss) then - write(iout,*)"Running with dynamic disulfide-bond formation" - else - write (iout,'(/a/)') 'Pre-formed links are:' - do i=1,nss - i1=ihpb(i)-nres - i2=jhpb(i)-nres - it1=itype(i1) - it2=itype(i2) - write (iout,'(2a,i3,3a,i3,a,3f10.3)') - & restyp(it1),'(',i1,') -- ',restyp(it2),'(',i2,')',dhpb(i), - & ebr,forcon(i) - enddo - write (iout,'(a)') - endif - endif - if (ns.gt.0.and.dyn_ss) then - do i=nss+1,nhpb - ihpb(i-nss)=ihpb(i) - jhpb(i-nss)=jhpb(i) - forcon(i-nss)=forcon(i) - dhpb(i-nss)=dhpb(i) - enddo - nhpb=nhpb-nss - nss=0 - call hpb_partition - do i=1,ns - dyn_ss_mask(iss(i))=.true. - enddo - endif - if (i2ndstr.gt.0) call secstrp2dihc -c call geom_to_var(nvar,x) -c call etotal(energia(0)) -c call enerprint(energia(0)) -c call briefout(0,etot) -c stop -cd write (iout,'(2(a,i3))') 'NNT',NNT,' NCT',NCT -cd write (iout,'(a)') 'Variable list:' -cd write (iout,'(i4,f10.5)') (i,rad2deg*x(i),i=1,nvar) -#ifdef MPI - if (me.eq.king .or. (fg_rank.eq.0 .and. .not.out1file)) - & write (iout,'(//80(1h*)/20x,a,i4,a/80(1h*)//)') - & 'Processor',myrank,': end reading molecular data.' -#endif - return - end -c-------------------------------------------------------------------------- - logical function seq_comp(itypea,itypeb,length) - implicit none - integer length,itypea(length),itypeb(length) - integer i - do i=1,length - if (itypea(i).ne.itypeb(i)) then - seq_comp=.false. - return - endif - enddo - seq_comp=.true. - return - end -c----------------------------------------------------------------------------- - subroutine read_bridge -C Read information about disulfide bridges. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.LOCAL' - include 'COMMON.NAMES' - include 'COMMON.CHAIN' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.DBASE' - include 'COMMON.THREAD' - include 'COMMON.TIME1' - include 'COMMON.SETUP' -C Read bridging residues. - read (inp,*) ns,(iss(i),i=1,ns) - print *,'ns=',ns - if(me.eq.king.or..not.out1file) - & write (iout,*) 'ns=',ns,' iss:',(iss(i),i=1,ns) -C Check whether the specified bridging residues are cystines. - do i=1,ns - if (itype(iss(i)).ne.1) then - if (me.eq.king.or..not.out1file) write (iout,'(2a,i3,a)') - & 'Do you REALLY think that the residue ', - & restyp(itype(iss(i))),i, - & ' can form a disulfide bridge?!!!' - write (*,'(2a,i3,a)') - & 'Do you REALLY think that the residue ', - & restyp(itype(iss(i))),i, - & ' can form a disulfide bridge?!!!' -#ifdef MPI - call MPI_Finalize(MPI_COMM_WORLD,ierror) - stop -#endif - endif - enddo -C Read preformed bridges. - if (ns.gt.0) then - read (inp,*) nss,(ihpb(i),jhpb(i),i=1,nss) - if(fg_rank.eq.0) - & write(iout,*)'nss=',nss,' ihpb,jhpb: ',(ihpb(i),jhpb(i),i=1,nss) - if (nss.gt.0) then - nhpb=nss -C Check if the residues involved in bridges are in the specified list of -C bridging residues. - do i=1,nss - do j=1,i-1 - if (ihpb(i).eq.ihpb(j).or.ihpb(i).eq.jhpb(j) - & .or.jhpb(i).eq.ihpb(j).or.jhpb(i).eq.jhpb(j)) then - write (iout,'(a,i3,a)') 'Disulfide pair',i, - & ' contains residues present in other pairs.' - write (*,'(a,i3,a)') 'Disulfide pair',i, - & ' contains residues present in other pairs.' -#ifdef MPI - call MPI_Finalize(MPI_COMM_WORLD,ierror) - stop -#endif - endif - enddo - do j=1,ns - if (ihpb(i).eq.iss(j)) goto 10 - enddo - write (iout,'(a,i3,a)') 'Pair',i,' contains unknown cystine.' - 10 continue - do j=1,ns - if (jhpb(i).eq.iss(j)) goto 20 - enddo - write (iout,'(a,i3,a)') 'Pair',i,' contains unknown cystine.' - 20 continue - dhpb(i)=dbr - forcon(i)=fbr - enddo - do i=1,nss - ihpb(i)=ihpb(i)+nres - jhpb(i)=jhpb(i)+nres - enddo - endif - endif - return - end -c---------------------------------------------------------------------------- - subroutine read_x(kanal,*) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.CONTROL' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' -c Read coordinates from input -c - read(kanal,'(8f10.5)',end=10,err=10) - & ((c(l,k),l=1,3),k=1,nres), - & ((c(l,k+nres),l=1,3),k=nnt,nct) - do j=1,3 - c(j,nres+1)=c(j,1) - c(j,2*nres)=c(j,nres) - enddo - call int_from_cart1(.false.) - do i=1,nres-1 - do j=1,3 - dc(j,i)=c(j,i+1)-c(j,i) - dc_norm(j,i)=dc(j,i)*vbld_inv(i+1) - enddo - enddo - do i=nnt,nct - if (itype(i).ne.10) then - do j=1,3 - dc(j,i+nres)=c(j,i+nres)-c(j,i) - dc_norm(j,i+nres)=dc(j,i+nres)*vbld_inv(i+nres) - enddo - endif - enddo - - return - 10 return1 - end -c---------------------------------------------------------------------------- - subroutine read_threadbase - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.LOCAL' - include 'COMMON.NAMES' - include 'COMMON.CHAIN' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.DBASE' - include 'COMMON.THREAD' - include 'COMMON.TIME1' -C Read pattern database for threading. - read (icbase,*) nseq - do i=1,nseq - read (icbase,'(i5,2x,a8,2i4)') nres_base(1,i),str_nam(i), - & nres_base(2,i),nres_base(3,i) - read (icbase,'(9f8.3)') ((cart_base(k,j,i),k=1,3),j=1, - & nres_base(1,i)) -c write (iout,'(i5,2x,a8,2i4)') nres_base(1,i),str_nam(i), -c & nres_base(2,i),nres_base(3,i) -c write (iout,'(9f8.3)') ((cart_base(k,j,i),k=1,3),j=1, -c & nres_base(1,i)) - enddo - close (icbase) - if (weidis.eq.0.0D0) weidis=0.1D0 - do i=nnt,nct - do j=i+2,nct - nhpb=nhpb+1 - ihpb(nhpb)=i - jhpb(nhpb)=j - forcon(nhpb)=weidis - enddo - enddo - read (inp,*) nexcl,(iexam(1,i),iexam(2,i),i=1,nexcl) - write (iout,'(a,i5)') 'nexcl: ',nexcl - write (iout,'(2i5)') (iexam(1,i),iexam(2,i),i=1,nexcl) - return - end -c------------------------------------------------------------------------------ - subroutine setup_var - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.LOCAL' - include 'COMMON.NAMES' - include 'COMMON.CHAIN' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.DBASE' - include 'COMMON.THREAD' - include 'COMMON.TIME1' -C Set up variable list. - ntheta=nres-2 - nphi=nres-3 - nvar=ntheta+nphi - nside=0 - do i=2,nres-1 - if (itype(i).ne.10) then - nside=nside+1 - ialph(i,1)=nvar+nside - ialph(nside,2)=i - endif - enddo - if (indphi.gt.0) then - nvar=nphi - else if (indback.gt.0) then - nvar=nphi+ntheta - else - nvar=nvar+2*nside - endif -cd write (iout,'(3i4)') (i,ialph(i,1),ialph(i,2),i=2,nres-1) - return - end -c---------------------------------------------------------------------------- - subroutine gen_dist_constr -C Generate CA distance constraints. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.LOCAL' - include 'COMMON.NAMES' - include 'COMMON.CHAIN' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.DBASE' - include 'COMMON.THREAD' - include 'COMMON.TIME1' - dimension itype_pdb(maxres) - common /pizda/ itype_pdb - character*2 iden -cd print *,'gen_dist_constr: nnt=',nnt,' nct=',nct -cd write (2,*) 'gen_dist_constr: nnt=',nnt,' nct=',nct, -cd & ' nstart_sup',nstart_sup,' nstart_seq',nstart_seq, -cd & ' nsup',nsup - do i=nstart_sup,nstart_sup+nsup-1 -cd write (2,*) 'i',i,' seq ',restyp(itype(i+nstart_seq-nstart_sup)), -cd & ' seq_pdb', restyp(itype_pdb(i)) - do j=i+2,nstart_sup+nsup-1 - nhpb=nhpb+1 - ihpb(nhpb)=i+nstart_seq-nstart_sup - jhpb(nhpb)=j+nstart_seq-nstart_sup - forcon(nhpb)=weidis - dhpb(nhpb)=dist(i,j) - enddo - enddo -cd write (iout,'(a)') 'Distance constraints:' -cd do i=nss+1,nhpb -cd ii=ihpb(i) -cd jj=jhpb(i) -cd iden='CA' -cd if (ii.gt.nres) then -cd iden='SC' -cd ii=ii-nres -cd jj=jj-nres -cd endif -cd write (iout,'(a,1x,a,i4,3x,a,1x,a,i4,2f10.3)') -cd & restyp(itype(ii)),iden,ii,restyp(itype(jj)),iden,jj, -cd & dhpb(i),forcon(i) -cd enddo - return - end -c---------------------------------------------------------------------------- - subroutine map_read - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MAP' - include 'COMMON.IOUNITS' - character*3 angid(4) /'THE','PHI','ALP','OME'/ - character*80 mapcard,ucase - do imap=1,nmap - read (inp,'(a)') mapcard - mapcard=ucase(mapcard) - if (index(mapcard,'PHI').gt.0) then - kang(imap)=1 - else if (index(mapcard,'THE').gt.0) then - kang(imap)=2 - else if (index(mapcard,'ALP').gt.0) then - kang(imap)=3 - else if (index(mapcard,'OME').gt.0) then - kang(imap)=4 - else - write(iout,'(a)')'Error - illegal variable spec in MAP card.' - stop 'Error - illegal variable spec in MAP card.' - endif - call readi (mapcard,'RES1',res1(imap),0) - call readi (mapcard,'RES2',res2(imap),0) - if (res1(imap).eq.0) then - res1(imap)=res2(imap) - else if (res2(imap).eq.0) then - res2(imap)=res1(imap) - endif - if(res1(imap)*res2(imap).eq.0 .or. res1(imap).gt.res2(imap))then - write (iout,'(a)') - & 'Error - illegal definition of variable group in MAP.' - stop 'Error - illegal definition of variable group in MAP.' - endif - call reada(mapcard,'FROM',ang_from(imap),0.0D0) - call reada(mapcard,'TO',ang_to(imap),0.0D0) - call readi(mapcard,'NSTEP',nstep(imap),0) - if (ang_from(imap).eq.ang_to(imap) .or. nstep(imap).eq.0) then - write (iout,'(a)') - & 'Illegal boundary and/or step size specification in MAP.' - stop 'Illegal boundary and/or step size specification in MAP.' - endif - enddo ! imap - return - end -c---------------------------------------------------------------------------- -csa subroutine csaread -csa implicit real*8 (a-h,o-z) -csa include 'DIMENSIONS' -csa include 'COMMON.IOUNITS' -csa include 'COMMON.GEO' -csa include 'COMMON.CSA' -csa include 'COMMON.BANK' -csa include 'COMMON.CONTROL' -csa character*80 ucase -csa character*620 mcmcard -csa call card_concat(mcmcard) -csa -csa call readi(mcmcard,'NCONF',nconf,50) -csa call readi(mcmcard,'NADD',nadd,0) -csa call readi(mcmcard,'JSTART',jstart,1) -csa call readi(mcmcard,'JEND',jend,1) -csa call readi(mcmcard,'NSTMAX',nstmax,500000) -csa call readi(mcmcard,'N0',n0,1) -csa call readi(mcmcard,'N1',n1,6) -csa call readi(mcmcard,'N2',n2,4) -csa call readi(mcmcard,'N3',n3,0) -csa call readi(mcmcard,'N4',n4,0) -csa call readi(mcmcard,'N5',n5,0) -csa call readi(mcmcard,'N6',n6,10) -csa call readi(mcmcard,'N7',n7,0) -csa call readi(mcmcard,'N8',n8,0) -csa call readi(mcmcard,'N9',n9,0) -csa call readi(mcmcard,'N14',n14,0) -csa call readi(mcmcard,'N15',n15,0) -csa call readi(mcmcard,'N16',n16,0) -csa call readi(mcmcard,'N17',n17,0) -csa call readi(mcmcard,'N18',n18,0) -csa -csa vdisulf=(index(mcmcard,'DYNSS').gt.0) -csa -csa call readi(mcmcard,'NDIFF',ndiff,2) -csa call reada(mcmcard,'DIFFCUT',diffcut,0.0d0) -csa call readi(mcmcard,'IS1',is1,1) -csa call readi(mcmcard,'IS2',is2,8) -csa call readi(mcmcard,'NRAN0',nran0,4) -csa call readi(mcmcard,'NRAN1',nran1,2) -csa call readi(mcmcard,'IRR',irr,1) -csa call readi(mcmcard,'NSEED',nseed,20) -csa call readi(mcmcard,'NTOTAL',ntotal,10000) -csa call reada(mcmcard,'CUT1',cut1,2.0d0) -csa call reada(mcmcard,'CUT2',cut2,5.0d0) -csa call reada(mcmcard,'ESTOP',estop,-3000.0d0) -csa call readi(mcmcard,'ICMAX',icmax,3) -csa call readi(mcmcard,'IRESTART',irestart,0) -csac!bankt call readi(mcmcard,'NBANKTM',ntbankm,0) -csa ntbankm=0 -csac!bankt -csa call reada(mcmcard,'DELE',dele,20.0d0) -csa call reada(mcmcard,'DIFCUT',difcut,720.0d0) -csa call readi(mcmcard,'IREF',iref,0) -csa call reada(mcmcard,'RMSCUT',rmscut,4.0d0) -csa call reada(mcmcard,'PNCCUT',pnccut,0.5d0) -csa call readi(mcmcard,'NCONF_IN',nconf_in,0) -csa call reada(mcmcard,'RDIH_BIAS',rdih_bias,0.5d0) -csa write (iout,*) "NCONF_IN",nconf_in -csa return -csa end -c---------------------------------------------------------------------------- -cfmc subroutine mcmfread -cfmc implicit real*8 (a-h,o-z) -cfmc include 'DIMENSIONS' -cfmc include 'COMMON.MCMF' -cfmc include 'COMMON.IOUNITS' -cfmc include 'COMMON.GEO' -cfmc character*80 ucase -cfmc character*620 mcmcard -cfmc call card_concat(mcmcard) -cfmc -cfmc call readi(mcmcard,'MAXRANT',maxrant,1000) -cfmc write(iout,*)'MAXRANT=',maxrant -cfmc call readi(mcmcard,'MAXFAM',maxfam,maxfam_p) -cfmc write(iout,*)'MAXFAM=',maxfam -cfmc call readi(mcmcard,'NNET1',nnet1,5) -cfmc write(iout,*)'NNET1=',nnet1 -cfmc call readi(mcmcard,'NNET2',nnet2,4) -cfmc write(iout,*)'NNET2=',nnet2 -cfmc call readi(mcmcard,'NNET3',nnet3,4) -cfmc write(iout,*)'NNET3=',nnet3 -cfmc call readi(mcmcard,'ILASTT',ilastt,0) -cfmc write(iout,*)'ILASTT=',ilastt -cfmc call readi(mcmcard,'MAXSTR',maxstr,maxstr_mcmf) -cfmc write(iout,*)'MAXSTR=',maxstr -cfmc maxstr_f=maxstr/maxfam -cfmc write(iout,*)'MAXSTR_F=',maxstr_f -cfmc call readi(mcmcard,'NMCMF',nmcmf,10) -cfmc write(iout,*)'NMCMF=',nmcmf -cfmc call readi(mcmcard,'IFOCUS',ifocus,nmcmf) -cfmc write(iout,*)'IFOCUS=',ifocus -cfmc call readi(mcmcard,'NLOCMCMF',nlocmcmf,1000) -cfmc write(iout,*)'NLOCMCMF=',nlocmcmf -cfmc call readi(mcmcard,'INTPRT',intprt,1000) -cfmc write(iout,*)'INTPRT=',intprt -cfmc call readi(mcmcard,'IPRT',iprt,100) -cfmc write(iout,*)'IPRT=',iprt -cfmc call readi(mcmcard,'IMAXTR',imaxtr,100) -cfmc write(iout,*)'IMAXTR=',imaxtr -cfmc call readi(mcmcard,'MAXEVEN',maxeven,1000) -cfmc write(iout,*)'MAXEVEN=',maxeven -cfmc call readi(mcmcard,'MAXEVEN1',maxeven1,3) -cfmc write(iout,*)'MAXEVEN1=',maxeven1 -cfmc call readi(mcmcard,'INIMIN',inimin,200) -cfmc write(iout,*)'INIMIN=',inimin -cfmc call readi(mcmcard,'NSTEPMCMF',nstepmcmf,10) -cfmc write(iout,*)'NSTEPMCMF=',nstepmcmf -cfmc call readi(mcmcard,'NTHREAD',nthread,5) -cfmc write(iout,*)'NTHREAD=',nthread -cfmc call readi(mcmcard,'MAXSTEPMCMF',maxstepmcmf,2500) -cfmc write(iout,*)'MAXSTEPMCMF=',maxstepmcmf -cfmc call readi(mcmcard,'MAXPERT',maxpert,9) -cfmc write(iout,*)'MAXPERT=',maxpert -cfmc call readi(mcmcard,'IRMSD',irmsd,1) -cfmc write(iout,*)'IRMSD=',irmsd -cfmc call reada(mcmcard,'DENEMIN',denemin,0.01D0) -cfmc write(iout,*)'DENEMIN=',denemin -cfmc call reada(mcmcard,'RCUT1S',rcut1s,3.5D0) -cfmc write(iout,*)'RCUT1S=',rcut1s -cfmc call reada(mcmcard,'RCUT1E',rcut1e,2.0D0) -cfmc write(iout,*)'RCUT1E=',rcut1e -cfmc call reada(mcmcard,'RCUT2S',rcut2s,0.5D0) -cfmc write(iout,*)'RCUT2S=',rcut2s -cfmc call reada(mcmcard,'RCUT2E',rcut2e,0.1D0) -cfmc write(iout,*)'RCUT2E=',rcut2e -cfmc call reada(mcmcard,'DPERT1',d_pert1,180.0D0) -cfmc write(iout,*)'DPERT1=',d_pert1 -cfmc call reada(mcmcard,'DPERT1A',d_pert1a,180.0D0) -cfmc write(iout,*)'DPERT1A=',d_pert1a -cfmc call reada(mcmcard,'DPERT2',d_pert2,90.0D0) -cfmc write(iout,*)'DPERT2=',d_pert2 -cfmc call reada(mcmcard,'DPERT2A',d_pert2a,45.0D0) -cfmc write(iout,*)'DPERT2A=',d_pert2a -cfmc call reada(mcmcard,'DPERT2B',d_pert2b,90.0D0) -cfmc write(iout,*)'DPERT2B=',d_pert2b -cfmc call reada(mcmcard,'DPERT2C',d_pert2c,60.0D0) -cfmc write(iout,*)'DPERT2C=',d_pert2c -cfmc d_pert1=deg2rad*d_pert1 -cfmc d_pert1a=deg2rad*d_pert1a -cfmc d_pert2=deg2rad*d_pert2 -cfmc d_pert2a=deg2rad*d_pert2a -cfmc d_pert2b=deg2rad*d_pert2b -cfmc d_pert2c=deg2rad*d_pert2c -cfmc call reada(mcmcard,'KT_MCMF1',kt_mcmf1,1.0D0) -cfmc write(iout,*)'KT_MCMF1=',kt_mcmf1 -cfmc call reada(mcmcard,'KT_MCMF2',kt_mcmf2,1.0D0) -cfmc write(iout,*)'KT_MCMF2=',kt_mcmf2 -cfmc call reada(mcmcard,'DKT_MCMF1',dkt_mcmf1,10.0D0) -cfmc write(iout,*)'DKT_MCMF1=',dkt_mcmf1 -cfmc call reada(mcmcard,'DKT_MCMF2',dkt_mcmf2,1.0D0) -cfmc write(iout,*)'DKT_MCMF2=',dkt_mcmf2 -cfmc call reada(mcmcard,'RCUTINI',rcutini,3.5D0) -cfmc write(iout,*)'RCUTINI=',rcutini -cfmc call reada(mcmcard,'GRAT',grat,0.5D0) -cfmc write(iout,*)'GRAT=',grat -cfmc call reada(mcmcard,'BIAS_MCMF',bias_mcmf,0.0D0) -cfmc write(iout,*)'BIAS_MCMF=',bias_mcmf -cfmc -cfmc return -cfmc end -c---------------------------------------------------------------------------- - subroutine mcmread - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MCM' - include 'COMMON.MCE' - include 'COMMON.IOUNITS' - character*80 ucase - character*320 mcmcard - call card_concat(mcmcard) - call readi(mcmcard,'MAXACC',maxacc,100) - call readi(mcmcard,'MAX_MCM_IT',max_mcm_it,10000) - call readi(mcmcard,'MAXTRIAL',maxtrial,100) - call readi(mcmcard,'MAXTRIAL_ITER',maxtrial_iter,1000) - call readi(mcmcard,'MAXREPM',maxrepm,200) - call reada(mcmcard,'RANFRACT',RanFract,0.5D0) - call reada(mcmcard,'POOL_FRACT',pool_fraction,0.01D0) - call reada(mcmcard,'OVERLAP',overlap_cut,1.0D3) - call reada(mcmcard,'E_UP',e_up,5.0D0) - call reada(mcmcard,'DELTE',delte,0.1D0) - call readi(mcmcard,'NSWEEP',nsweep,5) - call readi(mcmcard,'NSTEPH',nsteph,0) - call readi(mcmcard,'NSTEPC',nstepc,0) - call reada(mcmcard,'TMIN',tmin,298.0D0) - call reada(mcmcard,'TMAX',tmax,298.0D0) - call readi(mcmcard,'NWINDOW',nwindow,0) - call readi(mcmcard,'PRINT_MC',print_mc,0) - print_stat=(index(mcmcard,'NO_PRINT_STAT').le.0) - print_int=(index(mcmcard,'NO_PRINT_INT').le.0) - ent_read=(index(mcmcard,'ENT_READ').gt.0) - call readi(mcmcard,'SAVE_FREQ',save_frequency,1000) - call readi(mcmcard,'MESSAGE_FREQ',message_frequency,1000) - call readi(mcmcard,'POOL_READ_FREQ',pool_read_freq,5000) - call readi(mcmcard,'POOL_SAVE_FREQ',pool_save_freq,1000) - call readi(mcmcard,'PRINT_FREQ',print_freq,1000) - if (nwindow.gt.0) then - read (inp,*) (winstart(i),winend(i),i=1,nwindow) - do i=1,nwindow - winlen(i)=winend(i)-winstart(i)+1 - enddo - endif - if (tmax.lt.tmin) tmax=tmin - if (tmax.eq.tmin) then - nstepc=0 - nsteph=0 - endif - if (nstepc.gt.0 .and. nsteph.gt.0) then - tsteph=(tmax/tmin)**(1.0D0/(nsteph+0.0D0)) - tstepc=(tmax/tmin)**(1.0D0/(nstepc+0.0D0)) - endif -C Probabilities of different move types - sumpro_type(0)=0.0D0 - call reada(mcmcard,'MULTI_BOND',sumpro_type(1),1.0d0) - call reada(mcmcard,'ONE_ANGLE' ,sumpro_type(2),2.0d0) - sumpro_type(2)=sumpro_type(1)+sumpro_type(2) - call reada(mcmcard,'THETA' ,sumpro_type(3),0.0d0) - sumpro_type(3)=sumpro_type(2)+sumpro_type(3) - call reada(mcmcard,'SIDE_CHAIN',sumpro_type(4),0.5d0) - sumpro_type(4)=sumpro_type(3)+sumpro_type(4) - do i=1,MaxMoveType - print *,'i',i,' sumprotype',sumpro_type(i) - sumpro_type(i)=sumpro_type(i)/sumpro_type(MaxMoveType) - print *,'i',i,' sumprotype',sumpro_type(i) - enddo - return - end -c---------------------------------------------------------------------------- - subroutine read_minim - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.MINIM' - include 'COMMON.IOUNITS' - character*80 ucase - character*320 minimcard - call card_concat(minimcard) - call readi(minimcard,'MAXMIN',maxmin,2000) - call readi(minimcard,'MAXFUN',maxfun,5000) - call readi(minimcard,'MINMIN',minmin,maxmin) - call readi(minimcard,'MINFUN',minfun,maxmin) - call reada(minimcard,'TOLF',tolf,1.0D-2) - call reada(minimcard,'RTOLF',rtolf,1.0D-4) - print_min_stat=min0(index(minimcard,'PRINT_MIN_STAT'),1) - print_min_res=min0(index(minimcard,'PRINT_MIN_RES'),1) - print_min_ini=min0(index(minimcard,'PRINT_MIN_INI'),1) - write (iout,'(/80(1h*)/20x,a/80(1h*))') - & 'Options in energy minimization:' - write (iout,'(4(a,i5),a,1pe14.5,a,1pe14.5)') - & 'MaxMin:',MaxMin,' MaxFun:',MaxFun, - & 'MinMin:',MinMin,' MinFun:',MinFun, - & ' TolF:',TolF,' RTolF:',RTolF - return - end -c---------------------------------------------------------------------------- - subroutine read_angles(kanal,*) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.CONTROL' -c Read angles from input -c - read (kanal,*,err=10,end=10) (theta(i),i=3,nres) - read (kanal,*,err=10,end=10) (phi(i),i=4,nres) - read (kanal,*,err=10,end=10) (alph(i),i=2,nres-1) - read (kanal,*,err=10,end=10) (omeg(i),i=2,nres-1) - - do i=1,nres -c 9/7/01 avoid 180 deg valence angle - if (theta(i).gt.179.99d0) theta(i)=179.99d0 -c - theta(i)=deg2rad*theta(i) - phi(i)=deg2rad*phi(i) - alph(i)=deg2rad*alph(i) - omeg(i)=deg2rad*omeg(i) - enddo - return - 10 return1 - end -c---------------------------------------------------------------------------- - subroutine reada(rekord,lancuch,wartosc,default) - implicit none - character*(*) rekord,lancuch - double precision wartosc,default - integer ilen,iread - external ilen - iread=index(rekord,lancuch) - if (iread.eq.0) then - wartosc=default - return - endif - iread=iread+ilen(lancuch)+1 - read (rekord(iread:),*,err=10,end=10) wartosc - return - 10 wartosc=default - return - end -c---------------------------------------------------------------------------- - subroutine readi(rekord,lancuch,wartosc,default) - implicit none - character*(*) rekord,lancuch - integer wartosc,default - integer ilen,iread - external ilen - iread=index(rekord,lancuch) - if (iread.eq.0) then - wartosc=default - return - endif - iread=iread+ilen(lancuch)+1 - read (rekord(iread:),*,err=10,end=10) wartosc - return - 10 wartosc=default - return - end -c---------------------------------------------------------------------------- - subroutine multreadi(rekord,lancuch,tablica,dim,default) - implicit none - integer dim,i - integer tablica(dim),default - character*(*) rekord,lancuch - character*80 aux - integer ilen,iread - external ilen - do i=1,dim - tablica(i)=default - enddo - iread=index(rekord,lancuch(:ilen(lancuch))//"=") - if (iread.eq.0) return - iread=iread+ilen(lancuch)+1 - read (rekord(iread:),*,end=10,err=10) (tablica(i),i=1,dim) - 10 return - end -c---------------------------------------------------------------------------- - subroutine multreada(rekord,lancuch,tablica,dim,default) - implicit none - integer dim,i - double precision tablica(dim),default - character*(*) rekord,lancuch - character*80 aux - integer ilen,iread - external ilen - do i=1,dim - tablica(i)=default - enddo - iread=index(rekord,lancuch(:ilen(lancuch))//"=") - if (iread.eq.0) return - iread=iread+ilen(lancuch)+1 - read (rekord(iread:),*,end=10,err=10) (tablica(i),i=1,dim) - 10 return - end -c---------------------------------------------------------------------------- - subroutine openunits - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' - character*16 form,nodename - integer nodelen -#endif - include 'COMMON.SETUP' - include 'COMMON.IOUNITS' - include 'COMMON.MD' - include 'COMMON.CONTROL' - integer lenpre,lenpot,ilen,lentmp - external ilen - character*3 out1file_text,ucase - character*3 ll - external ucase -c print *,"Processor",myrank,"fg_rank",fg_rank," entered openunits" - call getenv_loc("PREFIX",prefix) - pref_orig = prefix - call getenv_loc("POT",pot) - call getenv_loc("DIRTMP",tmpdir) - call getenv_loc("CURDIR",curdir) - call getenv_loc("OUT1FILE",out1file_text) -c print *,"Processor",myrank,"fg_rank",fg_rank," did GETENV" - out1file_text=ucase(out1file_text) - if (out1file_text(1:1).eq."Y") then - out1file=.true. - else - out1file=fg_rank.gt.0 - endif - lenpre=ilen(prefix) - lenpot=ilen(pot) - lentmp=ilen(tmpdir) - if (lentmp.gt.0) then - write (*,'(80(1h!))') - write (*,'(a,19x,a,19x,a)') "!"," A T T E N T I O N ","!" - write (*,'(80(1h!))') - write (*,*)"All output files will be on node /tmp directory." -#ifdef MPI - call MPI_GET_PROCESSOR_NAME( nodename, nodelen, IERROR ) - if (me.eq.king) then - write (*,*) "The master node is ",nodename - else if (fg_rank.eq.0) then - write (*,*) "I am the CG slave node ",nodename - else - write (*,*) "I am the FG slave node ",nodename - endif -#endif - PREFIX = tmpdir(:lentmp)//'/'//prefix(:lenpre) - lenpre = lentmp+lenpre+1 - endif - entname=prefix(:lenpre)//'_'//pot(:lenpot)//'.entr' -C Get the names and open the input files -#if defined(WINIFL) || defined(WINPGI) - open(1,file=pref_orig(:ilen(pref_orig))// - & '.inp',status='old',readonly,shared) - open (9,file=prefix(:ilen(prefix))//'.intin',status='unknown') -C open (18,file=prefix(:ilen(prefix))//'.entin',status='unknown') -C Get parameter filenames and open the parameter files. - call getenv_loc('BONDPAR',bondname) - open (ibond,file=bondname,status='old',readonly,shared) - call getenv_loc('THETPAR',thetname) - open (ithep,file=thetname,status='old',readonly,shared) -#ifndef CRYST_THETA - call getenv_loc('THETPARPDB',thetname_pdb) - open (ithep_pdb,file=thetname_pdb,status='old',readonly,shared) -#endif - call getenv_loc('ROTPAR',rotname) - open (irotam,file=rotname,status='old',readonly,shared) -#ifndef CRYST_SC - call getenv_loc('ROTPARPDB',rotname_pdb) - open (irotam_pdb,file=rotname_pdb,status='old',readonly,shared) -#endif - call getenv_loc('TORPAR',torname) - open (itorp,file=torname,status='old',readonly,shared) - call getenv_loc('TORDPAR',tordname) - open (itordp,file=tordname,status='old',readonly,shared) - call getenv_loc('FOURIER',fouriername) - open (ifourier,file=fouriername,status='old',readonly,shared) - call getenv_loc('ELEPAR',elename) - open (ielep,file=elename,status='old',readonly,shared) - call getenv_loc('SIDEPAR',sidename) - open (isidep,file=sidename,status='old',readonly,shared) -#elif (defined CRAY) || (defined AIX) - open(1,file=pref_orig(:ilen(pref_orig))//'.inp',status='old', - & action='read') -c print *,"Processor",myrank," opened file 1" - open (9,file=prefix(:ilen(prefix))//'.intin',status='unknown') -c print *,"Processor",myrank," opened file 9" -C open (18,file=prefix(:ilen(prefix))//'.entin',status='unknown') -C Get parameter filenames and open the parameter files. - call getenv_loc('BONDPAR',bondname) - open (ibond,file=bondname,status='old',action='read') -c print *,"Processor",myrank," opened file IBOND" - call getenv_loc('THETPAR',thetname) - open (ithep,file=thetname,status='old',action='read') -c print *,"Processor",myrank," opened file ITHEP" -#ifndef CRYST_THETA - call getenv_loc('THETPARPDB',thetname_pdb) - open (ithep_pdb,file=thetname_pdb,status='old',action='read') -#endif - call getenv_loc('ROTPAR',rotname) - open (irotam,file=rotname,status='old',action='read') -c print *,"Processor",myrank," opened file IROTAM" -#ifndef CRYST_SC - call getenv_loc('ROTPARPDB',rotname_pdb) - open (irotam_pdb,file=rotname_pdb,status='old',action='read') -#endif - call getenv_loc('TORPAR',torname) - open (itorp,file=torname,status='old',action='read') -c print *,"Processor",myrank," opened file ITORP" - call getenv_loc('TORDPAR',tordname) - open (itordp,file=tordname,status='old',action='read') -c print *,"Processor",myrank," opened file ITORDP" - call getenv_loc('SCCORPAR',sccorname) - open (isccor,file=sccorname,status='old',action='read') -c print *,"Processor",myrank," opened file ISCCOR" - call getenv_loc('FOURIER',fouriername) - open (ifourier,file=fouriername,status='old',action='read') -c print *,"Processor",myrank," opened file IFOURIER" - call getenv_loc('ELEPAR',elename) - open (ielep,file=elename,status='old',action='read') -c print *,"Processor",myrank," opened file IELEP" - call getenv_loc('SIDEPAR',sidename) - open (isidep,file=sidename,status='old',action='read') -c print *,"Processor",myrank," opened file ISIDEP" -c print *,"Processor",myrank," opened parameter files" -#elif (defined G77) - open(1,file=pref_orig(:ilen(pref_orig))//'.inp',status='old') - open (9,file=prefix(:ilen(prefix))//'.intin',status='unknown') -C open (18,file=prefix(:ilen(prefix))//'.entin',status='unknown') -C Get parameter filenames and open the parameter files. - call getenv_loc('BONDPAR',bondname) - open (ibond,file=bondname,status='old') - call getenv_loc('THETPAR',thetname) - open (ithep,file=thetname,status='old') -#ifndef CRYST_THETA - call getenv_loc('THETPARPDB',thetname_pdb) - open (ithep_pdb,file=thetname_pdb,status='old') -#endif - call getenv_loc('ROTPAR',rotname) - open (irotam,file=rotname,status='old') -#ifndef CRYST_SC - call getenv_loc('ROTPARPDB',rotname_pdb) - open (irotam_pdb,file=rotname_pdb,status='old') -#endif - call getenv_loc('TORPAR',torname) - open (itorp,file=torname,status='old') - call getenv_loc('TORDPAR',tordname) - open (itordp,file=tordname,status='old') - call getenv_loc('SCCORPAR',sccorname) - open (isccor,file=sccorname,status='old') - call getenv_loc('FOURIER',fouriername) - open (ifourier,file=fouriername,status='old') - call getenv_loc('ELEPAR',elename) - open (ielep,file=elename,status='old') - call getenv_loc('SIDEPAR',sidename) - open (isidep,file=sidename,status='old') -#else - open(1,file=pref_orig(:ilen(pref_orig))//'.inp',status='old', - &action='read') - open (9,file=prefix(:ilen(prefix))//'.intin',status='unknown') -C open (18,file=prefix(:ilen(prefix))//'.entin',status='unknown') -C Get parameter filenames and open the parameter files. - call getenv_loc('BONDPAR',bondname) - open (ibond,file=bondname,status='old',action='read') - call getenv_loc('THETPAR',thetname) - open (ithep,file=thetname,status='old',action='read') -#ifndef CRYST_THETA - call getenv_loc('THETPARPDB',thetname_pdb) - print *,"thetname_pdb ",thetname_pdb - open (ithep_pdb,file=thetname_pdb,status='old',action='read') - print *,ithep_pdb," opened" -#endif - call getenv_loc('ROTPAR',rotname) - open (irotam,file=rotname,status='old',action='read') -#ifndef CRYST_SC - call getenv_loc('ROTPARPDB',rotname_pdb) - open (irotam_pdb,file=rotname_pdb,status='old',action='read') -#endif - call getenv_loc('TORPAR',torname) - open (itorp,file=torname,status='old',action='read') - call getenv_loc('TORDPAR',tordname) - open (itordp,file=tordname,status='old',action='read') - call getenv_loc('SCCORPAR',sccorname) - open (isccor,file=sccorname,status='old',action='read') - call getenv_loc('FOURIER',fouriername) - open (ifourier,file=fouriername,status='old',action='read') - call getenv_loc('ELEPAR',elename) - open (ielep,file=elename,status='old',action='read') - call getenv_loc('SIDEPAR',sidename) - open (isidep,file=sidename,status='old',action='read') -#endif -#ifndef OLDSCP -C -C 8/9/01 In the newest version SCp interaction constants are read from a file -C Use -DOLDSCP to use hard-coded constants instead. -C - call getenv_loc('SCPPAR',scpname) -#if defined(WINIFL) || defined(WINPGI) - open (iscpp,file=scpname,status='old',readonly,shared) -#elif (defined CRAY) || (defined AIX) - open (iscpp,file=scpname,status='old',action='read') -#elif (defined G77) - open (iscpp,file=scpname,status='old') -#else - open (iscpp,file=scpname,status='old',action='read') -#endif -#endif - call getenv_loc('PATTERN',patname) -#if defined(WINIFL) || defined(WINPGI) - open (icbase,file=patname,status='old',readonly,shared) -#elif (defined CRAY) || (defined AIX) - open (icbase,file=patname,status='old',action='read') -#elif (defined G77) - open (icbase,file=patname,status='old') -#else - open (icbase,file=patname,status='old',action='read') -#endif -#ifdef MPI -C Open output file only for CG processes -c print *,"Processor",myrank," fg_rank",fg_rank - if (fg_rank.eq.0) then - - if (nodes.eq.1) then - npos=3 - else - npos = dlog10(dfloat(nodes-1))+1 - endif - if (npos.lt.3) npos=3 - write (liczba,'(i1)') npos - form = '(bz,i'//liczba(:ilen(liczba))//'.'//liczba(:ilen(liczba)) - & //')' - write (liczba,form) me - outname=prefix(:lenpre)//'.out_'//pot(:lenpot)// - & liczba(:ilen(liczba)) - intname=prefix(:lenpre)//'_'//pot(:lenpot)//liczba(:ilen(liczba)) - & //'.int' - pdbname=prefix(:lenpre)//'_'//pot(:lenpot)//liczba(:ilen(liczba)) - & //'.pdb' - mol2name=prefix(:lenpre)//'_'//pot(:lenpot)// - & liczba(:ilen(liczba))//'.mol2' - statname=prefix(:lenpre)//'_'//pot(:lenpot)// - & liczba(:ilen(liczba))//'.stat' - if (lentmp.gt.0) - & call copy_to_tmp(pref_orig(:ilen(pref_orig))//'_'//pot(:lenpot) - & //liczba(:ilen(liczba))//'.stat') - rest2name=prefix(:ilen(prefix))//"_"//liczba(:ilen(liczba)) - & //'.rst' - if(usampl) then - qname=prefix(:lenpre)//'_'//pot(:lenpot)// - & liczba(:ilen(liczba))//'.const' - endif - - endif -#else - outname=prefix(:lenpre)//'.out_'//pot(:lenpot) - intname=prefix(:lenpre)//'_'//pot(:lenpot)//'.int' - pdbname=prefix(:lenpre)//'_'//pot(:lenpot)//'.pdb' - mol2name=prefix(:lenpre)//'_'//pot(:lenpot)//'.mol2' - statname=prefix(:lenpre)//'_'//pot(:lenpot)//'.stat' - if (lentmp.gt.0) - & call copy_to_tmp(pref_orig(:ilen(pref_orig))//'_'//pot(:lenpot) - & //'.stat') - rest2name=prefix(:ilen(prefix))//'.rst' - if(usampl) then - qname=prefix(:lenpre)//'_'//pot(:lenpot)//'.const' - endif -#endif -#if defined(AIX) || defined(PGI) - if (me.eq.king .or. .not. out1file) - & open(iout,file=outname,status='unknown') -c#define DEBUG -#ifdef DEBUG - if (fg_rank.gt.0) then - write (liczba,'(i3.3)') myrank/nfgtasks - write (ll,'(bz,i3.3)') fg_rank - open(iout,file="debug"//liczba(:ilen(liczba))//"."//ll, - & status='unknown') - endif -#endif -c#undef DEBUG - if(me.eq.king) then - open(igeom,file=intname,status='unknown',position='append') - open(ipdb,file=pdbname,status='unknown') - open(imol2,file=mol2name,status='unknown') - open(istat,file=statname,status='unknown',position='append') - else -c1out open(iout,file=outname,status='unknown') - endif -#else - if (me.eq.king .or. .not.out1file) - & open(iout,file=outname,status='unknown') -c#define DEBUG -#ifdef DEBUG - if (fg_rank.gt.0) then - print "Processor",fg_rank," opening output file" - write (liczba,'(i3.3)') myrank/nfgtasks - write (ll,'(bz,i3.3)') fg_rank - open(iout,file="debug"//liczba(:ilen(liczba))//"."//ll, - & status='unknown') - endif -#endif -c#undef DEBUG - if(me.eq.king) then - open(igeom,file=intname,status='unknown',access='append') - open(ipdb,file=pdbname,status='unknown') - open(imol2,file=mol2name,status='unknown') - open(istat,file=statname,status='unknown',access='append') - else -c1out open(iout,file=outname,status='unknown') - endif -#endif -csa csa_rbank=prefix(:lenpre)//'.CSA.rbank' -csa csa_seed=prefix(:lenpre)//'.CSA.seed' -csa csa_history=prefix(:lenpre)//'.CSA.history' -csa csa_bank=prefix(:lenpre)//'.CSA.bank' -csa csa_bank1=prefix(:lenpre)//'.CSA.bank1' -csa csa_alpha=prefix(:lenpre)//'.CSA.alpha' -csa csa_alpha1=prefix(:lenpre)//'.CSA.alpha1' -csac!bankt csa_bankt=prefix(:lenpre)//'.CSA.bankt' -csa csa_int=prefix(:lenpre)//'.int' -csa csa_bank_reminimized=prefix(:lenpre)//'.CSA.bank_reminimized' -csa csa_native_int=prefix(:lenpre)//'.CSA.native.int' -csa csa_in=prefix(:lenpre)//'.CSA.in' -c print *,"Processor",myrank,"fg_rank",fg_rank," opened files" -C Write file names - if (me.eq.king)then - write (iout,'(80(1h-))') - write (iout,'(30x,a)') "FILE ASSIGNMENT" - write (iout,'(80(1h-))') - write (iout,*) "Input file : ", - & pref_orig(:ilen(pref_orig))//'.inp' - write (iout,*) "Output file : ", - & outname(:ilen(outname)) - write (iout,*) - write (iout,*) "Sidechain potential file : ", - & sidename(:ilen(sidename)) -#ifndef OLDSCP - write (iout,*) "SCp potential file : ", - & scpname(:ilen(scpname)) -#endif - write (iout,*) "Electrostatic potential file : ", - & elename(:ilen(elename)) - write (iout,*) "Cumulant coefficient file : ", - & fouriername(:ilen(fouriername)) - write (iout,*) "Torsional parameter file : ", - & torname(:ilen(torname)) - write (iout,*) "Double torsional parameter file : ", - & tordname(:ilen(tordname)) - write (iout,*) "SCCOR parameter file : ", - & sccorname(:ilen(sccorname)) - write (iout,*) "Bond & inertia constant file : ", - & bondname(:ilen(bondname)) - write (iout,*) "Bending parameter file : ", - & thetname(:ilen(thetname)) - write (iout,*) "Rotamer parameter file : ", - & rotname(:ilen(rotname)) - write (iout,*) "Threading database : ", - & patname(:ilen(patname)) - if (lentmp.ne.0) - &write (iout,*)" DIRTMP : ", - & tmpdir(:lentmp) - write (iout,'(80(1h-))') - endif - return - end -c---------------------------------------------------------------------------- - subroutine card_concat(card) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - character*(*) card - character*80 karta,ucase - external ilen - read (inp,'(a)') karta - karta=ucase(karta) - card=' ' - do while (karta(80:80).eq.'&') - card=card(:ilen(card)+1)//karta(:79) - read (inp,'(a)') karta - karta=ucase(karta) - enddo - card=card(:ilen(card)+1)//karta - return - end -c---------------------------------------------------------------------------------- - subroutine readrst - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.MD' - open(irest2,file=rest2name,status='unknown') - read(irest2,*) totT,EK,potE,totE,t_bath - do i=1,2*nres - read(irest2,'(3e15.5)') (d_t(j,i),j=1,3) - enddo - do i=1,2*nres - read(irest2,'(3e15.5)') (dc(j,i),j=1,3) - enddo - if(usampl) then - read (irest2,*) iset - endif - close(irest2) - return - end -c--------------------------------------------------------------------------------- - subroutine read_fragments - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.MD' - include 'COMMON.CONTROL' - read(inp,*) nset,nfrag,npair,nfrag_back - if(me.eq.king.or..not.out1file) - & write(iout,*) "nset",nset," nfrag",nfrag," npair",npair, - & " nfrag_back",nfrag_back - do iset=1,nset - read(inp,*) mset(iset) - do i=1,nfrag - read(inp,*) wfrag(i,iset),ifrag(1,i,iset),ifrag(2,i,iset), - & qinfrag(i,iset) - if(me.eq.king.or..not.out1file) - & write(iout,*) "R ",i,wfrag(i,iset),ifrag(1,i,iset), - & ifrag(2,i,iset), qinfrag(i,iset) - enddo - do i=1,npair - read(inp,*) wpair(i,iset),ipair(1,i,iset),ipair(2,i,iset), - & qinpair(i,iset) - if(me.eq.king.or..not.out1file) - & write(iout,*) "R ",i,wpair(i,iset),ipair(1,i,iset), - & ipair(2,i,iset), qinpair(i,iset) - enddo - do i=1,nfrag_back - read(inp,*) wfrag_back(1,i,iset),wfrag_back(2,i,iset), - & wfrag_back(3,i,iset), - & ifrag_back(1,i,iset),ifrag_back(2,i,iset) - if(me.eq.king.or..not.out1file) - & write(iout,*) "A",i,wfrag_back(1,i,iset),wfrag_back(2,i,iset), - & wfrag_back(3,i,iset),ifrag_back(1,i,iset),ifrag_back(2,i,iset) - enddo - enddo - return - end -c------------------------------------------------------------------------------- - subroutine read_dist_constr - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.CONTROL' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.SBRIDGE' - integer ifrag_(2,100),ipair_(2,100) - double precision wfrag_(100),wpair_(100) - character*500 controlcard -c write (iout,*) "Calling read_dist_constr" -c write (iout,*) "nres",nres," nstart_sup",nstart_sup," nsup",nsup -c call flush(iout) - call card_concat(controlcard) - call readi(controlcard,"NFRAG",nfrag_,0) - call readi(controlcard,"NPAIR",npair_,0) - call readi(controlcard,"NDIST",ndist_,0) - call reada(controlcard,'DIST_CUT',dist_cut,5.0d0) - call multreadi(controlcard,"IFRAG",ifrag_(1,1),2*nfrag_,0) - call multreadi(controlcard,"IPAIR",ipair_(1,1),2*npair_,0) - call multreada(controlcard,"WFRAG",wfrag_(1),nfrag_,0.0d0) - call multreada(controlcard,"WPAIR",wpair_(1),npair_,0.0d0) -c write (iout,*) "NFRAG",nfrag_," NPAIR",npair_," NDIST",ndist_ -c write (iout,*) "IFRAG" -c do i=1,nfrag_ -c write (iout,*) i,ifrag_(1,i),ifrag_(2,i),wfrag_(i) -c enddo -c write (iout,*) "IPAIR" -c do i=1,npair_ -c write (iout,*) i,ipair_(1,i),ipair_(2,i),wpair_(i) -c enddo - if (.not.refstr .and. nfrag.gt.0) then - write (iout,*) - & "ERROR: no reference structure to compute distance restraints" - write (iout,*) - & "Restraints must be specified explicitly (NDIST=number)" - stop - endif - if (nfrag.lt.2 .and. npair.gt.0) then - write (iout,*) "ERROR: Less than 2 fragments specified", - & " but distance restraints between pairs requested" - stop - endif - call flush(iout) - do i=1,nfrag_ - if (ifrag_(1,i).lt.nstart_sup) ifrag_(1,i)=nstart_sup - if (ifrag_(2,i).gt.nstart_sup+nsup-1) - & ifrag_(2,i)=nstart_sup+nsup-1 -c write (iout,*) i,ifrag_(1,i),ifrag_(2,i),wfrag_(i) - call flush(iout) - if (wfrag_(i).gt.0.0d0) then - do j=ifrag_(1,i),ifrag_(2,i)-1 - do k=j+1,ifrag_(2,i) -c write (iout,*) "j",j," k",k - ddjk=dist(j,k) - if (constr_dist.eq.1) then - nhpb=nhpb+1 - ihpb(nhpb)=j - jhpb(nhpb)=k - dhpb(nhpb)=ddjk - forcon(nhpb)=wfrag_(i) - else if (constr_dist.eq.2) then - if (ddjk.le.dist_cut) then - nhpb=nhpb+1 - ihpb(nhpb)=j - jhpb(nhpb)=k - dhpb(nhpb)=ddjk - forcon(nhpb)=wfrag_(i) - endif - else - nhpb=nhpb+1 - ihpb(nhpb)=j - jhpb(nhpb)=k - dhpb(nhpb)=ddjk - forcon(nhpb)=wfrag_(i)*dexp(-0.5d0*(ddjk/dist_cut)**2) - endif -#ifdef MPI - if (.not.out1file .or. me.eq.king) - & write (iout,'(a,3i5,f8.2,1pe12.2)') "+dist.constr ", - & nhpb,ihpb(nhpb),jhpb(nhpb),dhpb(nhpb),forcon(nhpb) -#else - write (iout,'(a,3i5,f8.2,1pe12.2)') "+dist.constr ", - & nhpb,ihpb(nhpb),jhpb(nhpb),dhpb(nhpb),forcon(nhpb) -#endif - enddo - enddo - endif - enddo - do i=1,npair_ - if (wpair_(i).gt.0.0d0) then - ii = ipair_(1,i) - jj = ipair_(2,i) - if (ii.gt.jj) then - itemp=ii - ii=jj - jj=itemp - endif - do j=ifrag_(1,ii),ifrag_(2,ii) - do k=ifrag_(1,jj),ifrag_(2,jj) - nhpb=nhpb+1 - ihpb(nhpb)=j - jhpb(nhpb)=k - forcon(nhpb)=wpair_(i) - dhpb(nhpb)=dist(j,k) -#ifdef MPI - if (.not.out1file .or. me.eq.king) - & write (iout,'(a,3i5,f8.2,f10.1)') "+dist.constr ", - & nhpb,ihpb(nhpb),jhpb(nhpb),dhpb(nhpb),forcon(nhpb) -#else - write (iout,'(a,3i5,f8.2,f10.1)') "+dist.constr ", - & nhpb,ihpb(nhpb),jhpb(nhpb),dhpb(nhpb),forcon(nhpb) -#endif - enddo - enddo - endif - enddo - do i=1,ndist_ - read (inp,*) ihpb(nhpb+1),jhpb(nhpb+1),dhpb(i),dhpb1(i), - & ibecarb(i),forcon(nhpb+1) - if (forcon(nhpb+1).gt.0.0d0) then - nhpb=nhpb+1 - if (ibecarb(i).gt.0) then - ihpb(i)=ihpb(i)+nres - jhpb(i)=jhpb(i)+nres - endif - if (dhpb(nhpb).eq.0.0d0) - & dhpb(nhpb)=dist(ihpb(nhpb),jhpb(nhpb)) - endif - enddo -#ifdef MPI - if (.not.out1file .or. me.eq.king) then -#endif - do i=1,nhpb - write (iout,'(a,3i5,2f8.2,i2,f10.1)') "+dist.constr ", - & i,ihpb(i),jhpb(i),dhpb(i),dhpb1(i),ibecarb(i),forcon(i) - enddo - call flush(iout) -#ifdef MPI - endif -#endif - return - end -c------------------------------------------------------------------------------- - - subroutine read_constr_homology - - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.CONTROL' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.MD' - - character*2 kic2 - character*24 model_ki_dist, model_ki_angle - character*500 controlcard - integer ki, i, j, k, l - - - call card_concat(controlcard) - call reada(controlcard,"HOMOL_DIST",waga_dist,1.0) - call reada(controlcard,"HOMOL_ANGLE",waga_angle,1.0) - - do ki=1,constr_homology - if (constr_homology.ge.1) then - - write(kic2,'(i2)') ki -c write(iout,*) "TEST KICA, HOMOL", kic2 - if (ki.le.9) kic2="0"//kic2(2:2) -c write(iout,*) "TEST KICA2, HOMOL", kic2 - - model_ki_dist="model"//kic2//".dist" - model_ki_angle="model"//kic2//".angle" -c write(iout,*) model_ki_dist, model_ki_angle - open (1400+ki,file=model_ki_dist,status='old') - open (1401+ki,file=model_ki_angle,status='old') - - do irec=1,99999 !petla do czytania wiezow na odleglosc - read (1400+ki,*,end=1401) i, j, odl(i,j,ki),sigma_odl(i,j,ki) - lim_odl=i - enddo - 1401 continue - do irec=1,99999 !petla do czytania wiezow na katach torsyjnych - read (1401+ki,*,end=1402) i, j, k,l,dih(i,ki),sigma_dih(i,ki) - lim_dih=i -c dih(i,ki)=dih(i,ki) - enddo - 1402 continue - endif - enddo - -c write(iout,*) "TEST CZYTANIA1",odl(1,2,1),odl(1,3,1),odl(1,4,1) -c write(iout,*) "TEST CZYTANIA2",dih(1,1),dih(2,1),dih(3,1) - - - return - end -c---------------------------------------------------------------------- - -#ifdef WINIFL - subroutine flush(iu) - return - end -#endif -#ifdef AIX - subroutine flush(iu) - call flush_(iu) - return - end -#endif -c------------------------------------------------------------------------------ - subroutine copy_to_tmp(source) - include "DIMENSIONS" - include "COMMON.IOUNITS" - character*(*) source - character* 256 tmpfile - integer ilen - external ilen - logical ex - tmpfile=curdir(:ilen(curdir))//"/"//source(:ilen(source)) - inquire(file=tmpfile,exist=ex) - if (ex) then - write (*,*) "Copying ",tmpfile(:ilen(tmpfile)), - & " to temporary directory..." - write (*,*) "/bin/cp "//tmpfile(:ilen(tmpfile))//" "//tmpdir - call system("/bin/cp "//tmpfile(:ilen(tmpfile))//" "//tmpdir) - endif - return - end -c------------------------------------------------------------------------------ - subroutine move_from_tmp(source) - include "DIMENSIONS" - include "COMMON.IOUNITS" - character*(*) source - integer ilen - external ilen - write (*,*) "Moving ",source(:ilen(source)), - & " from temporary directory to working directory" - write (*,*) "/bin/mv "//source(:ilen(source))//" "//curdir - call system("/bin/mv "//source(:ilen(source))//" "//curdir) - return - end -c------------------------------------------------------------------------------ - subroutine random_init(seed) -C -C Initialize random number generator -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef AMD64 - integer*8 iseedi8 -#endif -#ifdef MPI - include 'mpif.h' - logical OKRandom, prng_restart - real*8 r1 - integer iseed_array(4) -#endif - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - include 'COMMON.THREAD' - include 'COMMON.SBRIDGE' - include 'COMMON.CONTROL' - include 'COMMON.MCM' - include 'COMMON.MAP' - include 'COMMON.HEADER' -csa include 'COMMON.CSA' - include 'COMMON.CHAIN' - include 'COMMON.MUCA' - include 'COMMON.MD' - include 'COMMON.FFIELD' - include 'COMMON.SETUP' - iseed=-dint(dabs(seed)) - if (iseed.eq.0) then - write (iout,'(/80(1h*)/20x,a/80(1h*))') - & 'Random seed undefined. The program will stop.' - write (*,'(/80(1h*)/20x,a/80(1h*))') - & 'Random seed undefined. The program will stop.' -#ifdef MPI - call mpi_finalize(mpi_comm_world,ierr) -#endif - stop 'Bad random seed.' - endif -#ifdef MPI - if (fg_rank.eq.0) then - seed=seed*(me+1)+1 -#ifdef AMD64 - iseedi8=dint(seed) - if(me.eq.king .or. .not. out1file) - & write (iout,*) 'MPI: node= ', me, ' iseed= ',iseedi8 - write (*,*) 'MPI: node= ', me, ' iseed= ',iseedi8 - OKRandom = prng_restart(me,iseedi8) -#else - do i=1,4 - tmp=65536.0d0**(4-i) - iseed_array(i) = dint(seed/tmp) - seed=seed-iseed_array(i)*tmp - enddo - if(me.eq.king .or. .not. out1file) - & write (iout,*) 'MPI: node= ', me, ' iseed(4)= ', - & (iseed_array(i),i=1,4) - write (*,*) 'MPI: node= ',me, ' iseed(4)= ', - & (iseed_array(i),i=1,4) - OKRandom = prng_restart(me,iseed_array) -#endif - if (OKRandom) then - r1=ran_number(0.0D0,1.0D0) - if(me.eq.king .or. .not. out1file) - & write (iout,*) 'ran_num',r1 - if (r1.lt.0.0d0) OKRandom=.false. - endif - if (.not.OKRandom) then - write (iout,*) 'PRNG IS NOT WORKING!!!' - print *,'PRNG IS NOT WORKING!!!' - if (me.eq.0) then - call flush(iout) - call mpi_abort(mpi_comm_world,error_msg,ierr) - stop - else - write (iout,*) 'too many processors for parallel prng' - write (*,*) 'too many processors for parallel prng' - call flush(iout) - stop - endif - endif - endif -#else - call vrndst(iseed) - write (iout,*) 'ran_num',ran_number(0.0d0,1.0d0) -#endif - return - end diff --git a/source/unres/src_MD-restraints-PM/refsys.f b/source/unres/src_MD-restraints-PM/refsys.f deleted file mode 100644 index b57c201..0000000 --- a/source/unres/src_MD-restraints-PM/refsys.f +++ /dev/null @@ -1,60 +0,0 @@ - subroutine refsys(i2,i3,i4,e1,e2,e3,fail) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -c this subroutine calculates unity vectors of a local reference system -c defined by atoms (i2), (i3), and (i4). the x axis is the axis from -c atom (i3) to atom (i2), and the xy plane is the plane defined by atoms -c (i2), (i3), and (i4). z axis is directed according to the sign of the -c vector product (i3)-(i2) and (i3)-(i4). sets fail to .true. if atoms -c (i2) and (i3) or (i3) and (i4) coincide or atoms (i2), (i3), and (i4) -c form a linear fragment. returns vectors e1, e2, and e3. - logical fail - double precision e1(3),e2(3),e3(3) - double precision u(3),z(3) - include 'COMMON.IOUNITS' - include "COMMON.CHAIN" - data coinc /1.0d-13/,align /1.0d-13/ - fail=.false. - s1=0.0d0 - s2=0.0d0 - do 1 i=1,3 - zi=c(i,i2)-c(i,i3) - ui=c(i,i4)-c(i,i3) - s1=s1+zi*zi - s2=s2+ui*ui - z(i)=zi - 1 u(i)=ui - s1=sqrt(s1) - s2=sqrt(s2) - if (s1.gt.coinc) goto 2 - write (iout,1000) i2,i3,i1 - fail=.true. - return - 2 if (s2.gt.coinc) goto 4 - write(iout,1000) i3,i4,i1 - fail=.true. - return - 4 s1=1.0/s1 - s2=1.0/s2 - v1=z(2)*u(3)-z(3)*u(2) - v2=z(3)*u(1)-z(1)*u(3) - v3=z(1)*u(2)-z(2)*u(1) - anorm=sqrt(v1*v1+v2*v2+v3*v3) - if (anorm.gt.align) goto 6 - write (iout,1010) i2,i3,i4,i1 - fail=.true. - return - 6 anorm=1.0/anorm - e3(1)=v1*anorm - e3(2)=v2*anorm - e3(3)=v3*anorm - e1(1)=z(1)*s1 - e1(2)=z(2)*s1 - e1(3)=z(3)*s1 - e2(1)=e1(3)*e3(2)-e1(2)*e3(3) - e2(2)=e1(1)*e3(3)-e1(3)*e3(1) - e2(3)=e1(2)*e3(1)-e1(1)*e3(2) - 1000 format (/1x,' * * * error - atoms',i4,' and',i4,' coincide.') - 1010 format (/1x,' * * * error - atoms',2(i4,2h, ),i4,' form a linear') - return - end diff --git a/source/unres/src_MD-restraints-PM/regularize.F b/source/unres/src_MD-restraints-PM/regularize.F deleted file mode 100644 index c506b8a..0000000 --- a/source/unres/src_MD-restraints-PM/regularize.F +++ /dev/null @@ -1,76 +0,0 @@ - subroutine regularize(ncart,etot,rms,cref0,iretcode) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.INTERACT' - include 'COMMON.HEADER' - include 'COMMON.IOUNITS' - include 'COMMON.MINIM' - double precision przes(3),obrot(3,3),fhpb0(maxdim),varia(maxvar) - double precision cref0(3,ncart) - double precision energia(0:n_ene) - logical non_conv - link_end0=link_end - do i=1,nhpb - fhpb0(i)=forcon(i) - enddo - maxit_reg=2 - print *,'Enter REGULARIZE: nnt=',nnt,' nct=',nct,' nsup=',nsup, - & ' nstart_seq=',nstart_seq,' nstart_sup',nstart_sup - write (iout,'(/a/)') 'Initial energies:' - call geom_to_var(nvar,varia) - call chainbuild - call etotal(energia(0)) - etot=energia(0) - call enerprint(energia(0)) - call fitsq(rms,c(1,nstart_seq),cref0(1,nstart_sup-1), - & nsup,przes,obrot,non_conv) - write (iout,'(a,f10.5)') - & 'Enter REGULARIZE: Initial RMS deviation:',dsqrt(dabs(rms)) - write (*,'(a,f10.5)') - & 'Enter REGULARIZE: Initial RMS deviation:',dsqrt(dabs(rms)) - maxit0=maxit - maxfun0=maxfun - rtolf0=rtolf - maxit=100 - maxfun=200 - rtolf=1.0D-2 - do it=1,maxit_reg - print *,'Regularization: pass:',it -C Minimize with distance constraints, gradually relieving the weight. - call minimize(etot,varia,iretcode,nfun) - print *,'Etot=',Etot - if (iretcode.eq.11) return - call fitsq(rms,c(1,nstart_seq),cref0(1,nstart_sup-1), - & nsup,przes,obrot,non_conv) - rms=dsqrt(rms) - write (iout,'(a,i2,a,f10.5,a,1pe14.5,a,i3/)') - & 'Finish pass',it,', RMS deviation:',rms,', energy',etot, - & ' SUMSL convergence',iretcode - do i=nss+1,nhpb - forcon(i)=0.1D0*forcon(i) - enddo - enddo -C Turn off the distance constraints and re-minimize energy. - print *,'Final minimization ... ' - maxit=maxit0 - maxfun=maxfun0 - rtolf=rtolf0 - link_end=min0(link_end,nss) - call minimize(etot,varia,iretcode,nfun) - print *,'Etot=',Etot - call fitsq(rms,c(1,nstart_seq),cref0(1,nstart_sup-1),nsup, - & przes,obrot,non_conv) - rms=dsqrt(rms) - write (iout,'(a,f10.5,a,1pe14.5,a,i3/)') - & 'Final RMS deviation:',rms,' energy',etot,' SUMSL convergence', - & iretcode - link_end=link_end0 - do i=nss+1,nhpb - forcon(i)=fhpb0(i) - enddo - call var_to_geom(nvar,varia) - call chainbuild - return - end diff --git a/source/unres/src_MD-restraints-PM/rescode.f b/source/unres/src_MD-restraints-PM/rescode.f deleted file mode 100644 index 2973ef9..0000000 --- a/source/unres/src_MD-restraints-PM/rescode.f +++ /dev/null @@ -1,32 +0,0 @@ - integer function rescode(iseq,nam,itype) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - character*3 nam,ucase - - if (itype.eq.0) then - - do i=1,ntyp1 - if (ucase(nam).eq.restyp(i)) then - rescode=i - return - endif - enddo - - else - - do i=1,ntyp1 - if (nam(1:1).eq.onelet(i)) then - rescode=i - return - endif - enddo - - endif - - write (iout,10) iseq,nam - stop - 10 format ('**** Error - residue',i4,' has an unresolved name ',a3) - end - diff --git a/source/unres/src_MD-restraints-PM/rmdd.f b/source/unres/src_MD-restraints-PM/rmdd.f deleted file mode 100644 index 799ab47..0000000 --- a/source/unres/src_MD-restraints-PM/rmdd.f +++ /dev/null @@ -1,159 +0,0 @@ -c algorithm 611, collected algorithms from acm. -c algorithm appeared in acm-trans. math. software, vol.9, no. 4, -c dec., 1983, p. 503-524. - integer function imdcon(k) -c - integer k -c -c *** return integer machine-dependent constants *** -c -c *** k = 1 means return standard output unit number. *** -c *** k = 2 means return alternate output unit number. *** -c *** k = 3 means return input unit number. *** -c (note -- k = 2, 3 are used only by test programs.) -c -c +++ port version follows... -c external i1mach -c integer i1mach -c integer mdperm(3) -c data mdperm(1)/2/, mdperm(2)/4/, mdperm(3)/1/ -c imdcon = i1mach(mdperm(k)) -c +++ end of port version +++ -c -c +++ non-port version follows... - integer mdcon(3) - data mdcon(1)/6/, mdcon(2)/8/, mdcon(3)/5/ - imdcon = mdcon(k) -c +++ end of non-port version +++ -c - 999 return -c *** last card of imdcon follows *** - end - double precision function rmdcon(k) -c -c *** return machine dependent constants used by nl2sol *** -c -c +++ comments below contain data statements for various machines. +++ -c +++ to convert to another machine, place a c in column 1 of the +++ -c +++ data statement line(s) that correspond to the current machine +++ -c +++ and remove the c from column 1 of the data statement line(s) +++ -c +++ that correspond to the new machine. +++ -c - integer k -c -c *** the constant returned depends on k... -c -c *** k = 1... smallest pos. eta such that -eta exists. -c *** k = 2... square root of eta. -c *** k = 3... unit roundoff = smallest pos. no. machep such -c *** that 1 + machep .gt. 1 .and. 1 - machep .lt. 1. -c *** k = 4... square root of machep. -c *** k = 5... square root of big (see k = 6). -c *** k = 6... largest machine no. big such that -big exists. -c - double precision big, eta, machep - integer bigi(4), etai(4), machei(4) -c/+ - double precision dsqrt -c/ - equivalence (big,bigi(1)), (eta,etai(1)), (machep,machei(1)) -c -c +++ ibm 360, ibm 370, or xerox +++ -c -c data big/z7fffffffffffffff/, eta/z0010000000000000/, -c 1 machep/z3410000000000000/ -c -c +++ data general +++ -c -c data big/0.7237005577d+76/, eta/0.5397605347d-78/, -c 1 machep/2.22044605d-16/ -c -c +++ dec 11 +++ -c -c data big/1.7d+38/, eta/2.938735878d-39/, machep/2.775557562d-17/ -c -c +++ hp3000 +++ -c -c data big/1.157920892d+77/, eta/8.636168556d-78/, -c 1 machep/5.551115124d-17/ -c -c +++ honeywell +++ -c -c data big/1.69d+38/, eta/5.9d-39/, machep/2.1680435d-19/ -c -c +++ dec10 +++ -c -c data big/"377777100000000000000000/, -c 1 eta/"002400400000000000000000/, -c 2 machep/"104400000000000000000000/ -c -c +++ burroughs +++ -c -c data big/o0777777777777777,o7777777777777777/, -c 1 eta/o1771000000000000,o7770000000000000/, -c 2 machep/o1451000000000000,o0000000000000000/ -c -c +++ control data +++ -c -c data big/37767777777777777777b,37167777777777777777b/, -c 1 eta/00014000000000000000b,00000000000000000000b/, -c 2 machep/15614000000000000000b,15010000000000000000b/ -c -c +++ prime +++ -c -c data big/1.0d+9786/, eta/1.0d-9860/, machep/1.4210855d-14/ -c -c +++ univac +++ -c -c data big/8.988d+307/, eta/1.2d-308/, machep/1.734723476d-18/ -c -c +++ vax +++ -c - data big/1.7d+38/, eta/2.939d-39/, machep/1.3877788d-17/ -c -c +++ cray 1 +++ -c -c data bigi(1)/577767777777777777777b/, -c 1 bigi(2)/000007777777777777776b/, -c 2 etai(1)/200004000000000000000b/, -c 3 etai(2)/000000000000000000000b/, -c 4 machei(1)/377224000000000000000b/, -c 5 machei(2)/000000000000000000000b/ -c -c +++ port library -- requires more than just a data statement... +++ -c -c external d1mach -c double precision d1mach, zero -c data big/0.d+0/, eta/0.d+0/, machep/0.d+0/, zero/0.d+0/ -c if (big .gt. zero) go to 1 -c big = d1mach(2) -c eta = d1mach(1) -c machep = d1mach(4) -c1 continue -c -c +++ end of port +++ -c -c------------------------------- body -------------------------------- -c - go to (10, 20, 30, 40, 50, 60), k -c - 10 rmdcon = eta - go to 999 -c - 20 rmdcon = dsqrt(256.d+0*eta)/16.d+0 - go to 999 -c - 30 rmdcon = machep - go to 999 -c - 40 rmdcon = dsqrt(machep) - go to 999 -c - 50 rmdcon = dsqrt(big/256.d+0)*16.d+0 - go to 999 -c - 60 rmdcon = big -c - 999 return -c *** last card of rmdcon follows *** - end diff --git a/source/unres/src_MD-restraints-PM/rmsd.F b/source/unres/src_MD-restraints-PM/rmsd.F deleted file mode 100644 index 52e7b37..0000000 --- a/source/unres/src_MD-restraints-PM/rmsd.F +++ /dev/null @@ -1,140 +0,0 @@ - subroutine rms_nac_nnc(rms,frac,frac_nn,co,lprn) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.CONTACTS' - include 'COMMON.IOUNITS' - double precision przes(3),obr(3,3) - logical non_conv,lprn -c call fitsq(rms,c(1,nstart_seq),cref(1,nstart_sup),nsup,przes, -c & obr,non_conv) -c rms=dsqrt(rms) - call rmsd(rms) - call contact(.false.,ncont,icont,co) - frac=contact_fract(ncont,ncont_ref,icont,icont_ref) - frac_nn=contact_fract_nn(ncont,ncont_ref,icont,icont_ref) - if (lprn) write (iout,'(a,f8.3/a,f8.3/a,f8.3/a,f8.3)') - & 'RMS deviation from the reference structure:',rms, - & ' % of native contacts:',frac*100, - & ' % of nonnative contacts:',frac_nn*100, - & ' contact order:',co - - return - end -c--------------------------------------------------------------------------- - subroutine rmsd(drms) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.INTERACT' - logical non_conv - double precision przes(3),obrot(3,3) - double precision ccopy(3,maxres2+2),crefcopy(3,maxres2+2) - - iatom=0 -c print *,"nz_start",nz_start," nz_end",nz_end - do i=nz_start,nz_end - iatom=iatom+1 - iti=itype(i) - do k=1,3 - ccopy(k,iatom)=c(k,i+nstart_seq-nstart_sup) - crefcopy(k,iatom)=cref(k,i) - enddo - if (iz_sc.eq.1.and.iti.ne.10) then - iatom=iatom+1 - do k=1,3 - ccopy(k,iatom)=c(k,nres+i+nstart_seq-nstart_sup) - crefcopy(k,iatom)=cref(k,nres+i) - enddo - endif - enddo - -c ----- diagnostics -c write (iout,*) 'Ccopy and CREFcopy' -c print '(i5,3f10.5,5x,3f10.5)',(k,(ccopy(j,k),j=1,3), -c & (crefcopy(j,k),j=1,3),k=1,iatom) -c write (iout,'(i5,3f10.5,5x,3f10.5)') (k,(ccopy(j,k),j=1,3), -c & (crefcopy(j,k),j=1,3),k=1,iatom) -c ----- end diagnostics - - call fitsq(roznica,ccopy(1,1),crefcopy(1,1),iatom, - & przes,obrot,non_conv) - if (non_conv) then - print *,'Problems in FITSQ!!! rmsd' - write (iout,*) 'Problems in FITSQ!!! rmsd' - print *,'Ccopy and CREFcopy' - write (iout,*) 'Ccopy and CREFcopy' - print '(i5,3f10.5,5x,3f10.5)',(k,(ccopy(j,k),j=1,3), - & (crefcopy(j,k),j=1,3),k=1,iatom) - write (iout,'(i5,3f10.5,5x,3f10.5)') (k,(ccopy(j,k),j=1,3), - & (crefcopy(j,k),j=1,3),k=1,iatom) -#ifdef MPI -c call mpi_abort(mpi_comm_world,ierror,ierrcode) - roznica=100.0 -#else - stop -#endif - endif - drms=dsqrt(dabs(roznica)) -c ---- diagnostics -c write (iout,*) "rms",drms -c ---- end diagnostics - return - end - -c-------------------------------------------- - subroutine rmsd_csa(drms) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.INTERACT' - logical non_conv - double precision przes(3),obrot(3,3) - double precision ccopy(3,maxres2+2),crefcopy(3,maxres2+2) - - iatom=0 - do i=nz_start,nz_end - iatom=iatom+1 - iti=itype(i) - do k=1,3 - ccopy(k,iatom)=c(k,i) - crefcopy(k,iatom)=crefjlee(k,i) - enddo - if (iz_sc.eq.1.and.iti.ne.10) then - iatom=iatom+1 - do k=1,3 - ccopy(k,iatom)=c(k,nres+i) - crefcopy(k,iatom)=crefjlee(k,nres+i) - enddo - endif - enddo - - call fitsq(roznica,ccopy(1,1),crefcopy(1,1),iatom, - & przes,obrot,non_conv) - if (non_conv) then - print *,'Problems in FITSQ!!! rmsd_csa' - write (iout,*) 'Problems in FITSQ!!! rmsd_csa' - print *,'Ccopy and CREFcopy' - write (iout,*) 'Ccopy and CREFcopy' - print '(i5,3f10.5,5x,3f10.5)',(k,(ccopy(j,k),j=1,3), - & (crefcopy(j,k),j=1,3),k=1,iatom) - write (iout,'(i5,3f10.5,5x,3f10.5)') (k,(ccopy(j,k),j=1,3), - & (crefcopy(j,k),j=1,3),k=1,iatom) -#ifdef MPI - call mpi_abort(mpi_comm_world,ierror,ierrcode) -#else - stop -#endif - endif - drms=dsqrt(dabs(roznica)) - return - end - diff --git a/source/unres/src_MD-restraints-PM/sc_move.F b/source/unres/src_MD-restraints-PM/sc_move.F deleted file mode 100644 index b6837fd..0000000 --- a/source/unres/src_MD-restraints-PM/sc_move.F +++ /dev/null @@ -1,823 +0,0 @@ - subroutine sc_move(n_start,n_end,n_maxtry,e_drop, - + n_fun,etot) -c Perform a quick search over side-chain arrangments (over -c residues n_start to n_end) for a given (frozen) CA trace -c Only side-chains are minimized (at most n_maxtry times each), -c not CA positions -c Stops if energy drops by e_drop, otherwise tries all residues -c in the given range -c If there is an energy drop, full minimization may be useful -c n_start, n_end CAN be modified by this routine, but only if -c out of bounds (n_start <= 1, n_end >= nres, n_start < n_end) -c NOTE: this move should never increase the energy -crc implicit none - -c Includes - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.HEADER' - include 'COMMON.IOUNITS' - include 'COMMON.CHAIN' - include 'COMMON.FFIELD' - -c External functions - integer iran_num - external iran_num - -c Input arguments - integer n_start,n_end,n_maxtry - double precision e_drop - -c Output arguments - integer n_fun - double precision etot - -c Local variables - double precision energy(0:n_ene) - double precision cur_alph(2:nres-1),cur_omeg(2:nres-1) - double precision orig_e,cur_e - integer n,n_steps,n_first,n_cur,n_tot,i - double precision orig_w(n_ene) - double precision wtime - - -c Set non side-chain weights to zero (minimization is faster) -c NOTE: e(2) does not actually depend on the side-chain, only CA - orig_w(2)=wscp - orig_w(3)=welec - orig_w(4)=wcorr - orig_w(5)=wcorr5 - orig_w(6)=wcorr6 - orig_w(7)=wel_loc - orig_w(8)=wturn3 - orig_w(9)=wturn4 - orig_w(10)=wturn6 - orig_w(11)=wang - orig_w(13)=wtor - orig_w(14)=wtor_d - orig_w(15)=wvdwpp - - wscp=0.D0 - welec=0.D0 - wcorr=0.D0 - wcorr5=0.D0 - wcorr6=0.D0 - wel_loc=0.D0 - wturn3=0.D0 - wturn4=0.D0 - wturn6=0.D0 - wang=0.D0 - wtor=0.D0 - wtor_d=0.D0 - wvdwpp=0.D0 - -c Make sure n_start, n_end are within proper range - if (n_start.lt.2) n_start=2 - if (n_end.gt.nres-1) n_end=nres-1 -crc if (n_start.lt.n_end) then - if (n_start.gt.n_end) then - n_start=2 - n_end=nres-1 - endif - -c Save the initial values of energy and coordinates -cd call chainbuild -cd call etotal(energy) -cd write (iout,*) 'start sc ene',energy(0) -cd call enerprint(energy(0)) -crc etot=energy(0) - n_fun=0 -crc orig_e=etot -crc cur_e=orig_e -crc do i=2,nres-1 -crc cur_alph(i)=alph(i) -crc cur_omeg(i)=omeg(i) -crc enddo - -ct wtime=MPI_WTIME() -c Try (one by one) all specified residues, starting from a -c random position in sequence -c Stop early if the energy has decreased by at least e_drop - n_tot=n_end-n_start+1 - n_first=iran_num(0,n_tot-1) - n_steps=0 - n=0 -crc do while (n.lt.n_tot .and. orig_e-etot.lt.e_drop) - do while (n.lt.n_tot) - n_cur=n_start+mod(n_first+n,n_tot) - call single_sc_move(n_cur,n_maxtry,e_drop, - + n_steps,n_fun,etot) -c If a lower energy was found, update the current structure... -crc if (etot.lt.cur_e) then -crc cur_e=etot -crc do i=2,nres-1 -crc cur_alph(i)=alph(i) -crc cur_omeg(i)=omeg(i) -crc enddo -crc else -c ...else revert to the previous one -crc etot=cur_e -crc do i=2,nres-1 -crc alph(i)=cur_alph(i) -crc omeg(i)=cur_omeg(i) -crc enddo -crc endif - n=n+1 -cd -cd call chainbuild -cd call etotal(energy) -cd print *,'running',n,energy(0) - enddo - -cd call chainbuild -cd call etotal(energy) -cd write (iout,*) 'end sc ene',energy(0) - -c Put the original weights back to calculate the full energy - wscp=orig_w(2) - welec=orig_w(3) - wcorr=orig_w(4) - wcorr5=orig_w(5) - wcorr6=orig_w(6) - wel_loc=orig_w(7) - wturn3=orig_w(8) - wturn4=orig_w(9) - wturn6=orig_w(10) - wang=orig_w(11) - wtor=orig_w(13) - wtor_d=orig_w(14) - wvdwpp=orig_w(15) - -crc n_fun=n_fun+1 -ct write (iout,*) 'sc_local time= ',MPI_WTIME()-wtime - return - end - -c------------------------------------------------------------- - - subroutine single_sc_move(res_pick,n_maxtry,e_drop, - + n_steps,n_fun,e_sc) -c Perturb one side-chain (res_pick) and minimize the -c neighbouring region, keeping all CA's and non-neighbouring -c side-chains fixed -c Try until e_drop energy improvement is achieved, or n_maxtry -c attempts have been made -c At the start, e_sc should contain the side-chain-only energy(0) -c nsteps and nfun for this move are ADDED to n_steps and n_fun -crc implicit none - -c Includes - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.CHAIN' - include 'COMMON.MINIM' - include 'COMMON.FFIELD' - include 'COMMON.IOUNITS' - -c External functions - double precision dist - external dist - -c Input arguments - integer res_pick,n_maxtry - double precision e_drop - -c Input/Output arguments - integer n_steps,n_fun - double precision e_sc - -c Local variables - logical fail - integer i,j - integer nres_moved - integer iretcode,loc_nfun,orig_maxfun,n_try - double precision sc_dist,sc_dist_cutoff - double precision energy(0:n_ene),orig_e,cur_e - double precision evdw,escloc - double precision cur_alph(2:nres-1),cur_omeg(2:nres-1) - double precision var(maxvar) - - double precision orig_theta(1:nres),orig_phi(1:nres), - + orig_alph(1:nres),orig_omeg(1:nres) - - -c Define what is meant by "neighbouring side-chain" - sc_dist_cutoff=5.0D0 - -c Don't do glycine or ends - i=itype(res_pick) - if (i.eq.10 .or. i.eq.21) return - -c Freeze everything (later will relax only selected side-chains) - mask_r=.true. - do i=1,nres - mask_phi(i)=0 - mask_theta(i)=0 - mask_side(i)=0 - enddo - -c Find the neighbours of the side-chain to move -c and save initial variables -crc orig_e=e_sc -crc cur_e=orig_e - nres_moved=0 - do i=2,nres-1 -c Don't do glycine (itype(j)==10) - if (itype(i).ne.10) then - sc_dist=dist(nres+i,nres+res_pick) - else - sc_dist=sc_dist_cutoff - endif - if (sc_dist.lt.sc_dist_cutoff) then - nres_moved=nres_moved+1 - mask_side(i)=1 - cur_alph(i)=alph(i) - cur_omeg(i)=omeg(i) - endif - enddo - - call chainbuild - call egb1(evdw) - call esc(escloc) - e_sc=wsc*evdw+wscloc*escloc -cd call etotal(energy) -cd print *,'new ',(energy(k),k=0,n_ene) - orig_e=e_sc - cur_e=orig_e - - n_try=0 - do while (n_try.lt.n_maxtry .and. orig_e-cur_e.lt.e_drop) -c Move the selected residue (don't worry if it fails) - call gen_side(itype(res_pick),theta(res_pick+1), - + alph(res_pick),omeg(res_pick),fail) - -c Minimize the side-chains starting from the new arrangement - call geom_to_var(nvar,var) - orig_maxfun=maxfun - maxfun=7 - -crc do i=1,nres -crc orig_theta(i)=theta(i) -crc orig_phi(i)=phi(i) -crc orig_alph(i)=alph(i) -crc orig_omeg(i)=omeg(i) -crc enddo - - call minimize_sc1(e_sc,var,iretcode,loc_nfun) - -cv write(*,'(2i3,2f12.5,2i3)') -cv & res_pick,nres_moved,orig_e,e_sc-cur_e, -cv & iretcode,loc_nfun - -c$$$ if (iretcode.eq.8) then -c$$$ write(iout,*)'Coordinates just after code 8' -c$$$ call chainbuild -c$$$ call all_varout -c$$$ call flush(iout) -c$$$ do i=1,nres -c$$$ theta(i)=orig_theta(i) -c$$$ phi(i)=orig_phi(i) -c$$$ alph(i)=orig_alph(i) -c$$$ omeg(i)=orig_omeg(i) -c$$$ enddo -c$$$ write(iout,*)'Coordinates just before code 8' -c$$$ call chainbuild -c$$$ call all_varout -c$$$ call flush(iout) -c$$$ endif - - n_fun=n_fun+loc_nfun - maxfun=orig_maxfun - call var_to_geom(nvar,var) - -c If a lower energy was found, update the current structure... - if (e_sc.lt.cur_e) then -cv call chainbuild -cv call etotal(energy) -cd call egb1(evdw) -cd call esc(escloc) -cd e_sc1=wsc*evdw+wscloc*escloc -cd print *,' new',e_sc1,energy(0) -cv print *,'new ',energy(0) -cd call enerprint(energy(0)) - cur_e=e_sc - do i=2,nres-1 - if (mask_side(i).eq.1) then - cur_alph(i)=alph(i) - cur_omeg(i)=omeg(i) - endif - enddo - else -c ...else revert to the previous one - e_sc=cur_e - do i=2,nres-1 - if (mask_side(i).eq.1) then - alph(i)=cur_alph(i) - omeg(i)=cur_omeg(i) - endif - enddo - endif - n_try=n_try+1 - - enddo - n_steps=n_steps+n_try - -c Reset the minimization mask_r to false - mask_r=.false. - - return - end - -c------------------------------------------------------------- - - subroutine sc_minimize(etot,iretcode,nfun) -c Minimizes side-chains only, leaving backbone frozen -crc implicit none - -c Includes - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.FFIELD' - -c Output arguments - double precision etot - integer iretcode,nfun - -c Local variables - integer i - double precision orig_w(n_ene),energy(0:n_ene) - double precision var(maxvar) - - -c Set non side-chain weights to zero (minimization is faster) -c NOTE: e(2) does not actually depend on the side-chain, only CA - orig_w(2)=wscp - orig_w(3)=welec - orig_w(4)=wcorr - orig_w(5)=wcorr5 - orig_w(6)=wcorr6 - orig_w(7)=wel_loc - orig_w(8)=wturn3 - orig_w(9)=wturn4 - orig_w(10)=wturn6 - orig_w(11)=wang - orig_w(13)=wtor - orig_w(14)=wtor_d - - wscp=0.D0 - welec=0.D0 - wcorr=0.D0 - wcorr5=0.D0 - wcorr6=0.D0 - wel_loc=0.D0 - wturn3=0.D0 - wturn4=0.D0 - wturn6=0.D0 - wang=0.D0 - wtor=0.D0 - wtor_d=0.D0 - -c Prepare to freeze backbone - do i=1,nres - mask_phi(i)=0 - mask_theta(i)=0 - mask_side(i)=1 - enddo - -c Minimize the side-chains - mask_r=.true. - call geom_to_var(nvar,var) - call minimize(etot,var,iretcode,nfun) - call var_to_geom(nvar,var) - mask_r=.false. - -c Put the original weights back and calculate the full energy - wscp=orig_w(2) - welec=orig_w(3) - wcorr=orig_w(4) - wcorr5=orig_w(5) - wcorr6=orig_w(6) - wel_loc=orig_w(7) - wturn3=orig_w(8) - wturn4=orig_w(9) - wturn6=orig_w(10) - wang=orig_w(11) - wtor=orig_w(13) - wtor_d=orig_w(14) - - call chainbuild - call etotal(energy) - etot=energy(0) - - return - end - -c------------------------------------------------------------- - subroutine minimize_sc1(etot,x,iretcode,nfun) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - parameter (liv=60,lv=(77+maxvar*(maxvar+17)/2)) - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.GEO' - include 'COMMON.MINIM' - common /srutu/ icall - dimension iv(liv) - double precision minval,x(maxvar),d(maxvar),v(1:lv),xx(maxvar) - double precision energia(0:n_ene) - external func,gradient,fdum - external func_restr1,grad_restr1 - logical not_done,change,reduce - common /przechowalnia/ v - - call deflt(2,iv,liv,lv,v) -* 12 means fresh start, dont call deflt - iv(1)=12 -* max num of fun calls - if (maxfun.eq.0) maxfun=500 - iv(17)=maxfun -* max num of iterations - if (maxmin.eq.0) maxmin=1000 - iv(18)=maxmin -* controls output - iv(19)=2 -* selects output unit -c iv(21)=iout - iv(21)=0 -* 1 means to print out result - iv(22)=0 -* 1 means to print out summary stats - iv(23)=0 -* 1 means to print initial x and d - iv(24)=0 -* min val for v(radfac) default is 0.1 - v(24)=0.1D0 -* max val for v(radfac) default is 4.0 - v(25)=2.0D0 -c v(25)=4.0D0 -* check false conv if (act fnctn decrease) .lt. v(26)*(exp decrease) -* the sumsl default is 0.1 - v(26)=0.1D0 -* false conv if (act fnctn decrease) .lt. v(34) -* the sumsl default is 100*machep - v(34)=v(34)/100.0D0 -* absolute convergence - if (tolf.eq.0.0D0) tolf=1.0D-4 - v(31)=tolf -* relative convergence - if (rtolf.eq.0.0D0) rtolf=1.0D-4 - v(32)=rtolf -* controls initial step size - v(35)=1.0D-1 -* large vals of d correspond to small components of step - do i=1,nphi - d(i)=1.0D-1 - enddo - do i=nphi+1,nvar - d(i)=1.0D-1 - enddo - IF (mask_r) THEN - call x2xx(x,xx,nvar_restr) - call sumsl(nvar_restr,d,xx,func_restr1,grad_restr1, - & iv,liv,lv,v,idum,rdum,fdum) - call xx2x(x,xx) - ELSE - call sumsl(nvar,d,x,func,gradient,iv,liv,lv,v,idum,rdum,fdum) - ENDIF - etot=v(10) - iretcode=iv(1) - nfun=iv(6) - - return - end -************************************************************************ - subroutine func_restr1(n,x,nf,f,uiparm,urparm,ufparm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.DERIV' - include 'COMMON.IOUNITS' - include 'COMMON.GEO' - include 'COMMON.FFIELD' - include 'COMMON.INTERACT' - include 'COMMON.TIME1' - common /chuju/ jjj - double precision energia(0:n_ene),evdw,escloc - integer jjj - double precision ufparm,e1,e2 - external ufparm - integer uiparm(1) - real*8 urparm(1) - dimension x(maxvar) - nfl=nf - icg=mod(nf,2)+1 - -#ifdef OSF -c Intercept NaNs in the coordinates, before calling etotal - x_sum=0.D0 - do i=1,n - x_sum=x_sum+x(i) - enddo - FOUND_NAN=.false. - if (x_sum.ne.x_sum) then - write(iout,*)" *** func_restr1 : Found NaN in coordinates" - f=1.0D+73 - FOUND_NAN=.true. - return - endif -#endif - - call var_to_geom_restr(n,x) - call zerograd - call chainbuild -cd write (iout,*) 'ETOTAL called from FUNC' - call egb1(evdw) - call esc(escloc) - f=wsc*evdw+wscloc*escloc -cd call etotal(energia(0)) -cd f=wsc*energia(1)+wscloc*energia(12) -cd print *,f,evdw,escloc,energia(0) -C -C Sum up the components of the Cartesian gradient. -C - do i=1,nct - do j=1,3 - gradx(j,i,icg)=wsc*gvdwx(j,i) - enddo - enddo - - return - end -c------------------------------------------------------- - subroutine grad_restr1(n,x,nf,g,uiparm,urparm,ufparm) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.FFIELD' - include 'COMMON.IOUNITS' - external ufparm - integer uiparm(1) - double precision urparm(1) - dimension x(maxvar),g(maxvar) - - icg=mod(nf,2)+1 - if (nf-nfl+1) 20,30,40 - 20 call func_restr1(n,x,nf,f,uiparm,urparm,ufparm) -c write (iout,*) 'grad 20' - if (nf.eq.0) return - goto 40 - 30 call var_to_geom_restr(n,x) - call chainbuild -C -C Evaluate the derivatives of virtual bond lengths and SC vectors in variables. -C - 40 call cartder -C -C Convert the Cartesian gradient into internal-coordinate gradient. -C - - ig=0 - ind=nres-2 - do i=2,nres-2 - IF (mask_phi(i+2).eq.1) THEN - gphii=0.0D0 - do j=i+1,nres-1 - ind=ind+1 - do k=1,3 - gphii=gphii+dcdv(k+3,ind)*gradc(k,j,icg) - gphii=gphii+dxdv(k+3,ind)*gradx(k,j,icg) - enddo - enddo - ig=ig+1 - g(ig)=gphii - ELSE - ind=ind+nres-1-i - ENDIF - enddo - - - ind=0 - do i=1,nres-2 - IF (mask_theta(i+2).eq.1) THEN - ig=ig+1 - gthetai=0.0D0 - do j=i+1,nres-1 - ind=ind+1 - do k=1,3 - gthetai=gthetai+dcdv(k,ind)*gradc(k,j,icg) - gthetai=gthetai+dxdv(k,ind)*gradx(k,j,icg) - enddo - enddo - g(ig)=gthetai - ELSE - ind=ind+nres-1-i - ENDIF - enddo - - do i=2,nres-1 - if (itype(i).ne.10) then - IF (mask_side(i).eq.1) THEN - ig=ig+1 - galphai=0.0D0 - do k=1,3 - galphai=galphai+dxds(k,i)*gradx(k,i,icg) - enddo - g(ig)=galphai - ENDIF - endif - enddo - - - do i=2,nres-1 - if (itype(i).ne.10) then - IF (mask_side(i).eq.1) THEN - ig=ig+1 - gomegai=0.0D0 - do k=1,3 - gomegai=gomegai+dxds(k+3,i)*gradx(k,i,icg) - enddo - g(ig)=gomegai - ENDIF - endif - enddo - -C -C Add the components corresponding to local energy terms. -C - - ig=0 - igall=0 - do i=4,nres - igall=igall+1 - if (mask_phi(i).eq.1) then - ig=ig+1 - g(ig)=g(ig)+gloc(igall,icg) - endif - enddo - - do i=3,nres - igall=igall+1 - if (mask_theta(i).eq.1) then - ig=ig+1 - g(ig)=g(ig)+gloc(igall,icg) - endif - enddo - - do ij=1,2 - do i=2,nres-1 - if (itype(i).ne.10) then - igall=igall+1 - if (mask_side(i).eq.1) then - ig=ig+1 - g(ig)=g(ig)+gloc(igall,icg) - endif - endif - enddo - enddo - -cd do i=1,ig -cd write (iout,'(a2,i5,a3,f25.8)') 'i=',i,' g=',g(i) -cd enddo - return - end -C----------------------------------------------------------------------------- - subroutine egb1(evdw) -C -C This subroutine calculates the interaction energy of nonbonded side chains -C assuming the Gay-Berne potential of interaction. -C - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.LOCAL' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.NAMES' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.CALC' - include 'COMMON.CONTROL' - logical lprn - evdw=0.0D0 -c print *,'Entering EGB nnt=',nnt,' nct=',nct,' expon=',expon - evdw=0.0D0 - lprn=.false. -c if (icall.eq.0) lprn=.true. - ind=0 - do i=iatsc_s,iatsc_e - - - itypi=itype(i) - itypi1=itype(i+1) - xi=c(1,nres+i) - yi=c(2,nres+i) - zi=c(3,nres+i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) - dsci_inv=dsc_inv(itypi) -C -C Calculate SC interaction energy. -C - do iint=1,nint_gr(i) - do j=istart(i,iint),iend(i,iint) - IF (mask_side(j).eq.1.or.mask_side(i).eq.1) THEN - ind=ind+1 - itypj=itype(j) - dscj_inv=dsc_inv(itypj) - sig0ij=sigma(itypi,itypj) - chi1=chi(itypi,itypj) - chi2=chi(itypj,itypi) - chi12=chi1*chi2 - chip1=chip(itypi) - chip2=chip(itypj) - chip12=chip1*chip2 - alf1=alp(itypi) - alf2=alp(itypj) - alf12=0.5D0*(alf1+alf2) -C For diagnostics only!!! -c chi1=0.0D0 -c chi2=0.0D0 -c chi12=0.0D0 -c chip1=0.0D0 -c chip2=0.0D0 -c chip12=0.0D0 -c alf1=0.0D0 -c alf2=0.0D0 -c alf12=0.0D0 - xj=c(1,nres+j)-xi - yj=c(2,nres+j)-yi - zj=c(3,nres+j)-zi - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) -C Calculate angle-dependent terms of energy and contributions to their -C derivatives. - call sc_angular - sigsq=1.0D0/sigsq - sig=sig0ij*dsqrt(sigsq) - rij_shift=1.0D0/rij-sig+sig0ij -C I hate to put IF's in the loops, but here don't have another choice!!!! - if (rij_shift.le.0.0D0) then - evdw=1.0D20 -cd write (iout,'(2(a3,i3,2x),17(0pf7.3))') -cd & restyp(itypi),i,restyp(itypj),j, -cd & rij_shift,1.0D0/rij,sig,sig0ij,sigsq,1-dsqrt(sigsq) - return - endif - sigder=-sig*sigsq -c--------------------------------------------------------------- - rij_shift=1.0D0/rij_shift - fac=rij_shift**expon - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - evdwij=eps1*eps2rt*eps3rt*(e1+e2) - eps2der=evdwij*eps3rt - eps3der=evdwij*eps2rt - evdwij=evdwij*eps2rt*eps3rt - evdw=evdw+evdwij - if (lprn) then - sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) - epsi=bb(itypi,itypj)**2/aa(itypi,itypj) -cd write (iout,'(2(a3,i3,2x),17(0pf7.3))') -cd & restyp(itypi),i,restyp(itypj),j, -cd & epsi,sigm,chi1,chi2,chip1,chip2, -cd & eps1,eps2rt**2,eps3rt**2,sig,sig0ij, -cd & om1,om2,om12,1.0D0/rij,1.0D0/rij_shift, -cd & evdwij - endif - - if (energy_dec) write (iout,'(a6,2i5,0pf7.3)') - & 'evdw',i,j,evdwij - -C Calculate gradient components. - e1=e1*eps1*eps2rt**2*eps3rt**2 - fac=-expon*(e1+evdwij)*rij_shift - sigder=fac*sigder - fac=rij*fac -C Calculate the radial part of the gradient - gg(1)=xj*fac - gg(2)=yj*fac - gg(3)=zj*fac -C Calculate angular part of the gradient. - call sc_grad - ENDIF - enddo ! j - enddo ! iint - enddo ! i - end -C----------------------------------------------------------------------------- diff --git a/source/unres/src_MD-restraints-PM/sizes.i b/source/unres/src_MD-restraints-PM/sizes.i deleted file mode 100644 index 45c44ff..0000000 --- a/source/unres/src_MD-restraints-PM/sizes.i +++ /dev/null @@ -1,83 +0,0 @@ -c -c -c ################################################### -c ## COPYRIGHT (C) 1992 by Jay William Ponder ## -c ## All Rights Reserved ## -c ################################################### -c -c ############################################################# -c ## ## -c ## sizes.i -- parameter values to set array dimensions ## -c ## ## -c ############################################################# -c -c -c "sizes.i" sets values for critical array dimensions used -c throughout the software; these parameters will fix the size -c of the largest systems that can be handled; values too large -c for the computer's memory and/or swap space to accomodate -c will result in poor performance or outright failure -c -c parameter: maximum allowed number of: -c -c maxatm atoms in the molecular system -c maxval atoms directly bonded to an atom -c maxgrp user-defined groups of atoms -c maxtyp force field atom type definitions -c maxclass force field atom class definitions -c maxkey lines in the keyword file -c maxrot bonds for torsional rotation -c maxvar optimization variables (vector storage) -c maxopt optimization variables (matrix storage) -c maxhess off-diagonal Hessian elements -c maxlight sites for method of lights neighbors -c maxvib vibrational frequencies -c maxgeo distance geometry points -c maxcell unit cells in replicated crystal -c maxring 3-, 4-, or 5-membered rings -c maxfix geometric restraints -c maxbio biopolymer atom definitions -c maxres residues in the macromolecule -c maxamino amino acid residue types -c maxnuc nucleic acid residue types -c maxbnd covalent bonds in molecular system -c maxang bond angles in molecular system -c maxtors torsional angles in molecular system -c maxpi atoms in conjugated pisystem -c maxpib covalent bonds involving pisystem -c maxpit torsional angles involving pisystem -c -c - integer maxatm,maxval,maxgrp - integer maxtyp,maxclass,maxkey - integer maxrot,maxopt - integer maxhess,maxlight,maxvib - integer maxgeo,maxcell,maxring - integer maxfix,maxbio - integer maxamino,maxnuc,maxbnd - integer maxang,maxtors,maxpi - integer maxpib,maxpit - parameter (maxatm=maxres2) - parameter (maxval=8) - parameter (maxgrp=1000) - parameter (maxtyp=3000) - parameter (maxclass=500) - parameter (maxkey=10000) - parameter (maxrot=1000) - parameter (maxopt=1000) - parameter (maxhess=1000000) - parameter (maxlight=8*maxatm) - parameter (maxvib=1000) - parameter (maxgeo=1000) - parameter (maxcell=10000) - parameter (maxring=10000) - parameter (maxfix=10000) - parameter (maxbio=10000) - parameter (maxamino=31) - parameter (maxnuc=12) - parameter (maxbnd=2*maxatm) - parameter (maxang=3*maxatm) - parameter (maxtors=4*maxatm) - parameter (maxpi=100) - parameter (maxpib=2*maxpi) - parameter (maxpit=4*maxpi) diff --git a/source/unres/src_MD-restraints-PM/sort.f b/source/unres/src_MD-restraints-PM/sort.f deleted file mode 100644 index 46b43d9..0000000 --- a/source/unres/src_MD-restraints-PM/sort.f +++ /dev/null @@ -1,589 +0,0 @@ -c -c -c ################################################### -c ## COPYRIGHT (C) 1990 by Jay William Ponder ## -c ## All Rights Reserved ## -c ################################################### -c -c ######################################################### -c ## ## -c ## subroutine sort -- heapsort of an integer array ## -c ## ## -c ######################################################### -c -c -c "sort" takes an input list of integers and sorts it -c into ascending order using the Heapsort algorithm -c -c - subroutine sort (n,list) - implicit none - integer i,j,k,n - integer index,lists - integer list(*) -c -c -c perform the heapsort of the input list -c - k = n/2 + 1 - index = n - dowhile (n .gt. 1) - if (k .gt. 1) then - k = k - 1 - lists = list(k) - else - lists = list(index) - list(index) = list(1) - index = index - 1 - if (index .le. 1) then - list(1) = lists - return - end if - end if - i = k - j = k + k - dowhile (j .le. index) - if (j .lt. index) then - if (list(j) .lt. list(j+1)) j = j + 1 - end if - if (lists .lt. list(j)) then - list(i) = list(j) - i = j - j = j + j - else - j = index + 1 - end if - end do - list(i) = lists - end do - return - end -c -c -c ############################################################## -c ## ## -c ## subroutine sort2 -- heapsort of real array with keys ## -c ## ## -c ############################################################## -c -c -c "sort2" takes an input list of reals and sorts it -c into ascending order using the Heapsort algorithm; -c it also returns a key into the original ordering -c -c - subroutine sort2 (n,list,key) - implicit none - integer i,j,k,n - integer index,keys - integer key(*) - real*8 lists - real*8 list(*) -c -c -c initialize index into the original ordering -c - do i = 1, n - key(i) = i - end do -c -c perform the heapsort of the input list -c - k = n/2 + 1 - index = n - dowhile (n .gt. 1) - if (k .gt. 1) then - k = k - 1 - lists = list(k) - keys = key(k) - else - lists = list(index) - keys = key(index) - list(index) = list(1) - key(index) = key(1) - index = index - 1 - if (index .le. 1) then - list(1) = lists - key(1) = keys - return - end if - end if - i = k - j = k + k - dowhile (j .le. index) - if (j .lt. index) then - if (list(j) .lt. list(j+1)) j = j + 1 - end if - if (lists .lt. list(j)) then - list(i) = list(j) - key(i) = key(j) - i = j - j = j + j - else - j = index + 1 - end if - end do - list(i) = lists - key(i) = keys - end do - return - end -c -c -c ################################################################# -c ## ## -c ## subroutine sort3 -- heapsort of integer array with keys ## -c ## ## -c ################################################################# -c -c -c "sort3" takes an input list of integers and sorts it -c into ascending order using the Heapsort algorithm; -c it also returns a key into the original ordering -c -c - subroutine sort3 (n,list,key) - implicit none - integer i,j,k,n - integer index - integer lists - integer keys - integer list(*) - integer key(*) -c -c -c initialize index into the original ordering -c - do i = 1, n - key(i) = i - end do -c -c perform the heapsort of the input list -c - k = n/2 + 1 - index = n - dowhile (n .gt. 1) - if (k .gt. 1) then - k = k - 1 - lists = list(k) - keys = key(k) - else - lists = list(index) - keys = key(index) - list(index) = list(1) - key(index) = key(1) - index = index - 1 - if (index .le. 1) then - list(1) = lists - key(1) = keys - return - end if - end if - i = k - j = k + k - dowhile (j .le. index) - if (j .lt. index) then - if (list(j) .lt. list(j+1)) j = j + 1 - end if - if (lists .lt. list(j)) then - list(i) = list(j) - key(i) = key(j) - i = j - j = j + j - else - j = index + 1 - end if - end do - list(i) = lists - key(i) = keys - end do - return - end -c -c -c ################################################################# -c ## ## -c ## subroutine sort4 -- heapsort of integer absolute values ## -c ## ## -c ################################################################# -c -c -c "sort4" takes an input list of integers and sorts it into -c ascending absolute value using the Heapsort algorithm -c -c - subroutine sort4 (n,list) - implicit none - integer i,j,k,n - integer index - integer lists - integer list(*) -c -c -c perform the heapsort of the input list -c - k = n/2 + 1 - index = n - dowhile (n .gt. 1) - if (k .gt. 1) then - k = k - 1 - lists = list(k) - else - lists = list(index) - list(index) = list(1) - index = index - 1 - if (index .le. 1) then - list(1) = lists - return - end if - end if - i = k - j = k + k - dowhile (j .le. index) - if (j .lt. index) then - if (abs(list(j)) .lt. abs(list(j+1))) j = j + 1 - end if - if (abs(lists) .lt. abs(list(j))) then - list(i) = list(j) - i = j - j = j + j - else - j = index + 1 - end if - end do - list(i) = lists - end do - return - end -c -c -c ################################################################ -c ## ## -c ## subroutine sort5 -- heapsort of integer array modulo m ## -c ## ## -c ################################################################ -c -c -c "sort5" takes an input list of integers and sorts it -c into ascending order based on each value modulo "m" -c -c - subroutine sort5 (n,list,m) - implicit none - integer i,j,k,m,n - integer index,smod - integer jmod,j1mod - integer lists - integer list(*) -c -c -c perform the heapsort of the input list -c - k = n/2 + 1 - index = n - dowhile (n .gt. 1) - if (k .gt. 1) then - k = k - 1 - lists = list(k) - else - lists = list(index) - list(index) = list(1) - index = index - 1 - if (index .le. 1) then - list(1) = lists - return - end if - end if - i = k - j = k + k - dowhile (j .le. index) - if (j .lt. index) then - jmod = mod(list(j),m) - j1mod = mod(list(j+1),m) - if (jmod .lt. j1mod) then - j = j + 1 - else if (jmod.eq.j1mod .and. list(j).lt.list(j+1)) then - j = j + 1 - end if - end if - smod = mod(lists,m) - jmod = mod(list(j),m) - if (smod .lt. jmod) then - list(i) = list(j) - i = j - j = j + j - else if (smod.eq.jmod .and. lists.lt.list(j)) then - list(i) = list(j) - i = j - j = j + j - else - j = index + 1 - end if - end do - list(i) = lists - end do - return - end -c -c -c ############################################################# -c ## ## -c ## subroutine sort6 -- heapsort of a text string array ## -c ## ## -c ############################################################# -c -c -c "sort6" takes an input list of character strings and sorts -c it into alphabetical order using the Heapsort algorithm -c -c - subroutine sort6 (n,list) - implicit none - integer i,j,k,n - integer index - character*256 lists - character*(*) list(*) -c -c -c perform the heapsort of the input list -c - k = n/2 + 1 - index = n - dowhile (n .gt. 1) - if (k .gt. 1) then - k = k - 1 - lists = list(k) - else - lists = list(index) - list(index) = list(1) - index = index - 1 - if (index .le. 1) then - list(1) = lists - return - end if - end if - i = k - j = k + k - dowhile (j .le. index) - if (j .lt. index) then - if (list(j) .lt. list(j+1)) j = j + 1 - end if - if (lists .lt. list(j)) then - list(i) = list(j) - i = j - j = j + j - else - j = index + 1 - end if - end do - list(i) = lists - end do - return - end -c -c -c ################################################################ -c ## ## -c ## subroutine sort7 -- heapsort of text strings with keys ## -c ## ## -c ################################################################ -c -c -c "sort7" takes an input list of character strings and sorts it -c into alphabetical order using the Heapsort algorithm; it also -c returns a key into the original ordering -c -c - subroutine sort7 (n,list,key) - implicit none - integer i,j,k,n - integer index - integer keys - integer key(*) - character*256 lists - character*(*) list(*) -c -c -c initialize index into the original ordering -c - do i = 1, n - key(i) = i - end do -c -c perform the heapsort of the input list -c - k = n/2 + 1 - index = n - dowhile (n .gt. 1) - if (k .gt. 1) then - k = k - 1 - lists = list(k) - keys = key(k) - else - lists = list(index) - keys = key(index) - list(index) = list(1) - key(index) = key(1) - index = index - 1 - if (index .le. 1) then - list(1) = lists - key(1) = keys - return - end if - end if - i = k - j = k + k - dowhile (j .le. index) - if (j .lt. index) then - if (list(j) .lt. list(j+1)) j = j + 1 - end if - if (lists .lt. list(j)) then - list(i) = list(j) - key(i) = key(j) - i = j - j = j + j - else - j = index + 1 - end if - end do - list(i) = lists - key(i) = keys - end do - return - end -c -c -c ######################################################### -c ## ## -c ## subroutine sort8 -- heapsort to unique integers ## -c ## ## -c ######################################################### -c -c -c "sort8" takes an input list of integers and sorts it into -c ascending order using the Heapsort algorithm, duplicate -c values are removed from the final sorted list -c -c - subroutine sort8 (n,list) - implicit none - integer i,j,k,n - integer index - integer lists - integer list(*) -c -c -c perform the heapsort of the input list -c - k = n/2 + 1 - index = n - dowhile (n .gt. 1) - if (k .gt. 1) then - k = k - 1 - lists = list(k) - else - lists = list(index) - list(index) = list(1) - index = index - 1 - if (index .le. 1) then - list(1) = lists -c -c remove duplicate values from final list -c - j = 1 - do i = 2, n - if (list(i-1) .ne. list(i)) then - j = j + 1 - list(j) = list(i) - end if - end do - if (j .lt. n) n = j - return - end if - end if - i = k - j = k + k - dowhile (j .le. index) - if (j .lt. index) then - if (list(j) .lt. list(j+1)) j = j + 1 - end if - if (lists .lt. list(j)) then - list(i) = list(j) - i = j - j = j + j - else - j = index + 1 - end if - end do - list(i) = lists - end do - return - end -c -c -c ############################################################# -c ## ## -c ## subroutine sort9 -- heapsort to unique text strings ## -c ## ## -c ############################################################# -c -c -c "sort9" takes an input list of character strings and sorts -c it into alphabetical order using the Heapsort algorithm, -c duplicate values are removed from the final sorted list -c -c - subroutine sort9 (n,list) - implicit none - integer i,j,k,n - integer index - character*256 lists - character*(*) list(*) -c -c -c perform the heapsort of the input list -c - k = n/2 + 1 - index = n - dowhile (n .gt. 1) - if (k .gt. 1) then - k = k - 1 - lists = list(k) - else - lists = list(index) - list(index) = list(1) - index = index - 1 - if (index .le. 1) then - list(1) = lists -c -c remove duplicate values from final list -c - j = 1 - do i = 2, n - if (list(i-1) .ne. list(i)) then - j = j + 1 - list(j) = list(i) - end if - end do - if (j .lt. n) n = j - return - end if - end if - i = k - j = k + k - dowhile (j .le. index) - if (j .lt. index) then - if (list(j) .lt. list(j+1)) j = j + 1 - end if - if (lists .lt. list(j)) then - list(i) = list(j) - i = j - j = j + j - else - j = index + 1 - end if - end do - list(i) = lists - end do - return - end diff --git a/source/unres/src_MD-restraints-PM/ssMD.F b/source/unres/src_MD-restraints-PM/ssMD.F deleted file mode 100644 index eab3c70..0000000 --- a/source/unres/src_MD-restraints-PM/ssMD.F +++ /dev/null @@ -1,1951 +0,0 @@ -c---------------------------------------------------------------------------- - subroutine check_energies -c implicit none - -c Includes - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.SBRIDGE' - include 'COMMON.LOCAL' - include 'COMMON.GEO' - -c External functions - double precision ran_number - external ran_number - -c Local variables - integer i,j,k,l,lmax,p,pmax - double precision rmin,rmax - double precision eij - - double precision d - double precision wi,rij,tj,pj - - -c return - - i=5 - j=14 - - d=dsc(1) - rmin=2.0D0 - rmax=12.0D0 - - lmax=10000 - pmax=1 - - do k=1,3 - c(k,i)=0.0D0 - c(k,j)=0.0D0 - c(k,nres+i)=0.0D0 - c(k,nres+j)=0.0D0 - enddo - - do l=1,lmax - -ct wi=ran_number(0.0D0,pi) -c wi=ran_number(0.0D0,pi/6.0D0) -c wi=0.0D0 -ct tj=ran_number(0.0D0,pi) -ct pj=ran_number(0.0D0,pi) -c pj=ran_number(0.0D0,pi/6.0D0) -c pj=0.0D0 - - do p=1,pmax -ct rij=ran_number(rmin,rmax) - - c(1,j)=d*sin(pj)*cos(tj) - c(2,j)=d*sin(pj)*sin(tj) - c(3,j)=d*cos(pj) - - c(3,nres+i)=-rij - - c(1,i)=d*sin(wi) - c(3,i)=-rij-d*cos(wi) - - do k=1,3 - dc(k,nres+i)=c(k,nres+i)-c(k,i) - dc_norm(k,nres+i)=dc(k,nres+i)/d - dc(k,nres+j)=c(k,nres+j)-c(k,j) - dc_norm(k,nres+j)=dc(k,nres+j)/d - enddo - - call dyn_ssbond_ene(i,j,eij) - enddo - enddo - - call exit(1) - - return - end - -C----------------------------------------------------------------------------- - - subroutine dyn_ssbond_ene(resi,resj,eij) -c implicit none - -c Includes - include 'DIMENSIONS' - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.CALC' -#ifndef CLUST -#ifndef WHAM - include 'COMMON.MD' -#endif -#endif - -c External functions - double precision h_base - external h_base - -c Input arguments - integer resi,resj - -c Output arguments - double precision eij - -c Local variables - logical havebond -c integer itypi,itypj,k,l - double precision rrij,ssd,deltat1,deltat2,deltat12,cosphi - double precision sig0ij,ljd,sig,fac,e1,e2 - double precision dcosom1(3),dcosom2(3),ed - double precision pom1,pom2 - double precision ljA,ljB,ljXs - double precision d_ljB(1:3) - double precision ssA,ssB,ssC,ssXs - double precision ssxm,ljxm,ssm,ljm - double precision d_ssxm(1:3),d_ljxm(1:3),d_ssm(1:3),d_ljm(1:3) - double precision f1,f2,h1,h2,hd1,hd2 - double precision omega,delta_inv,deltasq_inv,fac1,fac2 -c-------FIRST METHOD - double precision xm,d_xm(1:3) -c-------END FIRST METHOD -c-------SECOND METHOD -c$$$ double precision ss,d_ss(0:3),ljf,d_ljf(0:3) -c-------END SECOND METHOD - -c-------TESTING CODE - logical checkstop,transgrad - common /sschecks/ checkstop,transgrad - - integer icheck,nicheck,jcheck,njcheck - double precision echeck(-1:1),deps,ssx0,ljx0 -c-------END TESTING CODE - - - i=resi - j=resj - - itypi=itype(i) - dxi=dc_norm(1,nres+i) - dyi=dc_norm(2,nres+i) - dzi=dc_norm(3,nres+i) - dsci_inv=vbld_inv(i+nres) - - itypj=itype(j) - xj=c(1,nres+j)-c(1,nres+i) - yj=c(2,nres+j)-c(2,nres+i) - zj=c(3,nres+j)-c(3,nres+i) - dxj=dc_norm(1,nres+j) - dyj=dc_norm(2,nres+j) - dzj=dc_norm(3,nres+j) - dscj_inv=vbld_inv(j+nres) - - chi1=chi(itypi,itypj) - chi2=chi(itypj,itypi) - chi12=chi1*chi2 - chip1=chip(itypi) - chip2=chip(itypj) - chip12=chip1*chip2 - alf1=alp(itypi) - alf2=alp(itypj) - alf12=0.5D0*(alf1+alf2) - - rrij=1.0D0/(xj*xj+yj*yj+zj*zj) - rij=dsqrt(rrij) ! sc_angular needs rij to really be the inverse -c The following are set in sc_angular -c erij(1)=xj*rij -c erij(2)=yj*rij -c erij(3)=zj*rij -c om1=dxi*erij(1)+dyi*erij(2)+dzi*erij(3) -c om2=dxj*erij(1)+dyj*erij(2)+dzj*erij(3) -c om12=dxi*dxj+dyi*dyj+dzi*dzj - call sc_angular - rij=1.0D0/rij ! Reset this so it makes sense - - sig0ij=sigma(itypi,itypj) - sig=sig0ij*dsqrt(1.0D0/sigsq) - - ljXs=sig-sig0ij - ljA=eps1*eps2rt**2*eps3rt**2 - ljB=ljA*bb(itypi,itypj) - ljA=ljA*aa(itypi,itypj) - ljxm=ljXs+(-2.0D0*aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) - - ssXs=d0cm - deltat1=1.0d0-om1 - deltat2=1.0d0+om2 - deltat12=om2-om1+2.0d0 - cosphi=om12-om1*om2 - ssA=akcm - ssB=akct*deltat12 - ssC=ss_depth - & +akth*(deltat1*deltat1+deltat2*deltat2) - & +v1ss*cosphi+v2ss*cosphi*cosphi+v3ss*cosphi*cosphi*cosphi - ssxm=ssXs-0.5D0*ssB/ssA - -c-------TESTING CODE -c$$$c Some extra output -c$$$ ssm=ssC-0.25D0*ssB*ssB/ssA -c$$$ ljm=-0.25D0*ljB*bb(itypi,itypj)/aa(itypi,itypj) -c$$$ ssx0=ssB*ssB-4.0d0*ssA*ssC -c$$$ if (ssx0.gt.0.0d0) then -c$$$ ssx0=ssXs+0.5d0*(-ssB+sqrt(ssx0))/ssA -c$$$ else -c$$$ ssx0=ssxm -c$$$ endif -c$$$ ljx0=ljXs+(-aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) -c$$$ write(iout,'(a,4f8.2,2f15.2,3f6.2)')"SSENERGIES ", -c$$$ & ssxm,ljxm,ssx0,ljx0,ssm,ljm,om1,om2,om12 -c$$$ return -c-------END TESTING CODE - -c-------TESTING CODE -c Stop and plot energy and derivative as a function of distance - if (checkstop) then - ssm=ssC-0.25D0*ssB*ssB/ssA - ljm=-0.25D0*ljB*bb(itypi,itypj)/aa(itypi,itypj) - if (ssm.lt.ljm .and. - & dabs(rij-0.5d0*(ssxm+ljxm)).lt.0.35d0*(ljxm-ssxm)) then - nicheck=1000 - njcheck=1 - deps=0.5d-7 - else - checkstop=.false. - endif - endif - if (.not.checkstop) then - nicheck=0 - njcheck=-1 - endif - - do icheck=0,nicheck - do jcheck=-1,njcheck - if (checkstop) rij=(ssxm-1.0d0)+ - & ((ljxm-ssxm+2.0d0)*icheck)/nicheck+jcheck*deps -c-------END TESTING CODE - - if (rij.gt.ljxm) then - havebond=.false. - ljd=rij-ljXs - fac=(1.0D0/ljd)**expon - e1=fac*fac*aa(itypi,itypj) - e2=fac*bb(itypi,itypj) - eij=eps1*eps2rt*eps3rt*(e1+e2) - eps2der=eij*eps3rt - eps3der=eij*eps2rt - eij=eij*eps2rt*eps3rt - - sigder=-sig/sigsq - e1=e1*eps1*eps2rt**2*eps3rt**2 - ed=-expon*(e1+eij)/ljd - sigder=ed*sigder - eom1=eps2der*eps2rt_om1-2.0D0*alf1*eps3der+sigder*sigsq_om1 - eom2=eps2der*eps2rt_om2+2.0D0*alf2*eps3der+sigder*sigsq_om2 - eom12=eij*eps1_om12+eps2der*eps2rt_om12 - & -2.0D0*alf12*eps3der+sigder*sigsq_om12 - else if (rij.lt.ssxm) then - havebond=.true. - ssd=rij-ssXs - eij=ssA*ssd*ssd+ssB*ssd+ssC - - ed=2*akcm*ssd+akct*deltat12 - pom1=akct*ssd - pom2=v1ss+2*v2ss*cosphi+3*v3ss*cosphi*cosphi - eom1=-2*akth*deltat1-pom1-om2*pom2 - eom2= 2*akth*deltat2+pom1-om1*pom2 - eom12=pom2 - else - omega=v1ss+2.0d0*v2ss*cosphi+3.0d0*v3ss*cosphi*cosphi - - d_ssxm(1)=0.5D0*akct/ssA - d_ssxm(2)=-d_ssxm(1) - d_ssxm(3)=0.0D0 - - d_ljxm(1)=sig0ij/sqrt(sigsq**3) - d_ljxm(2)=d_ljxm(1)*sigsq_om2 - d_ljxm(3)=d_ljxm(1)*sigsq_om12 - d_ljxm(1)=d_ljxm(1)*sigsq_om1 - -c-------FIRST METHOD, DISCONTINUOUS SECOND DERIVATIVE - xm=0.5d0*(ssxm+ljxm) - do k=1,3 - d_xm(k)=0.5d0*(d_ssxm(k)+d_ljxm(k)) - enddo - if (rij.lt.xm) then - havebond=.true. - ssm=ssC-0.25D0*ssB*ssB/ssA - d_ssm(1)=0.5D0*akct*ssB/ssA - d_ssm(2)=2.0D0*akth*deltat2-om1*omega-d_ssm(1) - d_ssm(1)=-2.0D0*akth*deltat1-om2*omega+d_ssm(1) - d_ssm(3)=omega - f1=(rij-xm)/(ssxm-xm) - f2=(rij-ssxm)/(xm-ssxm) - h1=h_base(f1,hd1) - h2=h_base(f2,hd2) - eij=ssm*h1+Ht*h2 - delta_inv=1.0d0/(xm-ssxm) - deltasq_inv=delta_inv*delta_inv - fac=ssm*hd1-Ht*hd2 - fac1=deltasq_inv*fac*(xm-rij) - fac2=deltasq_inv*fac*(rij-ssxm) - ed=delta_inv*(Ht*hd2-ssm*hd1) - eom1=fac1*d_ssxm(1)+fac2*d_xm(1)+h1*d_ssm(1) - eom2=fac1*d_ssxm(2)+fac2*d_xm(2)+h1*d_ssm(2) - eom12=fac1*d_ssxm(3)+fac2*d_xm(3)+h1*d_ssm(3) - else - havebond=.false. - ljm=-0.25D0*ljB*bb(itypi,itypj)/aa(itypi,itypj) - d_ljm(1)=-0.5D0*bb(itypi,itypj)/aa(itypi,itypj)*ljB - d_ljm(2)=d_ljm(1)*(0.5D0*eps2rt_om2/eps2rt+alf2/eps3rt) - d_ljm(3)=d_ljm(1)*(0.5D0*eps1_om12+0.5D0*eps2rt_om12/eps2rt- - + alf12/eps3rt) - d_ljm(1)=d_ljm(1)*(0.5D0*eps2rt_om1/eps2rt-alf1/eps3rt) - f1=(rij-ljxm)/(xm-ljxm) - f2=(rij-xm)/(ljxm-xm) - h1=h_base(f1,hd1) - h2=h_base(f2,hd2) - eij=Ht*h1+ljm*h2 - delta_inv=1.0d0/(ljxm-xm) - deltasq_inv=delta_inv*delta_inv - fac=Ht*hd1-ljm*hd2 - fac1=deltasq_inv*fac*(ljxm-rij) - fac2=deltasq_inv*fac*(rij-xm) - ed=delta_inv*(ljm*hd2-Ht*hd1) - eom1=fac1*d_xm(1)+fac2*d_ljxm(1)+h2*d_ljm(1) - eom2=fac1*d_xm(2)+fac2*d_ljxm(2)+h2*d_ljm(2) - eom12=fac1*d_xm(3)+fac2*d_ljxm(3)+h2*d_ljm(3) - endif -c-------END FIRST METHOD, DISCONTINUOUS SECOND DERIVATIVE - -c-------SECOND METHOD, CONTINUOUS SECOND DERIVATIVE -c$$$ ssd=rij-ssXs -c$$$ ljd=rij-ljXs -c$$$ fac1=rij-ljxm -c$$$ fac2=rij-ssxm -c$$$ -c$$$ d_ljB(1)=ljB*(eps2rt_om1/eps2rt-2.0d0*alf1/eps3rt) -c$$$ d_ljB(2)=ljB*(eps2rt_om2/eps2rt+2.0d0*alf2/eps3rt) -c$$$ d_ljB(3)=ljB*(eps1_om12+eps2rt_om12/eps2rt-2.0d0*alf12/eps3rt) -c$$$ -c$$$ ssm=ssC-0.25D0*ssB*ssB/ssA -c$$$ d_ssm(1)=0.5D0*akct*ssB/ssA -c$$$ d_ssm(2)=2.0D0*akth*deltat2-om1*omega-d_ssm(1) -c$$$ d_ssm(1)=-2.0D0*akth*deltat1-om2*omega+d_ssm(1) -c$$$ d_ssm(3)=omega -c$$$ -c$$$ ljm=-0.25D0*bb(itypi,itypj)/aa(itypi,itypj) -c$$$ do k=1,3 -c$$$ d_ljm(k)=ljm*d_ljB(k) -c$$$ enddo -c$$$ ljm=ljm*ljB -c$$$ -c$$$ ss=ssA*ssd*ssd+ssB*ssd+ssC -c$$$ d_ss(0)=2.0d0*ssA*ssd+ssB -c$$$ d_ss(2)=akct*ssd -c$$$ d_ss(1)=-d_ss(2)-2.0d0*akth*deltat1-om2*omega -c$$$ d_ss(2)=d_ss(2)+2.0d0*akth*deltat2-om1*omega -c$$$ d_ss(3)=omega -c$$$ -c$$$ ljf=bb(itypi,itypj)/aa(itypi,itypj) -c$$$ ljf=9.0d0*ljf*(-0.5d0*ljf)**(1.0d0/3.0d0) -c$$$ d_ljf(0)=ljf*2.0d0*ljB*fac1 -c$$$ do k=1,3 -c$$$ d_ljf(k)=d_ljm(k)+ljf*(d_ljB(k)*fac1*fac1- -c$$$ & 2.0d0*ljB*fac1*d_ljxm(k)) -c$$$ enddo -c$$$ ljf=ljm+ljf*ljB*fac1*fac1 -c$$$ -c$$$ f1=(rij-ljxm)/(ssxm-ljxm) -c$$$ f2=(rij-ssxm)/(ljxm-ssxm) -c$$$ h1=h_base(f1,hd1) -c$$$ h2=h_base(f2,hd2) -c$$$ eij=ss*h1+ljf*h2 -c$$$ delta_inv=1.0d0/(ljxm-ssxm) -c$$$ deltasq_inv=delta_inv*delta_inv -c$$$ fac=ljf*hd2-ss*hd1 -c$$$ ed=d_ss(0)*h1+d_ljf(0)*h2+delta_inv*fac -c$$$ eom1=d_ss(1)*h1+d_ljf(1)*h2+deltasq_inv*fac* -c$$$ & (fac1*d_ssxm(1)-fac2*(d_ljxm(1))) -c$$$ eom2=d_ss(2)*h1+d_ljf(2)*h2+deltasq_inv*fac* -c$$$ & (fac1*d_ssxm(2)-fac2*(d_ljxm(2))) -c$$$ eom12=d_ss(3)*h1+d_ljf(3)*h2+deltasq_inv*fac* -c$$$ & (fac1*d_ssxm(3)-fac2*(d_ljxm(3))) -c$$$ -c$$$ havebond=.false. -c$$$ if (ed.gt.0.0d0) havebond=.true. -c-------END SECOND METHOD, CONTINUOUS SECOND DERIVATIVE - - endif - - if (havebond) then -#ifndef CLUST -#ifndef WHAM -c if (dyn_ssbond_ij(i,j).eq.1.0d300) then -c write(iout,'(a15,f12.2,f8.1,2i5)') -c & "SSBOND_E_FORM",totT,t_bath,i,j -c endif -#endif -#endif - dyn_ssbond_ij(i,j)=eij - else if (.not.havebond .and. dyn_ssbond_ij(i,j).lt.1.0d300) then - dyn_ssbond_ij(i,j)=1.0d300 -#ifndef CLUST -#ifndef WHAM -c write(iout,'(a15,f12.2,f8.1,2i5)') -c & "SSBOND_E_BREAK",totT,t_bath,i,j -#endif -#endif - endif - -c-------TESTING CODE - if (checkstop) then - if (jcheck.eq.0) write(iout,'(a,3f15.8,$)') - & "CHECKSTOP",rij,eij,ed - echeck(jcheck)=eij - endif - enddo - if (checkstop) then - write(iout,'(f15.8)')(echeck(1)-echeck(-1))*0.5d0/deps - endif - enddo - if (checkstop) then - transgrad=.true. - checkstop=.false. - endif -c-------END TESTING CODE - - do k=1,3 - dcosom1(k)=(dc_norm(k,nres+i)-om1*erij(k))/rij - dcosom2(k)=(dc_norm(k,nres+j)-om2*erij(k))/rij - enddo - do k=1,3 - gg(k)=ed*erij(k)+eom1*dcosom1(k)+eom2*dcosom2(k) - enddo - do k=1,3 - gvdwx(k,i)=gvdwx(k,i)-gg(k) - & +(eom12*(dc_norm(k,nres+j)-om12*dc_norm(k,nres+i)) - & +eom1*(erij(k)-om1*dc_norm(k,nres+i)))*dsci_inv - gvdwx(k,j)=gvdwx(k,j)+gg(k) - & +(eom12*(dc_norm(k,nres+i)-om12*dc_norm(k,nres+j)) - & +eom2*(erij(k)-om2*dc_norm(k,nres+j)))*dscj_inv - enddo -cgrad do k=i,j-1 -cgrad do l=1,3 -cgrad gvdwc(l,k)=gvdwc(l,k)+gg(l) -cgrad enddo -cgrad enddo - - do l=1,3 - gvdwc(l,i)=gvdwc(l,i)-gg(l) - gvdwc(l,j)=gvdwc(l,j)+gg(l) - enddo - - return - end - -C----------------------------------------------------------------------------- - - double precision function h_base(x,deriv) -c A smooth function going 0->1 in range [0,1] -c It should NOT be called outside range [0,1], it will not work there. - implicit none - -c Input arguments - double precision x - -c Output arguments - double precision deriv - -c Local variables - double precision xsq - - -c Two parabolas put together. First derivative zero at extrema -c$$$ if (x.lt.0.5D0) then -c$$$ h_base=2.0D0*x*x -c$$$ deriv=4.0D0*x -c$$$ else -c$$$ deriv=1.0D0-x -c$$$ h_base=1.0D0-2.0D0*deriv*deriv -c$$$ deriv=4.0D0*deriv -c$$$ endif - -c Third degree polynomial. First derivative zero at extrema - h_base=x*x*(3.0d0-2.0d0*x) - deriv=6.0d0*x*(1.0d0-x) - -c Fifth degree polynomial. First and second derivatives zero at extrema -c$$$ xsq=x*x -c$$$ h_base=x*xsq*(6.0d0*xsq-15.0d0*x+10.0d0) -c$$$ deriv=x-1.0d0 -c$$$ deriv=deriv*deriv -c$$$ deriv=30.0d0*xsq*deriv - - return - end - -c---------------------------------------------------------------------------- - - subroutine dyn_set_nss -c Adjust nss and other relevant variables based on dyn_ssbond_ij -c implicit none - -c Includes - include 'DIMENSIONS' -#ifdef MPI - include "mpif.h" -#endif - include 'COMMON.SBRIDGE' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.SETUP' -#ifndef CLUST -#ifndef WHAM - include 'COMMON.MD' -#endif -#endif - -c Local variables - double precision emin - integer i,j,imin - integer diff,allflag(maxdim),allnss, - & allihpb(maxdim),alljhpb(maxdim), - & newnss,newihpb(maxdim),newjhpb(maxdim) - logical found - integer i_newnss(max_fg_procs),displ(max_fg_procs) - integer g_newihpb(maxdim),g_newjhpb(maxdim),g_newnss - - allnss=0 - do i=1,nres-1 - do j=i+1,nres - if (dyn_ssbond_ij(i,j).lt.1.0d300) then - allnss=allnss+1 - allflag(allnss)=0 - allihpb(allnss)=i - alljhpb(allnss)=j - endif - enddo - enddo - -cmc write(iout,*)"ALLNSS ",allnss,(allihpb(i),alljhpb(i),i=1,allnss) - - 1 emin=1.0d300 - do i=1,allnss - if (allflag(i).eq.0 .and. - & dyn_ssbond_ij(allihpb(i),alljhpb(i)).lt.emin) then - emin=dyn_ssbond_ij(allihpb(i),alljhpb(i)) - imin=i - endif - enddo - if (emin.lt.1.0d300) then - allflag(imin)=1 - do i=1,allnss - if (allflag(i).eq.0 .and. - & (allihpb(i).eq.allihpb(imin) .or. - & alljhpb(i).eq.allihpb(imin) .or. - & allihpb(i).eq.alljhpb(imin) .or. - & alljhpb(i).eq.alljhpb(imin))) then - allflag(i)=-1 - endif - enddo - goto 1 - endif - -cmc write(iout,*)"ALLNSS ",allnss,(allihpb(i),alljhpb(i),i=1,allnss) - - newnss=0 - do i=1,allnss - if (allflag(i).eq.1) then - newnss=newnss+1 - newihpb(newnss)=allihpb(i) - newjhpb(newnss)=alljhpb(i) - endif - enddo - -#ifdef MPI - if (nfgtasks.gt.1)then - - call MPI_Reduce(newnss,g_newnss,1, - & MPI_INTEGER,MPI_SUM,king,FG_COMM,IERR) - call MPI_Gather(newnss,1,MPI_INTEGER, - & i_newnss,1,MPI_INTEGER,king,FG_COMM,IERR) - displ(0)=0 - do i=1,nfgtasks-1,1 - displ(i)=i_newnss(i-1)+displ(i-1) - enddo - call MPI_Gatherv(newihpb,newnss,MPI_INTEGER, - & g_newihpb,i_newnss,displ,MPI_INTEGER, - & king,FG_COMM,IERR) - call MPI_Gatherv(newjhpb,newnss,MPI_INTEGER, - & g_newjhpb,i_newnss,displ,MPI_INTEGER, - & king,FG_COMM,IERR) - if(fg_rank.eq.0) then -c print *,'g_newnss',g_newnss -c print *,'g_newihpb',(g_newihpb(i),i=1,g_newnss) -c print *,'g_newjhpb',(g_newjhpb(i),i=1,g_newnss) - newnss=g_newnss - do i=1,newnss - newihpb(i)=g_newihpb(i) - newjhpb(i)=g_newjhpb(i) - enddo - endif - endif -#endif - - diff=newnss-nss - -cmc write(iout,*)"NEWNSS ",newnss,(newihpb(i),newjhpb(i),i=1,newnss) - - do i=1,nss - found=.false. - do j=1,newnss - if (idssb(i).eq.newihpb(j) .and. - & jdssb(i).eq.newjhpb(j)) found=.true. - enddo -#ifndef CLUST -#ifndef WHAM - if (.not.found.and.fg_rank.eq.0) - & write(iout,'(a15,f12.2,f8.1,2i5)') - & "SSBOND_BREAK",totT,t_bath,idssb(i),jdssb(i) -#endif -#endif - enddo - - do i=1,newnss - found=.false. - do j=1,nss - if (newihpb(i).eq.idssb(j) .and. - & newjhpb(i).eq.jdssb(j)) found=.true. - enddo -#ifndef CLUST -#ifndef WHAM - if (.not.found.and.fg_rank.eq.0) - & write(iout,'(a15,f12.2,f8.1,2i5)') - & "SSBOND_FORM",totT,t_bath,newihpb(i),newjhpb(i) -#endif -#endif - enddo - - nss=newnss - do i=1,nss - idssb(i)=newihpb(i) - jdssb(i)=newjhpb(i) - enddo - - return - end - -c---------------------------------------------------------------------------- - -#ifdef WHAM - subroutine read_ssHist - implicit none - -c Includes - include 'DIMENSIONS' - include "DIMENSIONS.FREE" - include 'COMMON.FREE' - -c Local variables - integer i,j - character*80 controlcard - - do i=1,dyn_nssHist - call card_concat(controlcard,.true.) - read(controlcard,*) - & dyn_ssHist(i,0),(dyn_ssHist(i,j),j=1,2*dyn_ssHist(i,0)) - enddo - - return - end -#endif - -c---------------------------------------------------------------------------- - - -C----------------------------------------------------------------------------- -C----------------------------------------------------------------------------- -C----------------------------------------------------------------------------- -C----------------------------------------------------------------------------- -C----------------------------------------------------------------------------- -C----------------------------------------------------------------------------- -C----------------------------------------------------------------------------- - -c$$$c----------------------------------------------------------------------------- -c$$$ -c$$$ subroutine ss_relax(i_in,j_in) -c$$$ implicit none -c$$$ -c$$$c Includes -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.CHAIN' -c$$$ include 'COMMON.IOUNITS' -c$$$ include 'COMMON.INTERACT' -c$$$ -c$$$c Input arguments -c$$$ integer i_in,j_in -c$$$ -c$$$c Local variables -c$$$ integer i,iretcode,nfun_sc -c$$$ logical scfail -c$$$ double precision var(maxvar),e_sc,etot -c$$$ -c$$$ -c$$$ mask_r=.true. -c$$$ do i=nnt,nct -c$$$ mask_side(i)=0 -c$$$ enddo -c$$$ mask_side(i_in)=1 -c$$$ mask_side(j_in)=1 -c$$$ -c$$$c Minimize the two selected side-chains -c$$$ call overlap_sc(scfail) ! Better not fail! -c$$$ call minimize_sc(e_sc,var,iretcode,nfun_sc) -c$$$ -c$$$ mask_r=.false. -c$$$ -c$$$ return -c$$$ end -c$$$ -c$$$c------------------------------------------------------------- -c$$$ -c$$$ subroutine minimize_sc(etot_sc,iretcode,nfun) -c$$$c Minimize side-chains only, starting from geom but without modifying -c$$$c bond lengths. -c$$$c If mask_r is already set, only the selected side-chains are minimized, -c$$$c otherwise all side-chains are minimized keeping the backbone frozen. -c$$$ implicit none -c$$$ -c$$$c Includes -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.IOUNITS' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.CHAIN' -c$$$ include 'COMMON.GEO' -c$$$ include 'COMMON.MINIM' -c$$$ integer icall -c$$$ common /srutu/ icall -c$$$ -c$$$c Output arguments -c$$$ double precision etot_sc -c$$$ integer iretcode,nfun -c$$$ -c$$$c External functions/subroutines -c$$$ external func_sc,grad_sc,fdum -c$$$ -c$$$c Local variables -c$$$ integer liv,lv -c$$$ parameter (liv=60,lv=(77+maxvar*(maxvar+17)/2)) -c$$$ integer iv(liv) -c$$$ double precision rdum(1) -c$$$ double precision d(maxvar),v(1:lv),x(maxvar),xx(maxvar) -c$$$ integer idum(1) -c$$$ integer i,nvar_restr -c$$$ -c$$$ -c$$$cmc start_minim=.true. -c$$$ call deflt(2,iv,liv,lv,v) -c$$$* 12 means fresh start, dont call deflt -c$$$ iv(1)=12 -c$$$* max num of fun calls -c$$$ if (maxfun.eq.0) maxfun=500 -c$$$ iv(17)=maxfun -c$$$* max num of iterations -c$$$ if (maxmin.eq.0) maxmin=1000 -c$$$ iv(18)=maxmin -c$$$* controls output -c$$$ iv(19)=1 -c$$$* selects output unit -c$$$ iv(21)=0 -c$$$c iv(21)=iout ! DEBUG -c$$$c iv(21)=8 ! DEBUG -c$$$* 1 means to print out result -c$$$ iv(22)=0 -c$$$c iv(22)=1 ! DEBUG -c$$$* 1 means to print out summary stats -c$$$ iv(23)=0 -c$$$c iv(23)=1 ! DEBUG -c$$$* 1 means to print initial x and d -c$$$ iv(24)=0 -c$$$c iv(24)=1 ! DEBUG -c$$$* min val for v(radfac) default is 0.1 -c$$$ v(24)=0.1D0 -c$$$* max val for v(radfac) default is 4.0 -c$$$ v(25)=2.0D0 -c$$$c v(25)=4.0D0 -c$$$* check false conv if (act fnctn decrease) .lt. v(26)*(exp decrease) -c$$$* the sumsl default is 0.1 -c$$$ v(26)=0.1D0 -c$$$* false conv if (act fnctn decrease) .lt. v(34) -c$$$* the sumsl default is 100*machep -c$$$ v(34)=v(34)/100.0D0 -c$$$* absolute convergence -c$$$ if (tolf.eq.0.0D0) tolf=1.0D-4 -c$$$ v(31)=tolf -c$$$* relative convergence -c$$$ if (rtolf.eq.0.0D0) rtolf=1.0D-1 -c$$$ v(32)=rtolf -c$$$* controls initial step size -c$$$ v(35)=1.0D-1 -c$$$* large vals of d correspond to small components of step -c$$$ do i=1,nphi -c$$$ d(i)=1.0D-1 -c$$$ enddo -c$$$ do i=nphi+1,nvar -c$$$ d(i)=1.0D-1 -c$$$ enddo -c$$$ -c$$$ call geom_to_var(nvar,x) -c$$$ IF (mask_r) THEN -c$$$ do i=1,nres ! Just in case... -c$$$ mask_phi(i)=0 -c$$$ mask_theta(i)=0 -c$$$ enddo -c$$$ call x2xx(x,xx,nvar_restr) -c$$$ call sumsl(nvar_restr,d,xx,func_sc,grad_sc, -c$$$ & iv,liv,lv,v,idum,rdum,fdum) -c$$$ call xx2x(x,xx) -c$$$ ELSE -c$$$c When minimizing ALL side-chains, etotal_sc is a little -c$$$c faster if we don't set mask_r -c$$$ do i=1,nres -c$$$ mask_phi(i)=0 -c$$$ mask_theta(i)=0 -c$$$ mask_side(i)=1 -c$$$ enddo -c$$$ call x2xx(x,xx,nvar_restr) -c$$$ call sumsl(nvar_restr,d,xx,func_sc,grad_sc, -c$$$ & iv,liv,lv,v,idum,rdum,fdum) -c$$$ call xx2x(x,xx) -c$$$ ENDIF -c$$$ call var_to_geom(nvar,x) -c$$$ call chainbuild_sc -c$$$ etot_sc=v(10) -c$$$ iretcode=iv(1) -c$$$ nfun=iv(6) -c$$$ return -c$$$ end -c$$$ -c$$$C-------------------------------------------------------------------------- -c$$$ -c$$$ subroutine chainbuild_sc -c$$$ implicit none -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.INTERACT' -c$$$ -c$$$c Local variables -c$$$ integer i -c$$$ -c$$$ -c$$$ do i=nnt,nct -c$$$ if (.not.mask_r .or. mask_side(i).eq.1) then -c$$$ call locate_side_chain(i) -c$$$ endif -c$$$ enddo -c$$$ -c$$$ return -c$$$ end -c$$$ -c$$$C-------------------------------------------------------------------------- -c$$$ -c$$$ subroutine func_sc(n,x,nf,f,uiparm,urparm,ufparm) -c$$$ implicit none -c$$$ -c$$$c Includes -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.DERIV' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.MINIM' -c$$$ include 'COMMON.IOUNITS' -c$$$ -c$$$c Input arguments -c$$$ integer n -c$$$ double precision x(maxvar) -c$$$ double precision ufparm -c$$$ external ufparm -c$$$ -c$$$c Input/Output arguments -c$$$ integer nf -c$$$ integer uiparm(1) -c$$$ double precision urparm(1) -c$$$ -c$$$c Output arguments -c$$$ double precision f -c$$$ -c$$$c Local variables -c$$$ double precision energia(0:n_ene) -c$$$#ifdef OSF -c$$$c Variables used to intercept NaNs -c$$$ double precision x_sum -c$$$ integer i_NAN -c$$$#endif -c$$$ -c$$$ -c$$$ nfl=nf -c$$$ icg=mod(nf,2)+1 -c$$$ -c$$$#ifdef OSF -c$$$c Intercept NaNs in the coordinates, before calling etotal_sc -c$$$ x_sum=0.D0 -c$$$ do i_NAN=1,n -c$$$ x_sum=x_sum+x(i_NAN) -c$$$ enddo -c$$$c Calculate the energy only if the coordinates are ok -c$$$ if ((.not.(x_sum.lt.0.D0)) .and. (.not.(x_sum.ge.0.D0))) then -c$$$ write(iout,*)" *** func_restr_sc : Found NaN in coordinates" -c$$$ f=1.0D+77 -c$$$ nf=0 -c$$$ else -c$$$#endif -c$$$ -c$$$ call var_to_geom_restr(n,x) -c$$$ call zerograd -c$$$ call chainbuild_sc -c$$$ call etotal_sc(energia(0)) -c$$$ f=energia(0) -c$$$ if (energia(1).eq.1.0D20 .or. energia(0).eq.1.0D99) nf=0 -c$$$ -c$$$#ifdef OSF -c$$$ endif -c$$$#endif -c$$$ -c$$$ return -c$$$ end -c$$$ -c$$$c------------------------------------------------------- -c$$$ -c$$$ subroutine grad_sc(n,x,nf,g,uiparm,urparm,ufparm) -c$$$ implicit none -c$$$ -c$$$c Includes -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.CHAIN' -c$$$ include 'COMMON.DERIV' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.INTERACT' -c$$$ include 'COMMON.MINIM' -c$$$ -c$$$c Input arguments -c$$$ integer n -c$$$ double precision x(maxvar) -c$$$ double precision ufparm -c$$$ external ufparm -c$$$ -c$$$c Input/Output arguments -c$$$ integer nf -c$$$ integer uiparm(1) -c$$$ double precision urparm(1) -c$$$ -c$$$c Output arguments -c$$$ double precision g(maxvar) -c$$$ -c$$$c Local variables -c$$$ double precision f,gphii,gthetai,galphai,gomegai -c$$$ integer ig,ind,i,j,k,igall,ij -c$$$ -c$$$ -c$$$ icg=mod(nf,2)+1 -c$$$ if (nf-nfl+1) 20,30,40 -c$$$ 20 call func_sc(n,x,nf,f,uiparm,urparm,ufparm) -c$$$c write (iout,*) 'grad 20' -c$$$ if (nf.eq.0) return -c$$$ goto 40 -c$$$ 30 call var_to_geom_restr(n,x) -c$$$ call chainbuild_sc -c$$$C -c$$$C Evaluate the derivatives of virtual bond lengths and SC vectors in variables. -c$$$C -c$$$ 40 call cartder -c$$$C -c$$$C Convert the Cartesian gradient into internal-coordinate gradient. -c$$$C -c$$$ -c$$$ ig=0 -c$$$ ind=nres-2 -c$$$ do i=2,nres-2 -c$$$ IF (mask_phi(i+2).eq.1) THEN -c$$$ gphii=0.0D0 -c$$$ do j=i+1,nres-1 -c$$$ ind=ind+1 -c$$$ do k=1,3 -c$$$ gphii=gphii+dcdv(k+3,ind)*gradc(k,j,icg) -c$$$ gphii=gphii+dxdv(k+3,ind)*gradx(k,j,icg) -c$$$ enddo -c$$$ enddo -c$$$ ig=ig+1 -c$$$ g(ig)=gphii -c$$$ ELSE -c$$$ ind=ind+nres-1-i -c$$$ ENDIF -c$$$ enddo -c$$$ -c$$$ -c$$$ ind=0 -c$$$ do i=1,nres-2 -c$$$ IF (mask_theta(i+2).eq.1) THEN -c$$$ ig=ig+1 -c$$$ gthetai=0.0D0 -c$$$ do j=i+1,nres-1 -c$$$ ind=ind+1 -c$$$ do k=1,3 -c$$$ gthetai=gthetai+dcdv(k,ind)*gradc(k,j,icg) -c$$$ gthetai=gthetai+dxdv(k,ind)*gradx(k,j,icg) -c$$$ enddo -c$$$ enddo -c$$$ g(ig)=gthetai -c$$$ ELSE -c$$$ ind=ind+nres-1-i -c$$$ ENDIF -c$$$ enddo -c$$$ -c$$$ do i=2,nres-1 -c$$$ if (itype(i).ne.10) then -c$$$ IF (mask_side(i).eq.1) THEN -c$$$ ig=ig+1 -c$$$ galphai=0.0D0 -c$$$ do k=1,3 -c$$$ galphai=galphai+dxds(k,i)*gradx(k,i,icg) -c$$$ enddo -c$$$ g(ig)=galphai -c$$$ ENDIF -c$$$ endif -c$$$ enddo -c$$$ -c$$$ -c$$$ do i=2,nres-1 -c$$$ if (itype(i).ne.10) then -c$$$ IF (mask_side(i).eq.1) THEN -c$$$ ig=ig+1 -c$$$ gomegai=0.0D0 -c$$$ do k=1,3 -c$$$ gomegai=gomegai+dxds(k+3,i)*gradx(k,i,icg) -c$$$ enddo -c$$$ g(ig)=gomegai -c$$$ ENDIF -c$$$ endif -c$$$ enddo -c$$$ -c$$$C -c$$$C Add the components corresponding to local energy terms. -c$$$C -c$$$ -c$$$ ig=0 -c$$$ igall=0 -c$$$ do i=4,nres -c$$$ igall=igall+1 -c$$$ if (mask_phi(i).eq.1) then -c$$$ ig=ig+1 -c$$$ g(ig)=g(ig)+gloc(igall,icg) -c$$$ endif -c$$$ enddo -c$$$ -c$$$ do i=3,nres -c$$$ igall=igall+1 -c$$$ if (mask_theta(i).eq.1) then -c$$$ ig=ig+1 -c$$$ g(ig)=g(ig)+gloc(igall,icg) -c$$$ endif -c$$$ enddo -c$$$ -c$$$ do ij=1,2 -c$$$ do i=2,nres-1 -c$$$ if (itype(i).ne.10) then -c$$$ igall=igall+1 -c$$$ if (mask_side(i).eq.1) then -c$$$ ig=ig+1 -c$$$ g(ig)=g(ig)+gloc(igall,icg) -c$$$ endif -c$$$ endif -c$$$ enddo -c$$$ enddo -c$$$ -c$$$cd do i=1,ig -c$$$cd write (iout,'(a2,i5,a3,f25.8)') 'i=',i,' g=',g(i) -c$$$cd enddo -c$$$ -c$$$ return -c$$$ end -c$$$ -c$$$C----------------------------------------------------------------------------- -c$$$ -c$$$ subroutine etotal_sc(energy_sc) -c$$$ implicit none -c$$$ -c$$$c Includes -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.INTERACT' -c$$$ include 'COMMON.DERIV' -c$$$ include 'COMMON.FFIELD' -c$$$ -c$$$c Output arguments -c$$$ double precision energy_sc(0:n_ene) -c$$$ -c$$$c Local variables -c$$$ double precision evdw,escloc -c$$$ integer i,j -c$$$ -c$$$ -c$$$ do i=1,n_ene -c$$$ energy_sc(i)=0.0D0 -c$$$ enddo -c$$$ -c$$$ if (mask_r) then -c$$$ call egb_sc(evdw) -c$$$ call esc_sc(escloc) -c$$$ else -c$$$ call egb(evdw) -c$$$ call esc(escloc) -c$$$ endif -c$$$ -c$$$ if (evdw.eq.1.0D20) then -c$$$ energy_sc(0)=evdw -c$$$ else -c$$$ energy_sc(0)=wsc*evdw+wscloc*escloc -c$$$ endif -c$$$ energy_sc(1)=evdw -c$$$ energy_sc(12)=escloc -c$$$ -c$$$C -c$$$C Sum up the components of the Cartesian gradient. -c$$$C -c$$$ do i=1,nct -c$$$ do j=1,3 -c$$$ gradx(j,i,icg)=wsc*gvdwx(j,i) -c$$$ enddo -c$$$ enddo -c$$$ -c$$$ return -c$$$ end -c$$$ -c$$$C----------------------------------------------------------------------------- -c$$$ -c$$$ subroutine egb_sc(evdw) -c$$$C -c$$$C This subroutine calculates the interaction energy of nonbonded side chains -c$$$C assuming the Gay-Berne potential of interaction. -c$$$C -c$$$ implicit real*8 (a-h,o-z) -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.GEO' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.LOCAL' -c$$$ include 'COMMON.CHAIN' -c$$$ include 'COMMON.DERIV' -c$$$ include 'COMMON.NAMES' -c$$$ include 'COMMON.INTERACT' -c$$$ include 'COMMON.IOUNITS' -c$$$ include 'COMMON.CALC' -c$$$ include 'COMMON.CONTROL' -c$$$ logical lprn -c$$$ evdw=0.0D0 -c$$$ energy_dec=.false. -c$$$c print *,'Entering EGB nnt=',nnt,' nct=',nct,' expon=',expon -c$$$ evdw=0.0D0 -c$$$ lprn=.false. -c$$$c if (icall.eq.0) lprn=.false. -c$$$ ind=0 -c$$$ do i=iatsc_s,iatsc_e -c$$$ itypi=itype(i) -c$$$ itypi1=itype(i+1) -c$$$ xi=c(1,nres+i) -c$$$ yi=c(2,nres+i) -c$$$ zi=c(3,nres+i) -c$$$ dxi=dc_norm(1,nres+i) -c$$$ dyi=dc_norm(2,nres+i) -c$$$ dzi=dc_norm(3,nres+i) -c$$$c dsci_inv=dsc_inv(itypi) -c$$$ dsci_inv=vbld_inv(i+nres) -c$$$c write (iout,*) "i",i,dsc_inv(itypi),dsci_inv,1.0d0/vbld(i+nres) -c$$$c write (iout,*) "dcnori",dxi*dxi+dyi*dyi+dzi*dzi -c$$$C -c$$$C Calculate SC interaction energy. -c$$$C -c$$$ do iint=1,nint_gr(i) -c$$$ do j=istart(i,iint),iend(i,iint) -c$$$ IF (mask_side(j).eq.1.or.mask_side(i).eq.1) THEN -c$$$ ind=ind+1 -c$$$ itypj=itype(j) -c$$$c dscj_inv=dsc_inv(itypj) -c$$$ dscj_inv=vbld_inv(j+nres) -c$$$c write (iout,*) "j",j,dsc_inv(itypj),dscj_inv, -c$$$c & 1.0d0/vbld(j+nres) -c$$$c write (iout,*) "i",i," j", j," itype",itype(i),itype(j) -c$$$ sig0ij=sigma(itypi,itypj) -c$$$ chi1=chi(itypi,itypj) -c$$$ chi2=chi(itypj,itypi) -c$$$ chi12=chi1*chi2 -c$$$ chip1=chip(itypi) -c$$$ chip2=chip(itypj) -c$$$ chip12=chip1*chip2 -c$$$ alf1=alp(itypi) -c$$$ alf2=alp(itypj) -c$$$ alf12=0.5D0*(alf1+alf2) -c$$$C For diagnostics only!!! -c$$$c chi1=0.0D0 -c$$$c chi2=0.0D0 -c$$$c chi12=0.0D0 -c$$$c chip1=0.0D0 -c$$$c chip2=0.0D0 -c$$$c chip12=0.0D0 -c$$$c alf1=0.0D0 -c$$$c alf2=0.0D0 -c$$$c alf12=0.0D0 -c$$$ xj=c(1,nres+j)-xi -c$$$ yj=c(2,nres+j)-yi -c$$$ zj=c(3,nres+j)-zi -c$$$ dxj=dc_norm(1,nres+j) -c$$$ dyj=dc_norm(2,nres+j) -c$$$ dzj=dc_norm(3,nres+j) -c$$$c write (iout,*) "dcnorj",dxi*dxi+dyi*dyi+dzi*dzi -c$$$c write (iout,*) "j",j," dc_norm", -c$$$c & dc_norm(1,nres+j),dc_norm(2,nres+j),dc_norm(3,nres+j) -c$$$ rrij=1.0D0/(xj*xj+yj*yj+zj*zj) -c$$$ rij=dsqrt(rrij) -c$$$C Calculate angle-dependent terms of energy and contributions to their -c$$$C derivatives. -c$$$ call sc_angular -c$$$ sigsq=1.0D0/sigsq -c$$$ sig=sig0ij*dsqrt(sigsq) -c$$$ rij_shift=1.0D0/rij-sig+sig0ij -c$$$c for diagnostics; uncomment -c$$$c rij_shift=1.2*sig0ij -c$$$C I hate to put IF's in the loops, but here don't have another choice!!!! -c$$$ if (rij_shift.le.0.0D0) then -c$$$ evdw=1.0D20 -c$$$cd write (iout,'(2(a3,i3,2x),17(0pf7.3))') -c$$$cd & restyp(itypi),i,restyp(itypj),j, -c$$$cd & rij_shift,1.0D0/rij,sig,sig0ij,sigsq,1-dsqrt(sigsq) -c$$$ return -c$$$ endif -c$$$ sigder=-sig*sigsq -c$$$c--------------------------------------------------------------- -c$$$ rij_shift=1.0D0/rij_shift -c$$$ fac=rij_shift**expon -c$$$ e1=fac*fac*aa(itypi,itypj) -c$$$ e2=fac*bb(itypi,itypj) -c$$$ evdwij=eps1*eps2rt*eps3rt*(e1+e2) -c$$$ eps2der=evdwij*eps3rt -c$$$ eps3der=evdwij*eps2rt -c$$$c write (iout,*) "sigsq",sigsq," sig",sig," eps2rt",eps2rt, -c$$$c & " eps3rt",eps3rt," eps1",eps1," e1",e1," e2",e2 -c$$$ evdwij=evdwij*eps2rt*eps3rt -c$$$ evdw=evdw+evdwij -c$$$ if (lprn) then -c$$$ sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) -c$$$ epsi=bb(itypi,itypj)**2/aa(itypi,itypj) -c$$$ write (iout,'(2(a3,i3,2x),17(0pf7.3))') -c$$$ & restyp(itypi),i,restyp(itypj),j, -c$$$ & epsi,sigm,chi1,chi2,chip1,chip2, -c$$$ & eps1,eps2rt**2,eps3rt**2,sig,sig0ij, -c$$$ & om1,om2,om12,1.0D0/rij,1.0D0/rij_shift, -c$$$ & evdwij -c$$$ endif -c$$$ -c$$$ if (energy_dec) write (iout,'(a6,2i,0pf7.3)') -c$$$ & 'evdw',i,j,evdwij -c$$$ -c$$$C Calculate gradient components. -c$$$ e1=e1*eps1*eps2rt**2*eps3rt**2 -c$$$ fac=-expon*(e1+evdwij)*rij_shift -c$$$ sigder=fac*sigder -c$$$ fac=rij*fac -c$$$c fac=0.0d0 -c$$$C Calculate the radial part of the gradient -c$$$ gg(1)=xj*fac -c$$$ gg(2)=yj*fac -c$$$ gg(3)=zj*fac -c$$$C Calculate angular part of the gradient. -c$$$ call sc_grad -c$$$ ENDIF -c$$$ enddo ! j -c$$$ enddo ! iint -c$$$ enddo ! i -c$$$ energy_dec=.false. -c$$$ return -c$$$ end -c$$$ -c$$$c----------------------------------------------------------------------------- -c$$$ -c$$$ subroutine esc_sc(escloc) -c$$$C Calculate the local energy of a side chain and its derivatives in the -c$$$C corresponding virtual-bond valence angles THETA and the spherical angles -c$$$C ALPHA and OMEGA. -c$$$ implicit real*8 (a-h,o-z) -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.GEO' -c$$$ include 'COMMON.LOCAL' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.INTERACT' -c$$$ include 'COMMON.DERIV' -c$$$ include 'COMMON.CHAIN' -c$$$ include 'COMMON.IOUNITS' -c$$$ include 'COMMON.NAMES' -c$$$ include 'COMMON.FFIELD' -c$$$ include 'COMMON.CONTROL' -c$$$ double precision x(3),dersc(3),xemp(3),dersc0(3),dersc1(3), -c$$$ & ddersc0(3),ddummy(3),xtemp(3),temp(3) -c$$$ common /sccalc/ time11,time12,time112,theti,it,nlobit -c$$$ delta=0.02d0*pi -c$$$ escloc=0.0D0 -c$$$c write (iout,'(a)') 'ESC' -c$$$ do i=loc_start,loc_end -c$$$ IF (mask_side(i).eq.1) THEN -c$$$ it=itype(i) -c$$$ if (it.eq.10) goto 1 -c$$$ nlobit=nlob(it) -c$$$c print *,'i=',i,' it=',it,' nlobit=',nlobit -c$$$c write (iout,*) 'i=',i,' ssa=',ssa,' ssad=',ssad -c$$$ theti=theta(i+1)-pipol -c$$$ x(1)=dtan(theti) -c$$$ x(2)=alph(i) -c$$$ x(3)=omeg(i) -c$$$ -c$$$ if (x(2).gt.pi-delta) then -c$$$ xtemp(1)=x(1) -c$$$ xtemp(2)=pi-delta -c$$$ xtemp(3)=x(3) -c$$$ call enesc(xtemp,escloci0,dersc0,ddersc0,.true.) -c$$$ xtemp(2)=pi -c$$$ call enesc(xtemp,escloci1,dersc1,ddummy,.false.) -c$$$ call spline1(x(2),pi-delta,delta,escloci0,escloci1,dersc0(2), -c$$$ & escloci,dersc(2)) -c$$$ call spline2(x(2),pi-delta,delta,dersc0(1),dersc1(1), -c$$$ & ddersc0(1),dersc(1)) -c$$$ call spline2(x(2),pi-delta,delta,dersc0(3),dersc1(3), -c$$$ & ddersc0(3),dersc(3)) -c$$$ xtemp(2)=pi-delta -c$$$ call enesc_bound(xtemp,esclocbi0,dersc0,dersc12,.true.) -c$$$ xtemp(2)=pi -c$$$ call enesc_bound(xtemp,esclocbi1,dersc1,chuju,.false.) -c$$$ call spline1(x(2),pi-delta,delta,esclocbi0,esclocbi1, -c$$$ & dersc0(2),esclocbi,dersc02) -c$$$ call spline2(x(2),pi-delta,delta,dersc0(1),dersc1(1), -c$$$ & dersc12,dersc01) -c$$$ call splinthet(x(2),0.5d0*delta,ss,ssd) -c$$$ dersc0(1)=dersc01 -c$$$ dersc0(2)=dersc02 -c$$$ dersc0(3)=0.0d0 -c$$$ do k=1,3 -c$$$ dersc(k)=ss*dersc(k)+(1.0d0-ss)*dersc0(k) -c$$$ enddo -c$$$ dersc(2)=dersc(2)+ssd*(escloci-esclocbi) -c$$$c write (iout,*) 'i=',i,x(2)*rad2deg,escloci0,escloci, -c$$$c & esclocbi,ss,ssd -c$$$ escloci=ss*escloci+(1.0d0-ss)*esclocbi -c$$$c escloci=esclocbi -c$$$c write (iout,*) escloci -c$$$ else if (x(2).lt.delta) then -c$$$ xtemp(1)=x(1) -c$$$ xtemp(2)=delta -c$$$ xtemp(3)=x(3) -c$$$ call enesc(xtemp,escloci0,dersc0,ddersc0,.true.) -c$$$ xtemp(2)=0.0d0 -c$$$ call enesc(xtemp,escloci1,dersc1,ddummy,.false.) -c$$$ call spline1(x(2),delta,-delta,escloci0,escloci1,dersc0(2), -c$$$ & escloci,dersc(2)) -c$$$ call spline2(x(2),delta,-delta,dersc0(1),dersc1(1), -c$$$ & ddersc0(1),dersc(1)) -c$$$ call spline2(x(2),delta,-delta,dersc0(3),dersc1(3), -c$$$ & ddersc0(3),dersc(3)) -c$$$ xtemp(2)=delta -c$$$ call enesc_bound(xtemp,esclocbi0,dersc0,dersc12,.true.) -c$$$ xtemp(2)=0.0d0 -c$$$ call enesc_bound(xtemp,esclocbi1,dersc1,chuju,.false.) -c$$$ call spline1(x(2),delta,-delta,esclocbi0,esclocbi1, -c$$$ & dersc0(2),esclocbi,dersc02) -c$$$ call spline2(x(2),delta,-delta,dersc0(1),dersc1(1), -c$$$ & dersc12,dersc01) -c$$$ dersc0(1)=dersc01 -c$$$ dersc0(2)=dersc02 -c$$$ dersc0(3)=0.0d0 -c$$$ call splinthet(x(2),0.5d0*delta,ss,ssd) -c$$$ do k=1,3 -c$$$ dersc(k)=ss*dersc(k)+(1.0d0-ss)*dersc0(k) -c$$$ enddo -c$$$ dersc(2)=dersc(2)+ssd*(escloci-esclocbi) -c$$$c write (iout,*) 'i=',i,x(2)*rad2deg,escloci0,escloci, -c$$$c & esclocbi,ss,ssd -c$$$ escloci=ss*escloci+(1.0d0-ss)*esclocbi -c$$$c write (iout,*) escloci -c$$$ else -c$$$ call enesc(x,escloci,dersc,ddummy,.false.) -c$$$ endif -c$$$ -c$$$ escloc=escloc+escloci -c$$$ if (energy_dec) write (iout,'(a6,i,0pf7.3)') -c$$$ & 'escloc',i,escloci -c$$$c write (iout,*) 'i=',i,' escloci=',escloci,' dersc=',dersc -c$$$ -c$$$ gloc(nphi+i-1,icg)=gloc(nphi+i-1,icg)+ -c$$$ & wscloc*dersc(1) -c$$$ gloc(ialph(i,1),icg)=wscloc*dersc(2) -c$$$ gloc(ialph(i,1)+nside,icg)=wscloc*dersc(3) -c$$$ 1 continue -c$$$ ENDIF -c$$$ enddo -c$$$ return -c$$$ end -c$$$ -c$$$C----------------------------------------------------------------------------- -c$$$ -c$$$ subroutine egb_ij(i_sc,j_sc,evdw) -c$$$C -c$$$C This subroutine calculates the interaction energy of nonbonded side chains -c$$$C assuming the Gay-Berne potential of interaction. -c$$$C -c$$$ implicit real*8 (a-h,o-z) -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.GEO' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.LOCAL' -c$$$ include 'COMMON.CHAIN' -c$$$ include 'COMMON.DERIV' -c$$$ include 'COMMON.NAMES' -c$$$ include 'COMMON.INTERACT' -c$$$ include 'COMMON.IOUNITS' -c$$$ include 'COMMON.CALC' -c$$$ include 'COMMON.CONTROL' -c$$$ logical lprn -c$$$ evdw=0.0D0 -c$$$ energy_dec=.false. -c$$$c print *,'Entering EGB nnt=',nnt,' nct=',nct,' expon=',expon -c$$$ evdw=0.0D0 -c$$$ lprn=.false. -c$$$ ind=0 -c$$$c$$$ do i=iatsc_s,iatsc_e -c$$$ i=i_sc -c$$$ itypi=itype(i) -c$$$ itypi1=itype(i+1) -c$$$ xi=c(1,nres+i) -c$$$ yi=c(2,nres+i) -c$$$ zi=c(3,nres+i) -c$$$ dxi=dc_norm(1,nres+i) -c$$$ dyi=dc_norm(2,nres+i) -c$$$ dzi=dc_norm(3,nres+i) -c$$$c dsci_inv=dsc_inv(itypi) -c$$$ dsci_inv=vbld_inv(i+nres) -c$$$c write (iout,*) "i",i,dsc_inv(itypi),dsci_inv,1.0d0/vbld(i+nres) -c$$$c write (iout,*) "dcnori",dxi*dxi+dyi*dyi+dzi*dzi -c$$$C -c$$$C Calculate SC interaction energy. -c$$$C -c$$$c$$$ do iint=1,nint_gr(i) -c$$$c$$$ do j=istart(i,iint),iend(i,iint) -c$$$ j=j_sc -c$$$ ind=ind+1 -c$$$ itypj=itype(j) -c$$$c dscj_inv=dsc_inv(itypj) -c$$$ dscj_inv=vbld_inv(j+nres) -c$$$c write (iout,*) "j",j,dsc_inv(itypj),dscj_inv, -c$$$c & 1.0d0/vbld(j+nres) -c$$$c write (iout,*) "i",i," j", j," itype",itype(i),itype(j) -c$$$ sig0ij=sigma(itypi,itypj) -c$$$ chi1=chi(itypi,itypj) -c$$$ chi2=chi(itypj,itypi) -c$$$ chi12=chi1*chi2 -c$$$ chip1=chip(itypi) -c$$$ chip2=chip(itypj) -c$$$ chip12=chip1*chip2 -c$$$ alf1=alp(itypi) -c$$$ alf2=alp(itypj) -c$$$ alf12=0.5D0*(alf1+alf2) -c$$$C For diagnostics only!!! -c$$$c chi1=0.0D0 -c$$$c chi2=0.0D0 -c$$$c chi12=0.0D0 -c$$$c chip1=0.0D0 -c$$$c chip2=0.0D0 -c$$$c chip12=0.0D0 -c$$$c alf1=0.0D0 -c$$$c alf2=0.0D0 -c$$$c alf12=0.0D0 -c$$$ xj=c(1,nres+j)-xi -c$$$ yj=c(2,nres+j)-yi -c$$$ zj=c(3,nres+j)-zi -c$$$ dxj=dc_norm(1,nres+j) -c$$$ dyj=dc_norm(2,nres+j) -c$$$ dzj=dc_norm(3,nres+j) -c$$$c write (iout,*) "dcnorj",dxi*dxi+dyi*dyi+dzi*dzi -c$$$c write (iout,*) "j",j," dc_norm", -c$$$c & dc_norm(1,nres+j),dc_norm(2,nres+j),dc_norm(3,nres+j) -c$$$ rrij=1.0D0/(xj*xj+yj*yj+zj*zj) -c$$$ rij=dsqrt(rrij) -c$$$C Calculate angle-dependent terms of energy and contributions to their -c$$$C derivatives. -c$$$ call sc_angular -c$$$ sigsq=1.0D0/sigsq -c$$$ sig=sig0ij*dsqrt(sigsq) -c$$$ rij_shift=1.0D0/rij-sig+sig0ij -c$$$c for diagnostics; uncomment -c$$$c rij_shift=1.2*sig0ij -c$$$C I hate to put IF's in the loops, but here don't have another choice!!!! -c$$$ if (rij_shift.le.0.0D0) then -c$$$ evdw=1.0D20 -c$$$cd write (iout,'(2(a3,i3,2x),17(0pf7.3))') -c$$$cd & restyp(itypi),i,restyp(itypj),j, -c$$$cd & rij_shift,1.0D0/rij,sig,sig0ij,sigsq,1-dsqrt(sigsq) -c$$$ return -c$$$ endif -c$$$ sigder=-sig*sigsq -c$$$c--------------------------------------------------------------- -c$$$ rij_shift=1.0D0/rij_shift -c$$$ fac=rij_shift**expon -c$$$ e1=fac*fac*aa(itypi,itypj) -c$$$ e2=fac*bb(itypi,itypj) -c$$$ evdwij=eps1*eps2rt*eps3rt*(e1+e2) -c$$$ eps2der=evdwij*eps3rt -c$$$ eps3der=evdwij*eps2rt -c$$$c write (iout,*) "sigsq",sigsq," sig",sig," eps2rt",eps2rt, -c$$$c & " eps3rt",eps3rt," eps1",eps1," e1",e1," e2",e2 -c$$$ evdwij=evdwij*eps2rt*eps3rt -c$$$ evdw=evdw+evdwij -c$$$ if (lprn) then -c$$$ sigm=dabs(aa(itypi,itypj)/bb(itypi,itypj))**(1.0D0/6.0D0) -c$$$ epsi=bb(itypi,itypj)**2/aa(itypi,itypj) -c$$$ write (iout,'(2(a3,i3,2x),17(0pf7.3))') -c$$$ & restyp(itypi),i,restyp(itypj),j, -c$$$ & epsi,sigm,chi1,chi2,chip1,chip2, -c$$$ & eps1,eps2rt**2,eps3rt**2,sig,sig0ij, -c$$$ & om1,om2,om12,1.0D0/rij,1.0D0/rij_shift, -c$$$ & evdwij -c$$$ endif -c$$$ -c$$$ if (energy_dec) write (iout,'(a6,2i,0pf7.3)') -c$$$ & 'evdw',i,j,evdwij -c$$$ -c$$$C Calculate gradient components. -c$$$ e1=e1*eps1*eps2rt**2*eps3rt**2 -c$$$ fac=-expon*(e1+evdwij)*rij_shift -c$$$ sigder=fac*sigder -c$$$ fac=rij*fac -c$$$c fac=0.0d0 -c$$$C Calculate the radial part of the gradient -c$$$ gg(1)=xj*fac -c$$$ gg(2)=yj*fac -c$$$ gg(3)=zj*fac -c$$$C Calculate angular part of the gradient. -c$$$ call sc_grad -c$$$c$$$ enddo ! j -c$$$c$$$ enddo ! iint -c$$$c$$$ enddo ! i -c$$$ energy_dec=.false. -c$$$ return -c$$$ end -c$$$ -c$$$C----------------------------------------------------------------------------- -c$$$ -c$$$ subroutine perturb_side_chain(i,angle) -c$$$ implicit none -c$$$ -c$$$c Includes -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.CHAIN' -c$$$ include 'COMMON.GEO' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.LOCAL' -c$$$ include 'COMMON.IOUNITS' -c$$$ -c$$$c External functions -c$$$ external ran_number -c$$$ double precision ran_number -c$$$ -c$$$c Input arguments -c$$$ integer i -c$$$ double precision angle ! In degrees -c$$$ -c$$$c Local variables -c$$$ integer i_sc -c$$$ double precision rad_ang,rand_v(3),length,cost,sint -c$$$ -c$$$ -c$$$ i_sc=i+nres -c$$$ rad_ang=angle*deg2rad -c$$$ -c$$$ length=0.0 -c$$$ do while (length.lt.0.01) -c$$$ rand_v(1)=ran_number(0.01D0,1.0D0) -c$$$ rand_v(2)=ran_number(0.01D0,1.0D0) -c$$$ rand_v(3)=ran_number(0.01D0,1.0D0) -c$$$ length=rand_v(1)*rand_v(1)+rand_v(2)*rand_v(2)+ -c$$$ + rand_v(3)*rand_v(3) -c$$$ length=sqrt(length) -c$$$ rand_v(1)=rand_v(1)/length -c$$$ rand_v(2)=rand_v(2)/length -c$$$ rand_v(3)=rand_v(3)/length -c$$$ cost=rand_v(1)*dc_norm(1,i_sc)+rand_v(2)*dc_norm(2,i_sc)+ -c$$$ + rand_v(3)*dc_norm(3,i_sc) -c$$$ length=1.0D0-cost*cost -c$$$ if (length.lt.0.0D0) length=0.0D0 -c$$$ length=sqrt(length) -c$$$ rand_v(1)=rand_v(1)-cost*dc_norm(1,i_sc) -c$$$ rand_v(2)=rand_v(2)-cost*dc_norm(2,i_sc) -c$$$ rand_v(3)=rand_v(3)-cost*dc_norm(3,i_sc) -c$$$ enddo -c$$$ rand_v(1)=rand_v(1)/length -c$$$ rand_v(2)=rand_v(2)/length -c$$$ rand_v(3)=rand_v(3)/length -c$$$ -c$$$ cost=dcos(rad_ang) -c$$$ sint=dsin(rad_ang) -c$$$ dc(1,i_sc)=vbld(i_sc)*(dc_norm(1,i_sc)*cost+rand_v(1)*sint) -c$$$ dc(2,i_sc)=vbld(i_sc)*(dc_norm(2,i_sc)*cost+rand_v(2)*sint) -c$$$ dc(3,i_sc)=vbld(i_sc)*(dc_norm(3,i_sc)*cost+rand_v(3)*sint) -c$$$ dc_norm(1,i_sc)=dc(1,i_sc)*vbld_inv(i_sc) -c$$$ dc_norm(2,i_sc)=dc(2,i_sc)*vbld_inv(i_sc) -c$$$ dc_norm(3,i_sc)=dc(3,i_sc)*vbld_inv(i_sc) -c$$$ c(1,i_sc)=c(1,i)+dc(1,i_sc) -c$$$ c(2,i_sc)=c(2,i)+dc(2,i_sc) -c$$$ c(3,i_sc)=c(3,i)+dc(3,i_sc) -c$$$ -c$$$ call chainbuild_cart -c$$$ -c$$$ return -c$$$ end -c$$$ -c$$$c---------------------------------------------------------------------------- -c$$$ -c$$$ subroutine ss_relax3(i_in,j_in) -c$$$ implicit none -c$$$ -c$$$c Includes -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.CHAIN' -c$$$ include 'COMMON.IOUNITS' -c$$$ include 'COMMON.INTERACT' -c$$$ -c$$$c External functions -c$$$ external ran_number -c$$$ double precision ran_number -c$$$ -c$$$c Input arguments -c$$$ integer i_in,j_in -c$$$ -c$$$c Local variables -c$$$ double precision energy_sc(0:n_ene),etot -c$$$ double precision org_dc(3),org_dc_norm(3),org_c(3) -c$$$ double precision ang_pert,rand_fact,exp_fact,beta -c$$$ integer n,i_pert,i -c$$$ logical notdone -c$$$ -c$$$ -c$$$ beta=1.0D0 -c$$$ -c$$$ mask_r=.true. -c$$$ do i=nnt,nct -c$$$ mask_side(i)=0 -c$$$ enddo -c$$$ mask_side(i_in)=1 -c$$$ mask_side(j_in)=1 -c$$$ -c$$$ call etotal_sc(energy_sc) -c$$$ etot=energy_sc(0) -c$$$c write(iout,'(a,3d15.5)')" SS_MC_START ",energy_sc(0), -c$$$c + energy_sc(1),energy_sc(12) -c$$$ -c$$$ notdone=.true. -c$$$ n=0 -c$$$ do while (notdone) -c$$$ if (mod(n,2).eq.0) then -c$$$ i_pert=i_in -c$$$ else -c$$$ i_pert=j_in -c$$$ endif -c$$$ n=n+1 -c$$$ -c$$$ do i=1,3 -c$$$ org_dc(i)=dc(i,i_pert+nres) -c$$$ org_dc_norm(i)=dc_norm(i,i_pert+nres) -c$$$ org_c(i)=c(i,i_pert+nres) -c$$$ enddo -c$$$ ang_pert=ran_number(0.0D0,3.0D0) -c$$$ call perturb_side_chain(i_pert,ang_pert) -c$$$ call etotal_sc(energy_sc) -c$$$ exp_fact=exp(beta*(etot-energy_sc(0))) -c$$$ rand_fact=ran_number(0.0D0,1.0D0) -c$$$ if (rand_fact.lt.exp_fact) then -c$$$c write(iout,'(a,3d15.5)')" SS_MC_ACCEPT ",energy_sc(0), -c$$$c + energy_sc(1),energy_sc(12) -c$$$ etot=energy_sc(0) -c$$$ else -c$$$c write(iout,'(a,3d15.5)')" SS_MC_REJECT ",energy_sc(0), -c$$$c + energy_sc(1),energy_sc(12) -c$$$ do i=1,3 -c$$$ dc(i,i_pert+nres)=org_dc(i) -c$$$ dc_norm(i,i_pert+nres)=org_dc_norm(i) -c$$$ c(i,i_pert+nres)=org_c(i) -c$$$ enddo -c$$$ endif -c$$$ -c$$$ if (n.eq.10000.or.etot.lt.30.0D0) notdone=.false. -c$$$ enddo -c$$$ -c$$$ mask_r=.false. -c$$$ -c$$$ return -c$$$ end -c$$$ -c$$$c---------------------------------------------------------------------------- -c$$$ -c$$$ subroutine ss_relax2(etot,iretcode,nfun,i_in,j_in) -c$$$ implicit none -c$$$ include 'DIMENSIONS' -c$$$ integer liv,lv -c$$$ parameter (liv=60,lv=(77+maxres6*(maxres6+17)/2)) -c$$$********************************************************************* -c$$$* OPTIMIZE sets up SUMSL or DFP and provides a simple interface for * -c$$$* the calling subprogram. * -c$$$* when d(i)=1.0, then v(35) is the length of the initial step, * -c$$$* calculated in the usual pythagorean way. * -c$$$* absolute convergence occurs when the function is within v(31) of * -c$$$* zero. unless you know the minimum value in advance, abs convg * -c$$$* is probably not useful. * -c$$$* relative convergence is when the model predicts that the function * -c$$$* will decrease by less than v(32)*abs(fun). * -c$$$********************************************************************* -c$$$ include 'COMMON.IOUNITS' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.GEO' -c$$$ include 'COMMON.MINIM' -c$$$ include 'COMMON.CHAIN' -c$$$ -c$$$ double precision orig_ss_dc,orig_ss_var,orig_ss_dist -c$$$ common /orig_ss/ orig_ss_dc(3,0:maxres2),orig_ss_var(maxvar), -c$$$ + orig_ss_dist(maxres2,maxres2) -c$$$ -c$$$ double precision etot -c$$$ integer iretcode,nfun,i_in,j_in -c$$$ -c$$$ external dist -c$$$ double precision dist -c$$$ external ss_func,fdum -c$$$ double precision ss_func,fdum -c$$$ -c$$$ integer iv(liv),uiparm(2) -c$$$ double precision v(lv),x(maxres6),d(maxres6),rdum -c$$$ integer i,j,k -c$$$ -c$$$ -c$$$ call deflt(2,iv,liv,lv,v) -c$$$* 12 means fresh start, dont call deflt -c$$$ iv(1)=12 -c$$$* max num of fun calls -c$$$ if (maxfun.eq.0) maxfun=500 -c$$$ iv(17)=maxfun -c$$$* max num of iterations -c$$$ if (maxmin.eq.0) maxmin=1000 -c$$$ iv(18)=maxmin -c$$$* controls output -c$$$ iv(19)=2 -c$$$* selects output unit -c$$$c iv(21)=iout -c$$$ iv(21)=0 -c$$$* 1 means to print out result -c$$$ iv(22)=0 -c$$$* 1 means to print out summary stats -c$$$ iv(23)=0 -c$$$* 1 means to print initial x and d -c$$$ iv(24)=0 -c$$$* min val for v(radfac) default is 0.1 -c$$$ v(24)=0.1D0 -c$$$* max val for v(radfac) default is 4.0 -c$$$ v(25)=2.0D0 -c$$$c v(25)=4.0D0 -c$$$* check false conv if (act fnctn decrease) .lt. v(26)*(exp decrease) -c$$$* the sumsl default is 0.1 -c$$$ v(26)=0.1D0 -c$$$* false conv if (act fnctn decrease) .lt. v(34) -c$$$* the sumsl default is 100*machep -c$$$ v(34)=v(34)/100.0D0 -c$$$* absolute convergence -c$$$ if (tolf.eq.0.0D0) tolf=1.0D-4 -c$$$ v(31)=tolf -c$$$ v(31)=1.0D-1 -c$$$* relative convergence -c$$$ if (rtolf.eq.0.0D0) rtolf=1.0D-4 -c$$$ v(32)=rtolf -c$$$ v(32)=1.0D-1 -c$$$* controls initial step size -c$$$ v(35)=1.0D-1 -c$$$* large vals of d correspond to small components of step -c$$$ do i=1,6*nres -c$$$ d(i)=1.0D0 -c$$$ enddo -c$$$ -c$$$ do i=0,2*nres -c$$$ do j=1,3 -c$$$ orig_ss_dc(j,i)=dc(j,i) -c$$$ enddo -c$$$ enddo -c$$$ call geom_to_var(nvar,orig_ss_var) -c$$$ -c$$$ do i=1,nres -c$$$ do j=i,nres -c$$$ orig_ss_dist(j,i)=dist(j,i) -c$$$ orig_ss_dist(j+nres,i)=dist(j+nres,i) -c$$$ orig_ss_dist(j,i+nres)=dist(j,i+nres) -c$$$ orig_ss_dist(j+nres,i+nres)=dist(j+nres,i+nres) -c$$$ enddo -c$$$ enddo -c$$$ -c$$$ k=0 -c$$$ do i=1,nres-1 -c$$$ do j=1,3 -c$$$ k=k+1 -c$$$ x(k)=dc(j,i) -c$$$ enddo -c$$$ enddo -c$$$ do i=2,nres-1 -c$$$ if (ialph(i,1).gt.0) then -c$$$ do j=1,3 -c$$$ k=k+1 -c$$$ x(k)=dc(j,i+nres) -c$$$ enddo -c$$$ endif -c$$$ enddo -c$$$ -c$$$ uiparm(1)=i_in -c$$$ uiparm(2)=j_in -c$$$ call smsno(k,d,x,ss_func,iv,liv,lv,v,uiparm,rdum,fdum) -c$$$ etot=v(10) -c$$$ iretcode=iv(1) -c$$$ nfun=iv(6)+iv(30) -c$$$ -c$$$ k=0 -c$$$ do i=1,nres-1 -c$$$ do j=1,3 -c$$$ k=k+1 -c$$$ dc(j,i)=x(k) -c$$$ enddo -c$$$ enddo -c$$$ do i=2,nres-1 -c$$$ if (ialph(i,1).gt.0) then -c$$$ do j=1,3 -c$$$ k=k+1 -c$$$ dc(j,i+nres)=x(k) -c$$$ enddo -c$$$ endif -c$$$ enddo -c$$$ call chainbuild_cart -c$$$ -c$$$ return -c$$$ end -c$$$ -c$$$C----------------------------------------------------------------------------- -c$$$ -c$$$ subroutine ss_func(n,x,nf,f,uiparm,urparm,ufparm) -c$$$ implicit none -c$$$ include 'DIMENSIONS' -c$$$ include 'COMMON.DERIV' -c$$$ include 'COMMON.IOUNITS' -c$$$ include 'COMMON.VAR' -c$$$ include 'COMMON.CHAIN' -c$$$ include 'COMMON.INTERACT' -c$$$ include 'COMMON.SBRIDGE' -c$$$ -c$$$ double precision orig_ss_dc,orig_ss_var,orig_ss_dist -c$$$ common /orig_ss/ orig_ss_dc(3,0:maxres2),orig_ss_var(maxvar), -c$$$ + orig_ss_dist(maxres2,maxres2) -c$$$ -c$$$ integer n -c$$$ double precision x(maxres6) -c$$$ integer nf -c$$$ double precision f -c$$$ integer uiparm(2) -c$$$ real*8 urparm(1) -c$$$ external ufparm -c$$$ double precision ufparm -c$$$ -c$$$ external dist -c$$$ double precision dist -c$$$ -c$$$ integer i,j,k,ss_i,ss_j -c$$$ double precision tempf,var(maxvar) -c$$$ -c$$$ -c$$$ ss_i=uiparm(1) -c$$$ ss_j=uiparm(2) -c$$$ f=0.0D0 -c$$$ -c$$$ k=0 -c$$$ do i=1,nres-1 -c$$$ do j=1,3 -c$$$ k=k+1 -c$$$ dc(j,i)=x(k) -c$$$ enddo -c$$$ enddo -c$$$ do i=2,nres-1 -c$$$ if (ialph(i,1).gt.0) then -c$$$ do j=1,3 -c$$$ k=k+1 -c$$$ dc(j,i+nres)=x(k) -c$$$ enddo -c$$$ endif -c$$$ enddo -c$$$ call chainbuild_cart -c$$$ -c$$$ call geom_to_var(nvar,var) -c$$$ -c$$$c Constraints on all angles -c$$$ do i=1,nvar -c$$$ tempf=var(i)-orig_ss_var(i) -c$$$ f=f+tempf*tempf -c$$$ enddo -c$$$ -c$$$c Constraints on all distances -c$$$ do i=1,nres-1 -c$$$ if (i.gt.1) then -c$$$ tempf=dist(i+nres,i)-orig_ss_dist(i+nres,i) -c$$$ f=f+tempf*tempf -c$$$ endif -c$$$ do j=i+1,nres -c$$$ tempf=dist(j,i)-orig_ss_dist(j,i) -c$$$ if (tempf.lt.0.0D0 .or. j.eq.i+1) f=f+tempf*tempf -c$$$ tempf=dist(j+nres,i)-orig_ss_dist(j+nres,i) -c$$$ if (tempf.lt.0.0D0) f=f+tempf*tempf -c$$$ tempf=dist(j,i+nres)-orig_ss_dist(j,i+nres) -c$$$ if (tempf.lt.0.0D0) f=f+tempf*tempf -c$$$ tempf=dist(j+nres,i+nres)-orig_ss_dist(j+nres,i+nres) -c$$$ if (tempf.lt.0.0D0) f=f+tempf*tempf -c$$$ enddo -c$$$ enddo -c$$$ -c$$$c Constraints for the relevant CYS-CYS -c$$$ tempf=dist(nres+ss_i,nres+ss_j)-8.0D0 -c$$$ f=f+tempf*tempf -c$$$CCCCCCCCCCCCCCCCC ADD SOME ANGULAR STUFF -c$$$ -c$$$c$$$ if (nf.ne.nfl) then -c$$$c$$$ write(iout,'(a,i10,2d15.5)')"IN DIST_FUNC (NF,F,DIST)",nf, -c$$$c$$$ + f,dist(5+nres,14+nres) -c$$$c$$$ endif -c$$$ -c$$$ nfl=nf -c$$$ -c$$$ return -c$$$ end -c$$$ -c$$$C----------------------------------------------------------------------------- diff --git a/source/unres/src_MD-restraints-PM/stochfric.F b/source/unres/src_MD-restraints-PM/stochfric.F deleted file mode 100644 index 74eda61..0000000 --- a/source/unres/src_MD-restraints-PM/stochfric.F +++ /dev/null @@ -1,626 +0,0 @@ - subroutine friction_force - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.IOUNITS' - double precision gamvec(MAXRES6) - common /syfek/ gamvec - double precision vv(3),vvtot(3,maxres),v_work(MAXRES6), - & ginvfric(maxres2,maxres2) - common /przechowalnia/ ginvfric - - logical lprn /.false./, checkmode /.false./ - - do i=0,MAXRES2 - do j=1,3 - friction(j,i)=0.0d0 - enddo - enddo - - do j=1,3 - d_t_work(j)=d_t(j,0) - enddo - ind=3 - do i=nnt,nct-1 - do j=1,3 - d_t_work(ind+j)=d_t(j,i) - enddo - ind=ind+3 - enddo - do i=nnt,nct - if (itype(i).ne.10) then - do j=1,3 - d_t_work(ind+j)=d_t(j,i+nres) - enddo - ind=ind+3 - endif - enddo - - call fricmat_mult(d_t_work,fric_work) - - if (.not.checkmode) return - - if (lprn) then - write (iout,*) "d_t_work and fric_work" - do i=1,3*dimen - write (iout,'(i3,2e15.5)') i,d_t_work(i),fric_work(i) - enddo - endif - do j=1,3 - friction(j,0)=fric_work(j) - enddo - ind=3 - do i=nnt,nct-1 - do j=1,3 - friction(j,i)=fric_work(ind+j) - enddo - ind=ind+3 - enddo - do i=nnt,nct - if (itype(i).ne.10) then - do j=1,3 - friction(j,i+nres)=fric_work(ind+j) - enddo - ind=ind+3 - endif - enddo - if (lprn) then - write(iout,*) "Friction backbone" - do i=0,nct-1 - write(iout,'(i5,3e15.5,5x,3e15.5)') - & i,(friction(j,i),j=1,3),(d_t(j,i),j=1,3) - enddo - write(iout,*) "Friction side chain" - do i=nnt,nct - write(iout,'(i5,3e15.5,5x,3e15.5)') - & i,(friction(j,i+nres),j=1,3),(d_t(j,i+nres),j=1,3) - enddo - endif - if (lprn) then - do j=1,3 - vv(j)=d_t(j,0) - enddo - do i=nnt,nct - do j=1,3 - vvtot(j,i)=vv(j)+0.5d0*d_t(j,i) - vvtot(j,i+nres)=vv(j)+d_t(j,i+nres) - vv(j)=vv(j)+d_t(j,i) - enddo - enddo - write (iout,*) "vvtot backbone and sidechain" - do i=nnt,nct - write (iout,'(i5,3e15.5,5x,3e15.5)') i,(vvtot(j,i),j=1,3), - & (vvtot(j,i+nres),j=1,3) - enddo - ind=0 - do i=nnt,nct-1 - do j=1,3 - v_work(ind+j)=vvtot(j,i) - enddo - ind=ind+3 - enddo - do i=nnt,nct - do j=1,3 - v_work(ind+j)=vvtot(j,i+nres) - enddo - ind=ind+3 - enddo - write (iout,*) "v_work gamvec and site-based friction forces" - do i=1,dimen1 - write (iout,'(i5,3e15.5)') i,v_work(i),gamvec(i), - & gamvec(i)*v_work(i) - enddo -c do i=1,dimen -c fric_work1(i)=0.0d0 -c do j=1,dimen1 -c fric_work1(i)=fric_work1(i)-A(j,i)*gamvec(j)*v_work(j) -c enddo -c enddo -c write (iout,*) "fric_work and fric_work1" -c do i=1,dimen -c write (iout,'(i5,2e15.5)') i,fric_work(i),fric_work1(i) -c enddo - do i=1,dimen - do j=1,dimen - ginvfric(i,j)=0.0d0 - do k=1,dimen - ginvfric(i,j)=ginvfric(i,j)+ginv(i,k)*fricmat(k,j) - enddo - enddo - enddo - write (iout,*) "ginvfric" - do i=1,dimen - write (iout,'(i5,100f8.3)') i,(ginvfric(i,j),j=1,dimen) - enddo - write (iout,*) "symmetry check" - do i=1,dimen - do j=1,i-1 - write (iout,*) i,j,ginvfric(i,j)-ginvfric(j,i) - enddo - enddo - endif - return - end -c----------------------------------------------------- - subroutine stochastic_force(stochforcvec) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.MD' - include 'COMMON.TIME1' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.IOUNITS' - - double precision x,sig,lowb,highb, - & ff(3),force(3,0:MAXRES2),zeta2,lowb2, - & highb2,sig2,forcvec(MAXRES6),stochforcvec(MAXRES6) - logical lprn /.false./ - do i=0,MAXRES2 - do j=1,3 - stochforc(j,i)=0.0d0 - enddo - enddo - x=0.0d0 - -#ifdef MPI - time00=MPI_Wtime() -#else - time00=tcpu() -#endif -c Compute the stochastic forces acting on bodies. Store in force. - do i=nnt,nct-1 - sig=stdforcp(i) - lowb=-5*sig - highb=5*sig - do j=1,3 - force(j,i)=anorm_distr(x,sig,lowb,highb) - enddo - enddo - do i=nnt,nct - sig2=stdforcsc(i) - lowb2=-5*sig2 - highb2=5*sig2 - do j=1,3 - force(j,i+nres)=anorm_distr(x,sig2,lowb2,highb2) - enddo - enddo -#ifdef MPI - time_fsample=time_fsample+MPI_Wtime()-time00 -#else - time_fsample=time_fsample+tcpu()-time00 -#endif -c Compute the stochastic forces acting on virtual-bond vectors. - do j=1,3 - ff(j)=0.0d0 - enddo - do i=nct-1,nnt,-1 - do j=1,3 - stochforc(j,i)=ff(j)+0.5d0*force(j,i) - enddo - do j=1,3 - ff(j)=ff(j)+force(j,i) - enddo - if (itype(i+1).ne.21) then - do j=1,3 - stochforc(j,i)=stochforc(j,i)+force(j,i+nres+1) - ff(j)=ff(j)+force(j,i+nres+1) - enddo - endif - enddo - do j=1,3 - stochforc(j,0)=ff(j)+force(j,nnt+nres) - enddo - do i=nnt,nct - if (itype(i).ne.10) then - do j=1,3 - stochforc(j,i+nres)=force(j,i+nres) - enddo - endif - enddo - - do j=1,3 - stochforcvec(j)=stochforc(j,0) - enddo - ind=3 - do i=nnt,nct-1 - do j=1,3 - stochforcvec(ind+j)=stochforc(j,i) - enddo - ind=ind+3 - enddo - do i=nnt,nct - if (itype(i).ne.10) then - do j=1,3 - stochforcvec(ind+j)=stochforc(j,i+nres) - enddo - ind=ind+3 - endif - enddo - if (lprn) then - write (iout,*) "stochforcvec" - do i=1,3*dimen - write(iout,'(i5,e15.5)') i,stochforcvec(i) - enddo - write(iout,*) "Stochastic forces backbone" - do i=0,nct-1 - write(iout,'(i5,3e15.5)') i,(stochforc(j,i),j=1,3) - enddo - write(iout,*) "Stochastic forces side chain" - do i=nnt,nct - write(iout,'(i5,3e15.5)') - & i,(stochforc(j,i+nres),j=1,3) - enddo - endif - - if (lprn) then - - ind=0 - do i=nnt,nct-1 - write (iout,*) i,ind - do j=1,3 - forcvec(ind+j)=force(j,i) - enddo - ind=ind+3 - enddo - do i=nnt,nct - write (iout,*) i,ind - do j=1,3 - forcvec(j+ind)=force(j,i+nres) - enddo - ind=ind+3 - enddo - - write (iout,*) "forcvec" - ind=0 - do i=nnt,nct-1 - do j=1,3 - write (iout,'(2i3,2f10.5)') i,j,force(j,i), - & forcvec(ind+j) - enddo - ind=ind+3 - enddo - do i=nnt,nct - do j=1,3 - write (iout,'(2i3,2f10.5)') i,j,force(j,i+nres), - & forcvec(ind+j) - enddo - ind=ind+3 - enddo - - endif - - return - end -c------------------------------------------------------------------ - subroutine setup_fricmat - implicit real*8 (a-h,o-z) -#ifdef MPI - include 'mpif.h' -#endif - include 'DIMENSIONS' - include 'COMMON.VAR' - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.MD' - include 'COMMON.SETUP' - include 'COMMON.TIME1' -c integer licznik /0/ -c save licznik -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.IOUNITS' - integer IERROR - integer i,j,ind,ind1,m - logical lprn /.false./ - double precision dtdi,gamvec(MAXRES2), - & ginvfric(maxres2,maxres2),Ghalf(mmaxres2),fcopy(maxres2,maxres2) - common /syfek/ gamvec - double precision work(8*maxres2) - integer iwork(maxres2) - common /przechowalnia/ ginvfric,Ghalf,fcopy -#ifdef MPI - if (fg_rank.ne.king) goto 10 -#endif -c Zeroing out fricmat - do i=1,dimen - do j=1,dimen - fricmat(i,j)=0.0d0 - enddo - enddo -c Load the friction coefficients corresponding to peptide groups - ind1=0 - do i=nnt,nct-1 - ind1=ind1+1 - gamvec(ind1)=gamp - enddo -c Load the friction coefficients corresponding to side chains - m=nct-nnt - ind=0 - do i=nnt,nct - ind=ind+1 - ii = ind+m - iti=itype(i) - gamvec(ii)=gamsc(iti) - enddo - if (surfarea) call sdarea(gamvec) -c if (lprn) then -c write (iout,*) "Matrix A and vector gamma" -c do i=1,dimen1 -c write (iout,'(i2,$)') i -c do j=1,dimen -c write (iout,'(f4.1,$)') A(i,j) -c enddo -c write (iout,'(f8.3)') gamvec(i) -c enddo -c endif - if (lprn) then - write (iout,*) "Vector gamvec" - do i=1,dimen1 - write (iout,'(i5,f10.5)') i, gamvec(i) - enddo - endif - -c The friction matrix - do k=1,dimen - do i=1,dimen - dtdi=0.0d0 - do j=1,dimen1 - dtdi=dtdi+A(j,k)*A(j,i)*gamvec(j) - enddo - fricmat(k,i)=dtdi - enddo - enddo - - if (lprn) then - write (iout,'(//a)') "Matrix fricmat" - call matout2(dimen,dimen,maxres2,maxres2,fricmat) - endif - if (lang.eq.2 .or. lang.eq.3) then -c Mass-scale the friction matrix if non-direct integration will be performed - do i=1,dimen - do j=1,dimen - Ginvfric(i,j)=0.0d0 - do k=1,dimen - do l=1,dimen - Ginvfric(i,j)=Ginvfric(i,j)+ - & Gsqrm(i,k)*Gsqrm(l,j)*fricmat(k,l) - enddo - enddo - enddo - enddo -c Diagonalize the friction matrix - ind=0 - do i=1,dimen - do j=1,i - ind=ind+1 - Ghalf(ind)=Ginvfric(i,j) - enddo - enddo - call gldiag(maxres2,dimen,dimen,Ghalf,work,fricgam,fricvec, - & ierr,iwork) - if (lprn) then - write (iout,'(//2a)') "Eigenvectors and eigenvalues of the", - & " mass-scaled friction matrix" - call eigout(dimen,dimen,maxres2,maxres2,fricvec,fricgam) - endif -c Precompute matrices for tinker stochastic integrator -#ifndef LANG0 - do i=1,dimen - do j=1,dimen - mt1(i,j)=0.0d0 - mt2(i,j)=0.0d0 - do k=1,dimen - mt1(i,j)=mt1(i,j)+fricvec(k,i)*gsqrm(k,j) - mt2(i,j)=mt2(i,j)+fricvec(k,i)*gsqrp(k,j) - enddo - mt3(j,i)=mt1(i,j) - enddo - enddo -#endif - else if (lang.eq.4) then -c Diagonalize the friction matrix - ind=0 - do i=1,dimen - do j=1,i - ind=ind+1 - Ghalf(ind)=fricmat(i,j) - enddo - enddo - call gldiag(maxres2,dimen,dimen,Ghalf,work,fricgam,fricvec, - & ierr,iwork) - if (lprn) then - write (iout,'(//2a)') "Eigenvectors and eigenvalues of the", - & " friction matrix" - call eigout(dimen,dimen,maxres2,maxres2,fricvec,fricgam) - endif -c Determine the number of zero eigenvalues of the friction matrix - nzero=max0(dimen-dimen1,0) -c do while (fricgam(nzero+1).le.1.0d-5 .and. nzero.lt.dimen) -c nzero=nzero+1 -c enddo - write (iout,*) "Number of zero eigenvalues:",nzero - do i=1,dimen - do j=1,dimen - fricmat(i,j)=0.0d0 - do k=nzero+1,dimen - fricmat(i,j)=fricmat(i,j) - & +fricvec(i,k)*fricvec(j,k)/fricgam(k) - enddo - enddo - enddo - if (lprn) then - write (iout,'(//a)') "Generalized inverse of fricmat" - call matout(dimen,dimen,maxres6,maxres6,fricmat) - endif - endif -#ifdef MPI - 10 continue - if (nfgtasks.gt.1) then - if (fg_rank.eq.0) then -c The matching BROADCAST for fg processors is called in ERGASTULUM -#ifdef MPI - time00=MPI_Wtime() -#else - time00=tcpu() -#endif - call MPI_Bcast(10,1,MPI_INTEGER,king,FG_COMM,IERROR) -#ifdef MPI - time_Bcast=time_Bcast+MPI_Wtime()-time00 -#else - time_Bcast=time_Bcast+tcpu()-time00 -#endif -c print *,"Processor",myrank, -c & " BROADCAST iorder in SETUP_FRICMAT" - endif -c licznik=licznik+1 -c write (iout,*) "setup_fricmat licznik",licznik -#ifdef MPI - time00=MPI_Wtime() -#else - time00=tcpu() -#endif -c Scatter the friction matrix - call MPI_Scatterv(fricmat(1,1),nginv_counts(0), - & nginv_start(0),MPI_DOUBLE_PRECISION,fcopy(1,1), - & myginv_ng_count,MPI_DOUBLE_PRECISION,king,FG_COMM,IERROR) -#ifdef TIMING -#ifdef MPI - time_scatter=time_scatter+MPI_Wtime()-time00 - time_scatter_fmat=time_scatter_fmat+MPI_Wtime()-time00 -#else - time_scatter=time_scatter+tcpu()-time00 - time_scatter_fmat=time_scatter_fmat+tcpu()-time00 -#endif -#endif - do i=1,dimen - do j=1,2*my_ng_count - fricmat(j,i)=fcopy(i,j) - enddo - enddo -c write (iout,*) "My chunk of fricmat" -c call MATOUT2(my_ng_count,dimen,maxres2,maxres2,fcopy) - endif -#endif - return - end -c------------------------------------------------------------------------------- - subroutine sdarea(gamvec) -c -c Scale the friction coefficients according to solvent accessible surface areas -c Code adapted from TINKER -c AL 9/3/04 -c - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CONTROL' - include 'COMMON.VAR' - include 'COMMON.MD' -#ifndef LANG0 - include 'COMMON.LANGEVIN' -#else - include 'COMMON.LANGEVIN.lang0' -#endif - include 'COMMON.CHAIN' - include 'COMMON.DERIV' - include 'COMMON.GEO' - include 'COMMON.LOCAL' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.NAMES' - double precision radius(maxres2),gamvec(maxres2) - parameter (twosix=1.122462048309372981d0) - logical lprn /.false./ -c -c determine new friction coefficients every few SD steps -c -c set the atomic radii to estimates of sigma values -c -c print *,"Entered sdarea" - probe = 0.0d0 - - do i=1,2*nres - radius(i)=0.0d0 - enddo -c Load peptide group radii - do i=nnt,nct-1 - radius(i)=pstok - enddo -c Load side chain radii - do i=nnt,nct - iti=itype(i) - radius(i+nres)=restok(iti) - enddo -c do i=1,2*nres -c write (iout,*) "i",i," radius",radius(i) -c enddo - do i = 1, 2*nres - radius(i) = radius(i) / twosix - if (radius(i) .ne. 0.0d0) radius(i) = radius(i) + probe - end do -c -c scale atomic friction coefficients by accessible area -c - if (lprn) write (iout,*) - & "Original gammas, surface areas, scaling factors, new gammas, ", - & "std's of stochastic forces" - ind=0 - do i=nnt,nct-1 - if (radius(i).gt.0.0d0) then - call surfatom (i,area,radius) - ratio = dmax1(area/(4.0d0*pi*radius(i)**2),1.0d-1) - if (lprn) write (iout,'(i5,3f10.5,$)') - & i,gamvec(ind+1),area,ratio - do j=1,3 - ind=ind+1 - gamvec(ind) = ratio * gamvec(ind) - enddo - stdforcp(i)=stdfp*dsqrt(gamvec(ind)) - if (lprn) write (iout,'(2f10.5)') gamvec(ind),stdforcp(i) - endif - enddo - do i=nnt,nct - if (radius(i+nres).gt.0.0d0) then - call surfatom (i+nres,area,radius) - ratio = dmax1(area/(4.0d0*pi*radius(i+nres)**2),1.0d-1) - if (lprn) write (iout,'(i5,3f10.5,$)') - & i,gamvec(ind+1),area,ratio - do j=1,3 - ind=ind+1 - gamvec(ind) = ratio * gamvec(ind) - enddo - stdforcsc(i)=stdfsc(itype(i))*dsqrt(gamvec(ind)) - if (lprn) write (iout,'(2f10.5)') gamvec(ind),stdforcsc(i) - endif - enddo - - return - end diff --git a/source/unres/src_MD-restraints-PM/sumsld.f b/source/unres/src_MD-restraints-PM/sumsld.f deleted file mode 100644 index 1ce7b78..0000000 --- a/source/unres/src_MD-restraints-PM/sumsld.f +++ /dev/null @@ -1,1446 +0,0 @@ - subroutine sumsl(n, d, x, calcf, calcg, iv, liv, lv, v, - 1 uiparm, urparm, ufparm) -c -c *** minimize general unconstrained objective function using *** -c *** analytic gradient and hessian approx. from secant update *** -c - integer n, liv, lv - integer iv(liv), uiparm(1) - double precision d(n), x(n), v(lv), urparm(1) -c dimension v(71 + n*(n+15)/2), uiparm(*), urparm(*) - external calcf, calcg, ufparm -c -c *** purpose *** -c -c this routine interacts with subroutine sumit in an attempt -c to find an n-vector x* that minimizes the (unconstrained) -c objective function computed by calcf. (often the x* found is -c a local minimizer rather than a global one.) -c -c-------------------------- parameter usage -------------------------- -c -c n........ (input) the number of variables on which f depends, i.e., -c the number of components in x. -c d........ (input/output) a scale vector such that d(i)*x(i), -c i = 1,2,...,n, are all in comparable units. -c d can strongly affect the behavior of sumsl. -c finding the best choice of d is generally a trial- -c and-error process. choosing d so that d(i)*x(i) -c has about the same value for all i often works well. -c the defaults provided by subroutine deflt (see i -c below) require the caller to supply d. -c x........ (input/output) before (initially) calling sumsl, the call- -c er should set x to an initial guess at x*. when -c sumsl returns, x contains the best point so far -c found, i.e., the one that gives the least value so -c far seen for f(x). -c calcf.... (input) a subroutine that, given x, computes f(x). calcf -c must be declared external in the calling program. -c it is invoked by -c call calcf(n, x, nf, f, uiparm, urparm, ufparm) -c when calcf is called, nf is the invocation -c count for calcf. nf is included for possible use -c with calcg. if x is out of bounds (e.g., if it -c would cause overflow in computing f(x)), then calcf -c should set nf to 0. this will cause a shorter step -c to be attempted. (if x is in bounds, then calcf -c should not change nf.) the other parameters are as -c described above and below. calcf should not change -c n, p, or x. -c calcg.... (input) a subroutine that, given x, computes g(x), the gra- -c dient of f at x. calcg must be declared external in -c the calling program. it is invoked by -c call calcg(n, x, nf, g, uiparm, urparm, ufaprm) -c when calcg is called, nf is the invocation -c count for calcf at the time f(x) was evaluated. the -c x passed to calcg is usually the one passed to calcf -c on either its most recent invocation or the one -c prior to it. if calcf saves intermediate results -c for use by calcg, then it is possible to tell from -c nf whether they are valid for the current x (or -c which copy is valid if two copies are kept). if g -c cannot be computed at x, then calcg should set nf to -c 0. in this case, sumsl will return with iv(1) = 65. -c (if g can be computed at x, then calcg should not -c changed nf.) the other parameters to calcg are as -c described above and below. calcg should not change -c n or x. -c iv....... (input/output) an integer value array of length liv (see -c below) that helps control the sumsl algorithm and -c that is used to store various intermediate quanti- -c ties. of particular interest are the initialization/ -c return code iv(1) and the entries in iv that control -c printing and limit the number of iterations and func- -c tion evaluations. see the section on iv input -c values below. -c liv...... (input) length of iv array. must be at least 60. if li -c is too small, then sumsl returns with iv(1) = 15. -c when sumsl returns, the smallest allowed value of -c liv is stored in iv(lastiv) -- see the section on -c iv output values below. (this is intended for use -c with extensions of sumsl that handle constraints.) -c lv....... (input) length of v array. must be at least 71+n*(n+15)/2. -c (at least 77+n*(n+17)/2 for smsno, at least -c 78+n*(n+12) for humsl). if lv is too small, then -c sumsl returns with iv(1) = 16. when sumsl returns, -c the smallest allowed value of lv is stored in -c iv(lastv) -- see the section on iv output values -c below. -c v........ (input/output) a floating-point value array of length l -c (see below) that helps control the sumsl algorithm -c and that is used to store various intermediate -c quantities. of particular interest are the entries -c in v that limit the length of the first step -c attempted (lmax0) and specify convergence tolerances -c (afctol, lmaxs, rfctol, sctol, xctol, xftol). -c uiparm... (input) user integer parameter array passed without change -c to calcf and calcg. -c urparm... (input) user floating-point parameter array passed without -c change to calcf and calcg. -c ufparm... (input) user external subroutine or function passed without -c change to calcf and calcg. -c -c *** iv input values (from subroutine deflt) *** -c -c iv(1)... on input, iv(1) should have a value between 0 and 14...... -c 0 and 12 mean this is a fresh start. 0 means that -c deflt(2, iv, liv, lv, v) -c is to be called to provide all default values to iv and -c v. 12 (the value that deflt assigns to iv(1)) means the -c caller has already called deflt and has possibly changed -c some iv and/or v entries to non-default values. -c 13 means deflt has been called and that sumsl (and -c sumit) should only do their storage allocation. that is, -c they should set the output components of iv that tell -c where various subarrays arrays of v begin, such as iv(g) -c (and, for humsl and humit only, iv(dtol)), and return. -c 14 means that a storage has been allocated (by a call -c with iv(1) = 13) and that the algorithm should be -c started. when called with iv(1) = 13, sumsl returns -c iv(1) = 14 unless liv or lv is too small (or n is not -c positive). default = 12. -c iv(inith).... iv(25) tells whether the hessian approximation h should -c be initialized. 1 (the default) means sumit should -c initialize h to the diagonal matrix whose i-th diagonal -c element is d(i)**2. 0 means the caller has supplied a -c cholesky factor l of the initial hessian approximation -c h = l*(l**t) in v, starting at v(iv(lmat)) = v(iv(42)) -c (and stored compactly by rows). note that iv(lmat) may -c be initialized by calling sumsl with iv(1) = 13 (see -c the iv(1) discussion above). default = 1. -c iv(mxfcal)... iv(17) gives the maximum number of function evaluations -c (calls on calcf) allowed. if this number does not suf- -c fice, then sumsl returns with iv(1) = 9. default = 200. -c iv(mxiter)... iv(18) gives the maximum number of iterations allowed. -c it also indirectly limits the number of gradient evalua- -c tions (calls on calcg) to iv(mxiter) + 1. if iv(mxiter) -c iterations do not suffice, then sumsl returns with -c iv(1) = 10. default = 150. -c iv(outlev)... iv(19) controls the number and length of iteration sum- -c mary lines printed (by itsum). iv(outlev) = 0 means do -c not print any summary lines. otherwise, print a summary -c line after each abs(iv(outlev)) iterations. if iv(outlev) -c is positive, then summary lines of length 78 (plus carri- -c age control) are printed, including the following... the -c iteration and function evaluation counts, f = the current -c function value, relative difference in function values -c achieved by the latest step (i.e., reldf = (f0-v(f))/f01, -c where f01 is the maximum of abs(v(f)) and abs(v(f0)) and -c v(f0) is the function value from the previous itera- -c tion), the relative function reduction predicted for the -c step just taken (i.e., preldf = v(preduc) / f01, where -c v(preduc) is described below), the scaled relative change -c in x (see v(reldx) below), the step parameter for the -c step just taken (stppar = 0 means a full newton step, -c between 0 and 1 means a relaxed newton step, between 1 -c and 2 means a double dogleg step, greater than 2 means -c a scaled down cauchy step -- see subroutine dbldog), the -c 2-norm of the scale vector d times the step just taken -c (see v(dstnrm) below), and npreldf, i.e., -c v(nreduc)/f01, where v(nreduc) is described below -- if -c npreldf is positive, then it is the relative function -c reduction predicted for a newton step (one with -c stppar = 0). if npreldf is negative, then it is the -c negative of the relative function reduction predicted -c for a step computed with step bound v(lmaxs) for use in -c testing for singular convergence. -c if iv(outlev) is negative, then lines of length 50 -c are printed, including only the first 6 items listed -c above (through reldx). -c default = 1. -c iv(parprt)... iv(20) = 1 means print any nondefault v values on a -c fresh start or any changed v values on a restart. -c iv(parprt) = 0 means skip this printing. default = 1. -c iv(prunit)... iv(21) is the output unit number on which all printing -c is done. iv(prunit) = 0 means suppress all printing. -c default = standard output unit (unit 6 on most systems). -c iv(solprt)... iv(22) = 1 means print out the value of x returned (as -c well as the gradient and the scale vector d). -c iv(solprt) = 0 means skip this printing. default = 1. -c iv(statpr)... iv(23) = 1 means print summary statistics upon return- -c ing. these consist of the function value, the scaled -c relative change in x caused by the most recent step (see -c v(reldx) below), the number of function and gradient -c evaluations (calls on calcf and calcg), and the relative -c function reductions predicted for the last step taken and -c for a newton step (or perhaps a step bounded by v(lmaxs) -c -- see the descriptions of preldf and npreldf under -c iv(outlev) above). -c iv(statpr) = 0 means skip this printing. -c iv(statpr) = -1 means skip this printing as well as that -c of the one-line termination reason message. default = 1. -c iv(x0prt).... iv(24) = 1 means print the initial x and scale vector d -c (on a fresh start only). iv(x0prt) = 0 means skip this -c printing. default = 1. -c -c *** (selected) iv output values *** -c -c iv(1)........ on output, iv(1) is a return code.... -c 3 = x-convergence. the scaled relative difference (see -c v(reldx)) between the current parameter vector x and -c a locally optimal parameter vector is very likely at -c most v(xctol). -c 4 = relative function convergence. the relative differ- -c ence between the current function value and its lo- -c cally optimal value is very likely at most v(rfctol). -c 5 = both x- and relative function convergence (i.e., the -c conditions for iv(1) = 3 and iv(1) = 4 both hold). -c 6 = absolute function convergence. the current function -c value is at most v(afctol) in absolute value. -c 7 = singular convergence. the hessian near the current -c iterate appears to be singular or nearly so, and a -c step of length at most v(lmaxs) is unlikely to yield -c a relative function decrease of more than v(sctol). -c 8 = false convergence. the iterates appear to be converg- -c ing to a noncritical point. this may mean that the -c convergence tolerances (v(afctol), v(rfctol), -c v(xctol)) are too small for the accuracy to which -c the function and gradient are being computed, that -c there is an error in computing the gradient, or that -c the function or gradient is discontinuous near x. -c 9 = function evaluation limit reached without other con- -c vergence (see iv(mxfcal)). -c 10 = iteration limit reached without other convergence -c (see iv(mxiter)). -c 11 = stopx returned .true. (external interrupt). see the -c usage notes below. -c 14 = storage has been allocated (after a call with -c iv(1) = 13). -c 17 = restart attempted with n changed. -c 18 = d has a negative component and iv(dtype) .le. 0. -c 19...43 = v(iv(1)) is out of range. -c 63 = f(x) cannot be computed at the initial x. -c 64 = bad parameters passed to assess (which should not -c occur). -c 65 = the gradient could not be computed at x (see calcg -c above). -c 67 = bad first parameter to deflt. -c 80 = iv(1) was out of range. -c 81 = n is not positive. -c iv(g)........ iv(28) is the starting subscript in v of the current -c gradient vector (the one corresponding to x). -c iv(lastiv)... iv(44) is the least acceptable value of liv. (it is -c only set if liv is at least 44.) -c iv(lastv).... iv(45) is the least acceptable value of lv. (it is -c only set if liv is large enough, at least iv(lastiv).) -c iv(nfcall)... iv(6) is the number of calls so far made on calcf (i.e., -c function evaluations). -c iv(ngcall)... iv(30) is the number of gradient evaluations (calls on -c calcg). -c iv(niter).... iv(31) is the number of iterations performed. -c -c *** (selected) v input values (from subroutine deflt) *** -c -c v(bias)..... v(43) is the bias parameter used in subroutine dbldog -- -c see that subroutine for details. default = 0.8. -c v(afctol)... v(31) is the absolute function convergence tolerance. -c if sumsl finds a point where the function value is less -c than v(afctol) in absolute value, and if sumsl does not -c return with iv(1) = 3, 4, or 5, then it returns with -c iv(1) = 6. this test can be turned off by setting -c v(afctol) to zero. default = max(10**-20, machep**2), -c where machep is the unit roundoff. -c v(dinit).... v(38), if nonnegative, is the value to which the scale -c vector d is initialized. default = -1. -c v(lmax0).... v(35) gives the maximum 2-norm allowed for d times the -c very first step that sumsl attempts. this parameter can -c markedly affect the performance of sumsl. -c v(lmaxs).... v(36) is used in testing for singular convergence -- if -c the function reduction predicted for a step of length -c bounded by v(lmaxs) is at most v(sctol) * abs(f0), where -c f0 is the function value at the start of the current -c iteration, and if sumsl does not return with iv(1) = 3, -c 4, 5, or 6, then it returns with iv(1) = 7. default = 1. -c v(rfctol)... v(32) is the relative function convergence tolerance. -c if the current model predicts a maximum possible function -c reduction (see v(nreduc)) of at most v(rfctol)*abs(f0) -c at the start of the current iteration, where f0 is the -c then current function value, and if the last step attempt- -c ed achieved no more than twice the predicted function -c decrease, then sumsl returns with iv(1) = 4 (or 5). -c default = max(10**-10, machep**(2/3)), where machep is -c the unit roundoff. -c v(sctol).... v(37) is the singular convergence tolerance -- see the -c description of v(lmaxs) above. -c v(tuner1)... v(26) helps decide when to check for false convergence. -c this is done if the actual function decrease from the -c current step is no more than v(tuner1) times its predict- -c ed value. default = 0.1. -c v(xctol).... v(33) is the x-convergence tolerance. if a newton step -c (see v(nreduc)) is tried that has v(reldx) .le. v(xctol) -c and if this step yields at most twice the predicted func- -c tion decrease, then sumsl returns with iv(1) = 3 (or 5). -c (see the description of v(reldx) below.) -c default = machep**0.5, where machep is the unit roundoff. -c v(xftol).... v(34) is the false convergence tolerance. if a step is -c tried that gives no more than v(tuner1) times the predict- -c ed function decrease and that has v(reldx) .le. v(xftol), -c and if sumsl does not return with iv(1) = 3, 4, 5, 6, or -c 7, then it returns with iv(1) = 8. (see the description -c of v(reldx) below.) default = 100*machep, where -c machep is the unit roundoff. -c v(*)........ deflt supplies to v a number of tuning constants, with -c which it should ordinarily be unnecessary to tinker. see -c section 17 of version 2.2 of the nl2sol usage summary -c (i.e., the appendix to ref. 1) for details on v(i), -c i = decfac, incfac, phmnfc, phmxfc, rdfcmn, rdfcmx, -c tuner2, tuner3, tuner4, tuner5. -c -c *** (selected) v output values *** -c -c v(dgnorm)... v(1) is the 2-norm of (diag(d)**-1)*g, where g is the -c most recently computed gradient. -c v(dstnrm)... v(2) is the 2-norm of diag(d)*step, where step is the -c current step. -c v(f)........ v(10) is the current function value. -c v(f0)....... v(13) is the function value at the start of the current -c iteration. -c v(nreduc)... v(6), if positive, is the maximum function reduction -c possible according to the current model, i.e., the func- -c tion reduction predicted for a newton step (i.e., -c step = -h**-1 * g, where g is the current gradient and -c h is the current hessian approximation). -c if v(nreduc) is negative, then it is the negative of -c the function reduction predicted for a step computed with -c a step bound of v(lmaxs) for use in testing for singular -c convergence. -c v(preduc)... v(7) is the function reduction predicted (by the current -c quadratic model) for the current step. this (divided by -c v(f0)) is used in testing for relative function -c convergence. -c v(reldx).... v(17) is the scaled relative change in x caused by the -c current step, computed as -c max(abs(d(i)*(x(i)-x0(i)), 1 .le. i .le. p) / -c max(d(i)*(abs(x(i))+abs(x0(i))), 1 .le. i .le. p), -c where x = x0 + step. -c -c------------------------------- notes ------------------------------- -c -c *** algorithm notes *** -c -c this routine uses a hessian approximation computed from the -c bfgs update (see ref 3). only a cholesky factor of the hessian -c approximation is stored, and this is updated using ideas from -c ref. 4. steps are computed by the double dogleg scheme described -c in ref. 2. the steps are assessed as in ref. 1. -c -c *** usage notes *** -c -c after a return with iv(1) .le. 11, it is possible to restart, -c i.e., to change some of the iv and v input values described above -c and continue the algorithm from the point where it was interrupt- -c ed. iv(1) should not be changed, nor should any entries of i -c and v other than the input values (those supplied by deflt). -c those who do not wish to write a calcg which computes the -c gradient analytically should call smsno rather than sumsl. -c smsno uses finite differences to compute an approximate gradient. -c those who would prefer to provide f and g (the function and -c gradient) by reverse communication rather than by writing subrou- -c tines calcf and calcg may call on sumit directly. see the com- -c ments at the beginning of sumit. -c those who use sumsl interactively may wish to supply their -c own stopx function, which should return .true. if the break key -c has been pressed since stopx was last invoked. this makes it -c possible to externally interrupt sumsl (which will return with -c iv(1) = 11 if stopx returns .true.). -c storage for g is allocated at the end of v. thus the caller -c may make v longer than specified above and may allow calcg to use -c elements of g beyond the first n as scratch storage. -c -c *** portability notes *** -c -c the sumsl distribution tape contains both single- and double- -c precision versions of the sumsl source code, so it should be un- -c necessary to change precisions. -c only the functions imdcon and rmdcon contain machine-dependent -c constants. to change from one machine to another, it should -c suffice to change the (few) relevant lines in these functions. -c intrinsic functions are explicitly declared. on certain com- -c puters (e.g. univac), it may be necessary to comment out these -c declarations. so that this may be done automatically by a simple -c program, such declarations are preceded by a comment having c/+ -c in columns 1-3 and blanks in columns 4-72 and are followed by -c a comment having c/ in columns 1 and 2 and blanks in columns 3-72. -c the sumsl source code is expressed in 1966 ansi standard -c fortran. it may be converted to fortran 77 by commenting out all -c lines that fall between a line having c/6 in columns 1-3 and a -c line having c/7 in columns 1-3 and by removing (i.e., replacing -c by a blank) the c in column 1 of the lines that follow the c/7 -c line and precede a line having c/ in columns 1-2 and blanks in -c columns 3-72. these changes convert some data statements into -c parameter statements, convert some variables from real to -c character*4, and make the data statements that initialize these -c variables use character strings delimited by primes instead -c of hollerith constants. (such variables and data statements -c appear only in modules itsum and parck. parameter statements -c appear nearly everywhere.) these changes also add save state- -c ments for variables given machine-dependent constants by rmdcon. -c -c *** references *** -c -c 1. dennis, j.e., gay, d.m., and welsch, r.e. (1981), algorithm 573 -- -c an adaptive nonlinear least-squares algorithm, acm trans. -c math. software 7, pp. 369-383. -c -c 2. dennis, j.e., and mei, h.h.w. (1979), two new unconstrained opti- -c mization algorithms which use function and gradient -c values, j. optim. theory applic. 28, pp. 453-482. -c -c 3. dennis, j.e., and more, j.j. (1977), quasi-newton methods, motiva- -c tion and theory, siam rev. 19, pp. 46-89. -c -c 4. goldfarb, d. (1976), factorized variable metric methods for uncon- -c strained optimization, math. comput. 30, pp. 796-811. -c -c *** general *** -c -c coded by david m. gay (winter 1980). revised summer 1982. -c this subroutine was written in connection with research -c supported in part by the national science foundation under -c grants mcs-7600324, dcr75-10143, 76-14311dss, mcs76-11989, -c and mcs-7906671. -c. -c -c---------------------------- declarations --------------------------- -c - external deflt, sumit -c -c deflt... supplies default iv and v input components. -c sumit... reverse-communication routine that carries out sumsl algo- -c rithm. -c - integer g1, iv1, nf - double precision f -c -c *** subscripts for iv *** -c - integer nextv, nfcall, nfgcal, g, toobig, vneed -c -c/6 -c data nextv/47/, nfcall/6/, nfgcal/7/, g/28/, toobig/2/, vneed/4/ -c/7 - parameter (nextv=47, nfcall=6, nfgcal=7, g=28, toobig=2, vneed=4) -c/ -c -c+++++++++++++++++++++++++++++++ body ++++++++++++++++++++++++++++++++ -c - if (iv(1) .eq. 0) call deflt(2, iv, liv, lv, v) - iv1 = iv(1) - if (iv1 .eq. 12 .or. iv1 .eq. 13) iv(vneed) = iv(vneed) + n - if (iv1 .eq. 14) go to 10 - if (iv1 .gt. 2 .and. iv1 .lt. 12) go to 10 - g1 = 1 - if (iv1 .eq. 12) iv(1) = 13 - go to 20 -c - 10 g1 = iv(g) -c - 20 call sumit(d, f, v(g1), iv, liv, lv, n, v, x) - if (iv(1) - 2) 30, 40, 50 -c - 30 nf = iv(nfcall) - call calcf(n, x, nf, f, uiparm, urparm, ufparm) - if (nf .le. 0) iv(toobig) = 1 - go to 20 -c - 40 call calcg(n, x, iv(nfgcal), v(g1), uiparm, urparm, ufparm) - go to 20 -c - 50 if (iv(1) .ne. 14) go to 999 -c -c *** storage allocation -c - iv(g) = iv(nextv) - iv(nextv) = iv(g) + n - if (iv1 .ne. 13) go to 10 -c - 999 return -c *** last card of sumsl follows *** - end - subroutine sumit(d, fx, g, iv, liv, lv, n, v, x) -c -c *** carry out sumsl (unconstrained minimization) iterations, using -c *** double-dogleg/bfgs steps. -c -c *** parameter declarations *** -c - integer liv, lv, n - integer iv(liv) - double precision d(n), fx, g(n), v(lv), x(n) -c -c-------------------------- parameter usage -------------------------- -c -c d.... scale vector. -c fx... function value. -c g.... gradient vector. -c iv... integer value array. -c liv.. length of iv (at least 60). -c lv... length of v (at least 71 + n*(n+13)/2). -c n.... number of variables (components in x and g). -c v.... floating-point value array. -c x.... vector of parameters to be optimized. -c -c *** discussion *** -c -c parameters iv, n, v, and x are the same as the corresponding -c ones to sumsl (which see), except that v can be shorter (since -c the part of v that sumsl uses for storing g is not needed). -c moreover, compared with sumsl, iv(1) may have the two additional -c output values 1 and 2, which are explained below, as is the use -c of iv(toobig) and iv(nfgcal). the value iv(g), which is an -c output value from sumsl (and smsno), is not referenced by -c sumit or the subroutines it calls. -c fx and g need not have been initialized when sumit is called -c with iv(1) = 12, 13, or 14. -c -c iv(1) = 1 means the caller should set fx to f(x), the function value -c at x, and call sumit again, having changed none of the -c other parameters. an exception occurs if f(x) cannot be -c (e.g. if overflow would occur), which may happen because -c of an oversized step. in this case the caller should set -c iv(toobig) = iv(2) to 1, which will cause sumit to ig- -c nore fx and try a smaller step. the parameter nf that -c sumsl passes to calcf (for possible use by calcg) is a -c copy of iv(nfcall) = iv(6). -c iv(1) = 2 means the caller should set g to g(x), the gradient vector -c of f at x, and call sumit again, having changed none of -c the other parameters except possibly the scale vector d -c when iv(dtype) = 0. the parameter nf that sumsl passes -c to calcg is iv(nfgcal) = iv(7). if g(x) cannot be -c evaluated, then the caller may set iv(nfgcal) to 0, in -c which case sumit will return with iv(1) = 65. -c. -c *** general *** -c -c coded by david m. gay (december 1979). revised sept. 1982. -c this subroutine was written in connection with research supported -c in part by the national science foundation under grants -c mcs-7600324 and mcs-7906671. -c -c (see sumsl for references.) -c -c+++++++++++++++++++++++++++ declarations ++++++++++++++++++++++++++++ -c -c *** local variables *** -c - integer dg1, dummy, g01, i, k, l, lstgst, nwtst1, step1, - 1 temp1, w, x01, z - double precision t -c -c *** constants *** -c - double precision half, negone, one, onep2, zero -c -c *** no intrinsic functions *** -c -c *** external functions and subroutines *** -c - external assst, dbdog, deflt, dotprd, itsum, litvmu, livmul, - 1 ltvmul, lupdat, lvmul, parck, reldst, stopx, vaxpy, - 2 vcopy, vscopy, vvmulp, v2norm, wzbfgs - logical stopx - double precision dotprd, reldst, v2norm -c -c assst.... assesses candidate step. -c dbdog.... computes double-dogleg (candidate) step. -c deflt.... supplies default iv and v input components. -c dotprd... returns inner product of two vectors. -c itsum.... prints iteration summary and info on initial and final x. -c litvmu... multiplies inverse transpose of lower triangle times vector. -c livmul... multiplies inverse of lower triangle times vector. -c ltvmul... multiplies transpose of lower triangle times vector. -c lupdt.... updates cholesky factor of hessian approximation. -c lvmul.... multiplies lower triangle times vector. -c parck.... checks validity of input iv and v values. -c reldst... computes v(reldx) = relative step size. -c stopx.... returns .true. if the break key has been pressed. -c vaxpy.... computes scalar times one vector plus another. -c vcopy.... copies one vector to another. -c vscopy... sets all elements of a vector to a scalar. -c vvmulp... multiplies vector by vector raised to power (componentwise). -c v2norm... returns the 2-norm of a vector. -c wzbfgs... computes w and z for lupdat corresponding to bfgs update. -c -c *** subscripts for iv and v *** -c - integer afctol - integer cnvcod, dg, dgnorm, dinit, dstnrm, dst0, f, f0, fdif, - 1 gthg, gtstep, g0, incfac, inith, irc, kagqt, lmat, lmax0, - 2 lmaxs, mode, model, mxfcal, mxiter, nextv, nfcall, nfgcal, - 3 ngcall, niter, nreduc, nwtstp, preduc, radfac, radinc, - 4 radius, rad0, reldx, restor, step, stglim, stlstg, toobig, - 5 tuner4, tuner5, vneed, xirc, x0 -c -c *** iv subscript values *** -c -c/6 -c data cnvcod/55/, dg/37/, g0/48/, inith/25/, irc/29/, kagqt/33/, -c 1 mode/35/, model/5/, mxfcal/17/, mxiter/18/, nfcall/6/, -c 2 nfgcal/7/, ngcall/30/, niter/31/, nwtstp/34/, radinc/8/, -c 3 restor/9/, step/40/, stglim/11/, stlstg/41/, toobig/2/, -c 4 vneed/4/, xirc/13/, x0/43/ -c/7 - parameter (cnvcod=55, dg=37, g0=48, inith=25, irc=29, kagqt=33, - 1 mode=35, model=5, mxfcal=17, mxiter=18, nfcall=6, - 2 nfgcal=7, ngcall=30, niter=31, nwtstp=34, radinc=8, - 3 restor=9, step=40, stglim=11, stlstg=41, toobig=2, - 4 vneed=4, xirc=13, x0=43) -c/ -c -c *** v subscript values *** -c -c/6 -c data afctol/31/ -c data dgnorm/1/, dinit/38/, dstnrm/2/, dst0/3/, f/10/, f0/13/, -c 1 fdif/11/, gthg/44/, gtstep/4/, incfac/23/, lmat/42/, -c 2 lmax0/35/, lmaxs/36/, nextv/47/, nreduc/6/, preduc/7/, -c 3 radfac/16/, radius/8/, rad0/9/, reldx/17/, tuner4/29/, -c 4 tuner5/30/ -c/7 - parameter (afctol=31) - parameter (dgnorm=1, dinit=38, dstnrm=2, dst0=3, f=10, f0=13, - 1 fdif=11, gthg=44, gtstep=4, incfac=23, lmat=42, - 2 lmax0=35, lmaxs=36, nextv=47, nreduc=6, preduc=7, - 3 radfac=16, radius=8, rad0=9, reldx=17, tuner4=29, - 4 tuner5=30) -c/ -c -c/6 -c data half/0.5d+0/, negone/-1.d+0/, one/1.d+0/, onep2/1.2d+0/, -c 1 zero/0.d+0/ -c/7 - parameter (half=0.5d+0, negone=-1.d+0, one=1.d+0, onep2=1.2d+0, - 1 zero=0.d+0) -c/ -c -c+++++++++++++++++++++++++++++++ body ++++++++++++++++++++++++++++++++ -c -C Following SAVE statement inserted. - save l - i = iv(1) - if (i .eq. 1) go to 50 - if (i .eq. 2) go to 60 -c -c *** check validity of iv and v input values *** -c - if (iv(1) .eq. 0) call deflt(2, iv, liv, lv, v) - if (iv(1) .eq. 12 .or. iv(1) .eq. 13) - 1 iv(vneed) = iv(vneed) + n*(n+13)/2 - call parck(2, d, iv, liv, lv, n, v) - i = iv(1) - 2 - if (i .gt. 12) go to 999 - go to (180, 180, 180, 180, 180, 180, 120, 90, 120, 10, 10, 20), i -c -c *** storage allocation *** -c -10 l = iv(lmat) - iv(x0) = l + n*(n+1)/2 - iv(step) = iv(x0) + n - iv(stlstg) = iv(step) + n - iv(g0) = iv(stlstg) + n - iv(nwtstp) = iv(g0) + n - iv(dg) = iv(nwtstp) + n - iv(nextv) = iv(dg) + n - if (iv(1) .ne. 13) go to 20 - iv(1) = 14 - go to 999 -c -c *** initialization *** -c - 20 iv(niter) = 0 - iv(nfcall) = 1 - iv(ngcall) = 1 - iv(nfgcal) = 1 - iv(mode) = -1 - iv(model) = 1 - iv(stglim) = 1 - iv(toobig) = 0 - iv(cnvcod) = 0 - iv(radinc) = 0 - v(rad0) = zero - if (v(dinit) .ge. zero) call vscopy(n, d, v(dinit)) - if (iv(inith) .ne. 1) go to 40 -c -c *** set the initial hessian approximation to diag(d)**-2 *** -c - l = iv(lmat) - call vscopy(n*(n+1)/2, v(l), zero) - k = l - 1 - do 30 i = 1, n - k = k + i - t = d(i) - if (t .le. zero) t = one - v(k) = t - 30 continue -c -c *** compute initial function value *** -c - 40 iv(1) = 1 - go to 999 -c - 50 v(f) = fx - if (iv(mode) .ge. 0) go to 180 - iv(1) = 2 - if (iv(toobig) .eq. 0) go to 999 - iv(1) = 63 - go to 300 -c -c *** make sure gradient could be computed *** -c - 60 if (iv(nfgcal) .ne. 0) go to 70 - iv(1) = 65 - go to 300 -c - 70 dg1 = iv(dg) - call vvmulp(n, v(dg1), g, d, -1) - v(dgnorm) = v2norm(n, v(dg1)) -c -c *** test norm of gradient *** -c - if (v(dgnorm) .gt. v(afctol)) go to 75 - iv(irc) = 10 - iv(cnvcod) = iv(irc) - 4 -c - 75 if (iv(cnvcod) .ne. 0) go to 290 - if (iv(mode) .eq. 0) go to 250 -c -c *** allow first step to have scaled 2-norm at most v(lmax0) *** -c - v(radius) = v(lmax0) -c - iv(mode) = 0 -c -c -c----------------------------- main loop ----------------------------- -c -c -c *** print iteration summary, check iteration limit *** -c - 80 call itsum(d, g, iv, liv, lv, n, v, x) - 90 k = iv(niter) - if (k .lt. iv(mxiter)) go to 100 - iv(1) = 10 - go to 300 -c -c *** update radius *** -c - 100 iv(niter) = k + 1 - if(k.gt.0)v(radius) = v(radfac) * v(dstnrm) -c -c *** initialize for start of next iteration *** -c - g01 = iv(g0) - x01 = iv(x0) - v(f0) = v(f) - iv(irc) = 4 - iv(kagqt) = -1 -c -c *** copy x to x0, g to g0 *** -c - call vcopy(n, v(x01), x) - call vcopy(n, v(g01), g) -c -c *** check stopx and function evaluation limit *** -c -C AL 4/30/95 - dummy=iv(nfcall) - 110 if (.not. stopx(dummy)) go to 130 - iv(1) = 11 - go to 140 -c -c *** come here when restarting after func. eval. limit or stopx. -c - 120 if (v(f) .ge. v(f0)) go to 130 - v(radfac) = one - k = iv(niter) - go to 100 -c - 130 if (iv(nfcall) .lt. iv(mxfcal)) go to 150 - iv(1) = 9 - 140 if (v(f) .ge. v(f0)) go to 300 -c -c *** in case of stopx or function evaluation limit with -c *** improved v(f), evaluate the gradient at x. -c - iv(cnvcod) = iv(1) - go to 240 -c -c. . . . . . . . . . . . . compute candidate step . . . . . . . . . . -c - 150 step1 = iv(step) - dg1 = iv(dg) - nwtst1 = iv(nwtstp) - if (iv(kagqt) .ge. 0) go to 160 - l = iv(lmat) - call livmul(n, v(nwtst1), v(l), g) - v(nreduc) = half * dotprd(n, v(nwtst1), v(nwtst1)) - call litvmu(n, v(nwtst1), v(l), v(nwtst1)) - call vvmulp(n, v(step1), v(nwtst1), d, 1) - v(dst0) = v2norm(n, v(step1)) - call vvmulp(n, v(dg1), v(dg1), d, -1) - call ltvmul(n, v(step1), v(l), v(dg1)) - v(gthg) = v2norm(n, v(step1)) - iv(kagqt) = 0 - 160 call dbdog(v(dg1), lv, n, v(nwtst1), v(step1), v) - if (iv(irc) .eq. 6) go to 180 -c -c *** check whether evaluating f(x0 + step) looks worthwhile *** -c - if (v(dstnrm) .le. zero) go to 180 - if (iv(irc) .ne. 5) go to 170 - if (v(radfac) .le. one) go to 170 - if (v(preduc) .le. onep2 * v(fdif)) go to 180 -c -c *** compute f(x0 + step) *** -c - 170 x01 = iv(x0) - step1 = iv(step) - call vaxpy(n, x, one, v(step1), v(x01)) - iv(nfcall) = iv(nfcall) + 1 - iv(1) = 1 - iv(toobig) = 0 - go to 999 -c -c. . . . . . . . . . . . . assess candidate step . . . . . . . . . . . -c - 180 x01 = iv(x0) - v(reldx) = reldst(n, d, x, v(x01)) - call assst(iv, liv, lv, v) - step1 = iv(step) - lstgst = iv(stlstg) - if (iv(restor) .eq. 1) call vcopy(n, x, v(x01)) - if (iv(restor) .eq. 2) call vcopy(n, v(lstgst), v(step1)) - if (iv(restor) .ne. 3) go to 190 - call vcopy(n, v(step1), v(lstgst)) - call vaxpy(n, x, one, v(step1), v(x01)) - v(reldx) = reldst(n, d, x, v(x01)) -c - 190 k = iv(irc) - go to (200,230,230,230,200,210,220,220,220,220,220,220,280,250), k -c -c *** recompute step with changed radius *** -c - 200 v(radius) = v(radfac) * v(dstnrm) - go to 110 -c -c *** compute step of length v(lmaxs) for singular convergence test. -c - 210 v(radius) = v(lmaxs) - go to 150 -c -c *** convergence or false convergence *** -c - 220 iv(cnvcod) = k - 4 - if (v(f) .ge. v(f0)) go to 290 - if (iv(xirc) .eq. 14) go to 290 - iv(xirc) = 14 -c -c. . . . . . . . . . . . process acceptable step . . . . . . . . . . . -c - 230 if (iv(irc) .ne. 3) go to 240 - step1 = iv(step) - temp1 = iv(stlstg) -c -c *** set temp1 = hessian * step for use in gradient tests *** -c - l = iv(lmat) - call ltvmul(n, v(temp1), v(l), v(step1)) - call lvmul(n, v(temp1), v(l), v(temp1)) -c -c *** compute gradient *** -c - 240 iv(ngcall) = iv(ngcall) + 1 - iv(1) = 2 - go to 999 -c -c *** initializations -- g0 = g - g0, etc. *** -c - 250 g01 = iv(g0) - call vaxpy(n, v(g01), negone, v(g01), g) - step1 = iv(step) - temp1 = iv(stlstg) - if (iv(irc) .ne. 3) go to 270 -c -c *** set v(radfac) by gradient tests *** -c -c *** set temp1 = diag(d)**-1 * (hessian*step + (g(x0)-g(x))) *** -c - call vaxpy(n, v(temp1), negone, v(g01), v(temp1)) - call vvmulp(n, v(temp1), v(temp1), d, -1) -c -c *** do gradient tests *** -c - if (v2norm(n, v(temp1)) .le. v(dgnorm) * v(tuner4)) - 1 go to 260 - if (dotprd(n, g, v(step1)) - 1 .ge. v(gtstep) * v(tuner5)) go to 270 - 260 v(radfac) = v(incfac) -c -c *** update h, loop *** -c - 270 w = iv(nwtstp) - z = iv(x0) - l = iv(lmat) - call wzbfgs(v(l), n, v(step1), v(w), v(g01), v(z)) -c -c ** use the n-vectors starting at v(step1) and v(g01) for scratch.. - call lupdat(v(temp1), v(step1), v(l), v(g01), v(l), n, v(w), v(z)) - iv(1) = 2 - go to 80 -c -c. . . . . . . . . . . . . . misc. details . . . . . . . . . . . . . . -c -c *** bad parameters to assess *** -c - 280 iv(1) = 64 - go to 300 -c -c *** print summary of final iteration and other requested items *** -c - 290 iv(1) = iv(cnvcod) - iv(cnvcod) = 0 - 300 call itsum(d, g, iv, liv, lv, n, v, x) -c - 999 return -c -c *** last line of sumit follows *** - end - subroutine dbdog(dig, lv, n, nwtstp, step, v) -c -c *** compute double dogleg step *** -c -c *** parameter declarations *** -c - integer lv, n - double precision dig(n), nwtstp(n), step(n), v(lv) -c -c *** purpose *** -c -c this subroutine computes a candidate step (for use in an uncon- -c strained minimization code) by the double dogleg algorithm of -c dennis and mei (ref. 1), which is a variation on powell*s dogleg -c scheme (ref. 2, p. 95). -c -c-------------------------- parameter usage -------------------------- -c -c dig (input) diag(d)**-2 * g -- see algorithm notes. -c g (input) the current gradient vector. -c lv (input) length of v. -c n (input) number of components in dig, g, nwtstp, and step. -c nwtstp (input) negative newton step -- see algorithm notes. -c step (output) the computed step. -c v (i/o) values array, the following components of which are -c used here... -c v(bias) (input) bias for relaxed newton step, which is v(bias) of -c the way from the full newton to the fully relaxed newton -c step. recommended value = 0.8 . -c v(dgnorm) (input) 2-norm of diag(d)**-1 * g -- see algorithm notes. -c v(dstnrm) (output) 2-norm of diag(d) * step, which is v(radius) -c unless v(stppar) = 0 -- see algorithm notes. -c v(dst0) (input) 2-norm of diag(d) * nwtstp -- see algorithm notes. -c v(grdfac) (output) the coefficient of dig in the step returned -- -c step(i) = v(grdfac)*dig(i) + v(nwtfac)*nwtstp(i). -c v(gthg) (input) square-root of (dig**t) * (hessian) * dig -- see -c algorithm notes. -c v(gtstep) (output) inner product between g and step. -c v(nreduc) (output) function reduction predicted for the full newton -c step. -c v(nwtfac) (output) the coefficient of nwtstp in the step returned -- -c see v(grdfac) above. -c v(preduc) (output) function reduction predicted for the step returned. -c v(radius) (input) the trust region radius. d times the step returned -c has 2-norm v(radius) unless v(stppar) = 0. -c v(stppar) (output) code telling how step was computed... 0 means a -c full newton step. between 0 and 1 means v(stppar) of the -c way from the newton to the relaxed newton step. between -c 1 and 2 means a true double dogleg step, v(stppar) - 1 of -c the way from the relaxed newton to the cauchy step. -c greater than 2 means 1 / (v(stppar) - 1) times the cauchy -c step. -c -c------------------------------- notes ------------------------------- -c -c *** algorithm notes *** -c -c let g and h be the current gradient and hessian approxima- -c tion respectively and let d be the current scale vector. this -c routine assumes dig = diag(d)**-2 * g and nwtstp = h**-1 * g. -c the step computed is the same one would get by replacing g and h -c by diag(d)**-1 * g and diag(d)**-1 * h * diag(d)**-1, -c computing step, and translating step back to the original -c variables, i.e., premultiplying it by diag(d)**-1. -c -c *** references *** -c -c 1. dennis, j.e., and mei, h.h.w. (1979), two new unconstrained opti- -c mization algorithms which use function and gradient -c values, j. optim. theory applic. 28, pp. 453-482. -c 2. powell, m.j.d. (1970), a hybrid method for non-linear equations, -c in numerical methods for non-linear equations, edited by -c p. rabinowitz, gordon and breach, london. -c -c *** general *** -c -c coded by david m. gay. -c this subroutine was written in connection with research supported -c by the national science foundation under grants mcs-7600324 and -c mcs-7906671. -c -c------------------------ external quantities ------------------------ -c -c *** functions and subroutines called *** -c - external dotprd, v2norm - double precision dotprd, v2norm -c -c dotprd... returns inner product of two vectors. -c v2norm... returns 2-norm of a vector. -c -c *** intrinsic functions *** -c/+ - double precision dsqrt -c/ -c-------------------------- local variables -------------------------- -c - integer i - double precision cfact, cnorm, ctrnwt, ghinvg, femnsq, gnorm, - 1 nwtnrm, relax, rlambd, t, t1, t2 - double precision half, one, two, zero -c -c *** v subscripts *** -c - integer bias, dgnorm, dstnrm, dst0, grdfac, gthg, gtstep, - 1 nreduc, nwtfac, preduc, radius, stppar -c -c *** data initializations *** -c -c/6 -c data half/0.5d+0/, one/1.d+0/, two/2.d+0/, zero/0.d+0/ -c/7 - parameter (half=0.5d+0, one=1.d+0, two=2.d+0, zero=0.d+0) -c/ -c -c/6 -c data bias/43/, dgnorm/1/, dstnrm/2/, dst0/3/, grdfac/45/, -c 1 gthg/44/, gtstep/4/, nreduc/6/, nwtfac/46/, preduc/7/, -c 2 radius/8/, stppar/5/ -c/7 - parameter (bias=43, dgnorm=1, dstnrm=2, dst0=3, grdfac=45, - 1 gthg=44, gtstep=4, nreduc=6, nwtfac=46, preduc=7, - 2 radius=8, stppar=5) -c/ -c -c+++++++++++++++++++++++++++++++ body ++++++++++++++++++++++++++++++++ -c - nwtnrm = v(dst0) - rlambd = one - if (nwtnrm .gt. zero) rlambd = v(radius) / nwtnrm - gnorm = v(dgnorm) - ghinvg = two * v(nreduc) - v(grdfac) = zero - v(nwtfac) = zero - if (rlambd .lt. one) go to 30 -c -c *** the newton step is inside the trust region *** -c - v(stppar) = zero - v(dstnrm) = nwtnrm - v(gtstep) = -ghinvg - v(preduc) = v(nreduc) - v(nwtfac) = -one - do 20 i = 1, n - 20 step(i) = -nwtstp(i) - go to 999 -c - 30 v(dstnrm) = v(radius) - cfact = (gnorm / v(gthg))**2 -c *** cauchy step = -cfact * g. - cnorm = gnorm * cfact - relax = one - v(bias) * (one - gnorm*cnorm/ghinvg) - if (rlambd .lt. relax) go to 50 -c -c *** step is between relaxed newton and full newton steps *** -c - v(stppar) = one - (rlambd - relax) / (one - relax) - t = -rlambd - v(gtstep) = t * ghinvg - v(preduc) = rlambd * (one - half*rlambd) * ghinvg - v(nwtfac) = t - do 40 i = 1, n - 40 step(i) = t * nwtstp(i) - go to 999 -c - 50 if (cnorm .lt. v(radius)) go to 70 -c -c *** the cauchy step lies outside the trust region -- -c *** step = scaled cauchy step *** -c - t = -v(radius) / gnorm - v(grdfac) = t - v(stppar) = one + cnorm / v(radius) - v(gtstep) = -v(radius) * gnorm - v(preduc) = v(radius)*(gnorm - half*v(radius)*(v(gthg)/gnorm)**2) - do 60 i = 1, n - 60 step(i) = t * dig(i) - go to 999 -c -c *** compute dogleg step between cauchy and relaxed newton *** -c *** femur = relaxed newton step minus cauchy step *** -c - 70 ctrnwt = cfact * relax * ghinvg / gnorm -c *** ctrnwt = inner prod. of cauchy and relaxed newton steps, -c *** scaled by gnorm**-1. - t1 = ctrnwt - gnorm*cfact**2 -c *** t1 = inner prod. of femur and cauchy step, scaled by -c *** gnorm**-1. - t2 = v(radius)*(v(radius)/gnorm) - gnorm*cfact**2 - t = relax * nwtnrm - femnsq = (t/gnorm)*t - ctrnwt - t1 -c *** femnsq = square of 2-norm of femur, scaled by gnorm**-1. - t = t2 / (t1 + dsqrt(t1**2 + femnsq*t2)) -c *** dogleg step = cauchy step + t * femur. - t1 = (t - one) * cfact - v(grdfac) = t1 - t2 = -t * relax - v(nwtfac) = t2 - v(stppar) = two - t - v(gtstep) = t1*gnorm**2 + t2*ghinvg - v(preduc) = -t1*gnorm * ((t2 + one)*gnorm) - 1 - t2 * (one + half*t2)*ghinvg - 2 - half * (v(gthg)*t1)**2 - do 80 i = 1, n - 80 step(i) = t1*dig(i) + t2*nwtstp(i) -c - 999 return -c *** last line of dbdog follows *** - end - subroutine ltvmul(n, x, l, y) -c -c *** compute x = (l**t)*y, where l is an n x n lower -c *** triangular matrix stored compactly by rows. x and y may -c *** occupy the same storage. *** -c - integer n -cal double precision x(n), l(1), y(n) - double precision x(n), l(n*(n+1)/2), y(n) -c dimension l(n*(n+1)/2) - integer i, ij, i0, j - double precision yi, zero -c/6 -c data zero/0.d+0/ -c/7 - parameter (zero=0.d+0) -c/ -c - i0 = 0 - do 20 i = 1, n - yi = y(i) - x(i) = zero - do 10 j = 1, i - ij = i0 + j - x(j) = x(j) + yi*l(ij) - 10 continue - i0 = i0 + i - 20 continue - 999 return -c *** last card of ltvmul follows *** - end - subroutine lupdat(beta, gamma, l, lambda, lplus, n, w, z) -c -c *** compute lplus = secant update of l *** -c -c *** parameter declarations *** -c - integer n -cal double precision beta(n), gamma(n), l(1), lambda(n), lplus(1), - double precision beta(n), gamma(n), l(n*(n+1)/2), lambda(n), - 1 lplus(n*(n+1)/2),w(n), z(n) -c dimension l(n*(n+1)/2), lplus(n*(n+1)/2) -c -c-------------------------- parameter usage -------------------------- -c -c beta = scratch vector. -c gamma = scratch vector. -c l (input) lower triangular matrix, stored rowwise. -c lambda = scratch vector. -c lplus (output) lower triangular matrix, stored rowwise, which may -c occupy the same storage as l. -c n (input) length of vector parameters and order of matrices. -c w (input, destroyed on output) right singular vector of rank 1 -c correction to l. -c z (input, destroyed on output) left singular vector of rank 1 -c correction to l. -c -c------------------------------- notes ------------------------------- -c -c *** application and usage restrictions *** -c -c this routine updates the cholesky factor l of a symmetric -c positive definite matrix to which a secant update is being -c applied -- it computes a cholesky factor lplus of -c l * (i + z*w**t) * (i + w*z**t) * l**t. it is assumed that w -c and z have been chosen so that the updated matrix is strictly -c positive definite. -c -c *** algorithm notes *** -c -c this code uses recurrence 3 of ref. 1 (with d(j) = 1 for all j) -c to compute lplus of the form l * (i + z*w**t) * q, where q -c is an orthogonal matrix that makes the result lower triangular. -c lplus may have some negative diagonal elements. -c -c *** references *** -c -c 1. goldfarb, d. (1976), factorized variable metric methods for uncon- -c strained optimization, math. comput. 30, pp. 796-811. -c -c *** general *** -c -c coded by david m. gay (fall 1979). -c this subroutine was written in connection with research supported -c by the national science foundation under grants mcs-7600324 and -c mcs-7906671. -c -c------------------------ external quantities ------------------------ -c -c *** intrinsic functions *** -c/+ - double precision dsqrt -c/ -c-------------------------- local variables -------------------------- -c - integer i, ij, j, jj, jp1, k, nm1, np1 - double precision a, b, bj, eta, gj, lj, lij, ljj, nu, s, theta, - 1 wj, zj - double precision one, zero -c -c *** data initializations *** -c -c/6 -c data one/1.d+0/, zero/0.d+0/ -c/7 - parameter (one=1.d+0, zero=0.d+0) -c/ -c -c+++++++++++++++++++++++++++++++ body ++++++++++++++++++++++++++++++++ -c - nu = one - eta = zero - if (n .le. 1) go to 30 - nm1 = n - 1 -c -c *** temporarily store s(j) = sum over k = j+1 to n of w(k)**2 in -c *** lambda(j). -c - s = zero - do 10 i = 1, nm1 - j = n - i - s = s + w(j+1)**2 - lambda(j) = s - 10 continue -c -c *** compute lambda, gamma, and beta by goldfarb*s recurrence 3. -c - do 20 j = 1, nm1 - wj = w(j) - a = nu*z(j) - eta*wj - theta = one + a*wj - s = a*lambda(j) - lj = dsqrt(theta**2 + a*s) - if (theta .gt. zero) lj = -lj - lambda(j) = lj - b = theta*wj + s - gamma(j) = b * nu / lj - beta(j) = (a - b*eta) / lj - nu = -nu / lj - eta = -(eta + (a**2)/(theta - lj)) / lj - 20 continue - 30 lambda(n) = one + (nu*z(n) - eta*w(n))*w(n) -c -c *** update l, gradually overwriting w and z with l*w and l*z. -c - np1 = n + 1 - jj = n * (n + 1) / 2 - do 60 k = 1, n - j = np1 - k - lj = lambda(j) - ljj = l(jj) - lplus(jj) = lj * ljj - wj = w(j) - w(j) = ljj * wj - zj = z(j) - z(j) = ljj * zj - if (k .eq. 1) go to 50 - bj = beta(j) - gj = gamma(j) - ij = jj + j - jp1 = j + 1 - do 40 i = jp1, n - lij = l(ij) - lplus(ij) = lj*lij + bj*w(i) + gj*z(i) - w(i) = w(i) + lij*wj - z(i) = z(i) + lij*zj - ij = ij + i - 40 continue - 50 jj = jj - j - 60 continue -c - 999 return -c *** last card of lupdat follows *** - end - subroutine lvmul(n, x, l, y) -c -c *** compute x = l*y, where l is an n x n lower triangular -c *** matrix stored compactly by rows. x and y may occupy the same -c *** storage. *** -c - integer n -cal double precision x(n), l(1), y(n) - double precision x(n), l(n*(n+1)/2), y(n) -c dimension l(n*(n+1)/2) - integer i, ii, ij, i0, j, np1 - double precision t, zero -c/6 -c data zero/0.d+0/ -c/7 - parameter (zero=0.d+0) -c/ -c - np1 = n + 1 - i0 = n*(n+1)/2 - do 20 ii = 1, n - i = np1 - ii - i0 = i0 - i - t = zero - do 10 j = 1, i - ij = i0 + j - t = t + l(ij)*y(j) - 10 continue - x(i) = t - 20 continue - 999 return -c *** last card of lvmul follows *** - end - subroutine vvmulp(n, x, y, z, k) -c -c *** set x(i) = y(i) * z(i)**k, 1 .le. i .le. n (for k = 1 or -1) *** -c - integer n, k - double precision x(n), y(n), z(n) - integer i -c - if (k .ge. 0) go to 20 - do 10 i = 1, n - 10 x(i) = y(i) / z(i) - go to 999 -c - 20 do 30 i = 1, n - 30 x(i) = y(i) * z(i) - 999 return -c *** last card of vvmulp follows *** - end - subroutine wzbfgs (l, n, s, w, y, z) -c -c *** compute y and z for lupdat corresponding to bfgs update. -c - integer n -cal double precision l(1), s(n), w(n), y(n), z(n) - double precision l(n*(n+1)/2), s(n), w(n), y(n), z(n) -c dimension l(n*(n+1)/2) -c -c-------------------------- parameter usage -------------------------- -c -c l (i/o) cholesky factor of hessian, a lower triang. matrix stored -c compactly by rows. -c n (input) order of l and length of s, w, y, z. -c s (input) the step just taken. -c w (output) right singular vector of rank 1 correction to l. -c y (input) change in gradients corresponding to s. -c z (output) left singular vector of rank 1 correction to l. -c -c------------------------------- notes ------------------------------- -c -c *** algorithm notes *** -c -c when s is computed in certain ways, e.g. by gqtstp or -c dbldog, it is possible to save n**2/2 operations since (l**t)*s -c or l*(l**t)*s is then known. -c if the bfgs update to l*(l**t) would reduce its determinant to -c less than eps times its old value, then this routine in effect -c replaces y by theta*y + (1 - theta)*l*(l**t)*s, where theta -c (between 0 and 1) is chosen to make the reduction factor = eps. -c -c *** general *** -c -c coded by david m. gay (fall 1979). -c this subroutine was written in connection with research supported -c by the national science foundation under grants mcs-7600324 and -c mcs-7906671. -c -c------------------------ external quantities ------------------------ -c -c *** functions and subroutines called *** -c - external dotprd, livmul, ltvmul - double precision dotprd -c dotprd returns inner product of two vectors. -c livmul multiplies l**-1 times a vector. -c ltvmul multiplies l**t times a vector. -c -c *** intrinsic functions *** -c/+ - double precision dsqrt -c/ -c-------------------------- local variables -------------------------- -c - integer i - double precision cs, cy, eps, epsrt, one, shs, ys, theta -c -c *** data initializations *** -c -c/6 -c data eps/0.1d+0/, one/1.d+0/ -c/7 - parameter (eps=0.1d+0, one=1.d+0) -c/ -c -c+++++++++++++++++++++++++++++++ body ++++++++++++++++++++++++++++++++ -c - call ltvmul(n, w, l, s) - shs = dotprd(n, w, w) - ys = dotprd(n, y, s) - if (ys .ge. eps*shs) go to 10 - theta = (one - eps) * shs / (shs - ys) - epsrt = dsqrt(eps) - cy = theta / (shs * epsrt) - cs = (one + (theta-one)/epsrt) / shs - go to 20 - 10 cy = one / (dsqrt(ys) * dsqrt(shs)) - cs = one / shs - 20 call livmul(n, z, l, y) - do 30 i = 1, n - 30 z(i) = cy * z(i) - cs * w(i) -c - 999 return -c *** last card of wzbfgs follows *** - end diff --git a/source/unres/src_MD-restraints-PM/surfatom.f b/source/unres/src_MD-restraints-PM/surfatom.f deleted file mode 100644 index 9974842..0000000 --- a/source/unres/src_MD-restraints-PM/surfatom.f +++ /dev/null @@ -1,494 +0,0 @@ -c -c -c ################################################### -c ## COPYRIGHT (C) 1996 by Jay William Ponder ## -c ## All Rights Reserved ## -c ################################################### -c -c ################################################################ -c ## ## -c ## subroutine surfatom -- exposed surface area of an atom ## -c ## ## -c ################################################################ -c -c -c "surfatom" performs an analytical computation of the surface -c area of a specified atom; a simplified version of "surface" -c -c literature references: -c -c T. J. Richmond, "Solvent Accessible Surface Area and -c Excluded Volume in Proteins", Journal of Molecular Biology, -c 178, 63-89 (1984) -c -c L. Wesson and D. Eisenberg, "Atomic Solvation Parameters -c Applied to Molecular Dynamics of Proteins in Solution", -c Protein Science, 1, 227-235 (1992) -c -c variables and parameters: -c -c ir number of atom for which area is desired -c area accessible surface area of the atom -c radius radii of each of the individual atoms -c -c - subroutine surfatom (ir,area,radius) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'sizes.i' - include 'COMMON.GEO' - include 'COMMON.IOUNITS' - integer nres,nsup,nstart_sup - double precision c,dc,dc_old,d_c_work,xloc,xrot,dc_norm - common /chain/ c(3,maxres2+2),dc(3,0:maxres2),dc_old(3,0:maxres2), - & xloc(3,maxres),xrot(3,maxres),dc_norm(3,0:maxres2), - & dc_work(MAXRES6),nres,nres0 - integer maxarc - parameter (maxarc=300) - integer i,j,k,m - integer ii,ib,jb - integer io,ir - integer mi,ni,narc - integer key(maxarc) - integer intag(maxarc) - integer intag1(maxarc) - real*8 area,arcsum - real*8 arclen,exang - real*8 delta,delta2 - real*8 eps,rmove - real*8 xr,yr,zr - real*8 rr,rrsq - real*8 rplus,rminus - real*8 axx,axy,axz - real*8 ayx,ayy - real*8 azx,azy,azz - real*8 uxj,uyj,uzj - real*8 tx,ty,tz - real*8 txb,tyb,td - real*8 tr2,tr,txr,tyr - real*8 tk1,tk2 - real*8 thec,the,t,tb - real*8 txk,tyk,tzk - real*8 t1,ti,tf,tt - real*8 txj,tyj,tzj - real*8 ccsq,cc,xysq - real*8 bsqk,bk,cosine - real*8 dsqj,gi,pix2 - real*8 therk,dk,gk - real*8 risqk,rik - real*8 radius(maxatm) - real*8 ri(maxarc),risq(maxarc) - real*8 ux(maxarc),uy(maxarc),uz(maxarc) - real*8 xc(maxarc),yc(maxarc),zc(maxarc) - real*8 xc1(maxarc),yc1(maxarc),zc1(maxarc) - real*8 dsq(maxarc),bsq(maxarc) - real*8 dsq1(maxarc),bsq1(maxarc) - real*8 arci(maxarc),arcf(maxarc) - real*8 ex(maxarc),lt(maxarc),gr(maxarc) - real*8 b(maxarc),b1(maxarc),bg(maxarc) - real*8 kent(maxarc),kout(maxarc) - real*8 ther(maxarc) - logical moved,top - logical omit(maxarc) -c -c -c zero out the surface area for the sphere of interest -c - area = 0.0d0 -c write (2,*) "ir",ir," radius",radius(ir) - if (radius(ir) .eq. 0.0d0) return -c -c set the overlap significance and connectivity shift -c - pix2 = 2.0d0 * pi - delta = 1.0d-8 - delta2 = delta * delta - eps = 1.0d-8 - moved = .false. - rmove = 1.0d-8 -c -c store coordinates and radius of the sphere of interest -c - xr = c(1,ir) - yr = c(2,ir) - zr = c(3,ir) - rr = radius(ir) - rrsq = rr * rr -c -c initialize values of some counters and summations -c - 10 continue - io = 0 - jb = 0 - ib = 0 - arclen = 0.0d0 - exang = 0.0d0 -c -c test each sphere to see if it overlaps the sphere of interest -c - do i = 1, 2*nres - if (i.eq.ir .or. radius(i).eq.0.0d0) goto 30 - rplus = rr + radius(i) - tx = c(1,i) - xr - if (abs(tx) .ge. rplus) goto 30 - ty = c(2,i) - yr - if (abs(ty) .ge. rplus) goto 30 - tz = c(3,i) - zr - if (abs(tz) .ge. rplus) goto 30 -c -c check for sphere overlap by testing distance against radii -c - xysq = tx*tx + ty*ty - if (xysq .lt. delta2) then - tx = delta - ty = 0.0d0 - xysq = delta2 - end if - ccsq = xysq + tz*tz - cc = sqrt(ccsq) - if (rplus-cc .le. delta) goto 30 - rminus = rr - radius(i) -c -c check to see if sphere of interest is completely buried -c - if (cc-abs(rminus) .le. delta) then - if (rminus .le. 0.0d0) goto 170 - goto 30 - end if -c -c check for too many overlaps with sphere of interest -c - if (io .ge. maxarc) then - write (iout,20) - 20 format (/,' SURFATOM -- Increase the Value of MAXARC') - stop - end if -c -c get overlap between current sphere and sphere of interest -c - io = io + 1 - xc1(io) = tx - yc1(io) = ty - zc1(io) = tz - dsq1(io) = xysq - bsq1(io) = ccsq - b1(io) = cc - gr(io) = (ccsq+rplus*rminus) / (2.0d0*rr*b1(io)) - intag1(io) = i - omit(io) = .false. - 30 continue - end do -c -c case where no other spheres overlap the sphere of interest -c - if (io .eq. 0) then - area = 4.0d0 * pi * rrsq - return - end if -c -c case where only one sphere overlaps the sphere of interest -c - if (io .eq. 1) then - area = pix2 * (1.0d0 + gr(1)) - area = mod(area,4.0d0*pi) * rrsq - return - end if -c -c case where many spheres intersect the sphere of interest; -c sort the intersecting spheres by their degree of overlap -c - call sort2 (io,gr,key) - do i = 1, io - k = key(i) - intag(i) = intag1(k) - xc(i) = xc1(k) - yc(i) = yc1(k) - zc(i) = zc1(k) - dsq(i) = dsq1(k) - b(i) = b1(k) - bsq(i) = bsq1(k) - end do -c -c get radius of each overlap circle on surface of the sphere -c - do i = 1, io - gi = gr(i) * rr - bg(i) = b(i) * gi - risq(i) = rrsq - gi*gi - ri(i) = sqrt(risq(i)) - ther(i) = 0.5d0*pi - asin(min(1.0d0,max(-1.0d0,gr(i)))) - end do -c -c find boundary of inaccessible area on sphere of interest -c - do k = 1, io-1 - if (.not. omit(k)) then - txk = xc(k) - tyk = yc(k) - tzk = zc(k) - bk = b(k) - therk = ther(k) -c -c check to see if J circle is intersecting K circle; -c get distance between circle centers and sum of radii -c - do j = k+1, io - if (omit(j)) goto 60 - cc = (txk*xc(j)+tyk*yc(j)+tzk*zc(j))/(bk*b(j)) - cc = acos(min(1.0d0,max(-1.0d0,cc))) - td = therk + ther(j) -c -c check to see if circles enclose separate regions -c - if (cc .ge. td) goto 60 -c -c check for circle J completely inside circle K -c - if (cc+ther(j) .lt. therk) goto 40 -c -c check for circles that are essentially parallel -c - if (cc .gt. delta) goto 50 - 40 continue - omit(j) = .true. - goto 60 -c -c check to see if sphere of interest is completely buried -c - 50 continue - if (pix2-cc .le. td) goto 170 - 60 continue - end do - end if - end do -c -c find T value of circle intersections -c - do k = 1, io - if (omit(k)) goto 110 - omit(k) = .true. - narc = 0 - top = .false. - txk = xc(k) - tyk = yc(k) - tzk = zc(k) - dk = sqrt(dsq(k)) - bsqk = bsq(k) - bk = b(k) - gk = gr(k) * rr - risqk = risq(k) - rik = ri(k) - therk = ther(k) -c -c rotation matrix elements -c - t1 = tzk / (bk*dk) - axx = txk * t1 - axy = tyk * t1 - axz = dk / bk - ayx = tyk / dk - ayy = txk / dk - azx = txk / bk - azy = tyk / bk - azz = tzk / bk - do j = 1, io - if (.not. omit(j)) then - txj = xc(j) - tyj = yc(j) - tzj = zc(j) -c -c rotate spheres so K vector colinear with z-axis -c - uxj = txj*axx + tyj*axy - tzj*axz - uyj = tyj*ayy - txj*ayx - uzj = txj*azx + tyj*azy + tzj*azz - cosine = min(1.0d0,max(-1.0d0,uzj/b(j))) - if (acos(cosine) .lt. therk+ther(j)) then - dsqj = uxj*uxj + uyj*uyj - tb = uzj*gk - bg(j) - txb = uxj * tb - tyb = uyj * tb - td = rik * dsqj - tr2 = risqk*dsqj - tb*tb - tr2 = max(eps,tr2) - tr = sqrt(tr2) - txr = uxj * tr - tyr = uyj * tr -c -c get T values of intersection for K circle -c - tb = (txb+tyr) / td - tb = min(1.0d0,max(-1.0d0,tb)) - tk1 = acos(tb) - if (tyb-txr .lt. 0.0d0) tk1 = pix2 - tk1 - tb = (txb-tyr) / td - tb = min(1.0d0,max(-1.0d0,tb)) - tk2 = acos(tb) - if (tyb+txr .lt. 0.0d0) tk2 = pix2 - tk2 - thec = (rrsq*uzj-gk*bg(j)) / (rik*ri(j)*b(j)) - if (abs(thec) .lt. 1.0d0) then - the = -acos(thec) - else if (thec .ge. 1.0d0) then - the = 0.0d0 - else if (thec .le. -1.0d0) then - the = -pi - end if -c -c see if "tk1" is entry or exit point; check t=0 point; -c "ti" is exit point, "tf" is entry point -c - cosine = min(1.0d0,max(-1.0d0, - & (uzj*gk-uxj*rik)/(b(j)*rr))) - if ((acos(cosine)-ther(j))*(tk2-tk1) .le. 0.0d0) then - ti = tk2 - tf = tk1 - else - ti = tk2 - tf = tk1 - end if - narc = narc + 1 - if (narc .ge. maxarc) then - write (iout,70) - 70 format (/,' SURFATOM -- Increase the Value', - & ' of MAXARC') - stop - end if - if (tf .le. ti) then - arcf(narc) = tf - arci(narc) = 0.0d0 - tf = pix2 - lt(narc) = j - ex(narc) = the - top = .true. - narc = narc + 1 - end if - arcf(narc) = tf - arci(narc) = ti - lt(narc) = j - ex(narc) = the - ux(j) = uxj - uy(j) = uyj - uz(j) = uzj - end if - end if - end do - omit(k) = .false. -c -c special case; K circle without intersections -c - if (narc .le. 0) goto 90 -c -c general case; sum up arclength and set connectivity code -c - call sort2 (narc,arci,key) - arcsum = arci(1) - mi = key(1) - t = arcf(mi) - ni = mi - if (narc .gt. 1) then - do j = 2, narc - m = key(j) - if (t .lt. arci(j)) then - arcsum = arcsum + arci(j) - t - exang = exang + ex(ni) - jb = jb + 1 - if (jb .ge. maxarc) then - write (iout,80) - 80 format (/,' SURFATOM -- Increase the Value', - & ' of MAXARC') - stop - end if - i = lt(ni) - kent(jb) = maxarc*i + k - i = lt(m) - kout(jb) = maxarc*k + i - end if - tt = arcf(m) - if (tt .ge. t) then - t = tt - ni = m - end if - end do - end if - arcsum = arcsum + pix2 - t - if (.not. top) then - exang = exang + ex(ni) - jb = jb + 1 - i = lt(ni) - kent(jb) = maxarc*i + k - i = lt(mi) - kout(jb) = maxarc*k + i - end if - goto 100 - 90 continue - arcsum = pix2 - ib = ib + 1 - 100 continue - arclen = arclen + gr(k)*arcsum - 110 continue - end do - if (arclen .eq. 0.0d0) goto 170 - if (jb .eq. 0) goto 150 -c -c find number of independent boundaries and check connectivity -c - j = 0 - do k = 1, jb - if (kout(k) .ne. 0) then - i = k - 120 continue - m = kout(i) - kout(i) = 0 - j = j + 1 - do ii = 1, jb - if (m .eq. kent(ii)) then - if (ii .eq. k) then - ib = ib + 1 - if (j .eq. jb) goto 150 - goto 130 - end if - i = ii - goto 120 - end if - end do - 130 continue - end if - end do - ib = ib + 1 -c -c attempt to fix connectivity error by moving atom slightly -c - if (moved) then - write (iout,140) ir - 140 format (/,' SURFATOM -- Connectivity Error at Atom',i6) - else - moved = .true. - xr = xr + rmove - yr = yr + rmove - zr = zr + rmove - goto 10 - end if -c -c compute the exposed surface area for the sphere of interest -c - 150 continue - area = ib*pix2 + exang + arclen - area = mod(area,4.0d0*pi) * rrsq -c -c attempt to fix negative area by moving atom slightly -c - if (area .lt. 0.0d0) then - if (moved) then - write (iout,160) ir - 160 format (/,' SURFATOM -- Negative Area at Atom',i6) - else - moved = .true. - xr = xr + rmove - yr = yr + rmove - zr = zr + rmove - goto 10 - end if - end if - 170 continue - return - end diff --git a/source/unres/src_MD-restraints-PM/test.F b/source/unres/src_MD-restraints-PM/test.F deleted file mode 100644 index 0140ee5..0000000 --- a/source/unres/src_MD-restraints-PM/test.F +++ /dev/null @@ -1,863 +0,0 @@ - subroutine test - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - include 'COMMON.DISTFIT' - include 'COMMON.SBRIDGE' - include 'COMMON.CONTROL' - include 'COMMON.FFIELD' - include 'COMMON.MINIM' - include 'COMMON.CHAIN' - double precision time0,time1 - double precision energy(0:n_ene),ee - double precision var(maxvar),var1(maxvar) - integer j1,j2 - logical debug,accepted - debug=.true. - - - call geom_to_var(nvar,var1) - call chainbuild - call etotal(energy(0)) - etot=energy(0) - call rmsd(rms) - write(iout,*) 'etot=',0,etot,rms - call secondary2(.false.) - - call write_pdb(0,'first structure',etot) - - j1=13 - j2=21 - da=180.0*deg2rad - - - - temp=3000.0d0 - betbol=1.0D0/(1.9858D-3*temp) - jr=iran_num(j1,j2) - d=ran_number(-pi,pi) -c phi(jr)=pinorm(phi(jr)+d) - call chainbuild - call etotal(energy(0)) - etot0=energy(0) - call rmsd(rms) - write(iout,*) 'etot=',1,etot0,rms - call write_pdb(1,'perturb structure',etot0) - - do i=2,500,2 - jr=iran_num(j1,j2) - d=ran_number(-da,da) - phiold=phi(jr) - phi(jr)=pinorm(phi(jr)+d) - call chainbuild - call etotal(energy(0)) - etot=energy(0) - - if (etot.lt.etot0) then - accepted=.true. - else - accepted=.false. - xxr=ran_number(0.0D0,1.0D0) - xxh=betbol*(etot-etot0) - if (xxh.lt.50.0D0) then - xxh=dexp(-xxh) - if (xxh.gt.xxr) accepted=.true. - endif - endif - accepted=.true. -c print *,etot0,etot,accepted - if (accepted) then - etot0=etot - call rmsd(rms) - write(iout,*) 'etot=',i,etot,rms - call write_pdb(i,'MC structure',etot) -c minimize -c call geom_to_var(nvar,var1) - call sc_move(2,nres-1,1,10d0,nft_sc,etot) - call geom_to_var(nvar,var) - call minimize(etot,var,iretcode,nfun) - write(iout,*)'SUMSL return code is',iretcode,' eval ',nfun - call var_to_geom(nvar,var) - call chainbuild - call rmsd(rms) - write(iout,*) 'etot mcm=',i,etot,rms - call write_pdb(i+1,'MCM structure',etot) - call var_to_geom(nvar,var1) -c -------- - else - phi(jr)=phiold - endif - enddo - -c minimize -c call sc_move(2,nres-1,1,10d0,nft_sc,etot) -c call geom_to_var(nvar,var) -c -c call chainbuild -c call write_pdb(998 ,'sc min',etot) -c -c call minimize(etot,var,iretcode,nfun) -c write(iout,*)'------------------------------------------------' -c write(iout,*)'SUMSL return code is',iretcode,' eval ',nfun -c -c call var_to_geom(nvar,var) -c call chainbuild -c call write_pdb(999,'full min',etot) - - - return - end - - - - - subroutine test_local - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - double precision time0,time1 - double precision energy(0:n_ene),ee - double precision varia(maxvar) -c - call chainbuild -c call geom_to_var(nvar,varia) - call write_pdb(1,'first structure',0d0) - - call etotal(energy(0)) - etot=energy(0) - write(iout,*) nnt,nct,etot - - write(iout,*) 'calling sc_move' - call sc_move(nnt,nct,5,10d0,nft_sc,etot) - write(iout,*) nft_sc,etot - call write_pdb(2,'second structure',etot) - - write(iout,*) 'calling local_move' - call local_move_init(.false.) - call local_move(24,29,20d0,50d0) - call chainbuild - call write_pdb(3,'third structure',etot) - - write(iout,*) 'calling sc_move' - call sc_move(24,29,5,10d0,nft_sc,etot) - write(iout,*) nft_sc,etot - call write_pdb(2,'last structure',etot) - - - return - end - - subroutine test_sc - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.GEO' - include 'COMMON.VAR' - include 'COMMON.INTERACT' - include 'COMMON.IOUNITS' - double precision time0,time1 - double precision energy(0:n_ene),ee - double precision varia(maxvar) -c - call chainbuild -c call geom_to_var(nvar,varia) - call write_pdb(1,'first structure',0d0) - - call etotal(energy(0)) - etot=energy(0) - write(iout,*) nnt,nct,etot - - write(iout,*) 'calling sc_move' - - call sc_move(nnt,nct,5,10d0,nft_sc,etot) - write(iout,*) nft_sc,etot - call write_pdb(2,'second structure',etot) - - write(iout,*) 'calling sc_move 2nd time' - - call sc_move(nnt,nct,5,1d0,nft_sc,etot) - write(iout,*) nft_sc,etot - call write_pdb(3,'last structure',etot) - return - end -c-------------------------------------------------------- - subroutine bgrow(bstrand,nbstrand,in,ind,new) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - integer bstrand(maxres/3,6) - - ishift=iabs(bstrand(in,ind+4)-new) - - print *,'bgrow',bstrand(in,ind+4),new,ishift - - bstrand(in,ind)=new - - if(ind.eq.1)then - bstrand(nbstrand,5)=bstrand(nbstrand,1) - do i=1,nbstrand-1 - IF (bstrand(nbstrand,3).eq.bstrand(i,3)) THEN - if (bstrand(i,5).lt.bstrand(i,6)) then - bstrand(i,5)=bstrand(i,5)-ishift - else - bstrand(i,5)=bstrand(i,5)+ishift - endif - ENDIF - enddo - else - bstrand(nbstrand,6)=bstrand(nbstrand,2) - do i=1,nbstrand-1 - IF (bstrand(nbstrand,3).eq.bstrand(i,3)) THEN - if (bstrand(i,6).lt.bstrand(i,5)) then - bstrand(i,6)=bstrand(i,6)-ishift - else - bstrand(i,6)=bstrand(i,6)+ishift - endif - ENDIF - enddo - endif - - - return - end - - -c------------------------------------------------- - - subroutine secondary(lprint) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.DISTFIT' - - integer ncont,icont(2,maxres*maxres/2),isec(maxres,3) - logical lprint,not_done - real dcont(maxres*maxres/2),d - real rcomp /7.0/ - real rbeta /5.2/ - real ralfa /5.2/ - real r310 /6.6/ - double precision xpi(3),xpj(3) - - - - call chainbuild -cd call write_pdb(99,'sec structure',0d0) - ncont=0 - nbfrag=0 - nhfrag=0 - do i=1,nres - isec(i,1)=0 - isec(i,2)=0 - isec(i,3)=0 - enddo - - do i=2,nres-3 - do k=1,3 - xpi(k)=0.5d0*(c(k,i-1)+c(k,i)) - enddo - do j=i+2,nres - do k=1,3 - xpj(k)=0.5d0*(c(k,j-1)+c(k,j)) - enddo -cd d = (c(1,i)-c(1,j))*(c(1,i)-c(1,j)) + -cd & (c(2,i)-c(2,j))*(c(2,i)-c(2,j)) + -cd & (c(3,i)-c(3,j))*(c(3,i)-c(3,j)) -cd print *,'CA',i,j,d - d = (xpi(1)-xpj(1))*(xpi(1)-xpj(1)) + - & (xpi(2)-xpj(2))*(xpi(2)-xpj(2)) + - & (xpi(3)-xpj(3))*(xpi(3)-xpj(3)) - if ( d.lt.rcomp*rcomp) then - ncont=ncont+1 - icont(1,ncont)=i - icont(2,ncont)=j - dcont(ncont)=sqrt(d) - endif - enddo - enddo - if (lprint) then - write (iout,*) - write (iout,'(a)') '#PP contact map distances:' - do i=1,ncont - write (iout,'(3i4,f10.5)') - & i,icont(1,i),icont(2,i),dcont(i) - enddo - endif - -c finding parallel beta -cd write (iout,*) '------- looking for parallel beta -----------' - nbeta=0 - nstrand=0 - do i=1,ncont - i1=icont(1,i) - j1=icont(2,i) - if(dcont(i).le.rbeta .and. j1-i1.gt.4 .and. - & isec(i1,1).le.1.and.isec(j1,1).le.1.and. - & (isec(i1,2).ne.isec(j1,2).or.isec(i1,2)*isec(j1,2).eq.0).and. - & (isec(i1,3).ne.isec(j1,3).or.isec(i1,3)*isec(j1,3).eq.0).and. - & (isec(i1,2).ne.isec(j1,3).or.isec(i1,2)*isec(j1,3).eq.0).and. - & (isec(i1,3).ne.isec(j1,2).or.isec(i1,3)*isec(j1,2).eq.0) - & ) then - ii1=i1 - jj1=j1 -cd write (iout,*) i1,j1,dcont(i) - not_done=.true. - do while (not_done) - i1=i1+1 - j1=j1+1 - do j=1,ncont - if (i1.eq.icont(1,j) .and. j1.eq.icont(2,j) - & .and. dcont(j).le.rbeta .and. - & isec(i1,1).le.1.and.isec(j1,1).le.1.and. - & (isec(i1,2).ne.isec(j1,2).or.isec(i1,2)*isec(j1,2).eq.0).and. - & (isec(i1,3).ne.isec(j1,3).or.isec(i1,3)*isec(j1,3).eq.0).and. - & (isec(i1,2).ne.isec(j1,3).or.isec(i1,2)*isec(j1,3).eq.0).and. - & (isec(i1,3).ne.isec(j1,2).or.isec(i1,3)*isec(j1,2).eq.0) - & ) goto 5 - enddo - not_done=.false. - 5 continue -cd write (iout,*) i1,j1,dcont(j),not_done - enddo - j1=j1-1 - i1=i1-1 - if (i1-ii1.gt.1) then - ii1=max0(ii1-1,1) - jj1=max0(jj1-1,1) - nbeta=nbeta+1 - if(lprint)write(iout,*)'parallel beta',nbeta,ii1,i1,jj1,j1 - - nbfrag=nbfrag+1 - bfrag(1,nbfrag)=ii1 - bfrag(2,nbfrag)=i1 - bfrag(3,nbfrag)=jj1 - bfrag(4,nbfrag)=j1 - - do ij=ii1,i1 - isec(ij,1)=isec(ij,1)+1 - isec(ij,1+isec(ij,1))=nbeta - enddo - do ij=jj1,j1 - isec(ij,1)=isec(ij,1)+1 - isec(ij,1+isec(ij,1))=nbeta - enddo - - if(lprint) then - nstrand=nstrand+1 - if (nbeta.le.9) then - write(12,'(a18,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",ii1-1,"..",i1-1,"'" - else - write(12,'(a18,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",ii1-1,"..",i1-1,"'" - endif - nstrand=nstrand+1 - if (nbeta.le.9) then - write(12,'(a18,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",jj1-1,"..",j1-1,"'" - else - write(12,'(a18,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",jj1-1,"..",j1-1,"'" - endif - write(12,'(a8,4i4)') - & "SetNeigh",ii1-1,i1-1,jj1-1,j1-1 - endif - endif - endif - enddo - -c finding antiparallel beta -cd write (iout,*) '--------- looking for antiparallel beta ---------' - - do i=1,ncont - i1=icont(1,i) - j1=icont(2,i) - if (dcont(i).le.rbeta.and. - & isec(i1,1).le.1.and.isec(j1,1).le.1.and. - & (isec(i1,2).ne.isec(j1,2).or.isec(i1,2)*isec(j1,2).eq.0).and. - & (isec(i1,3).ne.isec(j1,3).or.isec(i1,3)*isec(j1,3).eq.0).and. - & (isec(i1,2).ne.isec(j1,3).or.isec(i1,2)*isec(j1,3).eq.0).and. - & (isec(i1,3).ne.isec(j1,2).or.isec(i1,3)*isec(j1,2).eq.0) - & ) then - ii1=i1 - jj1=j1 -cd write (iout,*) i1,j1,dcont(i) - - not_done=.true. - do while (not_done) - i1=i1+1 - j1=j1-1 - do j=1,ncont - if (i1.eq.icont(1,j).and.j1.eq.icont(2,j) .and. - & isec(i1,1).le.1.and.isec(j1,1).le.1.and. - & (isec(i1,2).ne.isec(j1,2).or.isec(i1,2)*isec(j1,2).eq.0).and. - & (isec(i1,3).ne.isec(j1,3).or.isec(i1,3)*isec(j1,3).eq.0).and. - & (isec(i1,2).ne.isec(j1,3).or.isec(i1,2)*isec(j1,3).eq.0).and. - & (isec(i1,3).ne.isec(j1,2).or.isec(i1,3)*isec(j1,2).eq.0) - & .and. dcont(j).le.rbeta ) goto 6 - enddo - not_done=.false. - 6 continue -cd write (iout,*) i1,j1,dcont(j),not_done - enddo - i1=i1-1 - j1=j1+1 - if (i1-ii1.gt.1) then - if(lprint)write (iout,*)'antiparallel beta', - & nbeta,ii1-1,i1,jj1,j1-1 - - nbfrag=nbfrag+1 - bfrag(1,nbfrag)=max0(ii1-1,1) - bfrag(2,nbfrag)=i1 - bfrag(3,nbfrag)=jj1 - bfrag(4,nbfrag)=max0(j1-1,1) - - nbeta=nbeta+1 - iii1=max0(ii1-1,1) - do ij=iii1,i1 - isec(ij,1)=isec(ij,1)+1 - isec(ij,1+isec(ij,1))=nbeta - enddo - jjj1=max0(j1-1,1) - do ij=jjj1,jj1 - isec(ij,1)=isec(ij,1)+1 - isec(ij,1+isec(ij,1))=nbeta - enddo - - - if (lprint) then - nstrand=nstrand+1 - if (nstrand.le.9) then - write(12,'(a18,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",ii1-2,"..",i1-1,"'" - else - write(12,'(a18,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",ii1-2,"..",i1-1,"'" - endif - nstrand=nstrand+1 - if (nstrand.le.9) then - write(12,'(a18,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",j1-2,"..",jj1-1,"'" - else - write(12,'(a18,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'strand",nstrand, - & "' 'num = ",j1-2,"..",jj1-1,"'" - endif - write(12,'(a8,4i4)') - & "SetNeigh",ii1-2,i1-1,jj1-1,j1-2 - endif - endif - endif - enddo - - if (nstrand.gt.0.and.lprint) then - write(12,'(a27,$)') "DefPropRes 'sheet' 'strand1" - do i=2,nstrand - if (i.le.9) then - write(12,'(a9,i1,$)') " | strand",i - else - write(12,'(a9,i2,$)') " | strand",i - endif - enddo - write(12,'(a1)') "'" - endif - - -c finding alpha or 310 helix - - nhelix=0 - do i=1,ncont - i1=icont(1,i) - j1=icont(2,i) - if (j1.eq.i1+3.and.dcont(i).le.r310 - & .or.j1.eq.i1+4.and.dcont(i).le.ralfa ) then -cd if (j1.eq.i1+3) write (iout,*) "found 1-4 ",i1,j1,dcont(i) -cd if (j1.eq.i1+4) write (iout,*) "found 1-5 ",i1,j1,dcont(i) - ii1=i1 - jj1=j1 - if (isec(ii1,1).eq.0) then - not_done=.true. - else - not_done=.false. - endif - do while (not_done) - i1=i1+1 - j1=j1+1 - do j=1,ncont - if (i1.eq.icont(1,j) .and. j1.eq.icont(2,j)) goto 10 - enddo - not_done=.false. - 10 continue -cd write (iout,*) i1,j1,not_done - enddo - j1=j1-1 - if (j1-ii1.gt.4) then - nhelix=nhelix+1 -cd write (iout,*)'helix',nhelix,ii1,j1 - - nhfrag=nhfrag+1 - hfrag(1,nhfrag)=ii1 - hfrag(2,nhfrag)=max0(j1-1,1) - - do ij=ii1,j1 - isec(ij,1)=-1 - enddo - if (lprint) then - write (iout,'(a6,i3,2i4)') "Helix",nhelix,ii1-1,j1-2 - if (nhelix.le.9) then - write(12,'(a17,i1,a9,i3,a2,i3,a1)') - & "DefPropRes 'helix",nhelix, - & "' 'num = ",ii1-1,"..",j1-2,"'" - else - write(12,'(a17,i2,a9,i3,a2,i3,a1)') - & "DefPropRes 'helix",nhelix, - & "' 'num = ",ii1-1,"..",j1-2,"'" - endif - endif - endif - endif - enddo - - if (nhelix.gt.0.and.lprint) then - write(12,'(a26,$)') "DefPropRes 'helix' 'helix1" - do i=2,nhelix - if (nhelix.le.9) then - write(12,'(a8,i1,$)') " | helix",i - else - write(12,'(a8,i2,$)') " | helix",i - endif - enddo - write(12,'(a1)') "'" - endif - - if (lprint) then - write(12,'(a37)') "DefPropRes 'coil' '! (helix | sheet)'" - write(12,'(a20)') "XMacStand ribbon.mac" - endif - - - return - end -c---------------------------------------------------------------------------- - - subroutine write_pdb(npdb,titelloc,ee) - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - character*50 titelloc1 - character*(*) titelloc - character*3 zahl - character*5 liczba5 - double precision ee - integer npdb,ilen - external ilen - - titelloc1=titelloc - lenpre=ilen(prefix) - if (npdb.lt.1000) then - call numstr(npdb,zahl) - open(ipdb,file=prefix(:lenpre)//'@@'//zahl//'.pdb') - else - if (npdb.lt.10000) then - write(liczba5,'(i1,i4)') 0,npdb - else - write(liczba5,'(i5)') npdb - endif - open(ipdb,file=prefix(:lenpre)//'@@'//liczba5//'.pdb') - endif - call pdbout(ee,titelloc1,ipdb) - close(ipdb) - return - end - -c-------------------------------------------------------- - subroutine softreg - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.GEO' - include 'COMMON.CHAIN' - include 'COMMON.IOUNITS' - include 'COMMON.VAR' - include 'COMMON.CONTROL' - include 'COMMON.SBRIDGE' - include 'COMMON.FFIELD' - include 'COMMON.MINIM' - include 'COMMON.INTERACT' -c - include 'COMMON.DISTFIT' - integer iff(maxres) - double precision time0,time1 - double precision energy(0:n_ene),ee - double precision var(maxvar) - integer ieval -c - logical debug,ltest,fail - character*50 linia -c - linia='test' - debug=.true. - in_pdb=0 - - - -c------------------------ -c -c freeze sec.elements -c - do i=1,nres - mask_phi(i)=1 - mask_theta(i)=1 - mask_side(i)=1 - iff(i)=0 - enddo - - do j=1,nbfrag - do i=bfrag(1,j),bfrag(2,j) - mask_phi(i)=0 - mask_theta(i)=0 - iff(i)=1 - enddo - if (bfrag(3,j).le.bfrag(4,j)) then - do i=bfrag(3,j),bfrag(4,j) - mask_phi(i)=0 - mask_theta(i)=0 - iff(i)=1 - enddo - else - do i=bfrag(4,j),bfrag(3,j) - mask_phi(i)=0 - mask_theta(i)=0 - iff(i)=1 - enddo - endif - enddo - do j=1,nhfrag - do i=hfrag(1,j),hfrag(2,j) - mask_phi(i)=0 - mask_theta(i)=0 - iff(i)=1 - enddo - enddo - mask_r=.true. - - - - nhpb0=nhpb -c -c store dist. constrains -c - do i=1,nres-3 - do j=i+3,nres - if ( iff(i).eq.1.and.iff(j).eq.1 ) then - nhpb=nhpb+1 - ihpb(nhpb)=i - jhpb(nhpb)=j - forcon(nhpb)=0.1 - dhpb(nhpb)=DIST(i,j) - endif - enddo - enddo - call hpb_partition - - if (debug) then - call chainbuild - call write_pdb(100+in_pdb,'input reg. structure',0d0) - endif - - - ipot0=ipot - maxmin0=maxmin - maxfun0=maxfun - wstrain0=wstrain - wang0=wang -c -c run soft pot. optimization -c - ipot=6 - wang=3.0 - maxmin=2000 - maxfun=4000 - call geom_to_var(nvar,var) -#ifdef MPI - time0=MPI_WTIME() -#else - time0=tcpu() -#endif - call minimize(etot,var,iretcode,nfun) - - write(iout,*)'SUMSL return code is',iretcode,' eval SOFT',nfun -#ifdef MPI - time1=MPI_WTIME() -#else - time1=tcpu() -#endif - write (iout,'(a,f6.2,f8.2,a)')' Time for soft min.',time1-time0, - & nfun/(time1-time0),' SOFT eval/s' - if (debug) then - call var_to_geom(nvar,var) - call chainbuild - call write_pdb(300+in_pdb,'soft structure',etot) - endif -c -c run full UNRES optimization with constrains and frozen 2D -c the same variables as soft pot. optimizatio -c - ipot=ipot0 - wang=wang0 - maxmin=maxmin0 - maxfun=maxfun0 -#ifdef MPI - time0=MPI_WTIME() -#else - time0=tcpu() -#endif - call minimize(etot,var,iretcode,nfun) - write(iout,*)'SUMSL MASK DIST return code is',iretcode, - & ' eval ',nfun - ieval=nfun -#ifdef MPI - time1=MPI_WTIME() -#else - time1=tcpu() -#endif - write (iout,'(a,f6.2,f8.2,a)') - & ' Time for mask dist min.',time1-time0, - & nfun/(time1-time0),' eval/s' - if (debug) then - call var_to_geom(nvar,var) - call chainbuild - call write_pdb(400+in_pdb,'mask & dist',etot) - endif -c -c switch off constrains and -c run full UNRES optimization with frozen 2D -c - -c -c reset constrains -c - nhpb_c=nhpb - nhpb=nhpb0 - link_start=1 - link_end=nhpb - wstrain=wstrain0 - -#ifdef MPI - time0=MPI_WTIME() -#else - time0=tcpu() -#endif - call minimize(etot,var,iretcode,nfun) - write(iout,*)'SUMSL MASK return code is',iretcode,' eval ',nfun - ieval=ieval+nfun -#ifdef MPI - time1=MPI_WTIME() -#else - time1=tcpu() -#endif - write (iout,'(a,f6.2,f8.2,a)')' Time for mask min.',time1-time0, - & nfun/(time1-time0),' eval/s' - - - if (debug) then - call var_to_geom(nvar,var) - call chainbuild - call write_pdb(500+in_pdb,'mask 2d frozen',etot) - endif - - mask_r=.false. - - -c -c run full UNRES optimization with constrains and NO frozen 2D -c - - nhpb=nhpb_c - link_start=1 - link_end=nhpb - maxfun=maxfun0/5 - - do ico=1,5 - - wstrain=wstrain0/ico -#ifdef MPI - time0=MPI_WTIME() -#else - time0=tcpu() -#endif - call minimize(etot,var,iretcode,nfun) - write(iout,'(a10,f6.3,a14,i3,a6,i5)') - & ' SUMSL DIST',wstrain,' return code is',iretcode, - & ' eval ',nfun - ieval=nfun -#ifdef MPI - time1=MPI_WTIME() -#else - time1=tcpu() -#endif - write (iout,'(a,f6.2,f8.2,a)') - & ' Time for dist min.',time1-time0, - & nfun/(time1-time0),' eval/s' - if (debug) then - call var_to_geom(nvar,var) - call chainbuild - call write_pdb(600+in_pdb+ico,'dist cons',etot) - endif - - enddo -c - nhpb=nhpb0 - link_start=1 - link_end=nhpb - wstrain=wstrain0 - maxfun=maxfun0 - - -c - if (minim) then -#ifdef MPI - time0=MPI_WTIME() -#else - time0=tcpu() -#endif - call minimize(etot,var,iretcode,nfun) - write(iout,*)'------------------------------------------------' - write(iout,*)'SUMSL return code is',iretcode,' eval ',nfun, - & '+ DIST eval',ieval -#ifdef MPI - time1=MPI_WTIME() -#else - time1=tcpu() -#endif - write (iout,'(a,f6.2,f8.2,a)')' Time for full min.',time1-time0, - & nfun/(time1-time0),' eval/s' - - - call var_to_geom(nvar,var) - call chainbuild - call write_pdb(999,'full min',etot) - endif - - return - end - - diff --git a/source/unres/src_MD-restraints-PM/thread.F b/source/unres/src_MD-restraints-PM/thread.F deleted file mode 100644 index 9f169a0..0000000 --- a/source/unres/src_MD-restraints-PM/thread.F +++ /dev/null @@ -1,549 +0,0 @@ - subroutine thread_seq -C Thread the sequence through a database of known structures - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.CONTROL' - include 'COMMON.CHAIN' - include 'COMMON.DBASE' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.THREAD' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.HEADER' - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - include 'COMMON.CONTACTS' - include 'COMMON.MCM' - include 'COMMON.NAMES' -#ifdef MPI - include 'COMMON.INFO' - integer ThreadId,ThreadType,Kwita -#endif - double precision varia(maxvar) - double precision przes(3),obr(3,3) - double precision time_for_thread - logical found_pattern,non_conv - character*32 head_pdb - double precision energia(0:n_ene) - n_ene_comp=nprint_ene -C -C Body -C -#ifdef MPI - if (me.eq.king) then - do i=1,nctasks - nsave_part(i)=0 - enddo - endif - nacc_tot=0 -#endif - Kwita=0 - close(igeom) - close(ipdb) - close(istat) - do i=1,maxthread - do j=1,14 - ener0(j,i)=0.0D0 - ener(j,i)=0.0D0 - enddo - enddo - nres0=nct-nnt+1 - ave_time_for_thread=0.0D0 - max_time_for_thread=0.0D0 -cd print *,'nthread=',nthread,' nseq=',nseq,' nres0=',nres0 - nthread=nexcl+nthread - do ithread=1,nthread - found_pattern=.false. - itrial=0 - do while (.not.found_pattern) - itrial=itrial+1 - if (itrial.gt.1000) then - write (iout,'(/a/)') 'Too many attempts to find pattern.' - nthread=ithread-1 -#ifdef MPI - call recv_stop_sig(Kwita) - call send_stop_sig(-3) -#endif - goto 777 - endif -C Find long enough chain in the database - ii=iran_num(1,nseq) - nres_t=nres_base(1,ii) -C Select the starting position to thread. - print *,'nseq',nseq,' ii=',ii,' nres_t=', - & nres_t,' nres0=',nres0 - if (nres_t.ge.nres0) then - ist=iran_num(0,nres_t-nres0) -#ifdef MPI - if (Kwita.eq.0) call recv_stop_sig(Kwita) - if (Kwita.lt.0) then - write (iout,*) 'Stop signal received. Terminating.' - write (*,*) 'Stop signal received. Terminating.' - nthread=ithread-1 - write (*,*) 'ithread=',ithread,' nthread=',nthread - goto 777 - endif - call pattern_receive -#endif - do i=1,nexcl - if (iexam(1,i).eq.ii .and. iexam(2,i).eq.ist) goto 10 - enddo - found_pattern=.true. - endif -C If this point is reached, the pattern has not yet been examined. - 10 continue -c print *,'found_pattern:',found_pattern - enddo - nexcl=nexcl+1 - iexam(1,nexcl)=ii - iexam(2,nexcl)=ist -#ifdef MPI - if (Kwita.eq.0) call recv_stop_sig(Kwita) - if (Kwita.lt.0) then - write (iout,*) 'Stop signal received. Terminating.' - nthread=ithread-1 - write (*,*) 'ithread=',ithread,' nthread=',nthread - goto 777 - endif - call pattern_send -#endif - ipatt(1,ithread)=ii - ipatt(2,ithread)=ist -#ifdef MPI - write (iout,'(/80(1h*)/a,i4,a,i5,2a,i3,a,i3,a,i3/)') - & 'Processor:',me,' Attempt:',ithread, - & ' pattern: ',str_nam(ii),nres_base(2,ii),':',nres_base(3,ii), - & ' start at res.',ist+1 - write (*,'(a,i4,a,i5,2a,i3,a,i3,a,i3)') 'Processor:',me, - & ' Attempt:',ithread, - & ' pattern: ',str_nam(ii),nres_base(2,ii),':',nres_base(3,ii), - & ' start at res.',ist+1 -#else - write (iout,'(/80(1h*)/a,i5,2a,i3,a,i3,a,i3/)') - & 'Attempt:',ithread, - & ' pattern: ',str_nam(ii),nres_base(2,ii),':',nres_base(3,ii), - & ' start at res.',ist+1 - write (*,'(a,i5,2a,i3,a,i3,a,i3)') - & 'Attempt:',ithread, - & ' pattern: ',str_nam(ii),nres_base(2,ii),':',nres_base(3,ii), - & ' start at res.',ist+1 -#endif - ipattern=ii -C Copy coordinates from the database. - ist=ist-(nnt-1) - do i=nnt,nct - do j=1,3 - c(j,i)=cart_base(j,i+ist,ii) -c cref(j,i)=c(j,i) - enddo -cd write (iout,'(a,i4,3f10.5)') restyp(itype(i)),i,(c(j,i),j=1,3) - enddo -cd call fitsq(rms,c(1,nnt),cref(1,nnt),nct-nnt+1,przes,obr, -cd non_conv) -cd write (iout,'(a,f10.5)') -cd & 'Initial RMS deviation from reference structure:',rms - if (itype(nres).eq.21) then - do j=1,3 - dcj=c(j,nres-2)-c(j,nres-3) - c(j,nres)=c(j,nres-1)+dcj - c(j,2*nres)=c(j,nres) - enddo - endif - if (itype(1).eq.21) then - do j=1,3 - dcj=c(j,4)-c(j,3) - c(j,1)=c(j,2)-dcj - c(j,nres+1)=c(j,1) - enddo - endif - call int_from_cart(.false.,.false.) -cd print *,'Exit INT_FROM_CART.' -cd print *,'nhpb=',nhpb - do i=nss+1,nhpb - ii=ihpb(i) - jj=jhpb(i) - dhpb(i)=dist(ii,jj) -c write (iout,'(2i5,2f10.5)') ihpb(i),jhpb(i),dhpb(i),forcon(i) - enddo -c stop 'End generate' -C Generate SC conformations. - call sc_conf -c call intout -#ifdef MPI -cd print *,'Processor:',me,': exit GEN_SIDE.' -#else -cd print *,'Exit GEN_SIDE.' -#endif -C Calculate initial energy. - call chainbuild - call etotal(energia(0)) - etot=energia(0) - do i=1,n_ene_comp - ener0(i,ithread)=energia(i) - enddo - ener0(n_ene_comp+1,ithread)=energia(0) - if (refstr) then - call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - ener0(n_ene_comp+3,ithread)=contact_fract(ncont,ncont_ref, - & icont,icont_ref) - ener0(n_ene_comp+2,ithread)=rms - ener0(n_ene_comp+4,ithread)=frac - ener0(n_ene_comp+5,ithread)=frac_nn - endif - ener0(n_ene_comp+3,ithread)=0.0d0 -C Minimize energy. -#ifdef MPI - print*,'Processor:',me,' ithread=',ithread,' Start REGULARIZE.' -#else - print*,'ithread=',ithread,' Start REGULARIZE.' -#endif - curr_tim=tcpu() - call regularize(nct-nnt+1,etot,rms, - & cart_base(1,ist+nnt,ipattern),iretcode) - curr_tim1=tcpu() - time_for_thread=curr_tim1-curr_tim - ave_time_for_thread= - & ((ithread-1)*ave_time_for_thread+time_for_thread)/ithread - if (time_for_thread.gt.max_time_for_thread) - & max_time_for_thread=time_for_thread -#ifdef MPI - print *,'Processor',me,': Exit REGULARIZE.' - if (WhatsUp.eq.2) then - write (iout,*) - & 'Sufficient number of confs. collected. Terminating.' - nthread=ithread-1 - goto 777 - else if (WhatsUp.eq.-1) then - nthread=ithread-1 - write (iout,*) 'Time up in REGULARIZE. Call SEND_STOP_SIG.' - if (Kwita.eq.0) call recv_stop_sig(Kwita) - call send_stop_sig(-2) - goto 777 - else if (WhatsUp.eq.-2) then - nthread=ithread-1 - write (iout,*) 'Timeup signal received. Terminating.' - goto 777 - else if (WhatsUp.eq.-3) then - nthread=ithread-1 - write (iout,*) 'Error stop signal received. Terminating.' - goto 777 - endif -#else - print *,'Exit REGULARIZE.' - if (iretcode.eq.11) then - write (iout,'(/a/)') - &'******* Allocated time exceeded in SUMSL. The program will stop.' - nthread=ithread-1 - goto 777 - endif -#endif - head_pdb=titel(:24)//':'//str_nam(ipattern) - if (outpdb) call pdbout(etot,head_pdb,ipdb) - if (outmol2) call mol2out(etot,head_pdb) -c call intout - call briefout(ithread,etot) - link_end0=link_end - link_end=min0(link_end,nss) - write (iout,*) 'link_end=',link_end,' link_end0=',link_end0, - & ' nss=',nss - call etotal(energia(0)) -c call enerprint(energia(0)) - link_end=link_end0 -cd call chainbuild -cd call fitsq(rms,c(1,nnt),cref(1,nnt),nct-nnt+1,przes,obr,non_conv) -cd write (iout,'(a,f10.5)') -cd & 'RMS deviation from reference structure:',dsqrt(rms) - do i=1,n_ene_comp - ener(i,ithread)=energia(i) - enddo - ener(n_ene_comp+1,ithread)=energia(0) - ener(n_ene_comp+3,ithread)=rms - if (refstr) then - call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - ener(n_ene_comp+2,ithread)=rms - ener(n_ene_comp+4,ithread)=frac - ener(n_ene_comp+5,ithread)=frac_nn - endif - call write_stat_thread(ithread,ipattern,ist) -c write (istat,'(i4,2x,a8,i4,11(1pe14.5),2(0pf8.3),f8.5)') -c & ithread,str_nam(ipattern),ist+1,(ener(k,ithread),k=1,11), -c & (ener(k,ithread),k=12,14) -#ifdef MPI - if (me.eq.king) then - nacc_tot=nacc_tot+1 - call pattern_receive - call receive_MCM_info - if (nacc_tot.ge.nthread) then - write (iout,*) - & 'Sufficient number of conformations collected nacc_tot=', - & nacc_tot,'. Stopping other processors and terminating.' - write (*,*) - & 'Sufficient number of conformations collected nacc_tot=', - & nacc_tot,'. Stopping other processors and terminating.' - call recv_stop_sig(Kwita) - if (Kwita.eq.0) call send_stop_sig(-1) - nthread=ithread - goto 777 - endif - else - call send_MCM_info(2) - endif -#endif - if (timlim-curr_tim1-safety .lt. max_time_for_thread) then - write (iout,'(/2a)') - & '********** There would be not enough time for another thread. ', - & 'The program will stop.' - write (*,'(/2a)') - & '********** There would be not enough time for another thread. ', - & 'The program will stop.' - write (iout,'(a,1pe14.4/)') - & 'Elapsed time for last threading step: ',time_for_thread - nthread=ithread -#ifdef MPI - call recv_stop_sig(Kwita) - call send_stop_sig(-2) -#endif - goto 777 - else - curr_tim=curr_tim1 - write (iout,'(a,1pe14.4)') - & 'Elapsed time for this threading step: ',time_for_thread - endif -#ifdef MPI - if (Kwita.eq.0) call recv_stop_sig(Kwita) - if (Kwita.lt.0) then - write (iout,*) 'Stop signal received. Terminating.' - write (*,*) 'Stop signal received. Terminating.' - nthread=ithread - write (*,*) 'nthread=',nthread,' ithread=',ithread - goto 777 - endif -#endif - enddo -#ifdef MPI - call send_stop_sig(-1) -#endif - 777 continue -#ifdef MPI -C Any messages left for me? - call pattern_receive - if (Kwita.eq.0) call recv_stop_sig(Kwita) -#endif - call write_thread_summary -#ifdef MPI - if (king.eq.king) then - Kwita=1 - do while (Kwita.ne.0 .or. nacc_tot.ne.0) - Kwita=0 - nacc_tot=0 - call recv_stop_sig(Kwita) - call receive_MCM_info - enddo - do iproc=1,nprocs-1 - call receive_thread_results(iproc) - enddo - call write_thread_summary - else - call send_thread_results - endif -#endif - return - end -c-------------------------------------------------------------------------- - subroutine write_thread_summary -C Thread the sequence through a database of known structures - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.CONTROL' - include 'COMMON.CHAIN' - include 'COMMON.DBASE' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.THREAD' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.HEADER' - include 'COMMON.NAMES' - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' -#ifdef MPI - include 'COMMON.INFO' -#endif - dimension ip(maxthread) - double precision energia(0:n_ene) - write (iout,'(30x,a/)') - & ' *********** Summary threading statistics ************' - write (iout,'(a)') 'Initial energies:' - write (iout,'(a4,2x,a12,14a14,3a8)') - & 'No','seq',(ename(print_order(i)),i=1,nprint_ene),'ETOT', - & 'RMSnat','NatCONT','NNCONT','RMS' -C Energy sort patterns - do i=1,nthread - ip(i)=i - enddo - do i=1,nthread-1 - enet=ener(n_ene-1,ip(i)) - jj=i - do j=i+1,nthread - if (ener(n_ene-1,ip(j)).lt.enet) then - jj=j - enet=ener(n_ene-1,ip(j)) - endif - enddo - if (jj.ne.i) then - ipj=ip(jj) - ip(jj)=ip(i) - ip(i)=ipj - endif - enddo - do ik=1,nthread - i=ip(ik) - ii=ipatt(1,i) - ist=nres_base(2,ii)+ipatt(2,i) - do kk=1,n_ene_comp - energia(i)=ener0(kk,i) - enddo - etot=ener0(n_ene_comp+1,i) - rmsnat=ener0(n_ene_comp+2,i) - rms=ener0(n_ene_comp+3,i) - frac=ener0(n_ene_comp+4,i) - frac_nn=ener0(n_ene_comp+5,i) - - if (refstr) then - write (iout,'(i4,2x,a8,i4,14(1pe14.5),0pf8.3,f8.5,f8.5,f8.3)') - & i,str_nam(ii),ist+1, - & (energia(print_order(kk)),kk=1,nprint_ene), - & etot,rmsnat,frac,frac_nn,rms - else - write (iout,'(i4,2x,a8,i4,14(1pe14.5),0pf8.3)') - & i,str_nam(ii),ist+1, - & (energia(print_order(kk)),kk=1,nprint_ene),etot - endif - enddo - write (iout,'(//a)') 'Final energies:' - write (iout,'(a4,2x,a12,17a14,3a8)') - & 'No','seq',(ename(print_order(kk)),kk=1,nprint_ene),'ETOT', - & 'RMSnat','NatCONT','NNCONT','RMS' - do ik=1,nthread - i=ip(ik) - ii=ipatt(1,i) - ist=nres_base(2,ii)+ipatt(2,i) - do kk=1,n_ene_comp - energia(kk)=ener(kk,ik) - enddo - etot=ener(n_ene_comp+1,i) - rmsnat=ener(n_ene_comp+2,i) - rms=ener(n_ene_comp+3,i) - frac=ener(n_ene_comp+4,i) - frac_nn=ener(n_ene_comp+5,i) - write (iout,'(i4,2x,a8,i4,14(1pe14.5),0pf8.3,f8.5,f8.5,f8.3)') - & i,str_nam(ii),ist+1, - & (energia(print_order(kk)),kk=1,nprint_ene), - & etot,rmsnat,frac,frac_nn,rms - enddo - write (iout,'(/a/)') 'IEXAM array:' - write (iout,'(i5)') nexcl - do i=1,nexcl - write (iout,'(2i5)') iexam(1,i),iexam(2,i) - enddo - write (iout,'(/a,1pe14.4/a,1pe14.4/)') - & 'Max. time for threading step ',max_time_for_thread, - & 'Average time for threading step: ',ave_time_for_thread - return - end -c---------------------------------------------------------------------------- - subroutine sc_conf -C Sample (hopefully) optimal SC orientations given backcone conformation. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.CHAIN' - include 'COMMON.DBASE' - include 'COMMON.INTERACT' - include 'COMMON.VAR' - include 'COMMON.THREAD' - include 'COMMON.FFIELD' - include 'COMMON.SBRIDGE' - include 'COMMON.HEADER' - include 'COMMON.GEO' - include 'COMMON.IOUNITS' - double precision varia(maxvar) - common /srutu/ icall - double precision energia(0:n_ene) - logical glycine,fail - maxsample=10 - link_end0=link_end - link_end=min0(link_end,nss) - do i=nnt,nct - if (itype(i).ne.10) then -cd print *,'i=',i,' itype=',itype(i),' theta=',theta(i+1) - call gen_side(itype(i),theta(i+1),alph(i),omeg(i),fail) - endif - enddo - call chainbuild - call etotal(energia(0)) - do isample=1,maxsample -C Choose a non-glycine side chain. - glycine=.true. - do while(glycine) - ind_sc=iran_num(nnt,nct) - glycine=(itype(ind_sc).eq.10) - enddo - alph0=alph(ind_sc) - omeg0=omeg(ind_sc) - call gen_side(itype(ind_sc),theta(ind_sc+1),alph(ind_sc), - & omeg(ind_sc),fail) - call chainbuild - call etotal(energia(0)) -cd write (iout,'(a,i5,a,i4,2(a,f8.3),2(a,1pe14.5))') -cd & 'Step:',isample,' SC',ind_sc,' alpha',alph(ind_sc)*rad2deg, -cd & ' omega',omeg(ind_sc)*rad2deg,' old energy',e0,' new energy',e1 - e1=0.0d0 - if (e0.le.e1) then - alph(ind_sc)=alph0 - omeg(ind_sc)=omeg0 - else - e0=e1 - endif - enddo - link_end=link_end0 - return - end -c--------------------------------------------------------------------------- - subroutine write_stat_thread(ithread,ipattern,ist) - implicit real*8 (a-h,o-z) - include "DIMENSIONS" - include "COMMON.CONTROL" - include "COMMON.IOUNITS" - include "COMMON.THREAD" - include "COMMON.FFIELD" - include "COMMON.DBASE" - include "COMMON.NAMES" - double precision energia(0:n_ene) - -#if defined(AIX) || defined(PGI) - open(istat,file=statname,position='append') -#else - open(istat,file=statname,access='append') -#endif - do i=1,n_ene_comp - energia(i)=ener(i,ithread) - enddo - etot=ener(n_ene_comp+1,ithread) - rmsnat=ener(n_ene_comp+2,ithread) - rms=ener(n_ene_comp+3,ithread) - frac=ener(n_ene_comp+4,ithread) - frac_nn=ener(n_ene_comp+5,ithread) - write (istat,'(i4,2x,a8,i4,14(1pe14.5),0pf8.3,f8.5,f8.5,f8.3)') - & ithread,str_nam(ipattern),ist+1, - & (energia(print_order(i)),i=1,nprint_ene), - & etot,rmsnat,frac,frac_nn,rms - close (istat) - return - end diff --git a/source/unres/src_MD-restraints-PM/timing.F b/source/unres/src_MD-restraints-PM/timing.F deleted file mode 100644 index fb65430..0000000 --- a/source/unres/src_MD-restraints-PM/timing.F +++ /dev/null @@ -1,344 +0,0 @@ -C $Date: 1994/10/05 16:41:52 $ -C $Revision: 2.2 $ -C -C -C - subroutine set_timers -c - implicit none - double precision tcpu - include 'COMMON.TIME1' -#ifdef MP - include 'mpif.h' -#endif -C Diminish the assigned time limit a little so that there is some time to -C end a batch job -c timlim=batime-150.0 -C Calculate the initial time, if it is not zero (e.g. for the SUN). - stime=tcpu() -#ifdef MPI - walltime=MPI_WTIME() - time_reduce=0.0d0 - time_allreduce=0.0d0 - time_bcast=0.0d0 - time_gather=0.0d0 - time_sendrecv=0.0d0 - time_scatter=0.0d0 - time_scatter_fmat=0.0d0 - time_scatter_ginv=0.0d0 - time_scatter_fmatmult=0.0d0 - time_scatter_ginvmult=0.0d0 - time_barrier_e=0.0d0 - time_barrier_g=0.0d0 - time_enecalc=0.0d0 - time_sumene=0.0d0 - time_lagrangian=0.0d0 - time_sumgradient=0.0d0 - time_intcartderiv=0.0d0 - time_inttocart=0.0d0 - time_ginvmult=0.0d0 - time_fricmatmult=0.0d0 - time_cartgrad=0.0d0 - time_bcastc=0.0d0 - time_bcast7=0.0d0 - time_bcastw=0.0d0 - time_intfcart=0.0d0 - time_vec=0.0d0 - time_mat=0.0d0 - time_fric=0.0d0 - time_stoch=0.0d0 - time_fricmatmult=0.0d0 - time_fsample=0.0d0 -#endif -cd print *,' in SET_TIMERS stime=',stime - return - end -C------------------------------------------------------------------------------ - logical function stopx(nf) -C This function returns .true. if one of the following reasons to exit SUMSL -C occurs. The "reason" code is stored in WHATSUP passed thru a COMMON block: -C -C... WHATSUP = 0 - go on, no reason to stop. Stopx will return .false. -C... 1 - Time up in current node; -C... 2 - STOP signal was received from another node because the -C... node's task was accomplished (parallel only); -C... -1 - STOP signal was received from another node because of error; -C... -2 - STOP signal was received from another node, because -C... the node's time was up. - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - integer nf - logical ovrtim -#ifdef MP - include 'mpif.h' - include 'COMMON.INFO' -#endif - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - integer Kwita - -cd print *,'Processor',MyID,' NF=',nf -#ifndef MPI - if (ovrtim()) then -C Finish if time is up. - stopx = .true. - WhatsUp=1 -#ifdef MPL - else if (mod(nf,100).eq.0) then -C Other processors might have finished. Check this every 100th function -C evaluation. -C Master checks if any other processor has sent accepted conformation(s) to it. - if (MyID.ne.MasterID) call receive_mcm_info - if (MyID.eq.MasterID) call receive_conf -cd print *,'Processor ',MyID,' is checking STOP: nf=',nf - call recv_stop_sig(Kwita) - if (Kwita.eq.-1) then - write (iout,'(a,i4,a,i5)') 'Processor', - & MyID,' has received STOP signal in STOPX; NF=',nf - write (*,'(a,i4,a,i5)') 'Processor', - & MyID,' has received STOP signal in STOPX; NF=',nf - stopx=.true. - WhatsUp=2 - elseif (Kwita.eq.-2) then - write (iout,*) - & 'Processor',MyID,' received TIMEUP-STOP signal in SUMSL.' - write (*,*) - & 'Processor',MyID,' received TIMEUP-STOP signal in SUMSL.' - WhatsUp=-2 - stopx=.true. - else if (Kwita.eq.-3) then - write (iout,*) - & 'Processor',MyID,' received ERROR-STOP signal in SUMSL.' - write (*,*) - & 'Processor',MyID,' received ERROR-STOP signal in SUMSL.' - WhatsUp=-1 - stopx=.true. - else - stopx=.false. - WhatsUp=0 - endif -#endif - else - stopx = .false. - WhatsUp=0 - endif -#else - stopx=.false. -#endif - -#ifdef OSF -c Check for FOUND_NAN flag - if (FOUND_NAN) then - write(iout,*)" *** stopx : Found a NaN" - stopx=.true. - endif -#endif - - return - end -C-------------------------------------------------------------------------- - logical function ovrtim() - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - include 'COMMON.SETUP' - include 'COMMON.CONTROL' - real*8 tcpu -#ifdef MPI - include "mpif.h" - curtim = MPI_Wtime()-walltime -#else - curtim= tcpu() -#endif -C curtim is the current time in seconds. -c write (iout,*) "curtim",curtim," timlim",timlim," safety",safety - if (curtim .ge. timlim - safety) then - if (me.eq.king .or. .not. out1file) - & write (iout,'(a,f10.2,a,f10.2,a,f10.2,a)') - & "***************** Elapsed time (",curtim, - & " s) is within the safety limit (",safety, - & " s) of the allocated time (",timlim," s). Terminating." - ovrtim=.true. - else - ovrtim=.false. - endif - return - end -************************************************************************** - double precision function tcpu() - include 'COMMON.TIME1' -#ifdef ES9000 -**************************** -C Next definition for EAGLE (ibm-es9000) - real*8 micseconds - integer rcode - tcpu=cputime(micseconds,rcode) - tcpu=(micseconds/1.0E6) - stime -**************************** -#endif -#ifdef SUN -**************************** -C Next definitions for sun - REAL*8 ECPU,ETIME,ETCPU - dimension tarray(2) - tcpu=etime(tarray) - tcpu=tarray(1) -**************************** -#endif -#ifdef KSR -**************************** -C Next definitions for ksr -C this function uses the ksr timer ALL_SECONDS from the PMON library to -C return the elapsed time in seconds - tcpu= all_seconds() - stime -**************************** -#endif -#ifdef SGI -**************************** -C Next definitions for sgi - real timar(2), etime - seconds = etime(timar) -Cd print *,'seconds=',seconds,' stime=',stime -C usrsec = timar(1) -C syssec = timar(2) - tcpu=seconds - stime -**************************** -#endif - -#ifdef LINUX -**************************** -C Next definitions for sgi - real timar(2), etime - seconds = etime(timar) -Cd print *,'seconds=',seconds,' stime=',stime -C usrsec = timar(1) -C syssec = timar(2) - tcpu=seconds - stime -**************************** -#endif - - -#ifdef CRAY -**************************** -C Next definitions for Cray -C call date(curdat) -C curdat=curdat(1:9) -C call clock(curtim) -C curtim=curtim(1:8) - cpusec = second() - tcpu=cpusec - stime -**************************** -#endif -#ifdef AIX -**************************** -C Next definitions for RS6000 - integer*4 i1,mclock - i1 = mclock() - tcpu = (i1+0.0D0)/100.0D0 -#endif -#ifdef WINPGI -**************************** -c next definitions for windows NT Digital fortran - real time_real - call cpu_time(time_real) - tcpu = time_real -#endif -#ifdef WINIFL -**************************** -c next definitions for windows NT Digital fortran - real time_real - call cpu_time(time_real) - tcpu = time_real -#endif - - return - end -C--------------------------------------------------------------------------- - subroutine dajczas(rntime,hrtime,mintime,sectime) - include 'COMMON.IOUNITS' - real*8 rntime,hrtime,mintime,sectime - hrtime=rntime/3600.0D0 - hrtime=aint(hrtime) - mintime=aint((rntime-3600.0D0*hrtime)/60.0D0) - sectime=aint((rntime-3600.0D0*hrtime-60.0D0*mintime)+0.5D0) - if (sectime.eq.60.0D0) then - sectime=0.0D0 - mintime=mintime+1.0D0 - endif - ihr=hrtime - imn=mintime - isc=sectime - write (iout,328) ihr,imn,isc - 328 FORMAT(//'***** Computation time: ',I4 ,' hours ',I2 , - 1 ' minutes ', I2 ,' seconds *****') - return - end -C--------------------------------------------------------------------------- - subroutine print_detailed_timing - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.IOUNITS' - include 'COMMON.TIME1' - include 'COMMON.SETUP' -#ifdef MPI - time1=MPI_WTIME() - write (iout,'(80(1h=)/a/(80(1h=)))') - & "Details of FG communication time" - write (*,'(7(a40,1pe15.5/),40(1h-)/a40,1pe15.5/80(1h=))') - & "BROADCAST:",time_bcast,"REDUCE:",time_reduce, - & "GATHER:",time_gather, - & "SCATTER:",time_scatter,"SENDRECV:",time_sendrecv, - & "BARRIER ene",time_barrier_e, - & "BARRIER grad",time_barrier_g, - & "TOTAL:", - & time_bcast+time_reduce+time_gather+time_scatter+time_sendrecv - write (*,*) fg_rank,myrank, - & ': Total wall clock time',time1-walltime,' sec' - write (*,*) "Processor",fg_rank,myrank, - & ": BROADCAST time",time_bcast," REDUCE time", - & time_reduce," GATHER time",time_gather," SCATTER time", - & time_scatter, - & " SCATTER fmatmult",time_scatter_fmatmult, - & " SCATTER ginvmult",time_scatter_ginvmult, - & " SCATTER fmat",time_scatter_fmat, - & " SCATTER ginv",time_scatter_ginv, - & " SENDRECV",time_sendrecv, - & " BARRIER ene",time_barrier_e, - & " BARRIER GRAD",time_barrier_g, - & " BCAST7",time_bcast7," BCASTC",time_bcastc, - & " BCASTW",time_bcastw," ALLREDUCE",time_allreduce, - & " TOTAL", - & time_bcast+time_reduce+time_gather+time_scatter+ - & time_sendrecv+time_barrier+time_bcastc -#else - time1=tcpu() -#endif - write (*,*) "Processor",fg_rank,myrank," enecalc",time_enecalc - write (*,*) "Processor",fg_rank,myrank," sumene",time_sumene - write (*,*) "Processor",fg_rank,myrank," intfromcart", - & time_intfcart - write (*,*) "Processor",fg_rank,myrank," vecandderiv", - & time_vec - write (*,*) "Processor",fg_rank,myrank," setmatrices", - & time_mat - write (*,*) "Processor",fg_rank,myrank," ginvmult", - & time_ginvmult - write (*,*) "Processor",fg_rank,myrank," fricmatmult", - & time_fricmatmult - write (*,*) "Processor",fg_rank,myrank," inttocart", - & time_inttocart - write (*,*) "Processor",fg_rank,myrank," sumgradient", - & time_sumgradient - write (*,*) "Processor",fg_rank,myrank," intcartderiv", - & time_intcartderiv - if (fg_rank.eq.0) then - write (*,*) "Processor",fg_rank,myrank," lagrangian", - & time_lagrangian - write (*,*) "Processor",fg_rank,myrank," cartgrad", - & time_cartgrad - endif - return - end diff --git a/source/unres/src_MD-restraints-PM/unres.F b/source/unres/src_MD-restraints-PM/unres.F deleted file mode 100644 index b14c040..0000000 --- a/source/unres/src_MD-restraints-PM/unres.F +++ /dev/null @@ -1,798 +0,0 @@ -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC -C C -C U N R E S C -C C -C Program to carry out conformational search of proteins in an united-residue C -C approximation. C -C C -CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - - -#ifdef MPI - include 'mpif.h' - include 'COMMON.SETUP' -#endif - include 'COMMON.TIME1' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.CONTACTS' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.REMD' - include 'COMMON.MD' - include 'COMMON.SBRIDGE' - double precision hrtime,mintime,sectime - character*64 text_mode_calc(-2:14) /'test', - & 'SC rotamer distribution', - & 'Energy evaluation or minimization', - & 'Regularization of PDB structure', - & 'Threading of a sequence on PDB structures', - & 'Monte Carlo (with minimization) ', - & 'Energy minimization of multiple conformations', - & 'Checking energy gradient', - & 'Entropic sampling Monte Carlo (with minimization)', - & 'Energy map', - & 'CSA calculations', - & 'Not used 9', - & 'Not used 10', - & 'Soft regularization of PDB structure', - & 'Mesoscopic molecular dynamics (MD) ', - & 'Not used 13', - & 'Replica exchange molecular dynamics (REMD)'/ - external ilen - -c call memmon_print_usage() - - call init_task - if (me.eq.king) - & write(iout,*)'### LAST MODIFIED 03/28/12 23:29 by czarek' - if (me.eq.king) call cinfo -C Read force field parameters and job setup data - call readrtns - call flush(iout) -C - if (me.eq.king .or. .not. out1file) then - write (iout,'(2a/)') - & text_mode_calc(modecalc)(:ilen(text_mode_calc(modecalc))), - & ' calculation.' - if (minim) write (iout,'(a)') - & 'Conformations will be energy-minimized.' - write (iout,'(80(1h*)/)') - endif - call flush(iout) -C - if (modecalc.eq.-2) then - call test - stop - else if (modecalc.eq.-1) then - write(iout,*) "call check_sc_map next" - call check_bond - stop - endif -#ifdef MPI - if (fg_rank.gt.0) then -C Fine-grain slaves just do energy and gradient components. - call ergastulum ! slave workhouse in Latin - else -#endif - if (modecalc.eq.0) then - call exec_eeval_or_minim - else if (modecalc.eq.1) then - call exec_regularize - else if (modecalc.eq.2) then - call exec_thread - else if (modecalc.eq.3 .or. modecalc .eq.6) then - call exec_MC - else if (modecalc.eq.4) then - call exec_mult_eeval_or_minim - else if (modecalc.eq.5) then - call exec_checkgrad - else if (ModeCalc.eq.7) then - call exec_map - else if (ModeCalc.eq.8) then - call exec_CSA - else if (modecalc.eq.11) then - call exec_softreg - else if (modecalc.eq.12) then - call exec_MD - else if (modecalc.eq.14) then - call exec_MREMD - else - write (iout,'(a)') 'This calculation type is not supported', - & ModeCalc - endif -#ifdef MPI - endif -C Finish task. - if (fg_rank.eq.0) call finish_task -c call memmon_print_usage() -#ifdef TIMING - call print_detailed_timing -#endif - call MPI_Finalize(ierr) - stop 'Bye Bye...' -#else - call dajczas(tcpu(),hrtime,mintime,sectime) - stop '********** Program terminated normally.' -#endif - end -c-------------------------------------------------------------------------- - subroutine exec_MD - include 'DIMENSIONS' -#ifdef MPI - include "mpif.h" -#endif - include 'COMMON.SETUP' - include 'COMMON.CONTROL' - include 'COMMON.IOUNITS' - if (me.eq.king .or. .not. out1file) - & write (iout,*) "Calling chainbuild" - call chainbuild - call MD - return - end -c--------------------------------------------------------------------------- - subroutine exec_MREMD - include 'DIMENSIONS' -#ifdef MPI - include "mpif.h" - include 'COMMON.SETUP' - include 'COMMON.CONTROL' - include 'COMMON.IOUNITS' - include 'COMMON.REMD' - if (me.eq.king .or. .not. out1file) - & write (iout,*) "Calling chainbuild" - call chainbuild - if (me.eq.king .or. .not. out1file) - & write (iout,*) "Calling REMD" - if (remd_mlist) then - call MREMD - else - do i=1,nrep - remd_m(i)=1 - enddo - call MREMD - endif -#else - write (iout,*) "MREMD works on parallel machines only" -#endif - return - end -c--------------------------------------------------------------------------- - subroutine exec_eeval_or_minim - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.TIME1' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.CONTACTS' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.REMD' - include 'COMMON.MD' - include 'COMMON.SBRIDGE' - common /srutu/ icall - double precision energy(0:n_ene) - double precision energy_long(0:n_ene),energy_short(0:n_ene) - double precision varia(maxvar) - if (indpdb.eq.0) call chainbuild -#ifdef MPI - time00=MPI_Wtime() -#else - time00=tcpu() -#endif - call chainbuild_cart - if (split_ene) then - print *,"Processor",myrank," after chainbuild" - icall=1 - call etotal_long(energy_long(0)) - write (iout,*) "Printing long range energy" - call enerprint(energy_long(0)) - call etotal_short(energy_short(0)) - write (iout,*) "Printing short range energy" - call enerprint(energy_short(0)) - do i=0,n_ene - energy(i)=energy_long(i)+energy_short(i) - write (iout,*) i,energy_long(i),energy_short(i),energy(i) - enddo - write (iout,*) "Printing long+short range energy" - call enerprint(energy(0)) - endif - call etotal(energy(0)) -#ifdef MPI - time_ene=MPI_Wtime()-time00 -#else - time_ene=tcpu()-time00 -#endif - write (iout,*) "Time for energy evaluation",time_ene - print *,"after etotal" - etota = energy(0) - etot =etota - call enerprint(energy(0)) - call hairpin(.true.,nharp,iharp) - call secondary2(.true.) - if (minim) then -crc overlap test - if (overlapsc) then - print *, 'Calling OVERLAP_SC' - call overlap_sc(fail) - endif - - if (searchsc) then - call sc_move(2,nres-1,10,1d10,nft_sc,etot) - print *,'SC_move',nft_sc,etot - write(iout,*) 'SC_move',nft_sc,etot - endif - - if (dccart) then - print *, 'Calling MINIM_DC' -#ifdef MPI - time1=MPI_WTIME() -#else - time1=tcpu() -#endif - call minim_dc(etot,iretcode,nfun) - else - if (indpdb.ne.0) then - call bond_regular - call chainbuild - endif - call geom_to_var(nvar,varia) - print *,'Calling MINIMIZE.' -#ifdef MPI - time1=MPI_WTIME() -#else - time1=tcpu() -#endif - call minimize(etot,varia,iretcode,nfun) - endif - print *,'SUMSL return code is',iretcode,' eval ',nfun -#ifdef MPI - evals=nfun/(MPI_WTIME()-time1) -#else - evals=nfun/(tcpu()-time1) -#endif - print *,'# eval/s',evals - print *,'refstr=',refstr - call hairpin(.true.,nharp,iharp) - call secondary2(.true.) - call etotal(energy(0)) - etot = energy(0) - call enerprint(energy(0)) - - call intout - call briefout(0,etot) - if (refstr) call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - write (iout,'(a,i3)') 'SUMSL return code:',iretcode - write (iout,'(a,i20)') '# of energy evaluations:',nfun+1 - write (iout,'(a,f16.3)')'# of energy evaluations/sec:',evals - else - print *,'refstr=',refstr - if (refstr) call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - call briefout(0,etot) - endif - if (outpdb) call pdbout(etot,titel(:32),ipdb) - if (outmol2) call mol2out(etot,titel(:32)) - return - end -c--------------------------------------------------------------------------- - subroutine exec_regularize - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.TIME1' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.CONTACTS' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.REMD' - include 'COMMON.MD' - include 'COMMON.SBRIDGE' - double precision energy(0:n_ene) - - call gen_dist_constr - call sc_conf - call intout - call regularize(nct-nnt+1,etot,rms,cref(1,nnt),iretcode) - call etotal(energy(0)) - energy(0)=energy(0)-energy(14) - etot=energy(0) - call enerprint(energy(0)) - call intout - call briefout(0,etot) - if (outpdb) call pdbout(etot,titel(:32),ipdb) - if (outmol2) call mol2out(etot,titel(:32)) - if (refstr) call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - write (iout,'(a,i3)') 'SUMSL return code:',iretcode - return - end -c--------------------------------------------------------------------------- - subroutine exec_thread - include 'DIMENSIONS' -#ifdef MP - include "mpif.h" -#endif - include "COMMON.SETUP" - call thread_seq - return - end -c--------------------------------------------------------------------------- - subroutine exec_MC - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - character*10 nodeinfo - double precision varia(maxvar) -#ifdef MPI - include "mpif.h" -#endif - include "COMMON.SETUP" - include 'COMMON.CONTROL' - call mcm_setup - if (minim) then -#ifdef MPI - if (modecalc.eq.3) then - call do_mcm(ipar) - else - call entmcm - endif -#else - if (modecalc.eq.3) then - call do_mcm(ipar) - else - call entmcm - endif -#endif - else - call monte_carlo - endif - return - end -c--------------------------------------------------------------------------- - subroutine exec_mult_eeval_or_minim - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' - dimension muster(mpi_status_size) -#endif - include 'COMMON.SETUP' - include 'COMMON.TIME1' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.CONTACTS' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.REMD' - include 'COMMON.MD' - include 'COMMON.SBRIDGE' - double precision varia(maxvar) - dimension ind(6) - double precision energy(0:n_ene) - logical eof - eof=.false. -#ifdef MPI - if(me.ne.king) then - call minim_mcmf - return - endif - - close (intin) - open(intin,file=intinname,status='old') - write (istat,'(a5,30a12)')"# ", - & (wname(print_order(i)),i=1,nprint_ene) - if (refstr) then - write (istat,'(a5,30a12)')"# ", - & (ename(print_order(i)),i=1,nprint_ene), - & "ETOT total","RMSD","nat.contact","nnt.contact","cont.order" - else - write (istat,'(a5,30a12)')"# ", - & (ename(print_order(i)),i=1,nprint_ene),"ETOT total" - endif - - if (.not.minim) then - do while (.not. eof) - if (read_cart) then - read (intin,'(e15.10,e15.5)',end=1100,err=1100) time,ene - call read_x(intin,*11) -#ifdef MPI -c Broadcast the order to compute internal coordinates to the slaves. - if (nfgtasks.gt.1) - & call MPI_Bcast(6,1,MPI_INTEGER,king,FG_COMM,IERROR) -#endif - call int_from_cart1(.false.) - else - read (intin,'(i5)',end=1100,err=1100) iconf - call read_angles(intin,*11) - call geom_to_var(nvar,varia) - call chainbuild - endif - write (iout,'(a,i7)') 'Conformation #',iconf - call etotal(energy(0)) - call briefout(iconf,energy(0)) - call enerprint(energy(0)) - etot=energy(0) - if (refstr) then - call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - write (istat,'(i5,30(f12.3))') iconf, - & (energy(print_order(i)),i=1,nprint_ene),etot, - & rms,frac,frac_nn,co -cjlee end - else - write (istat,'(i5,30(f12.3))') iconf, - & (energy(print_order(i)),i=1,nprint_ene),etot - endif - enddo -1100 continue - goto 1101 - endif - - mm=0 - imm=0 - nft=0 - ene0=0.0d0 - n=0 - iconf=0 -c do n=1,nzsc - do while (.not. eof) - mm=mm+1 - if (mm.lt.nodes) then - if (read_cart) then - read (intin,'(e15.10,e15.5)',end=11,err=11) time,ene - call read_x(intin,*11) -#ifdef MPI -c Broadcast the order to compute internal coordinates to the slaves. - if (nfgtasks.gt.1) - & call MPI_Bcast(6,1,MPI_INTEGER,king,FG_COMM,IERROR) -#endif - call int_from_cart1(.false.) - else - read (intin,'(i5)',end=11,err=11) iconf - call read_angles(intin,*11) - call geom_to_var(nvar,varia) - call chainbuild - endif - - n=n+1 - write (iout,*) 'Conformation #',iconf,' read' - imm=imm+1 - ind(1)=1 - ind(2)=n - ind(3)=0 - ind(4)=0 - ind(5)=0 - ind(6)=0 - ene0=0.0d0 - call mpi_send(ind,6,mpi_integer,mm,idint,CG_COMM, - * ierr) - call mpi_send(varia,nvar,mpi_double_precision,mm, - * idreal,CG_COMM,ierr) - call mpi_send(ene0,1,mpi_double_precision,mm, - * idreal,CG_COMM,ierr) -c print *,'task ',n,' sent to worker ',mm,nvar - else - call mpi_recv(ind,6,mpi_integer,mpi_any_source,idint, - * CG_COMM,muster,ierr) - man=muster(mpi_source) -c print *,'receiving result from worker ',man,' (',iii1,iii,')' - call mpi_recv(varia,nvar,mpi_double_precision, - * man,idreal,CG_COMM,muster,ierr) - call mpi_recv(ene,1, - * mpi_double_precision,man,idreal, - * CG_COMM,muster,ierr) - call mpi_recv(ene0,1, - * mpi_double_precision,man,idreal, - * CG_COMM,muster,ierr) -c print *,'result received from worker ',man,' sending now' - - call var_to_geom(nvar,varia) - call chainbuild - call etotal(energy(0)) - iconf=ind(2) - write (iout,*) - write (iout,*) - write (iout,*) 'Conformation #',iconf," sumsl return code ", - & ind(5) - - etot=energy(0) - call enerprint(energy(0)) - call briefout(it,etot) -c if (minim) call briefout(it,etot) - if (refstr) then - call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - write (istat,'(i5,30(f12.3))') iconf, - & (energy(print_order(i)),i=1,nprint_ene),etot, - & rms,frac,frac_nn,co - else - write (istat,'(i5,30(f12.3))') iconf, - & (energy(print_order(i)),i=1,nprint_ene),etot - endif - - imm=imm-1 - if (read_cart) then - read (intin,'(e15.10,e15.5)',end=11,err=11) time,ene - call read_x(intin,*11) -#ifdef MPI -c Broadcast the order to compute internal coordinates to the slaves. - if (nfgtasks.gt.1) - & call MPI_Bcast(6,1,MPI_INTEGER,king,FG_COMM,IERROR) -#endif - call int_from_cart1(.false.) - else - read (intin,'(i5)',end=11,err=11) iconf - call read_angles(intin,*11) - call geom_to_var(nvar,varia) - call chainbuild - endif - n=n+1 - write (iout,*) 'Conformation #',iconf,' read' - imm=imm+1 - ind(1)=1 - ind(2)=n - ind(3)=0 - ind(4)=0 - ind(5)=0 - ind(6)=0 - call mpi_send(ind,6,mpi_integer,man,idint,CG_COMM, - * ierr) - call mpi_send(varia,nvar,mpi_double_precision,man, - * idreal,CG_COMM,ierr) - call mpi_send(ene0,1,mpi_double_precision,man, - * idreal,CG_COMM,ierr) - nf_mcmf=nf_mcmf+ind(4) - nmin=nmin+1 - endif - enddo -11 continue - do j=1,imm - call mpi_recv(ind,6,mpi_integer,mpi_any_source,idint, - * CG_COMM,muster,ierr) - man=muster(mpi_source) - call mpi_recv(varia,nvar,mpi_double_precision, - * man,idreal,CG_COMM,muster,ierr) - call mpi_recv(ene,1, - * mpi_double_precision,man,idreal, - * CG_COMM,muster,ierr) - call mpi_recv(ene0,1, - * mpi_double_precision,man,idreal, - * CG_COMM,muster,ierr) - - call var_to_geom(nvar,varia) - call chainbuild - call etotal(energy(0)) - iconf=ind(2) - write (iout,*) - write (iout,*) - write (iout,*) 'Conformation #',iconf," sumsl return code ", - & ind(5) - - etot=energy(0) - call enerprint(energy(0)) - call briefout(it,etot) - if (refstr) then - call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - write (istat,'(i5,30(f12.3))') iconf, - & (energy(print_order(i)),i=1,nprint_ene),etot, - & rms,frac,frac_nn,co - else - write (istat,'(i5,30(f12.3))') iconf, - & (energy(print_order(i)),i=1,nprint_ene),etot - endif - nmin=nmin+1 - enddo -1101 continue - do i=1, nodes-1 - ind(1)=0 - ind(2)=0 - ind(3)=0 - ind(4)=0 - ind(5)=0 - ind(6)=0 - call mpi_send(ind,6,mpi_integer,i,idint,CG_COMM, - * ierr) - enddo -#else - close (intin) - open(intin,file=intinname,status='old') - write (istat,'(a5,20a12)')"# ", - & (wname(print_order(i)),i=1,nprint_ene) - write (istat,'("# ",20(1pe12.4))') - & (weights(print_order(i)),i=1,nprint_ene) - if (refstr) then - write (istat,'(a5,20a12)')"# ", - & (ename(print_order(i)),i=1,nprint_ene), - & "ETOT total","RMSD","nat.contact","nnt.contact" - else - write (istat,'(a5,14a12)')"# ", - & (ename(print_order(i)),i=1,nprint_ene),"ETOT total" - endif - do while (.not. eof) - if (read_cart) then - read (intin,'(e15.10,e15.5)',end=1100,err=1100) time,ene - call read_x(intin,*11) -#ifdef MPI -c Broadcast the order to compute internal coordinates to the slaves. - if (nfgtasks.gt.1) - & call MPI_Bcast(6,1,MPI_INTEGER,king,FG_COMM,IERROR) -#endif - call int_from_cart1(.false.) - else - read (intin,'(i5)',end=1100,err=1100) iconf - call read_angles(intin,*11) - call geom_to_var(nvar,varia) - call chainbuild - endif - write (iout,'(a,i7)') 'Conformation #',iconf - if (minim) call minimize(etot,varia,iretcode,nfun) - call etotal(energy(0)) - - etot=energy(0) - call enerprint(energy(0)) - if (minim) call briefout(it,etot) - if (refstr) then - call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - write (istat,'(i5,18(f12.3))') iconf, - & (energy(print_order(i)),i=1,nprint_ene), - & etot,rms,frac,frac_nn,co -cjlee end - else - write (istat,'(i5,14(f12.3))') iconf, - & (energy(print_order(i)),i=1,nprint_ene),etot - endif - enddo - 11 continue - 1100 continue -#endif - return - end -c--------------------------------------------------------------------------- - subroutine exec_checkgrad - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' -#ifdef MPI - include 'mpif.h' -#endif - include 'COMMON.SETUP' - include 'COMMON.TIME1' - include 'COMMON.INTERACT' - include 'COMMON.NAMES' - include 'COMMON.GEO' - include 'COMMON.HEADER' - include 'COMMON.CONTROL' - include 'COMMON.CONTACTS' - include 'COMMON.CHAIN' - include 'COMMON.VAR' - include 'COMMON.IOUNITS' - include 'COMMON.FFIELD' - include 'COMMON.REMD' - include 'COMMON.MD' - include 'COMMON.SBRIDGE' - common /srutu/ icall - double precision energy(0:max_ene) -c do i=2,nres -c vbld(i)=vbld(i)+ran_number(-0.1d0,0.1d0) -c if (itype(i).ne.10) -c & vbld(i+nres)=vbld(i+nres)+ran_number(-0.001d0,0.001d0) -c enddo - if (indpdb.eq.0) call chainbuild -c do i=0,nres -c do j=1,3 -c dc(j,i)=dc(j,i)+ran_number(-0.2d0,0.2d0) -c enddo -c enddo -c do i=1,nres-1 -c if (itype(i).ne.10) then -c do j=1,3 -c dc(j,i+nres)=dc(j,i+nres)+ran_number(-0.2d0,0.2d0) -c enddo -c endif -c enddo -c do j=1,3 -c dc(j,0)=ran_number(-0.2d0,0.2d0) -c enddo - usampl=.true. - totT=1.d0 - eq_time=0.0d0 - call read_fragments - read(inp,*) t_bath - call rescale_weights(t_bath) - call chainbuild_cart - call cartprint - call intout - icall=1 - call etotal(energy(0)) - etot = energy(0) - call enerprint(energy(0)) - write (iout,*) "Uconst",Uconst," Uconst_back",uconst_back - print *,'icheckgrad=',icheckgrad - goto (10,20,30) icheckgrad - 10 call check_ecartint - return - 20 call check_cartgrad - return - 30 call check_eint - return - end -c--------------------------------------------------------------------------- - subroutine exec_map -C Energy maps - call map_read - call map - return - end -c--------------------------------------------------------------------------- - subroutine exec_CSA -#ifdef MPI - include "mpif.h" -#endif - include 'DIMENSIONS' - include 'COMMON.IOUNITS' -C Conformational Space Annealling programmed by Jooyoung Lee. -C This method works only with parallel machines! -#ifdef MPI -csa call together - write (iout,*) "CSA is not supported in this version" -#else -csa write (iout,*) "CSA works on parallel machines only" - write (iout,*) "CSA is not supported in this version" -#endif - return - end -c--------------------------------------------------------------------------- - subroutine exec_softreg - implicit real*8 (a-h,o-z) - include 'DIMENSIONS' - include 'COMMON.IOUNITS' - include 'COMMON.CONTROL' - double precision energy(0:max_ene) - logical debug /.false./ - call chainbuild - call etotal(energy(0)) - call enerprint(energy(0)) - if (.not.lsecondary) then - write(iout,*) 'Calling secondary structure recognition' - call secondary2(debug) - else - write(iout,*) 'Using secondary structure supplied in pdb' - endif - - call softreg - - call etotal(energy(0)) - etot=energy(0) - call enerprint(energy(0)) - call intout - call briefout(0,etot) - call secondary2(.true.) - if (refstr) call rms_nac_nnc(rms,frac,frac_nn,co,.true.) - return - end diff --git a/source/unres/src_MD-restraints-PM/xdrf b/source/unres/src_MD-restraints-PM/xdrf deleted file mode 120000 index 26825c5..0000000 --- a/source/unres/src_MD-restraints-PM/xdrf +++ /dev/null @@ -1 +0,0 @@ -../../lib/xdrf \ No newline at end of file diff --git a/source/unres/src_MD-restraints/MREMD.F b/source/unres/src_MD-restraints/MREMD.F index 8023039..be6af9c 100644 --- a/source/unres/src_MD-restraints/MREMD.F +++ b/source/unres/src_MD-restraints/MREMD.F @@ -1996,9 +1996,14 @@ c & (d_restart1(j,i+2*nres*il),j=1,3) enddo endif #endif - call mpi_scatter(i2set,1,mpi_integer, - & iset,1,mpi_integer,king, - & CG_COMM,ierr) +c Corrected AL 8/19/2014: each processor needs whole iset array not only its +c own element +c call mpi_scatter(i2set,1,mpi_integer, +c & iset,1,mpi_integer,king, +c & CG_COMM,ierr) + call mpi_bcast(i2set(0),nodes,mpi_integer,king, + & CG_COMM,ierr) + iset=i2set(me) endif diff --git a/source/unres/src_MD/MREMD.F b/source/unres/src_MD/MREMD.F index 576e43d..0e4045f 100644 --- a/source/unres/src_MD/MREMD.F +++ b/source/unres/src_MD/MREMD.F @@ -1828,6 +1828,7 @@ ctime call flush(iout) integer*2 i_index & (maxprocs/4,maxprocs/20,maxprocs/200,maxprocs/200) common /przechowalnia/ d_restart1 + integer i2set_(0:maxprocs) write (*,*) "Processor",me," called read1restart" if(me.eq.king)then @@ -1986,13 +1987,16 @@ c & (d_restart1(j,i+2*nres*il),j=1,3) enddo endif #endif - call mpi_scatter(i2set,1,mpi_integer, - & iset,1,mpi_integer,king, - & CG_COMM,ierr) - +c Corrected AL 8/19/2014: each processor needs whole iset array not only its +c own element +c call mpi_scatter(i2set,1,mpi_integer, +c & iset,1,mpi_integer,king, +c & CG_COMM,ierr) + call mpi_bcast(i2set(0),nodes,mpi_integer,king, + & CG_COMM,ierr) + iset=i2set(me) endif - if(me.eq.king) close(irest2) return end diff --git a/source/unres/src_MD_DFA/MREMD.F b/source/unres/src_MD_DFA/MREMD.F index 576e43d..0e4045f 100644 --- a/source/unres/src_MD_DFA/MREMD.F +++ b/source/unres/src_MD_DFA/MREMD.F @@ -1828,6 +1828,7 @@ ctime call flush(iout) integer*2 i_index & (maxprocs/4,maxprocs/20,maxprocs/200,maxprocs/200) common /przechowalnia/ d_restart1 + integer i2set_(0:maxprocs) write (*,*) "Processor",me," called read1restart" if(me.eq.king)then @@ -1986,13 +1987,16 @@ c & (d_restart1(j,i+2*nres*il),j=1,3) enddo endif #endif - call mpi_scatter(i2set,1,mpi_integer, - & iset,1,mpi_integer,king, - & CG_COMM,ierr) - +c Corrected AL 8/19/2014: each processor needs whole iset array not only its +c own element +c call mpi_scatter(i2set,1,mpi_integer, +c & iset,1,mpi_integer,king, +c & CG_COMM,ierr) + call mpi_bcast(i2set(0),nodes,mpi_integer,king, + & CG_COMM,ierr) + iset=i2set(me) endif - if(me.eq.king) close(irest2) return end -- 1.7.9.5

    >Fr zHiMM5-O*P`Gd?Q=T&d^4R5P>SUUPHgg@@tL9{Il#ENO0VK z79uF0z^#QdO`zAe0_k(u=^l}_k8WNBoshRfo5E>b>&w~*dDX^Xs#;&6uXqe8&>v00 z|9H7ALc9b9iQqg>EfeRu9U{L}TauMLz2i-vQsFSLUP87vy@fYCK@#THD?C~2W zAql9x>!Y@_Ej%4$19UxnlrrfMk>!|@-nHGn6!AB6`u$SomNA=r>r+?lsbwX!V;tAU zTa$~i^K~o%dGq;SpdI=BYfditnpJ)Sx^XE>e(M{}{68G(zJDEK<1nQ8u3k*(`p$DB z{8>AzuxHp`7_|S&-~5@If51hxQf3$D1wBYDtjOcdTxY#fJHEBi>sn zWNZL-Gas#Ftwy2j@__*bk?Ko>F*pUmka=zo@7OzfNI0;*8vR2` z_^Bq8LL7@ejCqOO=f9?C>%*;W?o*uS+SGE_=h(ISPn(;zF`L<@v=@noDs7%aKvD=P zHp{s_f_-B|`}k9E!c8>bmmGINPFG=MXH4fdB zAfSasDw!VX!)Whh&Yxm?seS$p=6_?ZIoW}K^Q}n0|B8H2a7>oYVolr6-V;q*f2Qmv zJJi7+sDoYFFIdYMQD4BCwU9ZW!d`iBkMA*2zl-Ilr=M*BWm>tMt!HG%3a8ncdEPr&_(k7};pH0i?I{~WA%VaMw5{6G0$ zis3?SoOI!@?hKZ_Fh4xDRx1%^A9zQwtZsgI=v@1fB1V_R=>HeU{6aj?Vg%R?vX-2y zWedULx`kSMR2%l!&g6&rHXTnT%HX-I7Xh$K6(^5qaVZNAHUwWrkv@O`is7b3bLaL3 zYv$|`pIqc`01`LF4!q`XD8=Wg7G(Wf!|qQ8-Sg4tzQo|%d+pzuzMmjQ`z%Ef?FkQk zd@V+Ctbr&x-{SnK@P=UDb-gfbb|7ZZrlZ{4dr?0Wc2ZbX+mH3ZrD<5lKI~hNf3IX+ z&!qLiDM;TZ%-^)7=LVFbZ_9*37?4(jyVf$ACP1aP!6&nIhpKSIWbU5$c&6UkW$&U2h-zxXx(I$H$!= z%i8!7RZCk}>DLw(mVAlot*J|?@Gx#{g=X4GFXy@c0e&@KdgC*yzXyymxgq(YAYZT9 zUn#G|sxpiq>NM4^)0YUsmkKU z-{ZAA*bq;j&tdtEk*R)m*0h92h>ib9mJxp~Y-EYw#K(%bxfl1Mmi!(dvua7>Cex&E zQSNgPx8E`(Yze|0U%1esTYaxeJP-VxsdX5(#t{#%T~*rNnU6CHz9(P(SW4BAZs=Sc zeXt{vv)%;sW2yAJ4>+H4DVg}YV`II>$f6AruwQ5|3%oJuSeQWI8uGseGh9?SD>m>0 zwj7IJz9=!Icplzs>pm@4#tg|6!(4tEe-%b_zd%*K*RBf3h_3b8jX5FYDy6(*mEF-j ze4D8B?#g^$_uDLn4G)rt{W{7`!or6B&5-`;@mtr{noZV6AJ2&(UBnbhA)9~y)=eK1 z2vm@oh5X?Z*J74(vqEvhz|Pcqg~vQj1R$SeI&X8Z|5XD|xMx3wrfetL3%Qb@mxGz? ztZ=&(p>*P$C&Qh=3S?GREYEqC-5VCMZ(q=G6(mx7ie81aylm}7J$wWt^VfcD>323x z#yG?N07~t?VMD)Oo>A5stlHYEX?Emfg5T!)mrOdhXYSAd%N<>WIV#G9F*eMdF$7%> zXR1Iu;Dn~48D^?Hpm6~e}t2@W-bVo~P>6ekm(G^F}wztCi z;+;pf$Le2n6(N0;Vp2xHGKH+kb7$N0WC}^L5p_rpET#QVeJBaPzhw>zLG6G ziIxg?U=SD*qAk{DkN!vF>_BAu7(EXSU75eE*a)8Aa0P35RLd52CO*;X*)j0-TDHpy zTIK|0^TXO#WYb{@+m{vbNrj6RK&U$HVFMdX5_)R2vZC^B)mphR{8{iXR_lJO`_yKC zaGvQbcRo;dTzn~bScp!k0yj3A(eIgYMAIZ>X3NV=Qhu>q7g?{1&E1Zim zNDVh7*tmzT#g##h+eI z06sQCDJ+`$zv9r|4tKCAZm@W2uzwxPXKn^OmhJVTY=0d$8@Wz>A^0{Qr_6t5_zjy# za5IW=ldLGc(rH(iTKlhjaE>Pfxo@8A6o{U*W#O;gtcd_{~G6WwuRSrnUzDPF|hnHEt7Wk#ZEjrbIiK%4PzJAj7y|a znWl6~AEE6m;gw@P^7Qbs?QqVJbs&e2C{7w7lqXPP7^d}jkj|t(@ z3~g^;$Y%DoTh)s%`sLKhJIksue<@&WBD=xS`p5d44C;oq^-(N9>PiPU& zRe{z$p?OgDt0jZVw|HAmuGT)nMdi2ou>_}cVwbSuvjWTB1Y&KO`Gf4g7^PnloVzZl zsf%h2&X6H^UR_TpF9uzjEx*LcZj=3w8h<&60URq`ch)r_r@;c$s&OLcNszKKo3IFNu z-%`AN_k++IcC1}Ah&_O@*bd)0qAb_N#(!~?q~l{j%lzQIGm5i+b(7+os`>wMC}P1;nEF8=hgxg-ZPZqJtk*mM)y$+g&y=HR%^_Ml)VuHxl3toZr= z$kDGAEp64-}x|G-3JqQghT6l-8raR7aUa=p13JsdFiDtvj4NVLrX7j#rUjG z4dA}zC})oeM2jwTgJC|y(%&C+?M6k(qB!;kZ8%fIIlTkD{jc?gPe{;daVwo{M>B|q zn0eVQ?GCcK=pAxJ{@u$pO!D1`Yec?CR>G2HF*?0Od|<`Bl6^+LJnKa+zrxjLW=R*G zUQSOR1v3$ z2VlUMd`zTZs|_JM9|Gvor)mz+_E!0qSR#H7sVhh39Lcz|C?j1d%^1BL$;kZZ3C_s| zGwSZ^2^MC9W9pD^g8B1`bC+?Om)(uAwtGl!${nA~$B7(L*Se6Z@)&-YlgXwiS7O}2~1@EszV#~P_FyEFj z@DsQ9=O5&7k~L{Gi8QiGP4?Niz( zv%kx3IKxJ$H2cE%pS2~E#Oi8cmwR+G$Sr3%j~>$_MJ(wL!!nQb_7c2l@l9H+TXfsk ztJ#<9-KKpyKUQ|e#f^mG^e*iML-hF~oE;?p0=bt2t6z{MB+^ruAej9>RPN7+k1pa8 z7>gFm6AD4>>0x|mzTYC57ZQDx1Iis`>lgF~tFxCpi|Dh{O{)`n{Pg(10?2r*bhjvHz4Md2fj zY9j)+Rx@iQ#kEF1$5;IIp5s5Cy zW=3+Kb|hE++^JBGOX2*AupwA&p8v^ru-X$Ec`bWmt>G%h`drrZkwmUqX-ok0Mwj{| ze@cwy1Hp z6dEN6HPI-d0ojXfN4N*3=LBk*m6?gV1yAp88UPSDJQ=tf}tEfvy5A`*uzV}4+z0Vw2h3@nfYWOj|A?U$9 z5F=N7++%@zz$Qdu+HCXx_Nq*(%0vI(SLwbfc;q_9YY_gk#I%anOslxquGu#fnw;2W z){P~$GW?NoC0QtOBHNY_H7@Z$y;bbx|0AkgDkzKUcF#6t8M8WDg8T+b%a<#6`Mck7 z08&pKx4#JOHm+xJZRt3`%w$&pLec$a_Kn^lukC(^^w2t69&?g^f2B!EFSFUsD%sLr z+fUo&9291U2@Ck*LD;vTO8c|zWqHuah4xKQWm}kbJX&Lqw4twrF`oa4sGbXzhP?pc zswctT`355d_6fEsQTY$JMu}I<d*a>)m-sKdL%oBYC`?G3Y+nt{ zTf=fbvWSM>%=sT=)vG^}muEr7|1%JK4>RLh2-u1K3;Q0(mWpyP$+_cu<1d+PY;EbN z9&!KN^Pkb+=>yIFX_MHJ?le}Et;6C_WslC&qdECUfAnWe_(9c!{*ebhWqzjf%}h7` zLhsb_WPRJRk*cQej;iwK9K_O8OoaAdJAKOF{vdGv4`%* zon~jJ)_nSZkngV}dG%Ebd;))k?x!Xfo>|$u~@-oF{%f1m3(T9MKkY`yZ@m=oCXkPZMXzZ>-Gl%rzJ4~N1v2Z}^=f7)U zT{$j&XlqZm=2{62DiPC4sKeWdntTJXN)!6JgD;|HdE*b-EeVuE|927EvUVHW(%IUz zUPb#5Qp5?fz@;(&M|+j+3V!FYZEno}1)zF@?cTMZSU*|}jozp~yBztk*W>+637_^? zmxoXDwT#s<{|9gs_IoWl*e@8*%@j89K!Rq0)R0O~TW}1ua7*AU4xCG(Zx`sRbY_;t zY|2tvcgX@->D;KD?wo)AKRuWGA5nQIVV9Kq6Bx&vfuiq?AKg`-X>DjLq3}gsG!4Vj zbKNk+w}@Sr{6!5sV$|cebL;%W8KQH&`ds6(8a_PjwWQjU9iAM2sSKY$%`8iJ8H5i5{G2LEkLr1caFM8Im@Y*}@MYgn8 zdsLq$)<-2bG*VbgOSRY3k#0$O9qG<&+X^p}&2_ZSN_1+QAu|Mdt5Vra#_McrP9<8~ zJu9cJmDimKuM-BFTt){wlZhsJ(blorQ^%47v3RLWI|b0zj#O*1C9O^;vecDKb#{80 zhD=9i)}v6?MgXFQww%5@>NTxOXBwNbBNv|SdnQ>&8<1o>*%a+r@2Y| z7BF^|OWTk2(w+6JVfV6`mUN=CKA8m?iN;K8S4*xr3z(9vfUd!7Z_8S1I+G0yfR}0S zpbvDUu_akW=i55ky(Wq#uh&WwG!|5sNPF!Wb$?|`I!VWAeskJYm27Ti;e4(>?X4Pt zcMGW-8J09KY-K>(jLHa0gd+y8Oshwwv@OAaxT2bqAW3UuCfVv`TiR0@u!(YVtJipK z%NXXGgfgeH+!(5*bB*;LKPm6@vpzcYw6=~cxu?#aF?+@_Q){ZKtE#K3Yo;D?UOF}P zjAYh5KN|4m>Qic{*ENfA=v>y3VF+yWYYM|;sFk3&<%wLU>t|J!VJ#R2a0TbqWY(~x z7$dw)jbN5dw4^xa+5urEmG+`9%%;-yUMfw8$xi-G-ZSiAV=EnIUn`)H&_{V))&{jk zcmOtKz1FsrZn~IT%r8N|)4@zAU{kAQb)r=monts#NL`<7uJ=HhMj<+YSs{dMq0t`u z1qn%9{)UcBJx>iuGzB`6yzTUWP`$^%8%UTv4T-jn3z=J#tx7g!DBYZ>=~XVjgzn_0mjdPi<{dT`g_R4nvrRB6;cV_O{j5(=}czqz74&ysag%rc=96BwPZ>Nqv*IQpHEKuDuEPH+ZWcj-o6!b%BFs1!XIK zk$TeJnl*wRkQK>m1GOMY?VetNGvIW!L+=7nOn5owYD+SzMJQ_>hE^K#IXay0RMtz? zCsws}tnkRtlw0m-2NcrLGG8ugi{G>~G%dL?%Y%rBBN1BW>wYGJp+uJg& zWPmRTUeJh4S2{uWtoaOukjMjj1OVYfBQ+A*E^^-N2>k3Ly_TF&Nq7uR_88WV=45+k zy=4HObXMD-MYFt_5U7sMnaNbLWoAw=n%M~}sZZx`I_eXrb~dG(y;ik*RTJbKx}y@v zo=O;2y2~2P!4XEIb|kwYk*Z1nN*K~ZbJaNG_g-UjTVtm+YlLEYUCZF`VzXj;DTi7f z&~QX6e*yD!q!(C^^vB>1!8eLV=N%r}bTq!UtUlS9{h*uij?;VHqDj`HM15nTy`!z( zT4Z^I2nZP}tsaVR)C(B^8i~xC@I-9WU71!`9YfdDCP*hzj6O^f;AfJ}BoYo8IfB{= zsUq+tpsKDicqiCTp$u|oHr?%JueDTjG~JNthRs6ajIOIdi@WTsE=st{Yq5EQOaRgV zw~UrddaJ}KX1mid?sTlzDkgwzlZGdEq`QENM!-0OF$*|Ti$)Z}h(wfh6tsxIiP97r zLDzFFnwL;uC`PJXZ$#E5y?Lt~KY%ZFMhvZJl6dt(;+&=TAXe>aNv5VXMJC7cGRdfm z?xX4m5pEEp+eVx4)!=@EvM@T?dKj1Sosa47L zjQT64slkfqdjxx`(v?leyqsC%)k|EGG+7U_r1GMdNsV-S8B$y-Cs0L0CGuiC!U$z+ z10!D#D6&n6m&vYZ07b6bj4U>`wt;9S-_!1ht4H0Tp(3u}2vkB)DA2cv@E7_;mu4j47Zxdw1p^~W-!e|oulpg`3nXu-jOaZ6GSS7xDE!|9YK)#wOD-L5~ zQm2us&Uz#}sC~q4s;lj3d+Ea(uNerUVkD99BlhBWi7>=$Y^V}8Ho#KM-Y~sb3`c-& z&-4E1IZ}fWx)p8B5-24rc=b)0c5flR;zUA>R`5lBSQAZyO#QMX0;x@G!(KQ2oRX92 zOr*e3=wiJ?ZO4k6HIo^PNcpgqRWtA1sOO97Mr_c0jxu+9sj7OWv$&Y(z6sz(WYPnk zh+kf=GuhJK456qOP9p^YN&p0BY4KK~l}gYCRUJ)Bbgpi#rzc1wkXL&K*)qJ65b2p< z7y$*gpkkCm1vw#$PB^WP?xdhLj#&$A;GPr;(~PPZUIc8AV?~0Ag1ks1YG%1$(A*|X zGMVb8S@vGog3OkmNs{dI*ICh{CQaZ-oJ-K4XsgYXXF+3GPqV;vF0T$Cok2!At81h# zWz#KS66D4Bek#Y5g}SOu$h^sB*Ce%Z8PZGCH_4DS5Y(hA*eI@KXQXrlwHj!;)1vCF z_g*`beuWAWCK~Ho-PYMuihm7x3BR%1rfsX^T*h9!^vZ;gH6p{gde~TYRUXhQO-6P= z*LVP&mNposDa8oC(v4?}ykvonG01B7@I&yt)H23ol_}KjL`s`4LNt4REXuc44t+q& zVSJD$id66-PE7j%0j$(1jaHYv&Z7iv5SSPTsp?)g*Pg5bHat=pDA^1PC}g6ZMc53} zM|X$@KHZK?hK3zkA)Ey6IU*U=<#bT5t6Jp8O;SlZqCl;P5>A0Isotset_dg@X0pf+ zA_76ZbzUNdiR>Bd9w27Cqq2=rNKVM>hcIG;o+GI0OBTsB17uty8MNii2pu*bI!rOjh!VG> z)Hc>Isi3lraDK$}7N>2X4{>86MK)myj`gWNmu+ikaFaCM+}=J5X|cWiSP>DXpX(ew zGX*x!?veisnA4o8U$e{C*C%R#SQ=jWF zdqc8YT#RloMZ1AD0%D@AwRyF`S1>U(x8#6%eQUO(IZ{5lnDRiECZ`D;LWW_`tXzue zY{D{OqzThXvL%B7Kr_k9NFaAQxrekYK~+=8Dc}(QTuM_KYLQ7vJCKDyG_FY&V9t^_ksthBb$MU@9ikZMH8F?rFH-MnP! zwX8PLEYC=7#yes#8}o#NXs76(=);G^zGU5?;xuLj;sp9U5T@pHKN+X%;~gs6&IOKU4qUuavOa$J_$CWU6~{}%Uiu#RD-@U zu#n_*hI}w4>gV7eY}ZB9ZCN*(!k@)nGD0D(tF}>W3kV>2HkC z%OHdxwq_EmI-paLU`D4qpkv97`X(u;BXU$tP08IXG=mOEMbQL^rcQ%N5F;Z9x`QZY zsKtI}cos=(8i2eUB>fVbtq7JinnAPIdfLk5Gx4=PBDmrlk`6}fJ%WuH++-{TdW@%Z z5SSlY(+UYbCUE4nj=V)C*COFT6H%#Pl)3guZq7@3;Gl49Aq&S8q+QaSb7rfC8P8b2w^F>*Nur)PZcpGB+yhxCP-k09x)CFU$^#;@0lRAsqn1R=xZqHhKa47(%2#T%NaWo!PJUb6P4UgVa=pfB-p-nq{P-|6JjcYR^g;hAxGQD+}09Jtx>Y{twn9Oy;O~wG&HP)r6ueaVb zvjWu(k$dbaajj`=bR90>G*dsCUD*DBic6+M=F?IG+#@%y40Oe~i|sCr_%&(}gwbyL zK`~?skm-)3COleNGm?14Z%xokc$ShJyc!`YkSgquGzG*Oc^Wb5F@<<*TJV=8M-r+# zs<60l$x?FBnekDDLyw9|k_c0%5-b*Tf!6~fZ@KtS9up$Btf*pR8t>97<392`WROV= zj?5a&=Rz5%FJeUGZ&emotl;hpvgqi?6s|C9!t7Ae(C?Pv1Hja630Ua_CibYF1lkTIqXIyI#9htk9$g@k zQCxksIF&1{y8sb`B)W_~?BQUCOP8zFs}uD~UkYems3g4*pJwz80#cU+PEAGw77v^b zY`p^<>Jv~YA*v^Cv#R#5);0EYM*>W71 zZ6tJ0mmMLsDy<7Duenut1$Sy0lgh4$z+VPq=Gy~ z(D7wxG&Z-u50eZu_cel6{IWEJo&;HVIzoG)Vv0BW5rVY5&9+oo;8yb!sYtn583cy%5V|XyJIGWAghH^}%q$%eq4B zgy}$drCN&Epfxy!+DWWHp>24UgkM0t$hoP)nLt);OS{J1YpG|<9SJcMas*4Wx*0_( zKMVp<2ffO7LY-Qbmd;d+t)$X0sN8PGI6tCp#AheeWcSj5XN}2EK}X9q(<7J~6?a38 zRBZ^-k!_Re*p^C`zzmJnUK@3Flf+PLma9-$5D~>JmJ~;%jH;zfz4Z)DM4Z4P8|nxT zZDQv8U}eoNxjPn}70OI52O9D+f$;svvW#{yGDCRbwL0h)ki)(cM&5)5fJqy5JK?QJ zWzbKAZwWYt!(1;VCZ5c*3lV%1Q45t*f;9ROx)-4_&e;~ow zEK?R60X7H)G-V_m=DH?=kKAK}w3}9FO3l~+P<%z!(5;fD z`#Hl)XL@BW-HK_0siD{jD>DkJ0a4A57)=N1HdtY0TD98QsRM{u281^WnKo(c0oU0M z=oY1lK%lCjhiTjyCBzH4=q(-Z35CI9n^^V0wZjX$;KA6B?E7wv!$(#YXcM6sO}@pMjznURZwHR(aa9Wm!E20aw|GEX#0$6=14@>k#u5 z3Pag|Ai@tTum~&6?_3oX*fLU(wVXhc{6vVb$B-PfZ)IYex1t?k&4sZ69ib%m;Ht@& z`2=|gM3cd61!{-z7gblbTojK28p>nqK<7rf2-Ptw84BPsiMp2VCTOmxFbVAKtEE(E z#xMXY1ZX`U@|<$pp5`OYhK@`IE1?twI5*W5y_Ur`YAr4&d#O6A zsUzQ72H*OD&dNV0O}dmAa$Rw%hXLWrMebwxQfy;D|%rQotcxT!> z=>vqXt&I7Z5vA}mXub7GmOGk{e5*%l4E?5QHm`BktX|Qq7bF3}F?GRR@*@G$$SV4T))Fuc z8;DwfnJfabFwmgAOqxWq6MnGlEH{G~`RJsFWWC(VK&_)c9+Xjy5)NRcrZr|naKr8Z zGy=zw;-m32A{2qoplidEqZ-AEcQ$1jvVa>?QYzhL9d>oKcg_+7nA@wAt1zY`H5D4- zdW|@Yb%YQ+4=M@zwJ;1L10YL}`bLjr-UQIIzyvi*U1GF}1xcbpM}uH*@RiA&%uqJV zXq#?c?lp*0Lgy4uBNhP+i|Q~(lVGDT6&z1#B8C+w05l6o3{{ueC*N3P7mhfHf*S<0JZWw%MDbA366QKY$&AihUvsbn?!`J0Nz^fwW*3NO(uaka?t$0UfuM)^weXz# zF0X^7Ee$gC5fh~p3Hxo!abl{c>`s)#Vq+RSkK-*oI~%JV6|U?FlF=m@B9_ z?YLT2prbLDmMdTn#Y5&o=sWIi8K#mQbckCi{Dp?)-&mQeQUv13UCb<2&=3@op(cw= zy@&-U2Ax>j^n`J4RWnv$*aJo)siLRNmc3PY+)8~IwVQEDj#O8i2|u;cVRgVHMyK*v zYk52|rWS8T{%O%`)sue=Bh9zVL*`<|hIOd1qYaJDRUiUn%uvD9Q8zVfGpanJsL7(z zU}zDDBw7-AJMwO%VAo5@N(8YRq~ze}z#>l;qQxDQkUr~=g`{(Gzpz2B70uCBKihtf zv0s#U3>``L3z?L@glmm=X=P0Fh@k0?!V7$qQIcZ{SH~8vW`p<$?4~M@Jk_ANVrPM* zIRc~knXR8=^nbQ?MWS`1}&SrtIm=E!@X@Ytcm*|*^C6mlsw*~ z*@S6?LNK2fRu}@8e|YG~6R;2lrg$k@N9;?o;zH>*1LlL)jagY?V-eaAC?%>~TAsYI zdk^|NC4^m)b~jT}d04HZMRtqm{xj@W6s8KB(TZ>+B5tPDGD?7!>4Wo}xmJRV%90KK z?j@pb*8Ne4X0h9HETenCqsv#UOGZIgQpjw1W$%+z6NSk5Dbr|>m?%q?oFG15lDGVJ ziV!uzigtR?yttC{<%Kk4;(|<^!fnCGV3Ht}#|{!Z8^WGbo5Dm5bEA}fSg!|LAq2!O zh;+J2cI)(DCRWwoZ|AnSR?QAzTLfYT`dPYA#g#eKN3Qx(XqJ3@Kw@PNCd!pv@C^}$ znF@r-G}0zz`CgXhmB_E%e!oAZ&(fYwN5_AwW6;9onukN@Xi`%ykKwmR4aC#q7F=%1o9X?Ig^Gp^v|3MPs$9mpX!=A~}3+G2k(LgPV}4hgV1xq3sJ zi`DiQk4J&Voj19~fHQLcS8RsHU`t6~r9g#&7TYj%HM^ZJzXZBOD8nvVOlvSXary`@ za!?0MVG5>CCgsQ_6~T;4igGGzv0FpX)heM_yK}K}Kox<(!f6B#F-l(?NOfVqz%nd* z;p*8&ps2Nu5xVGV#r2{URHYKD2AqJ7t3z0q2fL`~AjaXM|Un%>e zWv_m7m))|76fR1!Ew++JT$E!GTDo=ba_jb?9nfh|jNFc}s--cljnJXs8S*Hily4`Y zQU%D@>Pvg=t~6Y4*ntUtjG;(&g|deQMPYDvJrN<*dYnX1TyUqcYtVKkR~2z>j5f9+ zH4%hT=`qs5s`I=_YDK_Pacz~yaG+EbuE}5r+cs+{WViQwTyNI;W4k-nnXm!L_Ik`P z;A67T0vDFcF$eoTk9)&*`6ak}VvFw;X4?f_b)~>Mdq(WQXNB-=g3O(9UFnp7fw2=C zC1C$1Oa`F@2#9VV*Fpoq4F*>oTG39SoH;NKK#IEx?vNNKLYD?}#$0QY?b=upLU$5N zVL9`yDjtm7B=zp=Af zuCiqhM&2xi?rB)u3=zxvE`#u0ZC8TCJXVGN6ofa)T`lXs@@SK|vAw&4Wg*+iI94On z+3KS%cGV45lfA?p`K9U!)?|zlmJWRxo&*VKFRqnvvr$$Yp%bVIzlcU%t#C@wLmlM0 zj@mC8!Jd3vtJ;yq235>aRKf*m;S&qxJ_g+{lq!-QX0_xE9_ubpC;Jq9Q!NX&dE6JliOzWLOlp zcQJqtU`w<%%XUf(LMROV1>2#}Ks)fc-f2xx2dA;hmpfnFGLOe$ZPQ!qepTB*$gfP* zNguSM6+$*@W7~j=508%WTt{2yuqL=s8WW#T&47O52n;{6tvCOcSY*&{}Cg5GV6pPHRfM629 zIh2f!c(?^lX+t8_nZiSo+2yt+h@-FwMqf7%;L%>Pp~)C(Z7Hq~;eiDgeR}K`9GpjY zN4;R27MWhPU}E7-<0`zu{v~i>voXW(gFiWuWiA+R3bpW64#7K+XyO=4FBSGcx=xs?ZtiLD70DGR)1ML zz~U(lSuG0Y9zJx+isJAIUpOA3SIV>aa1+rICS1~^Y&Vq1W5ce5I_ohQr7*y{by2Jf zx|ky23~{YZW*X_G^4yrtrfQ%nYd~xPw*k)0r6iKq+7(zAU3=taLY2%Pa90D2E^0Za zj6x``Cu6-=G$nz=&To3UWm3W5W(qQwmLUP#s9aFzEYuG4!U>(=O32PNv7ZtKHA{O? z9sJm^VW)KdLII0H$bf4v2j;YS&st;FN5}jpnE9FK`ucRjeo# zFndO?M)!j!BTg*Cqp;``jMR7_1WT6oiD+9&Z3>nXHDfyH`Y&c_HjKGRgN?B+Aok!+ zY+svP)a2}Y64KQ+)N1`)gRCr!?y^v0?+LP8c@P|vuPRwIfjh8lPjFIKn?e|`b{B)T zp^+K&B1}9AeNX1W9JS=)1nv$Ia`A`iMT4m=hLbXPS!jn7X>X0wy`5?}Z^SAo_P5B2 z`f?piIbb>$^d-vuU(P}><2Lu_+i6_Kv^fvxd#X^)JE66h)y>4wYWfSxJC&o7_4Gj7vw~tP$BaRI575m z2L0Lv4D?c2HO!v;(}GpU7H`UGHnL5p8*{&}sG2+iY*~*RJbsNIl-i(cGtNg2%tr?WRYLf%N7!fUYoFoQ`Lo&oKz!c7XBw7-s2@lup-%CzkA zIuqPGK_=wtz-|HiIJM1g^VlShk*odS?EA4ZiBKb zu>2-#z@|CZ-hrW@V;KayXd_Rfv}Lf@NFY4D(~FDn8m!FW#V&aJf_-`)i&ky84R4oC zv9ZF|r5TrHX4&$lW;~6H3Q1>`=QnWo7h<*Db{?u=l_0i}8=E#j;ynh~9}91Sn|0!*Xtp`i z-eIzB#b)>`b_EZ+J=9layEXc$^kK`3yR-->*n%nd=^+-|>rJ!R9lImD@_n)kCfkf# zu^5^93b>akTWoQi+Sb!;m%p))1F!8yo1i?)3G3Ks9T}b)|7Fpzgd7m7rU6oP>?ZIv##MYenf6jSy zh1DPY1)H?sxOTshwLN-`^h6l6)orZDc9Tp8l-AQ-lsmY6_Av!`sMy)n3l@gnWviU{ zr0j;n0T&d~fm;!|aGi=q8v}~NqOY|NUL=qgUBLo9c;G>d>h|)Oej6aVTBTE?j_vRy%pY)*6r;2F zNxRdvFVK~N-BVp+8tZD9SG4qt^rhIpm8Qp|!D*~P;Yp~r7I7;$;{X_}QFFGF7LWxC za@d}3ipx4>&Tn1c^kZ{5F05?5e6h~$ZJ?5cY}>csUgB305k%40`6#YxRUy+oC=X$z z2fYrj_mi4n;a;K|Y;F201n8=$z;yubOItt7JMCCYVebLrE}o4?S+*M&TnK{8Vfhgy z;yyR>+xJ`8NC9bLeCz|0*GRa!$b3!`h1s)bR?EZwZP-76Ycs*{^rSS>DY}5onF##2 z(7`gH4Z!kjwA{VsQTEN}@{!fShVxO{@nMYaY)3H|x#W!$pdbNHZOGmR+%nD(}ZJ^HO?$$d6bTw7T227iyqsfY+bOaVxj7+331M%i|^<(u6_Rr?v zCvuxhM9WhyxYON*J5ZfjjGL@Cgvn!;*d~BS>t!b;Dr`51xw4I|EP1?0Ses&^x&Xry zyRvA|E}O|@eMR15WWQ8zUpj$VfW31hdWddSN(Rh^Fx0f!JvO+`z?6_zHu6R%chf*N z65(E`=awC(xI;scFiDh6cA3~x9QZJl>dC0XDs|_yq!PVi!403r0k&O|zX|e|~4nJn=mTM)iWb@yJ4M-RQ?M6hG zOCro-tawX_%gTf4Ji%m#bbjp0z*A-R*Xwc^TcqM)u)JOik3|+Fi17#w;U!vPb%~33 zd=H(?Qz>IVCMuh;k+VJvky3`Ty*XAh1;CS52_dLYi&$BGTEzA(1QHgef|54DqWg#2PFGL~I&Sz!Ix zv3FwSAH7VN;j00Yg>dDGTZPr8ARCV5eyrRs#FdVH2NW(k+uUnR92-`w(R-!aA}vf} zK2k6&-oJ;Jz~SixEX29f=&VR|*xg)m!vuF=aobhyBSmH4U4u)oYGBK@`P_R`a6j0q zk)_((S*TwV>xy-eyxg9UE|2X?8M&OY8wa^LJ=KZVugGJuQW{QTmMq(=a3v?6j+uJ+ zRXoSiRVDX!(5X}f=*>Ait&iAhMY!|9X&0Ho(j{)m#x~hij~BqmRlS5z_Zm<8m?M^e zFiVRN_ne7zHoFFiM?GYvQ_{he95xq=;=A&A&o8z%+7ULojKo<9vG$QP#5v3)?BZMz z@9_$l@IJ!=-pYWn7Beth+R05dc^?;wb#+EcHY?&HzeV2OActjWK(>I*g*N4ap|C-6 zD946t8!zVKtb6S*VocB$_#{e~i(N2S=MGzEPs$`&7Rb;V$iUNeOJ#;&_oDa6%Lx(5 zF#mUJE!kWXUPp(yWGWc`r2^7Z5n%DKGTxSeyb@5X@$JL9_Ekfe4?r5cSTu_H4K!Co zf3vZNtZ>y9@$+Gsos#9Ppzu6rAdw(Xe5nknA(SKq+b4Dex33Weftv+ZL-MM)jIAn$ za(Na5?@q)ThCL}k70-R5+S(R`ZC`?|jT=?4R1@Tq7%#6rlS#L1&c=N)32!J8+aSX> zOVO+cZk5ROd6O$Gtai|9r-#4>5HG9Wff(C%TQeDSvv^P;Z7YnofZF>iVR1n|7#Fip z_v9SzGGpU;4w2bf6u==caf{gdJqsYLBLI`1z7te^>i#L>nX?lfZ z#d&dU%#}soQdY>0ARCt&ucPP*F6cg45z@m>Ahz0156HcaU`+9tI}0u!Cow|ewPktS zLB$oLCUCtJz_MooeQH2-ZzaVgC#9K8O)akSiWw|XqTMyMW(h8djr&*uR;*-qp_~8@ zl!Md7^b*|INP`_)uYjT~eAob2ulVtwIFP#<*hrYcU1{V3S2}Mm3n4%XLrQ)`4mdza zg;S~h4m;vo^2$K_p#*zrZm{u<4z9Sdi9^~+$+;6nxSCwvv84-K&S zq&ov_fb@j{b~)+42H2aVOYpH2En_3n)dBWR(#Hl^n)Jy5b~foN1MGUz6H22pCX=oR zuy2qa2(U9rUlCx}k^XgnJx=ip7>U(w#`w=-&usln4-7Y!T?j7-4|eLGx^w; z{7K%|9cgy-Ixc#f9T;G0vn2thHajoC)Mn2GnA+@L0j4%9_j)fHwb{G?Q=2UdFtyo_ z0!(eTf!AlzsLj3}U~02sfT_(c4KTIY0|BNsdnLe8^-zP7tsZLZBe|SMTfiU_Kjd+YsTorGGS65j8Pdd^1WU|3 zCI8@|Ms=tsJv2|r*F4ntI?1niltO<>SGbwSQiDQoXW}96C)Gnr%g0aT&oXM_PXtjr zCExH+qq^N^9-61*hV+~g)A$RL_wr~9c%6w)c^qS^hLrv+WYol1x&&&XLI6z=vPgwmc++Jyj3G>EmUj0#+)T);p-$L(^L#? zOm*$aW2sqQGNfVrs1Vecupk6A(N7SiXq>n#f;3D-=31!cifpq`gTxf79I}+$+gky( z)4;Jf1T}KxLQoUmjwEU{xh{eU0PHp{;_Z-wk6OjWfc#$@V46z_-hy`j0)jCRz>l~13JW}q0q;eM|mAioL z^arg}3A<#8IY!A1eAn$O8PbGyVen}$o)PkS!6bC}@AX~2*PKTBhXHmK>2(1%24C}( zzs-1*DcH88n*ywj^w|M+0qN@k?B}Ha5MWP}-eP@MmXxs_>Dd9cKk3c@8z6mAfL%fQ zjsW`=>E{A$4e7b#qH-HZw+2{&^e+SKLDGK?u-8d{5nyA-JK0ltl(y|cx+}mOSM;kk z>+-fJdYgSez|>}+1en@vW3S_)x7i5+rZzi0z|>|}2bkLIw*jU$o8a|X^fs#rFtyo| z08^WtA7E;;TLVmO_NM?-o6Ye$E*iC2CcxBYw*{Em?3n;loBch&)Mi_I`xHm8S#nyY zHv5*hMYmDN?rrHn;hR^^b=Cla$WFik8ch$)_ur+TPSyZetl z)S%=_4>jIG@)tbX0`6wwNgidkrSwyt5wk5#d`J*e7f;f2Fg;S|9Ot2VN^aw!Mh!7_ z-sNhBULyS_k9Ioq9uuE?#_A!Z{}nQ7Vgou8YNzB>4>fAo+0#Swl-$oljqj5Dm`7Wa zZRtU~drztwQhHBfmQfRX6GTmvJkmpr>eMHCXr7YY9%`(hE6wK77LfF>npq#iA( ziO5h3CQ&z$au+0(yCA9D1xe*DNGf+hQn?F~%1z9;v{Kq_Fq2Ypj_*mBacN?%H{CHx z9vSk*ji-_Q*JP4W|0&WNY~Z*Bo4TQ+cjQs9_+L_1(aWm!vWn&a(#Hl^GwJ>SJBRcY z0d^DVhXQOh={E!HQ_>53eX;q5^zr~Zm-O!f>>1K;1=v4GkKagjQZ!qW-Xp;FCfyid zi%Ite*jc1+3$P}xmYl_-EK@BkTo!Ztbq|^4J2f}l_ zP1F#CI%`QD7eNb27I+kK$FTEghqmJ4?y8=-16@bzru1}TcBs-sxcl5x9iX&wB$MqvR{z78s#4q1MAlrlgvw z)PV0iD)JC25qwHE60&@nP-TNp^&JJ!%EXFca&W8gK|YTz(b81HRgF}o|0(~HE1B|_Ru^f z2R+oFvE_Rnny2Jd9%|5VbBBlKDfxR3HE0AZ9%_7^@<)VrssfHr3!=G}WZ%2H4T0TLP?) z^mzexIqBO1>_O7c1la4O=lZ%8&C#S=18f=Ty94ZD(tioCcS!#;z&6~>wW*9pnf~ob z`hWmCigas$EhGJt0DFn_mjU)a+o5{|J9Njh8MVV-z5NPCX)SN8xp3Vnyyl7oXwJy; z{Uel^oG5C(SAB^CBjig?3ZF=LTIG? z-k4QGO4ksxa}-U?Cy3dTI#FK)sSlkWi2FWmcsY+&T%=S-X|p!=5Pc1X=R&F3r{rGuO+N6%U1b9Ni0 zgPhkVbKbm#tI^{;%G_7!CyCi5T}`}45NpOte&nIXwIny02}db;Iw2b#&ST<-Jj&I8(wBKg%yKpHAVG9NB|ixAD!s*4 zTJAA>Z|%rKc(gtBK_>pjqclV5>dBgSfszxaD0GyP(+JsS?7_rL9;F#d@8ubBji`wf zK}6EUB+n1>Dt&vzwt5>^g_n37@ft}j(HdAs>2tkxj=qTG&m-tQlFvnulCOBEaSh4O zJ#@5^W4vJ+OG$3;p`&MzJSc)1Nj620l1m8LOMFeJ|CE@cm5f{oO98bs`7z$~M=vIM zY6Ptyc})Zj}$LedShZXuO@c$u6wkS>cY4|Q^T`|M_fuCR5!QMwr3#L;3(~Py&(G4bA99;X%?L1DMkWF zDbKN9PI4BfJW*MA`5F0t?(_AGDqDHSnEwBOXCT4z3zqSYFRS-I;7PVscMmmIk*wp<7O*c99iFd2$%2O(dq`g3p#~){_E6&wNM7cl1|_c|WXsgV zH6H9P8H(%ZR02Qa5iM789d`qbG0Tk7rGEF;g)2{s%gPXVlSc^?ACVsCh4q@vNY4nc zD$<7pnC@;nC%|+=@(lr|8x^&3BZVie+ZtcDqOaQ+FRSS5Ha);-KhKm0mHu$S=XSZ+XmjR|W*xuI_^JLQd2AH<bK-3~53eQesZ{3+wYKkCrbI?Wnl2B_?R0x+$*2oT%hSUe>84 zLz;*Rz;rWSfYw$kX6M3-C??vkT{)z5yDtKBa80N_F$aH^=c7`=7cVv5tpA&S{Qpvx z_Q?ed9MU(NNDlFx>+BV1WIBbZM#6fXLf~^v(gcC+SlHEUq)H+fiOV9t9^| z3^1+Rr2(dO(|yXaFs60;D&W()mHB#L0WDFtWK7Vy9pPnl`?_@onAYv00MoktGQhNM zp9h%MZChWr?h)#i?8lo?M)a^ia>}UZ=J@g|hm<~=<)O08 zS(f!?P^QxF5VLb$O>FE7SfIKnkDvyU>OwYa+=uk!FUTzZct7c90_K3}(&^=N=4WhL#cvVQ9G6}+s611u>k8Q(7^zw*j{xYvk% z>}6FBDXm+1;YxTfP)Q@ghfD z_tg3<@O4GpBdt3O#RtwJraMsu`x&v{1bLqz{aJwNPO~Z8s3du(lRi4YQlys!nC@D= zJ-{9y{cM1}LRxqGNg2A+`0HFq7p#_aOMrEgJ~zO0r}1wB>{mfvwb{o3rZ$`8 zbzJl|YX~s4Ss}pGX14^G+H7@zsm;cEeHOjVb_p=GSyOC~#~3F`N4vkfz0IN>``zAV_XK&>W*-EY z+H6y=<8E)WZv~jztUbWgW;XtbVCwI82AJyZ-2hYFMZ4(>UU$0hRmxS}E%EIy7}edi z0j9cpB*0X6y39lso4s^iUlTl>9^qbC;n zy^gyAKGpH}159=Ncz~&n-wQC+@f05y`h85@_X}92<%>Io3XAd`|7XfoUn()jD_KfWxWlF+;LZ`G4L>%5 zwB3CX6mRwjck6Uy`~`E#h~L9AJMU{eFP`gY-o1 zRk9;J>8b$Rn{+n7I!NmtNy&QwvG)VMe~{KqP{KEv+m8_yV+ZRE@?^5TE0llh>Nq)bP#yo&>ke0hq#q72)$w})raIol>#pE+ zd~blMj$a8d)p4oUNx|!Q=Kxb3N4xp)dLo`52>4XTuLPLtxWwzE;B~xLfT@m82r$+0 zH36nNj&}1GOj5_mE9K>E_sKk#n5G|-TpdBGrN2jzDrpKEg^b$Q_lh7@QF{cbhQ1d; zs-V9|km^VGibK41^F}rskZPwVf>b&8M3Cy{uMrei4P?cm=t{O#p9_%dd8MD>IlJnr z3DpP|Q}vmNF^tldFJ3?&g+!`PYnhSi(@E8*wV+7#>7?q@N!6#5s!u0XpH8Yiom71~ zsrqzM_35PQ(@E8*ri@+4&CE$PqTWbUNcRB$O$t^O=Oaq z$Rst9QBCL$<`R>M*Iu1OrzGRd$*vx1N}ZSZ6PL5*I+^e%6o@)THd&{v)@f(&-&rMf z+TkUt*>vvVPpI*90^(1o37?{Le?kp8mQL&06PoysDbxwY`V6d^TQN1)|#KlC{kxYnw~fHkYhz zE?L{0*7gHm+g!Z1IuAS~m=7v_8~1(4&HdL%ubl2A2)5@=j-JJ%U~_hL*diWB+8Cf^ z&h=#$jnV@?U-AaK<~zpc>o!W?!M!D8OrKGDOTVe%RHJlM|30I%wquMrZG<-U8Ev1o zP56|K+SzB6?(l6$+PeXnB31uz8gXBk=$UKD-c{8k~|`U zjwIP0K^-JdiXbJQC*&*t0)fxtC%z!C13SLYp*>(8y*6|J#k5C^Fv=Z55tzs_4fdk}Sg4v)^CV0{JD zmL;Y+X~pKG6`PY*Y))FSIcddawc;`w0wp>>h<*>JN>1=vN?$`;ro4;Frh;# z_LwTEW0U2Js^};kz8m!+Ds$o6eG8a4GkVBM$&EOoK-k-Tn=|omKg`TmaxAASmTw~_ zw&fAKPL!Nj=IUYjwr1iX9?=LTzek;0zDt;R+V{u?CC}U0*gwm65fiuah;o$-l=60B z=i+XBkmCUVz)t0m(%&V9?c(Q=yg7nyA^BJYJx=o72zrlXH0B?d9P^LUG5=9N<{$SM z$#){?U6R-M$1sjlveujBL?vf$;naiLe}m+L2s)1BNfC51$txo0N+lot+%cJ%S$^B0euUNY27=@2 z4r0%XYA~Jw<__P7PEc|K#*z|~Qc@=$*z%^+j%aFeoYPhG8=wE9Gv3y#;V7EyR}AgX z3zvod0;Xa}=^!OqS^HGHz~dPv2YC!9&5CLK#1hp{$abBNuIZgOb269m2(C7g{}n;! zle{y6?jrd@1pS$$hG)q7ltFt6qik3~#iA&lPDb&xM)5@&r%n1UC4HDpCqs5R8M4#K zkeyD3?DWNqCDB|reK#SU^Wp+T$!PkUPELQ*$?0!8IsHv1r@!gs^f#TH{-%@D-*j^N zn@&!D)5+;?IywDK>-2Z;GUp^P=vgGA>2Ky2gzofQElPgwp-gf{oJr1zGszioCOIR{ zBxl5#@m|6h^~?{NjJ$P-6WHAlZ?8_ZvK)e^C+wKJdf_O7v=88tJWHS^(xoHIHACI zd7{L$CJorC2E0GwGO@o0+2l1m`l1zxF8Q*_#$>fIyZFXrSH~K&0k&`1I?tM#taVGW z)-77=yM3)&VwE;JiB59@90oVVq6v0Wa)RBIoM1O4C)iCo!T!?Exto;KwK({nlDY&J z)<&^tik?nR(bGCb*R?nZRdS?dxjx4BGyKH7NvAYApMiw9(sWTFx|U2QuO-vTYss`; zOYX>iHiB%OBk5&er~<{BIgi3RPZ{;OQ>54UwGz10%E2|(-HDOEftBj>m21-BL*oKO zRnaMD^5}cE0#UqdO2*5kWV~!jI&PCXZnWgr^d5aUTJmd3#>=K;ylm2Vd7GbBH!1lL zp>f!EW;>Pw(P~3eGPX7)V{22~Lv&83F&2haU0&(co8Fr`d^WC21tMLhle$bNb(v1; zGM&_AI;qQaQkUtZF4L;ZNI&VMe$q+(BzllK;!9j9l|45~FRpOfl=~L(ziY@pc7{8% zDHc6WUjO2;)!UCR+i85+E<<`EPazY`g=ewBa%h05 zvor^oI?E>krp~gZ8W}eN)LAYMFm;x@0!*FduK}jc@@0Ujv%KgXquZ#ntP3!8mZ{$0 z-QHRD2{3h*y8}#}zk9HYR6R!}Ig%PD+ z_l$VouO`-~Uht*_b?q4uv@c23n0@q16Dzz*D~FV>qDrw^rQ}|O><(Z}sOs$-CGI81 zBRoo_m0m_4!+MC4XAmkirf*FauRK43s9#>5fn#Jx+!GTM3G>LnXlv-9%@vFy2wNG zm5h9>adUb@kI)ifN{`_OZ|l85`yb8^X$Cgw$aN=DHLd>8Y)MkOgj>2rLPxp43l zO{jz6*2CZ__LfatqqiqCS}}q~7bZ2jFsadnNsTT{YILD$^g*xD<)uTKh%~zJ^h9eb z60Jq3)VBV>E8vvUAx)?PMp#SNNF4Qm%p}k{WkMxGSwQ z3=L@i*Vi-u&jqS$(2?=6yIwQC#G#w;IMNdn$$Z5T@)eaWaXg%eJUgeCkGf)K5uc{tSPEBG=C0j6bM5@1^9y#baiQ>BmzhfPREdaWE%dNI!- zfN0ZKM3C0vrU=qXtd1b9!xs^xWGPiqY7n$Ep*BUCN=D{Qz9vAcsw=+|bUt8Ff9KK8 zl{BG+?GGE0QkilaPid_S=4VPq)v6d$dM7q%gqx0fN*!Q?H8(xg=zXNu>^a8O zs)omrd|dPChuP%fcLil!TzRYC*&@zi&R<|$n#{s5g zt_m>st{k8Dyor1UiE2i8_{ zH$ryOt_igv_>_#yne=HD|6O0z%ArY=yPcPh$)zT=aLgIKGn3F)@~BMlX{EI;c&b6k zs9F_6O7G`ec}!foo>E5{!Kd}qg_I)xt8~?7P9Ux$SGOjvXOlULBjtQy^qjrE%x(d*!n!mv#_9H3z?8fet<@+-e|IH)#lzeYu!1oao z`s!rxDXA5<@?4ha_rP(EUcn=n*0v5Yacv0R$iyQ&ViSRqDF!~9Im^V^JmMNb$>&18 zmznq?;!|>qjeN`2wrXNWf_QLL$!~;wnmEeyEnGlS-`bB$HYHU#w#u6Ll1fAo`nu^r zd23rEwK-}8<-PBf_m4<<@3(%zgnsS@=23DyeHb-)zjcIS-%k{q=a737kGMjwpWtfL z&ErOPkMxCb&}p-#DyYo_|Nqny^yovzJEk0uBC<+0Nl!oTk0lDe;@vmVwd2acHkXSj zN*}1(2w~fcR4@{GV!W0|j&;bz?CO~IDtVoUj{gfC>RldXL|0m$mawm<)5HYtF%3#? z?x9BYzgiD9C^_3hjo%{K!J{p}(6nk|sY$#|H?Wra2+ai3T~fVM=`(-X&RTbx;@T=q zYn0T7Yj9nvmHfJ|(R}R>=S0vYByWhIyGgztK_8P`&xf4(lSs~vASL%F6nNYLQx7J% zDB@Q#HxmC6g8DTAwCGAgKaWJLBKgM%igyKcqE)SRqj!eA6P>~4(4L`&Liuq!jZo74 zt%tFsvv@?eR#HVm{{4v_tmBuywjE4wx4uas8*l2$Mu1f^h(d2CgylKZs8AW9m0rbe zVQZlY?V0H0N^1B=Xi!oI0dOf9bXGi_5z z2b@a3z-^L_Gv0bFx7F9U+bFFyA7f7Ob-8wuZw1O7Ok5Z5o5)-F?3>ONcx>iffgNu8s& zC>fNncG-VW!u!62S1Al7yua*CCUh$=+Mr}m!u$VY33!o4%~q}&4LrKB6pt{;SgN3U z3i$u0N~4>eMp1w8B4sS4NChL2i4p&4TKpp(-B^5@tCE7}(cMPqx8LAKlcRZrcJd^b zMbLLi{w#uSBKc?pJx0>#UP9A2iR82hsv~(!1RYDVH-h>|M!U)zs+~#?s~&IFI!*B>{bf;Na`!gF*(W?ofLHn-#H{W$vA4evIqGVXxBEFaK1!mA z&m+DRC!lBYXysLK4!akRQn1qd5VH^IYvQbE()%vSe};UTnB%W(j=7HHV?0`UI%!j@ zjx?m{#8r9WGV5C6+E)R;^0#=GbCsAA_p{=VEZQYFhdn%8jG^KYSX3eUJ96_v|JVx@x zkWUlSqAtIJj~k5i%(_I#G3Nfiu4gV<&k1$N=Hu2kzdRyD{&nK|W|wIp za?yjEndW^QQoEBo>Jttp?BddA@aR&}Z-!Q$!%5Pad_3bfe8TT?;-?ay%k(8YMv@#A z=a0*a^Y2W%YWe?@d{f>t&|XY8;aDuVW2;j6j$*FRWy(7`i@EYS`yH}JG2OycOGj^c zQ*XX4yGK52$|cpB?rAmU*?|tobH`%Ao$1RJdh(qe!xAZ+E9BbJl7UoLThWx~JM#D~ z=KAs5j0DbgX4A#ADbKa0nhWXnT&gvTyqYrQnPO+52L-yrk_=8lX1YC}L4lnRgP(FM z+sSF_0e7aey*<}~li6HTZ%Zm&NO!d4M2byz^)^93l+JfFcj~!xQ&S<=r>VAlM^0&I zP06-S6z*je2f89zEGcfb|D&|Hkc`b7e?inEg+BsxIfvnwX9;L zDuFVaihej*@IWP~F#q0T>g~C`nV((9V)7Hue*mz5{QKXXTK?iO^+1eSOkjph8GR|C z;Q&w680p3`I%@tEW9pGIW(9!@IKz;Gv|aOSS33q+DgHJ8!gnD~w zfrL8zRk%Y!f7<@Da9-qU{uRu>Li1C95H3{qBx#RZelQWsL^~(n#(|hJt!&P=ihj-Jy*YRnLYlNerOZ;(e`WlP7q=K z@mnpnr^atpW{)pQ2Mzv)*T$GtI4Aj~57@tkK_1KOF|Mz8{)fR8=AX#?6C3`s{C|w) zFJt~P=8u;bPd|E8O%R}`BzEh@TdN%`L$gy;#^q&w;KnA-MrmcW{=8m6Zp}z z9$y6(=3mSFYngwB8kPbypB~?hCs`F9UN(84Q!di*M&?Fs&jy|T70qs{ZKeyxiV;`zTeO8(97n`Lv{et<9967l>q zN6G)Yf4ls*-SWTBzd!TG_1}BTY@2cI2_xs%dK@uI`MHh1VKaWVV&wda9ydz<(z{@omDSr%R1o4J7|c_0?Rl zQ>A9R7~+zpX8Td_9Y(>+M!|O+1&?(qa+R9t34ZBsW3U%(_n4nZ+!$;A_V0LxsQ^k$ z(!RS{JZWEv(j_C<_dwuMZbPixMV5bxd7I;`#)Boq*Amw_(@#08Vsb{S|5;Yf=4O1% zKQFZSW@gPni$O(yLm)@0|IdI+{nspa^I6UD5QvfV_6I9xQ&aXG$6v+_FO0&!W)%GW zQSi@4!DV+}iJ4-|m4o2G-zDxKv3jI>P8kKCJ_=rLtnd=#4AC6zb%H#t~|l-j^RHfzA}biP5e^gTF;v;KE+I| zIo-BY@w23BZ4Cb=@lRv;ffk=) zc8bYAg8b`Z{Kt@gMvOm2yn?v;RV(pXF}$02eN0Zj#V4D8#mYUMxLGhbOb_3ooW+zg znUf>gcp!Qh8{@x>`1lxp4e>W(<=z5(Bs<=H-@r$z&nM(x)5iVQjC)@yexpQK{1G3Ez%FrYP5s-$@QoCIZaBU* z@x^byIgEdM#ZUcji&s$oZp2qka^)&MQ~7t{9&PgPtN8uH@k14#H@sZ=64pq1$c%!^ zm(9fP6OS9TYS4ZmA3Cx9E{0!dam*`9-*xT%wL1(c{=4^v;Wtyx_?Vn~h_8(K)x*SB z5kJ`0%9y7qf6W+IE|T`|W#Vtd@OOcaqzCyL+er9WEX3OR(sm!(`nP`bOnYx@BjSS# zyJb1v`^o0Ye~ygA5iVbL6FGxt7c9TZDO3L6{#}-Py_{W@f9-JjdjcP+p0iZW!hcvf zs)v0?;XlaoPd4k0AGF1)ediH3Ck)4rC%%gOlWi_zT8XcT;YH$QG5elIdRacWBl@UC&9~mh=27JQauocbQSe8t{K=+)^;Exo zmiU4gzGf6TAB=*3X60a<;=X6IyL0F3|#Y_$CFw<}z~E2Cd6BEC3=w-6tU;XTAx5?B47PW<{9 zev!qe7<1kob|&PE*lL6A5UD{d$7efHET9pZ1eBLa_5o1ET*?b#3#q( zECD`}9gCJfxz79@;AVt%(aT4{VFq7?-hvqheeg>)M1&28P7s)^Q`-fRzE)D;I#T`%hI{_EeQlEo=GS9+? zQPES0e`B|!EY4Z0k&omE|M_PeY4K~wzo*4R$&Nl~6np{Y9DezH%ea^8^`;rPv}@(Q zH*6~>biUC>{#_4sp=NChw|6F=lYR5Ys~k^ zKmDr%EZ@&LA-kM-|0@Su{Qj}d06!tVb_2)1w>@i&dO)tb3yAMd`~upAW;fN8bMdoI4;jkeoBS)UnYy*eT|+qsS$;u=|1F}NojyLs zp2*H{=QG6X_pY}<7yYD@_@95{{Pv`&j(-{PPxs%?0*6Ci@^`w*;d(x+X)XkA_5V{> zPxZIU$v<^#r_c9U|LcgqaGdkoR~g7|CvHA=dRRz5e3W?KbTCJe)Jsu;WOfE8eLra9tXk__^;S8`+>6~n`<_)xGO9C z-JbmOf9LemvXwh4vnP@B@}7rUU>)OIHE`R1*-r;i5BZb$D*US+c6!@_^`C2T#}ob@ zPyYIMT>tv>ba%D|cv;9ux^EQxJHVwrtEcV(O2%CGcL`EbpKmD~Z3jf%CWN z%pecZ3ICEaU45?RxONY4k-vuWsebc&;$}NnuDQ(#T1`0z9O2@_P4_uP{Du6B_u62J ztxA=v&}$aQxP0`W1$JlPc+bjlJmK$`lwWy{v->Zpw{hs-Qcv?lB{+<^bQ@Qn3B*^v zRcmoH(f&;aF74f_t=^_zVt@a-#gq5?l#_LG_NJV3_I3WL`aGEU?YBCAzLk1z zu(-<`{`Qdn!2vhl+m|O#0xs=ZxuDM0d~mHhe>V9ST*WTATJ*lJ?zZ!rJd#e61bFGcF6fw!mQcu!~^92wAA^@$-LG7d*b_XzPdl< zJVpG--#dHt5P#X?OazCIN5R)aT#hytS+wI3!Xkewb0<6a^!#Q3|F6X*{%Zd$(y*iBJtgN@`>+LV*U6fy6U1|H*r62a# z0xl%~k~18?tjoyXmE>Q^arp(LH@X6W{z5C+7j$QQlE4ezNkn zPXCX&ZfU**ZvFW?Q|x?cG3O8KO&q!0?JSP#xLc33HJ?MfPbdDwdd?pr@#6JPVXcf0=Mz6~=y1!q&!Ee23Gt1ubmQYv4zyPQ zmvZa3m5~7Z-0ySz^3@5k@5HU@9l+oFDCe-N9DW-8^N+;G(|>dvf6>a1_F1vHMqWxH z<(g^kYk>WXhaXeU6{os-cJAN`{)+g9pF6wg^KRodbN;jLb*JZ7;5YI&h4}o-T)Z92 zaeqhPQtsd{-Td}o+I=_j@11sbe4Nf*NB%X>IsNFkSx^3%_c(u8$vAK@@h2a2ar*|= z|45Z{w=37|=Q12e{yW!q_MUKttACTl9UcCjP5w;3t0%>q?~lTN5BXPYT@S&M~!bElmCu`T)%6geoCQN>F-N7baHP0 zrmN3H;#(c!@Xg3S1-Qsxb)<`9Gk5{E3-L8)KWqKAb%$H6pgkz(ntPm_CA4oH@vG~c zpWHyD?hkz82i2JO1r@*WRrd2c}tkSQTyJK=MENnk#oT^>!HXUw?6k z<;&K%yz_}abN4(8WE^bd%Q8~#Sye|_n^*cL1pTYY4Nb$qCJ~PkByM}n#y5&0x>qN@A*~(|; z;P4xZV}0XMcRj-JU>>I&^G~OLy3yptz*nvi;hZc^rONd|ekmFxwd$%z~;uF8)@Gka?(}_>n%+*uZbL7!n@snNmKg!niYjoW6 ziJ$j&y~Y2<0qKXprT&8#uV?2=Mm8Mb?`#P20Ozuk>H zU+(TsJVN}@kDQ!3j(2||Zk}kgj89;klfS#b)`n~#XE zxYgmZ|3LmeCw|mNPQI+S%3tZ0BinH+;F}>H-Zp>G@@;B=F-(ub|1Hap{&nwXc70Os z`!BToo0!4foLycV?*uIwMb3B0|LfRw$A!fI`$iYfb^SzM>ml~7ec0i$pGN+!BL3;g z^)}r{{oDfF+L!&;@Otwu%WqkS{X9v2vt|?P4+pcqJU>8)P2yeWI)9M+ zUh;Pc@x9i$I8!~{;f=)m4{-hQxLSuVApXEI=Ya**b20H7_jmT)f%02{i~p>m|IBw{ zOsC*uHZ=$Hc{al4WXf5`by|Hzfn3Lkey&Noe)RK=T#oM(-)vV`&rjKpE+gLfnbXh7 z)WeOyMgNOmb#~PCpP!R|HTy3wi_Klc&xp;heog$UMQ&dDuW7D6^5sRT=NZ-eS>S5= z`Lo22eA?;%JvR6y;G2!v!c1-$B;pS5lD~oLvFGya7ZxAJY7^Tc%1XKYHOJU=Ip^&& zh_8y(zZ$sp|C!^hKf7P7gOPvh51hZfgYiKAjv!vWg~Kgh{K&_^<4~$iQm4T z)6a*z0BE+jlNtVANd7+@>ip+^p1g#3-5;Iasu;(93|#8J<{4Q@F{Xa8%Ww_(pR0D| zzC^qK(&COM{FU!hik!0Ab1Wy#WuBv)`Wu|T)tumRy+M9cbGikT{}bXX8=NL5yY6JZ zq?~PEoMZWaRpR8x$7sb~sfP};z&*PwBqFp8XCk$>IuPX7hg=Xb>OlbwI+EBsayfB7C~@Dn(%c%FFc zQ%=rXEcX@StM+jH^lr*uOZ?~ma&heWy zv&%5u;z>Dk$iH^Fn^zlGU2`aK+b_k6`dkTI%3Zmgtc2p({~cV%xR!YRCKEszbMR*_;NGnGKi#~#UQXig zZs4MyJx_7t)Cr8wtB9|--o>kTh(Ag>x9xq8EqAOFW8_n*BIn+R<3xF_{oM*Oxz z>a84o4c_j`|CWn0_p<@BEpAzd{WJl$af9ux;MqLoteEZk3vUaUF5)Nr>S)WE>2w*+ zApXz8o&UeS)Zyn4FRt(O^Aq~{rNobKJk~NE!};eB@xhtSf41Dv$+;1@)YE*^*~OUG zY|DN@{)c$K`@hCG{s)LZ%5^8I(>&tkTy96SS&JS2GsNq!aQI3Go0o{MA+8s?Z&ChO zu9NF}%Ua@tE1muaCptmvh~HO#gauZxUynl^75lcXa{aEGel>x3&0fy#&1~0}#MeFQ z=C`uXQvRj_7yYdHz|ChGSfQO2-_c#4GAx;L%31$1*MCpPJXQYoRsI{C9&U56ImGfa z5gZm#&KYZ6J%7)08RCEB`lsSOlwZOAtMxgZ{Co4hiR$yamLL1y<_}uQU6g+j`S+`F zesv7e^7kXlk8$vFH~wB2n;VG_-tP1{o&I?{@vnAw`ZlNZpKjQk!DVz_yZE;pEID9n7*Z z^3P~=<4*tnj{h&jue#satDbs$a}+u2VLyV@|8uU(b+TQX5MSHk`tNesN&co1|LqDV z|1jEj7vMIIedP3Z7RTj1iLboT^}E5_+#S@tfy;Pt0mn5b-IzI)Z^k=+eu4%*m~zI) z=A}oH|J=MAzfRiCNjj1E^&h!;$fqnIXK^hpIu4M3yI4Oxlla`toP1p$zJPcQ*GYOQ z>c_+fK6Pf^vT-sZ;w`=dwEO(mnb6%nR zb>#2m_~?3{G5Zm}r^(rCHRE0*@jH0^i|%0mPEh$Tl-T*n8hH>6e|hq6b(zz{V-_{0 zoBS&$@jhvpJ8>HM-(Tjc-Qu>-<`UilYb@g z=T3L^IfnR8iBFG>*SA^RmlBYU0(ds*=0hRleRhW>%Q&$ zZDaPkDZ~$bq~0>_LjJE2U%iEs^CLP?HSt%t?&o?3;(*0nS>bOh`HwiutAx#@8HMv7Ok7)PHt^+M~A@4^!gB%BS-uSS^@f_0$gO+p6zV={NTO4t9KlftZdIy_lfr}m< z=e}aSfA_k@$rK#c+tKkK&+#t9bDI!tkj( zL6w$2D3IiWQSkgIxLoy0yT0}M94p~o`rEfh;r}6UTc0IPKQ%1(D#~fx$o1b!*7J7q zuOk0o+GV(h{9B(}Z-MVo&co!df85Dmwbq^Z6Zs9-Gxs9@a~4m&*X2d>kGOsvukFWbRpB(Q{HScXj4}K zZvhp$HJ$IUZ~O#*rn3Vt^%S_jyn6PmS|hLR%%|J(%X6tNoNrGT+k4yOd?A<47K#u( z*JShQmiAn+wY<8by3%x|3+-}JO7F;_sm1cDnH9CBCD)O{J2JB=l+lcngF5i-B%eUu?NY>3las5r5{cX7pBxgZA(!lbWRaI4AZKQ6Wv!Mqd-luVY5O;#u7DDK;}U~#<$E$n=i0l91Lc*q6%{7e-J5O`veGQ=ly{|;SI(}2<}F86MWu3ptQ2o;PnTC! zpna{qOUkQ2Ng;R*DrCTS;4TnVSp{9;2n{-KcbY-!;Mmg)35B z=@97&R!&uIomio~s&=OG)WHn|sheGAy*QgIh%aT~WL5A5xFm>~v(b|}+l!r`XF+D6 zlMFM3c099D*VbHSDFj&0E49BRxwi5ebQk36 z5I+~X#|Zx3_Co?<=pVL)XdTfOhmtYNmm;XTe#r zIG~;VXz;A6*`}wry{9b;e}G@jtf_|a(7BO>59a$26##*>RIO=;tF~2^SJwzCbd}J+ zEpyFG6xNq6bhMY(%!C%Q&4@NNbi0%t5nt2y3>? zS&}i^(r-;P6WSm{bv2q&XwTXvXJO~^${KhMIvQG!eq0L`xdb9aEqb#{pd;5-OI+-1 z@9Ztwh?vfHWt%`*V^!6_>kGM--nMiBMgiR1+SP>7Zr>Skg%%r$FjHcwR5)}piP38ZQaqQ8|05nWv=>6mDH8^}7) zsF9_sg{}jg=`D6*ghfiYaxR&wtPupVtfZhxuP9} z2y}fR5KijgvDLHS`taE5S#{OM_Ci&bL`@8chy<_~hBJ(j#a6L=RV}$*UDDOnCJQc2!D-TMU9D-R;dNOAUIYop4evk=QpL`c z)B^f$>1Z!h;<#*JDLPaQB95bKtLv14B8f?*mZUSCO~}yMmFwumfGx_%$h)n9wdI@o zvjv&B;E>OD;a%1lydS$K*O6@kr|E%fb>fBGfRyTi`($$YzMN$s4Gu6Pmn9%e`fiWO zcVTFri9XreEW}LH*#?EdTal1%*^);^kW=cAZ<7qDUn+~40s2^7t!XZHW)K!-UUkr6 zNA5T0u+))r=N@(7{M7t8`yF+IsB0Q4GQQ;LD|JL zr)_=Wq$$&imxi|$Q-xf6Hf3b~l5gtFLR_w`trJDGG#kuA^Yv0szSf7HM#z-;Xre~Kh@D` z{jMivmO(oy8?w`dDpU+DZBJ!0Dbv@7N_L^%m_}iELJvpJZYgy30z;*9nf#Iz;zlvo z(I?|c%5-%0!7@JS8KI+LcV}$UD))VVoLf=tl^@|wlwse&Sq1sO)_bfAc>65=fvc_sh(DN z&hYj{I)&h61=QMldrUm)>O+pUDJO_D!XV72FpVx^>WwQ9s1{>1EZ>CcNV(t{US^Bb z4h%gA(FOQRPfq$&R~4cotSn?OPX*sB;tqv}wlL1ITK+3n{ z{r)KfXBu7{;;i%gUYQ>|d&`7tx%57TF#O@>jpX1-%-Ps)0WxC91` z_Ac<~HAxD6xwj*WM&UC6Cwy_K1G4GXrl83kQr1Q^0XE6;w zlK!CjtVv6Cis;HQZ_9UJVo;D!5e6At+K8Dny!1%RdfO55>@+cmT5v{d9!%{D`AiON zDIn-KqvPefY%qX>7BQi)`fu*+N_Y37+cfv0!%Ihod$i-?wlg!_DqysIAc$V=?TA8R zB-<5T2xv#A9iFkh7jBz_BD1g?WM{kDyWp&%sWuzvpb_XCLye7HZJqElTz5&&67NY# zHq=Sl>AJ8H=dq_u8#*{*vqZ#nK?X=? z6Aq({=a()O3REJ>UFXFKgIJ;s0Eu|=Ad{SA;^x!?bS~$RYFK=>ap^JN9u(mInh2YV z6&{U%asR2Vyy&d-bbZ}m5cGY(&-0Yvg!_vrcAi11)ZL!FtqE4Q+!YWewrN$4oz#O* z$SrgThQ39r&+zIX1t{|V(w+_2o+ELvsPef$Kax$Pmddt^h>Y`mrb8%e!upup zdfy3jdKb*$`3KVi zZFc+E?hoL6dE=%%C}HMtP;=GY40#e#?a1P$cli4BiFUYd-Xy+~d`MbRngfNy}1X2Q)-|AMGL?S@OQf)K#ave$v zne@n}XGg^t_V)I`fYnmdM^44+lP55ZYd?o&xvkD@J6+sf&Z?E69djq-+k2lEnsVyankzr2eW6)eY**gf@OCRp{nsu2xu3*w}kY5|&iKDzLwwRmpY zk3`IFo9bMWGh=leGi&l9Ol;y_fzO32+cOizWGQ45t_uMLL2Q@B*)d8;xO{?z{unNv zHd};jwPRD?mQb=UL4;{nHrzT3td{eiU3Ps*InPsz$PBiMlGj zAp>z!f1*%IK3V$4$a)+f--Wh#Z-(l91^4FV)5<%tW@uGo!-1erO;A@*zDNxj7Oa*k z+7-|zWsg?JE|e+;P2sp>NO=r3{)Qq;f9#jPArx-*5{qr}oXC~MUY*a^ulW;0?=Mj- z5iOD*(o-_h^DdnJ*FQhMe$W4Y{_h#_NuYKSVPOTArsFo$vTn=`ZDH4CK}{oTs@=uFsvn@*ZD zfwgqf#Ak_D4;!Xb!$8V9h%o{LLrYhW{5^7@;fQJoilht}L||;cS{15s0-&-)EmQE4 z$Zu^Yhozf~&a}}C3X!Oub@mJZvz%F27LLBUfX-INR(DXV#rH$nxZR0L;Ot~Ub@WfT zMG0w&SIDq$E`XzFa8U4tI3oyT>BKzeePTniXU3K6sV)sw9Wb{%r-+9&QcIpdsb0)% zzeBpJnSpH71kH=yoJ6p%Y%X+R!8uA$!$@<#fUR58sS_k%{s55}#u0;lbPtb!1GNZrHoB zRzoAt2!cIbHs{B*23g(H-O7GOm(+DFeDO4j(`f0Nz?)btAUhOHUrwSB5SiOb2pfT5=$<(zXJ8q>3jck5mVE zl2PF@B=;PAAj~DtUf%9%Pv^q*B&d|t=GPxPJ+Q!_fyXyArH%CFMrr=<^S2+ABp#V~ zpvleZYqG<8EB%VlcvT>@rW?RdX$SnTIcFYldM*eZuSw(0iAmbB$L%=5lQvJKu%%b^ z#3Z^VQ3iq3G;VZ>CTk%q(MDW1Z6&CP`|lW@Z>FblP{^bF*(Re+i2>HUQ3gXWKwElQ zeVwV@nPgyE-jMY|3diOM%UenY+_KhBN`z3gW|EB!P_d}Qh!(~T_)v(ibe{>-Y{)|YOOVL25bfxSdA&12+ zCmr}pWXV1A;-SIde3Z?P?*QnfW2PcCh+I~za+30YVKkpz_0-Iv zo@fA1d$vX!YIdDgGaKeu&jhnSuE2$QUHX^excp%3`S2m%t)fBY8YW5hxF=4*EgI}G z(O2T?{}%?mCfB;triCicnL#xpBcR5+K_gg;wW5w}=-M@bB`y_B8NXx7Xa9%F2D_k$ VbT^^iJ6hI^{~f?fTOL~E+5ey(p(_9Y literal 621657 zcmce<3wTsT(mp=93`R_tpj@JYMjbUMU{ugViDq!n2?jUohZ+?n5I|5a5i*L37)ZkA z>@XO2`CN2Svo7x9E+Q)Kh5$nn2#I*vaMOq)QBclsk%*}A0{OjfblST>&^46rx5%e*=z{-;OGwTu zrcaxA&9Ez`PZ~0P+Dz}BN8FJ0Ap>t*py-MUyL^;&mEw|kvC5H5ICNS3B2mfhH zraNYjdHI`JzRD4=%s%7zSP zfOU2Ldj*wtN8b~HzaavBKIG}HoSP$*PdDj~|GEhLX%XsO7=gbs0{=4+_$f zgmNB=KwlrBockiQcSD4BZH_?i8=?FkBFJ+_1pbK;=zoY%POk{^6hkKc{fQ?85QmZR1BjGXD0-4@{d=IC=I> z(W(b>}qt%XjRG@%e}@J{T=Hhc1;(`HVx&XQwp%Fqvu5T`DC}0v(wNR_3TtJ;?Aa3@ zvlKLIP8VCxc?|9~qf_(41>{eiFndBi{EyB$gI;=#t#HCj+ni}L^JmSmO^_cDuCl?q zNVP#rw#jn~h)=NZD&M;&04ET?brc9qTK|x+sh7_xO6KwefkJ+Xux17;l z4&2c;r*IOwfRr(D)~xBee5sN}Oq%7LIDN8EXBA9%*sH)tCg;P+Y*UmwO(}pa3#X6? zrA?bPJ|Duu%~0=*S&zU)#cIm~uzif{1~f&nM%5-aVIMC1JP4>IDSTS6kA(=S)L-tgV+NJqiVd z@~iZij_D|u>HI62{3A+>wwt#lMU?n1?X8c+-Y;lD%+ z9TkNCk}Pz#H}scmp=(hjDaAs!t|wD1bnAL5%|fS4p}$NEJv>Gr(PN>vubi>Iv(S5n z3c)qkLO;Vo&$H0uE%d1ty2C;*u+T%cVDelGy|;zG$U?Wy|H~}&vn>4O7CP5{p}%Do zdfyNc*9r@rYrfFmN(;STh=}VN3%$REUTL8xS?JXk`q>tGgN1&Mh2ChPpKGBvS?Jcq zXtRYr(86z8=;vAJEf)Iu7J93No@}ApE^7Dl3oP_F3;jY1J<&qH$U;xD(47`~vW0%J zg`Q%eUt*!BTIiQr=xG*uiiMtOp%1dqJr??97J80_ez}F7YoQOe(DN+xAr|^n3w@}C zUSOeLVWH2p&{Hk+A`AUW3%$%jzsf={x6rS)(3e^0!z}a)3!Q7u(BDc6{hAOF*EJUU zwHA7%g`Q@iS6k@m7J7q)ew~HhXrW(kp*LCRBP{f03q8X^H!buVEc6x&{YDGD)k4p- z&~46k|94sFaTfYW3q8?7zsW*Rve4ZYx~KR^oLP*>Z=Q)TZw|S=hs{&GC2n)u@Sox@ zwY6RR3w|BvX96NVl{tgWZTP$RIB^a=!A8Lk5$BK-tQPzW;v8y%YXsjzoI^~oLhv2L zIkW`J1>Z)TLrSnn@LJ*=N`eJ~R}tqB63i3)P2wCnf;oc!gE)tbV5Zo!A5EO0K3F99Na76f!2-drBhJts%oF@7;tc7* z9KkOm&QKoA6#PQs4B^34!OtPi&>c(`{7m8u*}+7?dlF};4%!5dCe9EYY&psH|8xfM zWa7<&A1BU`9BdT)5OId$V71_15N8Mut`U3>afaSth2T4gGvo%#1>Z)Tp*C0~cr9^; z*kFO+Rm2%ugL#6#Nt_`ym?QWRxg?B5f3Ch=y$j}vD|3N{LUh&V%0uo^h7 z@^|IYEMxoq9^(s7@qw0{v03%iMN@9JdFpG*Dn_)wt~*>~@Axmzf+K0@k{;vd;*8V(HLRD-+f%Zb zPorZi=#Q(SY{wnFW1YT)l$ERd71u=hGA<%;EGqrSr$&47YdrqK%ovZq&vz@IPfGCk zV>9u;t|j$sz{9?)2JN^bG5WY;Tv6q;wl)-nw$;X=eQ}=jh_m#MV-)H$AW4p(9gU7fIC8N2yQA*COC|Ao` zTmyMc@5f3j+YzV(6%X8O+q42diniwYSU5_Fb0u`1Jnd#1z%>9L#eaYmxD?^P&;G*N z;R!~Ga|IBOf0ZP7jAQ0c@WLv_!@x=uNy=PMpj>BC6^9X0o`vJ_`r?mmZN(YCTMLU7 zPGOy87|3*;lMl}fMtL53C&{y*COL2>I@?%hGQDN0$G<^%vi)x7Fjdm2 zwQ$Xl2s0r9+&l)A-&BCg0YHGM;q-@bZ$xFg6k0FNh<}rAcB#j|*trZEVnu1JD3czN z?5b?<0aqs}%X%Q8R&fr%rOZVq1$+!v16U3aiY1r{klPGCd&wK3c{d2uJQJwD&tW*_ zrr&9kkEbai!7x!jd5(&XkC~<4x3vXtgHehz#=Ifw>N1x}l)`s4v!(EwDWlr;PAIzVPaqQUG5!?K~6soBPHcwn}n**@GE zBXY!HN~h&W1o(og+y<~nfw2HXa*#|pK0~cloQnneYdOY|f~LXi#mkRCo+@SwT&_8; zYv=f$Wo!cnuM@vx6u!*u94vN^Q>|Eq4SVg4iP_G$c)SZcGrauOcmQBXW|CRYWvrjJ4P2=uT1g3@q`YuE+X^1?esBU;RMdd^tFEXK;0jfGH9%d_ z7J#9ONM`05HeEtOx~}L(QmCB3q{hNtO|&Fo`e5V_3a^&SN3(A2cHt z+C8-?6QwF8?U=&;@XPA|ZGX6^uj&sgG40mPS_5zuBonm)*8QOcAln5UQ~+du*ywKb zCiq6#I8=a??O!2D*~UThKQLi?k050XDD6Fhluyu7UgvzPR9l9ry_TUI;A>ij2EcAK z5EVB9q#6Mh0hB%|)t3Nc^`x@M99EwMj=-(RNeb=fgGXV~!Vv+h1Q`c#)joRAyj9Dy z|G1K8E)umoMF3Z8c`53A9*wq5aokls#gWpujvW#}!+Jz)MC6^A_v}tf z{JI||8M`Y7eBBT82+k$S^RP<8wX7MVyT@KZ=JZDI=ZN2!`fQFmyhS=}-hx88Q%*ZtO2qlT)AYo!{_h;j!YkmvTn%q2HITBbx96)48r zj1m!W0RmX=EK|T(XAaP8X8|2C7t1zni#&kuf`#N|fXkFEDgYuD(H1!X#TNEm|5PI- z2p<1(Nr0lvE8%gf_bnB3>@#1d&C&65b;sXlzE2)I3JNUKJU-2n6Xtm}%=3cgc|ds9 zN@K!o3&|#t?`6%FsoB`nFx$Ohsa9&XvvrMg!#vl9Yh0yyzWYW=UJ&LvD_q?hnrFN4 ztaTPyt2@HNp^Ov9I8)pH4|>~q95AP@OD10qI(MLA-I)nuTJyj|j4Z2#?QCJIBAbO{ zYnXqJ z+jsHr{xLkE-tFu|-yKzYVE%(1|2StK4~DPgu(zLcAuMYgQzC9f!#x~q^h~Dg)>s?* zd>?;Klrh%X$CbXtyY;Y>rL-6D2g&oF?4O1LL6UG64JbLzJ>@1)q zjF7ZD6P&n$*G?ScT+MOE-S%elwSI@~W(~9@3%3sIq9$wwd7z-AshrBYoypWJ3Au9> zA@3UyfG4WXP`?tL0qURv5^4F%SS+r`kG8eBeM8>ze;Plk^r&M=2{evu@l?EP1A{y} zPYY02!1nyt{Wy7u-xd`LB0miOpmo9qRCR z{uqqq9U&ah<_o2PsHgLkVfixIn% zzlD@=IJG}8U^lO>b?jQ%2vM0?{?SRU^}uYSv6;~Phevv48;#kbr5CXD=1es1l_ns~y!%vgKzW0)a z72+Zw$3xkPeUFWhAnL>()m>jy(pbp9q9Fy_ZAgX&K;Hw>@&;~cS5ZeEV`)RKq=TBO z7zcC7yQ;whjLCWWnuhDG{s9L(#@ME1o&a;(Y%Mp!kPTCXWo<)BvnnxDUvu>}6<2tQ ziTV$zvOxf_xFJt-q-jFaG6j@0Ol6AyjZtHT)7hfremPo+jH_xAOw}YwsfsiJ!rzTa znQo{@sI17E<71?w%k*B_kS652r+x zb2sBbQDep_7%R7^?C&RW?G3lHZgB1K{-^lsD0I=moP-Qkq8#8xM)8(3iw9!(g;&hO zfNgw*8tiw>*x1jU8WcYe-%8kg9b-^RBQ&uc_4K{z~Db5WN$!K#>Zt&v}QW z_D;B1UG2kh1^H%m>8~y+yogsRzvz2~(EFMThm-k7b?I3w*e8PZJ)(#w)#U?q*{9N4 za1jAqRn2oA?d6j2G#lcdN^Xy!iUoX9xOujJ}PE zxLsX#sLM{<$IP%xU3SZ*?}rNeNL`xL<>T5tdV@LIH@(RORBc)VxrbZF*rLwHqVF9x<=*=?m;3s@Tny=d zikUxHoW`||^9xUYgWI1OGbX?KH@=b@6_MQ^w8HX!Pjvcr?>@Khe7CRfCl^=u8oVx*VOxXFmg#WBwdp zT0Q>}8C_)vb8V=;%hul&*ur1Qg9WoEe{**Js?vG4M%#=gS4^za71P7BEX(JvZyjxv z9OZ;m&YeXXA_VT7}q_eqR* z#UdM7LO{r0_~`ul;mX{&Dr*(>`w9*ZlpKSnm&eKi)geiNY}}X+`Pw zxu|>2nUD7Y6{8&ncKqhn9PY8V>2UO3404GH_k#mje;s~Vn-xTe}-IG1p#+N>O z(nZz%V(k6!d%eI8KX*ZW_Bc@B0&%6)jwL=&TpRFXb8Tc$bsL8(=?XDPmGp&}B$x47 zC9Ug@N%iD^!nt*ZyC7UhB8ljd{Pso? zE#m|mJ;br-Y7|b&IiO%A%Xwt>Zd>Um^Fw-IRyEH*_Ayzw2H;qoqFR1xo~*mU;!Ynj z5Yo@T%9D?|8&%kzb!{js?&!>OrmC!)!&$TP>)k$Q>4rUR{h%(#5qY0(NYc5KmeApLJ!l(60%9_KhIZEhN z;jA5%IDeXJqjXVYzbocGggxn|?e?umwb(b81?H*(?+q8|Hkw^}qoA;^RjfSk%T~q3 zd;dxehkK~2t9WaatGGU@m|f6)J@!!?r3-M&)NM?1#&arwm}y-{aYli?nr|TzmSTza zO&`9dW-wIeBSS#yyA~waic?su@@2J#!YONSw-^`6@8Jfm1!?jTcz)cK#h(wY8YL&x&?nT;&}VY!o3~l(EQ%uEgmLeLjC<3avEEmG8U3G7 zYpX431FFZW~6v&8;vi2EWkA_wP<4pY^y!dA`p*2}BmKiJ#WoeD6kJ}o($|(R z-Bf7v_PNQowCvMiWj6PqFAU7e_IQ7D__Fi{HFdyDrrxF7jH+#;jO7d2QWvji=HaJ2 z%dF}CyP{pj(vB?SP^7aXTc{ZL^ittxQN!AKI2?v}{IlC!##*Tj_qNHs*rfzmd=IXe zF`yg!Z)xLZU0*EzU04E*8uY^`?{X8Hpf5Ay(cq$0!=_}HIcjIKu{Gqp56>b zRI{ELM*0VzG0JEfW$bYc+T${QF+Y5-R7oQ4Be9ai1FFfMw)77ir4PWG zqXzAF`A1x@TD=uhB5Ad~{E<)NvDINDtOu#y{x*KmcWj3JEkM43uNFfo1NRf|`xn6e z+0cCxP-EbS`1Q~J#TdSwz?>LkcnRr!7vi_xz6HPZ1;bt|xcjo#lc)_}#x=cPPckw_ z05^u;gEY9MG5ii;`b{{~7{PDBFU2$xMgf9@8Ur%{7=3S$pKtEq_dS<=d7N-65AJWbSEf%!NbB3hV+fRXZdyNgWW_T=hWMG0Q&TmY~+0p(C^#_N7vi80!q4TEBLCP9-hGV*W0TA zDfzYlq7LfqZvr|^pjJTV3G^}`TBY8;7!YqB)Y}&Ul3Tt)x9LfmRD> zm_XA3;lKfCQvmfBXc8doo`7~gAe<5alnV&A&H&vG2=_<;r2*w(&?AXNa<;IF}++$#- zROZ3C91IBDE-C&g)_VvW;W3^CKUYKP-ks8b0B<754$S%#-ZDs)dkWZ!Gy32p2e#$7 zhnB$&39kv+;>5t1`mstWAj{aCWz>6&x-6sGW7K%^F-^?&KiY=f{O@EC@DDq=W)$z@ z4>(ylkN4x^PtN@ta3k7dEG&Wp;({khaY3c1vpA2p!xq$5ussX5u93@TV%h22ybThH zZ!?x3uZE4XjpaY(!fI7TX+a2)p*Z#;=2 z_N-*#xSD7cudI_--T=hru*@GZANU3E?&f=J*s1#2Q?uXhS+Lo5udRQ!ah>e?VhBHh z&F812e2=l}co_`KYq`EI!*w+9BJ^Eec@LD=YFux_K6B1a6kYQb%H7(y8=$`rHaJK8 z_op1Nt00OkYr)nR!Ry*++kyQXSCh4L=y2 z$U7(4+fxT54&Gu+dK>p?xR16KH&p6R$DUOzw?u2B4zDi8Iz6heCno*zQNbZt_9F(p zUM+FcQ*ZyBUx6F*7RK}!?-R${MDglJ;fETVg9UQz-sU+m{f(cLBl4vrVMjbe9I>Y- z{WI@JxJ?u5S#YWX`3mir+aInHuUeNFb*x`idBU-N^|374Rv*hC$aB20o(y`8_p5sQ z^B0d~&_J(T8iqy>y_~_yHU;HWWk0m=ENjl<)*SD#HMVt}?&)nuaq&1$M%kfDY~B`C zd0L+vL9gDi`#A$Sa!Ja zi>+ncbG!R=?rCAv#Vs{>N=;mGYpi!a_o1TUKe*9Wo0L`j5fFOs1Qv7D&*o=wet23~7=FdE3*d2-74azxb^gSJrKQ`L1#{sCf|Kw0k z>q5I-#jR2EZ+98BVt?76b;;Cn6Bk#Qka_$MV}88B;GO-Rg-P(*k^cBIjm7`A-H4k^ z`P+<=r@3CFJhC3z;poZO#-_6AtcA=hybM3%m?6V||@MfQV z3NBIAQTB;MJIq&d;lpx_{G5A+;HXPNamLv!$5FZr#+A`zj2b+WAHirLqsd%7n#d$T zk0w6*Q@;zHqnOTf6gbcO0mhSLloBYC@#L792Z|>@26soW5UZn-Jp|fx_V4vwEg_FF%`sdD45$_L#3Z>N`C!Bk{qXDpIrPb`-Xw8saJD5y(VYy-1S5&m!Vm@l@$O> z@gLN3T=?g++f;Lwg21CmOfVPIl=d@U+8J-y6CYiTjuH(R-PN$$ffi>RFQFz&{z$9P z#>f=1F+d;wgW8BokV@P0jMfHOI5lS1Xjuf7G1zfWd?UpSNb(H&6G<}DR%!4 zPUY_Z(I~2&?ua`9QH~|t#8*d{cm@h*gRr~g+Qb7+JUm*?Bh@%F6zB4(LrC20DsJsr zcz1oQ6FI|Yq7I*tVZzrPPj3QIa^RMBUFBDZbvSQP`97~WkA&ElWi*9v_9fgLW%I>4 zx!l4prgS!MB{!$k&A&LD`tdGM9=~=!+5+9rg_mjNaCoNWK`j7Sgo=Ik?-zzg~^-vwG$K^W>C$*LW z<2l;zQIv{xansew+CoxdSrm?S#E~bO6imo$ffUV?6Xr3#B1ba>Q5;ujj-lXS%9MH> zkN;8~eqzM73}k#3s2ZIUqp2LK+kXx>XUEo<3sD+(_KpjIJJ*A&dBX#DX-bR#8*i}@kw~$F%z)A~HL|pY^ zO%JZ65JZW#Qbapu#(?5u8KFC6=i*kWn!1-(yNxe6s2ZPf1pOFFsv3$?18a2Nda4a6 zZCkpv-8Ym(8A*h(8cC0k-=TyKwZDM7h^+TZN0#aKd*iSXT63^RY1?CtO_?Pj)t%3J z^V>Y7ZE70kxUJRvgff&?dwXumML#uI`p!drSi!p1<;PX@a#eCpl5GUtT)d#ZgJuBiV@i**zGLQZnO9Fi zU_hMVHP2%eOsBQ3w4|GEl-$7p18}3Xv|3h_zh;8vETof?aw%oI38vNlnhDN80TE4b zLpP=WgyJlvpCP43Ho-q3OxOfB09jB+3mC_`8laJKcWTSxj;4vsKXH!LS>Phl$s0g``s;soU~UQyXs7p>k;(DsLI( zAAFv>JC#1kCU&Yky<_F0Q8{|(7~{uLMvKP?8hgZ<@%)_dX=g0~;#zRB4Pj^gnI7X{ zmGb?sOdo1Ed@0U!W*dj(Nx*~VT#!`yphGy`GdPfpT;^4%C+rBrSvF!)5?nz?_GEzj z5UD)I3TFXeq|U`f=KcN>*+}wW$WBv9iMSMH3RfR;;g5ZdWYdG1iZ`gOCr3#Q<1-3d ziQ!6DwFcl9DkxR}EJ9{5tN<7qxk#pq?1h{XumYyU+I{A|polL(3Ca^@SN{sFuVP85UINv2l{tY z`c4+tUiyKJ;$_xI4PSE`hs4=_FiS)j?QH#l`2QIxOuS0gPHmaov9?E0n+g*>+T*ky z7Z4^`e%KZN!K`o{s+Zz?X3iB^bdc~_lFb*T+=G^7ulG@EU-nCWP5wi!;wS7t9+uQQ}dbWjO zx?BCT{ILEn1JXH6-zmat3!F}5!4`&M%e=Y6E=cP@c7Ht0fyF{Vmj0nx)J2ytWtA)* zUF*=lFijxCx;}7l59&>lt3I8y5R+6cniiw3F5KD17lDCR?y=zZ_?J4lK<&aExX{Wv zNVA#{(6tWhy^t6w;0mN#S%+h4hs_GEcB85h@Jy^1_zbYRE_GJpMh%v3(v)>2->MePM^_#10${cpQMl;Mu-H!d`kwam z&iM4DCDh83rx^;cvb6d79$2z7KBn&;{Nm)7=A9qnwa8FFuML-;!_`;GE;b%VCG2nY z>S1#%N(1Iwx{I~>O15mkg9mX4%JbAi4w(y}|t^hZlIYKN$i}eUm0kA-g5aj?lLb%j(s!M@# znRx{oAp8G;T2TaZ$FaRXA_$i{_@!APNRB`wLx9@tMSaKWJkIARwu~9b} zB>@pv*@dWxi*q|T%Zo>|(HS8OHoL3w-~LQ|51Tpo-|apFV#yY^L{9pgVq0j!x$pyR z!Xkivn2_X3z!yRP0J-T56A~-{s2(MgM}P}~a;tW5@K}l-P%r{iyIYT`qg22-7?BO0*Ah1+knAg2%KlF{#qHZ5i0;bn z^j62yxs1u`De_Q%$o3cDK(|zgPEgXZPn6Kf+B?J8U3ju+=@#*xZhPI`cdN5xu_x$8 zxloMuE^hj~s=aF&V7KzF3V?F+9M2E~w0PH8ptR`^uxUr{@{%GhgheTC$Y6g0luSL>p$+)jsolDXu*+`NsXBj=Il` z?wDA*;nZF2#op0gU)`GaZoJQYk7j|STi${)N2!6Sk@xUQcG2TP9qN%;286ZrH{9qd z8SsxkWA%4_B_GZ7#kryuFI|S<9^wKno}8WU#VzBnqfk`!l$#twV^4T5d^{PCcf8Gp zV?FpbHB$-Y*~}$(hFlpTxTE#Nec6!NyAEyWqh8TuyL`47oW zw2x3Zoq%@=wG*TQJV*81WPnOM|AVq??358^x!h}Hp@+iNO_!k-_wT}#kl5d&;wlU^ zwm^aAaff+A4cQUqc|`Lhhj~IxTTLF`#tY2TJim}aCkuoc`+HC**M+acaP|t{RlP7W z4XC$&Z(P+2eL&{NKVp}g{@;u?W@Cz04IHDGa|B1R#B2;B6&$g!jZ%c#WN4#?;!u&+ zP$IwqN<%h)MJU*3e_)zui0?LLFJl5Fp*}qM_QxOq16@JO?DoL(DWoLNBud-&!fC^L zVMOJ9!Vx0t4#$#fpzn>0x$BuxKZy@vOw_K0;nw;2N*K0Cgptm902sGczoJg^$D)0l z@x&IX|0N?Y9YINl)!AZZs+kL!KaRk><^>BgnkFR=p!{7*c8`o=vGYPLWwFOsch8yM zMSxrgz#KWqQCacwj9$fQw!&UjE8*Y8*Wp&Z1FE4p8elc8fkuEADh<$<)PR1uz!so< zru`-eswVNjgX@q|gW|(ub|NXi=~l#U)zoIb*=@^9Ms}m7HuJmJx^H}EHMN=VM&RtM zrZ)3R2tcXRSHX4QFzOcK2+R`kIKdvyE$tsnfjO{bRkrZ+-&mZ5b7e9=6@mG|H!RG`vwkpZ(X4Jf zYoVwtd&wNthgj^gZaGU94#XOvlXLxG{tW#x)3^VG^~JfagL74ibEUz#_~8~Rp$r{g zE1?|VAf*I`1B-K&0G0j)39QbA_xF&(rg$(Rgmc0FQDN8ilys*ltY5nIf|7;ZXzG}` ztlN^^T{LyfoY^gBx0*U;ZbuU+_{Xny<6pbrUv!vcU*G308qy_bEtElGl`{`(Fo|2- zjmX3iSE`n8ht;Yr060{smaJ556=R(*8Y_fJpm2(tBKBo;_V>wD5iHY#M~<<`Zd@~U zM*EuU{9j?Lv@(7#dO#>dc{N|ydG{WL%iB5D)~>X2z9*br>W)R-<*686m5m|fS^uDU zyJs9`Wjq9RvQ@Kj?Jl}qr5(@%ZD}p#0K8IZi8>T5$y>LmB{wMCc9;kO)Mkbu0S@=q zQG?Y751KPscUK`hYLbQR?!Gm`7}g{iR|(_)q)Bov?7qm3nk3^pE4vj^7{i(*V+9y_ zP5XN{4*EwpXcb3HU$oy7W%NW?9N;LurWIu`*usgJb&?i($!Hk{3qB)?co`?U$86vw z^y2zh=VG`f&OqQW2ySGU{|!a@hWzekJdboTdj6&S>JKLNn;C5?apFVo0vt5`;FHHn z+wfE=?nWOppP;Zf`hjy*W)yaT9E%FrSI{kZNLCx12LUQrZ;7)RAnVq{86OekrV`1f zL!^ijDeyG#dgM^k8Zv2V)@o_kliQ#$i!`BP%)db-Rg*=mk68!=Xh7SvSUf@S7bR9T zK#N#gfKn`y&AF(?H{`)lP|ndP9JzsHXrf5Q^pIpYAtQRCWRtr{CMDgbBqMB*>_#ow zN^}V=*&2W^E6FMVS|nQml#-Eben#aFX>@my4DYNXpVk@9@My^nn(rb@N1aJYYhMwa z5w=M73YzH~UV!qsTrLNOEjfGIORx2l=eR0EkC{DkRu7wJljrlt`>cLyN~R}&JD;%y zYdl^8PLkoEL4EWvgO(Zsfn2A|+C*p$IJPghuBFaJb z^lxyc5^rc(D(lF@_DZObuj!)0tB%m|nB+1HY#k2F@cUTk6^TV`p}p#US*f(YM5+{q zl28+vI`CWUf9x}3pdem-E1)R5_n&VjaU#Oa=^E6{4n`3;38z=l(ON;!=Cr|I$c|npWrd`~-iy>yld0@m^dl+$5=nL6i?}zew7E<2X_BmYfB#q10g2fj z-MnUidvvRlQ1CLciwgb*3tzT~2Y+;nssXZ9pt39S&u3pcPL@M!L11}IFn>XLveSWE z1WikZ^z!z5ybqoq0`&ZI&pn6dhX6hI+`1cm!zbRhx}Ps255MWBp32Ym*Pl0B56|Tz0#x;(j?qJ;}uT6 z2sT#kzvZ6K3W}z1dAZA&;EzICDu8)E0auPKNnU@CZ2*Ir9Z0m4V-qubr!M> zU}WL}U-gbc%(ezFN_fC4%B23jf>h{L1Jsgj0ABg>5s&dDoA+tO^0=y2Y$Cw>l^-%J zQYhGyPi@e&vd;jRV&p*#c~xHE4YA-p^D`8uEEp1XIx7Hg?2FtA>U+7~3hHyWYz5V^ zM3l~ht-AUafO)EVc5qhjvoFk*Zg2nu3cv&wx{uWXwuJ>?dpZhm7!|9-)oZno>EQ7V zy!_h^+PjjjDdl#nz*kU87X@Nggv!l9FNinoIo@8NywLF9Fw909%n&;KyWCj;-)}$q z%OJ+t@c{gkda#2lFCmO_luSd;_NjXNT5+XTR|7I?bu|K!X0Tj z*1~R}PSkDz#=gITYPp!IYd{WCE(E1r8mu?kUxmoz7-op2061_vfRty@ZgnWPMHjIT z6sGiFj-pWYN;Fr?xdz}gEvE@s%h>`@%Sqi(PUKqwP@D}m{+YFe1*O#=10{l>OumO1 z!h(`wLY#I%Nx6na;5zfq9n324Kz#5NJ@t%lTxZ!FOJ+k|Y)Kh})Ga9oI2$biwFqDl zr1shO-XRWJ0sW1dD8>Y;=_nrAN+I%MauuFaEjLyhRryetYDO6kzND_C0B=CLUAt>=(tpN5(^ z$J>Th8TNBqFAHryNmO(^U5cI*oQp)vcd^kKSBeeJ-?3lfzfFd8)g5*HybD;&5gqT7 z;M?VVC4!~b7M|x@?Bja_(zg|!QX>lc64P zlmp~U2w*0__Fm}*#*WjA3gwJ5kb)efEPh^_A>8fGAq6KtaH2%>Oa+C!70Z;xQ`%SZ zsQ{nSg770aT95*OT99Oby4yJcvfFWiRKi*;k{mOmJ4tfPEQblKlHh&ppfGnoNFuKZ zIuG-n;7jOHh=J$wIM7Jvi&qnHYr2^FL zYUVv-#7m!j(r?x12m+;Gg1Lo~c4(K7GeYEWyM(+IGIwm3kR7^Rf{c7tbw)f{SEWwB zxVN=J1UmUPPJ8(mnqbJDaM>@&F?{P`bkXnUU+x>&F#OYaS(mE(`883?7qYYA!s#>T z%&xZdt==y&f#M`7;sgrq$}&#j&@ZP^Q$=HLX94GZ^p2H~Lq8w31|XEk*;Ss>)vz4s z%I%!Q*;O8++V#>#9Q&`z0i$}6v)K>&VB!sEGgZok;5wClD8Q@f7>!3Cu!+GGxrsx> zqY<1#z`cBpBooQiND7fWjo=lB2+tZxBO+Tf5HFL+T%F<}Qlya_B4rxMG1MvMgXZPP&Su;&D*el+&g7vDoc*R8=60m;mp0`pf7Iq zkGMp&X)cQoosE2gRl^IGK}WhTRRFYW=V$_~oue6`I0phVK>A1fUhq;-XnCfX{h?2B z4}A6@OgzqtLL7a8h=XJsKZ%1dr2u|veszm-6e3|q;U`{*h@%u~L>z@Dj+r8k!ZXK2 z#8H-ML>#3;BjPA4H6o6(MkC@Vm4eiIY7V8boZOs1zh7LAf%ECi7{u3)kj6mxm#RxjL#~9(vLXa?aW*Pr+8`*f*)#-RQQ2#8S zdT*PY`omK@g*#i*YrNm!5K1bnjqytzez@+|&WHG?VA;CQuq5aytHF^a(RD)^IA*I(eR&`uwovogm)7cOHB+}s-8V~wKd=|{Z#RmS5~ z!LK~;j_2%t#c2Ip9gJoa{_z*s?09DlEXw*{+ANm#>14C&r$KA?=j}^=-DVG?LEYMH zCCawi%tW~nZMMtPoz3?DpW5s$I!$Do75^eL1y0;HLR5>*ZlFfG*o=*-qEN36V^{w)JLqgz=v1d!V3r0l^#`bEUWH+ z@Mis}-*pf`w_m=KRV8LWW{$)ai;u+ccKf3}l!PANa@jlf_*Tic2eN%DS$?M(U# zT&SnNv))eABL06>oP3Wj4g+`~Q=+UFf-^HEzSX9+7$0fDYWO#Gc=mq&>q(kJF{w zUs+9sX3Mgin&-?jk5M)?@%xH{exCV0ttim9kWSB#zX;EeGZ7cfEjW6{RSgl&QWJ@I zH1hx3Qc8E0yP#&-QpU5Ao7fa{51N2C*_@S?zqP)k)HXQEMz0Pg;aBImr%Rr{b;*O~ z;C;LJ(RuFcl4o|8Jap#Zn)W<-UGm(Z@?bh0l8s)7_f(?ebXoxAX?ymNE-AKj{I9e8_qC1jZ>+vgJ_jQ1 zU=EVyn1oADFln`Hyp($ARSr6o)}`cVvgUXOIZ*fu&IamI4J~wLrS?5m z)+@f3*I3}C%Tq==hT_H4k4NLaD|E=06?#QOxd>8PLwNwD$#gKlT0<28wT5y4Dh<7V zgJ@_h2-FZ0s0aE>6<;M7Xoei5tfVS%#rxvh0!-P&6!V!9tW&SYD;Ek+=L?L3_x%hu zeCZ3Ztov18@sSj~`!pA?o~e!L>%&!T`o{ACF5VACY#HF%z_;b7#|So-0p$L2adq@4 z-w3BWCgTp|;yYErnuLc%o_bjJh}A)Sp15DeCq-PoF}R!3$7y_kcdiZ?^r5jufCqx7|HBv{v6_R%c%&#k5u-r( zNYO}W9dF;g`a*tx>-+f``X0XVrM``id-%AIKTKXj7+%v2p{cswzkkm+kRMGwsEpGd4gV|Ao3-2NGet@EI z(;V+2TgS6ZuP?;ZvX8U)ix^n8l3^I1XmBi=K?UU7r(J+gSoo*Qr?ud5BYJqE+B{KP zF+7WKpbPw3OM07oVqp_ zfx-bIP>v56k}{JNJbPpd4AwjwKw-*>`M9EAwKHm-1TUy9pcVo2aUOdD2m>gI z43j|?dL9eCSqj}}J`k>$2aL({-BaLU+z(9BJU6l8eEZTf5S09DZC^Bd6XXOjx$D8r z>v#x-a}jwL?udsFR2%ykcI_NX{*1!pL;|Oa_?FgM`S`7ClMSC(-k4c%W`bUu=?As5 zO|FsDz{9!zI=d2P`5qBI4pMs6NS3O^jo^FS%6FUaahk9B*n+ASmRDruyQFh@9F40Q$@e=e z->GArR*k~fL_WWj@565Sn#uP^E8ptQd?H^f`JS`#E$Wuf#`eBs<-5OIzBux|V&%K0 zTRxNZ{?p3GPba$8b=F@6`QEVdef4!Wo>H}pe3e$dfbg|@fp}EaO7d;A@;%#ad27g5 zW96IKZF!aC+iK;zS@P~Ujv zhT3CjoF^-x(_HnZiDcHj7tu-swQ_;Ayf~DH!Nor2f*tXEcJa8Qw!scU-(f-+yM2n| z4t!1LPWkXzGH7@TmvIN5qVo6>>XZ34=Ipvwz?%hLT*v(Ypc^#Gxhc>uHOg}uK)=#x zWxSyKG-@(}`VyKonuMFFKtI-~htG2R5_V{GE?;HrOL$kKEBV}^FJZbyo3LpCbh1X{ zE)w(xjiy~9=(QT1I!MqYjV>E3=wljfxI)n5=d-c+klj^+UJhjtO}R!;r)I9a5t}X} z`88>($(6p_QM&LaiR@K&M0Urf4HDXAaui@JUC-c*@zV3qwkepiIG*$P;@?HVA1;Gw zc~Y@8$=j@>eG;whv$u#O_AFj}_CpH0Nnr;RmZ7lE6!w6^niMuoVLKF-udw$NHd$d? z6*gXB)e5^?VO0wIFNLjD*mviNEUOiEOkpb(_Kw0{R@i2REmznp3VT*zr}5&mmn&?6 zO8dRS)~mFo3VR!A!P`S)UdOSm;B)93H$B2DtMCBF_my-Q;7&ip&fY%2;pR>^1Kj=~ zx!OY;xw;N;8->f#g{8}J^y)ak{kQO0LI+v88Q^9JU*`bb!ty!{aHBex7h!-K*ezez z0q#4Q8d&6$^12Ri?|0^lFu=XiEnn9G?#XWXx(;x6bj#OufEyxwo%PpsfU^rIkD;t?>QNquletXHiXrQEoQ*B8_r~yfVu5#M$t3cf?aTZeS*Nkh9}xCncE! zQ7DJHiRW;r8*0B0r!*hIo40%0J)j`${CGAl_zsK0zE#*_g&kGcB8Bzm#jqDC>}-WS zuCTKdR;aMP3Y)1ghr*^R>~w`u9@HMAuyG1|Mb&qw!d_BXj=~;O*l2~#QJ7m{cPQ)z zh5cJ$X$reiVOJ^aAzlpoV1-RqY1aTN&TzC1R^edg(>W_q@;u?>`kQf3sb5!B{oc1sVT?9^02QmS96%cs6omHVM>dp zYzR{tH02**N|UB63sagkWl@;IxW$qS!<1G{nHZ)pR+1+tOkq?cAnDDw*F3D{_*A*gIr z8S?8!l>@BMjcNd__1Xwf>$M1=>LoW0l3r2*0vpBzR=k|e7|4dbp@lw&6pLE-nQ@?y zHxt59f~lApYY7Sf{#8q`67UB~t!n_X>w!5BU`NYN0)>`k3axcED@>sXO(KG_pVBSY zPvm)9M8Nrk8A=4txnU7<0KTF{Cft-}<*e;^Xzq1{EM($Sbn5Xs zK1sJ3#Lz=?;m7Mf>PlQtd)Pu$kJsU-Wbu!Ey?=pzxeTD^ZmPx}ni`4H@P(z~j8}iu zy>;cK(p&l6M_Mlh*4EZb1^A{`-BiG-&;U3J0O}~43{d$`+a=;ZP7tU*CQyCj;1kMJ zp(xvv3YEAUin6U?o=}wiDohDQ*G(%qO=i;vWLQyP?T+=Q$v@{ z4>HPr^8@XM7uL6247+(BqRry4+SE2n1lRzZfocO-1pD~x6E7Cy#DYNMFo6YbnvTkc zf+Ns6Px*ERDPkP1{mFB$t~?)=%LboyC9*E%No)ed;gEJ96%hE9hh4e1(_A%;e2L`C zwDO(ana@MMB=Y50`9A-&Q+c`MOD12Qm2X{VzNzF(Azy)&@0regbIF%Vz9K8%w9b5G zYisNhppHGaEG#DGr%I7 zb?7?)3Iill82nmcnkpw)0xPAmW9B0?7E)GE#TA~=aACOQMu59i$<+XhkWF@xw*XtVrLx2!zy$Ck$!;#|32K$a#Qd5Is6>R^_1FCdN5i^ zm4cB!G#CPGR{Bf^SOmd*cDs_w2?C{J0_*M()(zjaMoI|{gloL$X8ZZ9Wyv~}A>kV_ zI267C?$;{u0B%JCpobiQG#fx0K&6th^Ho#_fyc?2U@k`MeFNvm;@d9mcWChR=Z!L> zLcx5*hMau!ne3$c3rl~I_jK?Pp$v$J(=|-sO=q>)ipN1QOVJhFIvF$2wOVi!Ta#Mw z7Jy%B!BbLgwo^**RDgIKi-I?ULka$$^F;6i+}K39OfYw{=7DuFzbZI6I|@!GrQjtH zE27|1!5=1pW5}dV6&#&^7d4V6npvwhO*g8U*>b_M{DsSZkQIXxt$FF>3y`9^a5pS8u{se>3*tQVHL($%pONtJ}0VE4%8$)@C%# zn76YPd#-#me7pB6U&g;!!m+60wy62JxWoH*ppx6Qj&E*IH+$Ff8*v+15^nJ(@)mD? zLstIcG79GL6~5b=WvtyUrTZ4YTY;*vW4=37%SYaAzTr72*6JiwLKlSw{)R#P0J_iNk@9JU(ID628AiFPT*b3iyw^Q$9IlISz5|N*Gt|;so@t9R$R>`%wii*y!uX2f>zbdy2AM=SB1+5YuFvH zv32x}Pv(#1;^sg1@Z;Wz3H|XZ1>Ci15O3L(d^%2~#^Hx|6Yx=GPtE7CSkcC(#%KM??`8j?TK}{=OK}@vDK^CdTZjfmc*x7XP4++=Up0>YQ}gf zZOLKXHT`Ex*W~l&GEQZL+n5M&plYKHptRBcbCMd6KwvAFK$&tOa20oF1LtXf`w-Q1 zwAv(6&Lz*Kn&(YWnETLu(tS5C>!2y=y4%4Y?z+u4C?QQ;Lt_%a@Ur$seD4`WL))nU zdm<}9W|A>Uy`GRopvu3q>UJfTc2IxS{T&iJs((ml+gR0~$V3#Mgcfx%gLLZ=ZAcm3 z^rsF@<@;3F>lWPgT0a@6EAwD+e4x0+qo8D&rwG{Q@ura+D_?z44x3r+?{Xm1wO~@=2HRXRNd&PL;)inbyP}?&=2&PwF*Xh7 z$?lGI%I0O>&6r(FEJ98qERO!(o)fRqXHG|A5e;m~JJp((2=jibm3QFoZu<;AbHD!- zQtXR=`~+VVtnI;12;Mkqb-%t|+@SdNi?0L?dIk!1;W}bQGd4}?aF=h-NUb}O{VRurL z)-d+cY$dqHE?T!??49qpwD%i>ZMs=i@g%EW3Afh+ntkY?+kkJiZf^(N$-14LsV+c1 z7-j;b2804c1+wS_{Ahbi;xxyV?BKN7FmamW>0m^=u|l@R{T$%#|IcABWU~2cEf+-x zEgu*CMOwuF6gVZ08C$GywUz$x+FsoyPneyo)0YrC_Pg>UIor|Yoq^h`%3eS_DUnz^ z?Em66J>07C$o!WY*%u;b{lf%le`%|>MNX;+c}}VXJi*20Y~aKuU_jT4$^dOITDhBX zQaK1YNd+?e`*I1l4s4Zkz34syKqar8kvNQVH8e$AUqrTNcG~j5^xshHi5XbEP^N1q z+L#mYh}qX`P9HeLCR2lffIMXfX+>YfYwca7;4;9 z3_@;Fft>Lb@saALDPUAG?{p31_aE{C2RiP?x2gs{GU5>bz5E~c{}Lo)yeYzl+YGYa zAa6_{YYp-WgRFncb&IJ(&1~Q?l#(fVrJgDIfahWg*j&JRxxoKHmeDx~LOQEJnw=n> z&0>!i|1@c@_!lM{$nZI0R3b`f0&`a>OamKS7sS+eCE87 zagyhaEWk&ZDvQ$I6K;CJL`|KmP^f=#?OSwkUw5@ zG_yRKa!0ct81Y+B&MyrSKiN}gGoU^?2eu1P3Rzv&aV1@ZRozF#btlPr!^t_+lT+d3 zEWnqd{W)@M=Xm)#d3W!CNu>v*E0t!Oi>9BFG8So4Z3%-9L&!lR)zWX}DV}~EfLFSn zknwY`Cu9M-p3n}3?Fn}dF;TS)gfv%ywAq4I3-*)dai{rJPR|EVP3ZX%tM68kN7$y` zrt}cW3J-6}x6NiCng}&TrSI zaoz8f|D03fuSyF=#(WqGkNFsw!>}FPuw)=FY_AT5N4?-~e_pIz)iWK@=|H`fr81`` z)~yDfuVtw(*Sgu-7lZL~?d5Xd+*3UVwgNWV25teY#}5(zWrK~U=75l=R3J~SqTTG5 zTe1)$Oh^$!o-{fr%7mKWp0o%(@*o1X$HL2DpL9qBazf=9iMf?GA>pu)ZF*ay=#bshW;JE%{jFI|S^V^TVXNm;--i>> zGItVuTpF(iyxp2B?#|qafW}-Dtw@+4Cnu&;SL0VR=QUsDkUfjRcv@98F4wDMJDj4; z>QnvZ!OFly*R$>e8)6ZGPIiiyf&!DBue9iz~`;iM=hzccK0~&QZyrlV#*UqgP|4 zlahC~Cp;hUeutg}Y+l;oAuOQ!D#4fwXx`oNUt@&_L6}>5e&g4hZu0%f8(-sXi*+{@ znzw*8EmF18st?9b{$L<)wzq3Cpzx}({jY|h_fF#3Ix`|+Oqj{2m4UkG{eWrBMNCglYf-pNUR`DJtM3(=o$ z=gq9&MEu8n{xF{i9n1eZN9ekMd9CH6_g{0buWrr$sgr}rafi#%Y4J?$D4pgzmab^{le}Aogv(K?Ly^2Zu7t(Zi0C^$LTow z)!M|E^Kxy&`nQLq49LH}ALsMU=ceNbB}I3}IbPgl0qPA9p+mqf=*s|I(E9*w&=1(z z1bsRP1-%Ls+UL=B3-*90c8Ux7H^$qbA709Bhmn3@4d7hg2fKJUWD6E=i?_|_m2SRG9Kx($3)Ph{8S?$#P zp;Pnn6A8ZI`5TC<&j;Le7+&_D%G?!C`#&D{@Q5}^FiY{FDs9mye#NY-S8T`YA4Gq~567Slg@i zk*T$(Qkx|o8cw5)$axGAn0Xd6JE=0)G0RUddqPhWLRFh}3;%I9_ZCv6di&@PYu{VH z50x6mPI+0a!KQ;7{Avw5fvfZyp#yNWYlMvRy++6abdAssg>8hF(oG{Q1EEGxfg0f` zLcmVonq4FO73ZsDeaUv2vW1N^LnEDDC?ZoK@y)7F zUc?kd`zhRhD6HpF(T(D*fNx*{Sh1@|ko&^<94@s4{43O1Z~*vNn^AC6JWCiebN{!) ze02gCHLiICuqi&1Yt=mf6U^X&W{CwHz%DCL`br?O8v!-{mfhbI`$1g9-gO?iH^DxZ zc#rEaVGa~cx1+g17!3@ueOas!pNkW<+;fS~qzw39h7!}^YdK@rd(Zk{$hm)&GwmZl zK@Hs#15I-ov}MJl3q32U0pG(4<4xdPzS00iE1(;kDgkZ7zcIix{6r9HB^5}GW29b+ znQbU&CxHnwe+K<%4Ez(zw?X>1K2-mBj8^*qzHfl(Epy>stTizv} z%K3m>9eNV5%eB>juK(l$S~vbG&A2fLLQ1PZO8;6aD&Aw2+wV0ujaMRBC3iU&WnAjX z%L43n^74UI1!o)zC>CnX0^k--fS0=fof26pb&kz|pC{aOndgsuK&MC-6xJWN z_-s-KA%CbqR=?$;%gKKe@7N%kUV3A2pa2h&dqSDiw%zn}nKS`ZA}+5BsPQbZSgM4Lfk( z0&RRSo^!hdn7hCJSdKTHAKQ}1E=AX9a6e|X8$m{IKZ+5=P5QSzsjY~yyUfRQhoNx8 z*rA4yeIr>S3^Z-S-?Dl7Ri4ewfI}R5CGfqd0!0U)(lKB?plt5hFWd-5PxMrxl)Mzp z?Y-w*WAvtYkudUEOkd{|&A%|#zDc{l(d?~Aq2#om+K8D{<0(}Q7;s9>1@5#;wE`+O zP^uEpG=!coPUJ!zN67dOVH?@IZ8o39OX6oW81QoqDZqg*o_qS#x; zHGIDUj7p9{9`A_VSu~8V6C^+B(06#Xr){CW4qEi2?iP5-o7dG%8msFuvM7=njLd4% zr#}x`MRe(jMx?PxpG`PuC9eCD0f{XL<0A9!Fq<4^kBdCnB&fghlg7DxmWKeBUGf3< zaOO_}?zZMv1CFrf=K{)nwtTp=e}Z6S-3%IjeATBXM(16#FnE#u6pZlc;O*`FkZE?< z{RltUW@5r36GV2SkY@2S;K=?7h9hiYI5magW1>WNUo64!56KLT4`6txN0#jvUJoWI zTv87w$lsjUdlL->*1Z59uLG0H;1!fK>^Cfi| zvFN%17U1IiCWaG0^IjO zNB)>G2CVa6E8t~E7N$Y%ju@^a{XFTVjOu2dQ)x{v){Hyb#Y3yTydx=g0OFljbQpHtRz$`piVqCn(HU;yM}TWLzB* zopJSmA!G}!gz7Xn z=cm3RI{r_+_A~1p#}J=C2-^0u&e-s%n7Gd$&0;Ogy_1#)k!f!4&FjsxQ?PXM}o%+l2tkA5h<+3c3(RPiShJ7 zEkj~u$#ey%>_nd~3Ju4e=vJK3qvZ%AI*DbaDzu2@q-2(FBU-1@r@moV4N0snnQoz@ zF#iJSw-_T^>TF6#jiP;?lMQ0k;2c&y*rt1oTJN2*%&`p{92Rg z_J)o*?@Bil{=uhacC!;P#$GsK15KZ~d0YiZ7oC-WXUmC1=WO74umo%YbW@!&Kt*TI zRHqz_CJrjm9HDbeB0VfL3T=v?4n|-2&NX+6qN$-b>37O8{34)$LCUF62spv1PzUT( zs0VZ^zRoqpkEDTF^<)1w6+| zuK;$^D*>JKEI?0sHW!jEq&U8gEPD)ahm(Gmlb+?-UgxCOJL%mSo^;93@{w@~u#;X0 z=%jCk*pt463rQDJ($AH2WBVIU`s?y9p3n27e=dEX9pI#QLho$v0zA)2&!Lk#>A8ST zdWV?P_-23E8ZIPVNO9~!mb;I2($96$Gd$^2ob<*5>v??EQ=F6R~Pnuk$N1(9* zH=8+?O^d(#bFW-v==2ygHYk~{*3c<5llkc}W-Ke2Zaj2t(nxD#vmVewTNdx-nm4gB z+LVyE06EmR!awvQdaXDn=R)%qv0kr=4NvBK=!0G^+zY&Ox%*be9DLD}3t#=v%h>yi zRAZ)>Hm^$|-PA*Rphy+`9_dMz^!IigXz3w6ypIC=r*Qpc59ts4aP6jb%v2PGPZ~ZG z((v$7{Xn>}ZY@1x75DWs40ke2u1 zT9iWC04bjX%v0yfcSF=!i8}WCC0SHhfL_c%Zt@$0!^1;VOSZF8RTQJ1@~{4gTVQ{B zk0z7)DE$vedR%d|NR#8o#BU9o%VSqimHuGk{O=F+it{=#UU%`PoY<6Px~QR(?_HcX zif)VOrYF<=!qBP9I=We+%it+?Y<4o;Sm+wY-nLi+70<@Pn+W;Znuf8B!q|<1v*`pb zOSAwokJg~n3|J2s@qe_@%#&t;P)bmNy7|dJ#KBS^Au#^z(O?wqG3g1rML3>AXoF(p&iCkE98O#^42A11=vD`wNw=Q}4vM75)=8 z9yLUhx)uJ39`v|_l7;?NLY3^S&`sz@Y+Sj*zYE05EXl-P&$Yx$EOB6kf6E6}+WJ$> zz}#cg0SDMWUor?sg>j}Vr%9WW6qV-U=o2|y`5o#Ovk&$ z#K1b^)H!PD_ElQ8Iihcs{`_~w{VYR;5y5lSMT!UWD4>oZ$i+iF;IE|t0nrAWm`(#? zh{B{A(2N@Xf4*<0(;&=rTE8@X-YA0NV2ENXQB{}+6b$_S`$$%w_M>D`Hzo1ge~=m@ zdp6)tMU(YsA$({qTHvhrkJ5UPZ~ec_%)O@t_DQWV#H*1<4Ykbr-}S1opK2%pSQ)RQ znU(SBhNFf^_WH@aY8DxAzNRmgY+;>0BiK((}tOx#x=gm>$ zNxkB*RNg09-sj8t6}x$DQVG}scd%uE^%g7#G-;R~DzqH@FdlV}so^ZlA@VW5Rr!g1 zcW0_Gl5{vlI`s6+RaC$unJfJ3-aBfDZY?%UNG7ikxw4gY$8SCIzeCQQ+x88IC6iwu z@}4%m)RMEg^A^azf;>4pUzi~Fvhx_|7tSWPdii%8gSWIzc6E!A?XS;FtX}>c^_bGX0M<+%v%S_R1bh@Ut&tB{ z57;wz9|gwDp7qNdZX^+J=S(*kv76#|(-1agN^8;NfzfaK;rzlihgB@s8*>3$t*k6S z$ud*LY%bL0gjDPPT};(hu?A~h{3uV1j26w}BP3>R{7i{4lQrY2`7^1JI`prgZrJ}p z+#AQeuO@r#GRKg-)I%~`T-44U$uvXqXb;IfB2hN>NX|7RvwBGG7l}p~kK|ZGa$^t4 zUqxcNUE-EF2NEwl{15bywuw|;@wk0}4|+(ig|uO8=x+@0cA48n<2JI@$q*}QrrSUEu;c@eVDvo<=?V)DeI-9mRBCg;-j61@ce=n zv5zKKqOquJ)kge2d?>%umTB3e_cy=e5X7V6xn0pY}Vv7^SV(BIpVSjR7T zNDI3!-*)hjWBo&KE*ch?smC}eUzXj=AwnC`Bk9Ys*0R^%!Lnj|V0E!n=1#&F`_!oX zZhXmMVqXXQL;Qc6#_Dln@O_g>=b2v@8}G)CfzCei%xILc@5ZNt(Qo@9=1q}WDi=q_ z9u6lCI|-)oo!-Fhd%~*7t$1;NrYnv&G0g<*dW#;F?_*4`$NV0F0NSPybO5xP31S&j zWcN>+Gk)oL*PuahxNCt9zB@#RpudiDt;Q_=>O%dqp2jGtdc8DyHT`GQ?~)F=+Z3Ou zh60&%zTKwy$qxDCS7-Db?0yW$6WFGrRdg2teE&i&;A6bG$Gz2qY;nlB2My{ulRjdc zwJHAVgE{kK^t*wkEhJHWuoWefR?9*S?`PPUI7>=2EXzp!Vti`vc*{@+!krqEZ|#WB8yA_A@)SYQ3l5G;aJ9nF-DZvVKaW46;Vw?7?Ea5`r7E|iXk z^{?ZJR>$4@(J@~-`hU+?dr9uhJ(txi8gU_iR`_EE8dA8z-v)Hu;J1%n;r|=Ztd~2E zTj75QXzHaWXRYu*05omEUym~*3QD~)!v-i|iC8kC0M-LW{IR!89vKBfPEdg|!ely$ z_94#3JFZWyjlXjceM;FRR^Q4-NysKbW-*igW-&icRD{gSJYyYFE1@1T&mqg`Hy(1B z$FSvE`{W@_WQh7K&+PA*0JU1IC^m~*X{nsW>|~)7D&;v@yNaIFyRK%4qsSSb3s_1a z#;ZcT=psAO2eY{n|Lcf!ttCqUeWdBo$2atBReT@eiNfN@Lro76B5=9~O{9$3O*#i4 zR5i;W6SQm?D-1=82WZ>ea_Q(9dax1KKQ+(udQpFg=Xb>Wnm*LeLCr%0X=nFl2r{RV zPEZ^>BY9TGtXtzt)W z>9ZLn;mkD+-Ggcayaw9dwwcz^I?0B;LbJ7)vh(#UrW6IvEne2m&(OwYEqD_B#mVX~ zsG&PM&~zYKs9_5IH_(_y6PU!zAnn>%8#hi@E6_ChzWWpOU%)~@b!k8y6syFXw9$SG zD20)VWX5XDSa1krv39Bpb21qH-uiuhVbaOu!b$7`tZ))@m@ikc$9})&9`C@4fbGI;t z^FW80E{yDt?d&ku2qVwLhB(YbFw*Oupf$CPX^k_r9q>$Ojn9FHQ3d<--77chwSw(2 zbskqTRamjSPQr{~`#E7-@uyWW=rB#fDCoQdGf)mPm_&m4P<#v~&(&HhrO8z(*=3bO zrev4Ddvz1pSv&eDwX~qTh9aGMDksdQ-1(*jFtPUC3G95+ z1?YSuW<9={#g(M~LJ?Ip&*q$ljcn=sbfC6$COt)|#fUMf#6)Z2EG+XpHgOixZV zi~35@#?fjrw%3V&+doa&yd;`y4UO%WB#)sTT(;YbmC6GG$=qRv@{(4v#vw*8?UNzT1HFZAi8QYH|;;+N#IxHC$;--biEj z$)?70y)(6_>y3|lWok#AnyE$o^RJ;!&D5d}LapCJzqg}|y$cz;|6EwN4J)v*g4J{9 zkV?Qut>rqpR<{@t|D4y%2wx6DVXXo=J&Y4!)ssmjeD5^`VCI)kL zI%>g5(ab-VEPj(0ln`AK%^n(Bk^W-W0cpAi^)U*Ed^Z)H9345QWbs=&nFaCEfz0$N zD;+o?IEiyEAYH^Ir#4&~G+%e9T6IEn#vZ?A5HAUjS;=RoYuCf4(09{~Cr5+pN*1r% zX_53P(gSw5Obk}f7n5<(v(kzqH8?QbK8{nKi$hf_G_xuWFBu;_l&d8r98rhQ9$M@> z7}NE8mHS08b3Guo zO|%urAL_|D> z!&#zag)5ocr{rN*($c5o*QUg=zGbB8=n|9E(LZgpHgvNn=4|lMB|6}RWt+j;Y;>qq zc8k`|$T})ef*C3aiSfK@hG`3LFp6g1#_dA$1`U;pd~baIVsD#4Ki`45jr&xwHRZ1qA zTGs$)G;Q0{GqoM?C2MLcpf&Z8HOAC=AjDAx@!zOD538vqMCnU9k0g^}p~&W(n1-)$ zkps-er*$Y(q9k)@VJPi`Ct&U~uLdRVkFwj7tZc^{SUIEFPe<$V5;V%X%A0BLnPZ9? z2WXQ-sw)z@?TKzhqhGIOm!ehSd{p?K1xL$=({7xQglO}WEjg?bqVL7g68)Qm=yx#p z|5UUVCsQIiAv%~U`lc)XPsQf$NbJLVI*W^sCF|>8uoY?ReTwCTVv!sZo(h|!;QOK; zjs)Lq5~1t*Er74$FR)6FHu#Qw-UQz)5aOtU_#ViN_`kS{;A2vtXK{LFL{co|$G1RJ zrO0j!!1+3iYUX7i%_tbEx-?iA4W*HBb2S=KIY*U8L!k4Q3red#93PEoXenZ;l7&O{ zSJVHz{+ivasMO4aKG{rvZ1R;v({CXv8aC|T%SSrCcjg|7<8Q$Qb`2%b##;*y>W53{ z+j8-AIjSEn1HLU6PnXgCaCwsEEFL{Em=kDviDr&4MvtBl%&*yn5jJ=I?}TEC!U$W) zsdZ|S^^;vRn6|rdgoy^hNzw4ZO^kRp*Vz;%uXE^HS)eKBGgCq7vS$T`qKZIMXSXRz zonTT_8E9%zk^h-$6C(QHQ(`iy2LBRXMd9Uasdy&O6njv2C(8Z$*JijG%wl@W>+6#Js*r7?n6n&Y+1 z!q$f%$5(w-GI(VusD^w~h;S_bIi<(jLX`&ONBfob-^wMF4p~yX*o$`2iWV|%(74W+-X6~bzB8hd# zqxy|xt_+1UJ2i{rJ4OGhT~t!F5|&ZP32|y3XWdWG+%_{JAU_lxxo0RcvJ;jonY`{E zqJ?ugy5tVt%zV#JYW9aB*#ko1?3J2s74b1Wa}3I{y5Fh^mYF#r)*M|@wJ|jLgR;MI zATxeLy7{D1>0r9hJsC#9$c&YBT3184(J$A&fo+u~RnJL@yinRdo!kk(L+*M$YV#&? zGt)$@;|PnQCv6|iodC~+un`G4D8qbAEzdXb6hLm0#$-;*Ugu~zh0v(m$4f!=fLr7Q z&~3nl?lu_EEqhf1n%iLi)Mrg*ssRy-vNct|OiEs7f!n^P6mp9yXMs`4uXa;R7F@nW zUoatZ3VMZQjHNEa=`cEjKg*-bhfdm?pdfs2fKIC^PofFms<|w|FAqBPn*?2f_<^g) z_3Wzz{ETWs&j!BI+SdZev{IS33{dtZE$fwo(rS&0bcg-)zB zrs`3dv{2auS0)QsDoH6TbY&*T_9_cfX6g@xH;NVP=UGt*_&Mx_t^=N8t*8g2No7So zV9#R3D6V9HuwpqH)^>^SR%gIJXc~KW9(I`f!HD*aEGs@I=!wq-{KAQ^0FGMmm4KO6 zd=_Aj_-w8uURbeQ;0e3O3G0-NiE-syO=QLA2hKysI2F6U@2TiJz@A)Z90%-FECh5a zZicu=#VuTEcojCjC;IXE#$QiPna7&R+%IJ|w=bfz_xZ%3nb9)V?Pjd_|1rZ2p;4b1 zuGx8ht+GWz@goE3U~4b&lD;Kzm}%3E3*qt({75NBTTw+oAs2);wWm#Vvg*G z{gEy9$QC)WK!0R+dt~j7%<%6m^lHc?eAgie*9^Tl@&hcHf}QHC961`8dDH-FbQ^AQ zzGw$bcfRNbUW^Q?X>~v=f`Ia2k}u|QsfVvGNUektk|N|rglj%zt`iX-O>gH8=;p+n z-^3GZ5{yVc>2q(8wxs8_fNJLEsj;7%0c7olv;Kd`>NERC)&m&(|3g-v={~ZK`Try< zmnKTNwLsQ$YA=3Y+ST+xHJhD<2`U=>RG{fu?$rA9O(tQC!w-DPn^xhkB7@5=qDwOC zCRn^K$vVdrx}+WlG#{ehhip(lGn?zgJpte@F8VrwJ8blI0iv#=PnAs~^KV>kZxuk4 zhPTI09ZdWY&zxj$y2bYR>dd5wlp-RVAfzGt$dNtRAKB3!*%n9E&>z_lkL*)NX80$% zK|<)exKqNX4#IhdjlK}~a2t&^a;uav>-0rHW*jb#%rPbIYq}cvp`*+4HQh9b%s|Mj z!8xrSP`fRKZUgQ{6~J~t)?Wlx1NLP98m=@!`Ch`J((tFcE<#JwgWcOiM{=#+C;6d1 z!&K&N>HzlE$ilWGTiA4XvnJEn+~-b7!X_eI%njjx$=oRPbQT2d*4?{^9P+< z{%6hmV?JKY5pr6F>1Hy(c0fU92;6QvprrS7P1^yN?VxYw2AXEkH~YKe$_q5D{KOR9 z<1rKj!~8%~)E4!*<0@e3l%k}!@K57ui{h{12rn!v0e6QL*fPL+3;-+#RK#oME3}NA zP2~kz8;=dX#XglCA$F9Uv~$Wch$kL0I~REON>-2 zVkm&h^^rorJ*_gTYZe;@a?6@?OD$A;=j0T zs7a;Cl^Upo$5Y+Pmz8SUzk${0KCB9M$V#g3iH{H@vyyJHZ@U6Tk(7gnoDXsV_p&~a z-#i~=%ZW@d|4Pg`-LSGhGn*?@bW^2!Y@qfwb6{<|N^jc9=q7PVx^2AN>efxGIo*6m z`+OscqgvU{nxDgK#tP+t}2i}P!KqoSlHsd%DO zv6FyuDs}IU71w#SPI4AD66ML zC+T_LWo9MCq+u2hrY%vAV=qWn`pdjj)2>}1=`Y(nAsVw4)Srm|_c1$egD^{c z{Kj8Ow{<(YNVpr2J#f;a1JKsp&DLE5LUmOTzni)c$vnt?PgIg4Ol~+mdB;Pk(%H@+r@Sxh$#kw02iGUvK z^Ic_GOR&d!3l|EzV#9hSi^T1RQWl9plxHCXmDY08?R3C2RtvUb3mq_sQ>JeoiQ^c8t`v#nt5 zbFI^!G{H0vgxXpK(*GUBVoy46s^RnodvikJnHZN;xV(FHQm%hSH6dD-W;`HA6ikL|AL;#!5EU+ zKk=GGAK%tz!@xEJnqc$4vcPs^5T+yZ8@~+SIzf<|Hc&wNECoRreO#$U}xJB{D|>ao};u!t9(>HY|RCq)WN_E&POzPk}iV(~E(N|MoUtDoGr)vaW!g_ay_(&NjqUKDJKzhPUmPZa#MUoDzE zv+v(#+$g1!+<5hc{Uk_p+dNF`@Gd?g=_WkLe7%=?$Kb-!s)tOT;Iol@q{ZAH@q8gq z&MjJ?uEJHWuFN5a+-CDZZOWA*ip*CTL(wb#rKb%ADGRaWku!vBm-w zi(R_m@S^l@;)~qduwa~VtWVMchsCN7SgeaDZJW_mHsLG&}d4r zz2-Z=(EvI}y{fYQ@dEDf)Q6SXLDu|E$@+(ufjtkc@>H+82y48TT z?u~ypb!$MFuEuXX&DCw=!qsgDTx#pK0@^$}&eoj=Lc^m9G@sg!5Hk*nwcc#EnYDa@ zGL+=;i>V?^3cm7*)Wgi#CwSso0GC;D&45~n1|d~d5Puw1shT`W3!jKsx=hmq zlyE~R=j~bz`Z(L}^)f6QKDT)iHc3i8S|v~4s}&F%{t1zKQ1=EcDi7qBX~s4$s8py? zz3e0^4ZlQ{csPfi3IhK6w8bW>O5o~BJ+tcp-4Tt|fY$7_kJ%OiVOoga_#!zpF(f7C zO3}vV4pypUbLG_un{kv_#rMXl{%UmXu*QbZsFA3CITy5?SS2~-?h6pN12r_5NDVAZ zn_8(-P)TR2UuKx4Wo_g`$LtgM)X9i9 z@E3WHtaPUClPZh{<;R{W2b=zD_P1oX*&jW@IG0N6A0(B7;_YaBP4czfrbk?3vx1>G zGNy04Z4b;;<|tZd+ULnc`xFrGu6+stpNE9@Q7^FVW4`$_ic59=U1^V=_E{T`Z0)yw z3X|HWkhWpeZ5&W27o|4O+W4zDwVwv=mw|4U`Y^cbzhKbPAA<@pz_hYYP4mj|q~@K% zMrAh|bMjh`pP2|pYkt|&-qGw5+IIwvn$@_bey|y;=pI>v-wdhkDptCZK`J?S@s65( zMWAVt8LaKR3dtslkQ^<)?F$nTbhn}DFRJxyyoi7WGuG0s{=&>(QuCr+OFNb#b;g{Z zdySn7*hyWm`V_zZMEpN`)a0pb5K0>=i2vi0uM#hB#9X?o0H5g7fUnB0@R<$lUfyT{ z^urQt8K7M>pZ|#AUJk;n!08vykHGT_vLT9%b9eQ#5UG-9KBi6l8!Ju1&V^TU!afpZ zbZebou3z3YJ40-_4Le&2d%h~M>5@wF5Pwa=ev{r|-pAFmfUMK4-UV<-bOFFOtXIUh zo@(Zm+EEfh61W7-?6`O)x(XBL6+1gLF=$9XA+Huv_Q%d~WQ&u@q>;!S_(U?gmhpJ$kDkKZX~{UipBE5#8zt{|%?q4O+m zGmNA_H$HR<=mnWB6cD-q_-e!e76N8M4Je13hSgf;7A|yWQ-T)#bm;n|jPNxXTO3yW zmmE?vwm9gmNBu@WG+kPjCg)ZjB=NM)IK1;r&)zP;|5%520M=uh{q7DI>I*`~Clinh zvM7p8#T_2nv|oZ|cck{`f18;5_x};j(QU-8^M5D>_E|%pB*kaIi1!y%RJ84=IJ{L03j!CPD1|1Ax}<5-rT+Qc5ik+2xS(nROu5 z4{_CHkcS-Mkg~=@rU^-n(I0%ZJtn;tNw4^OT*}Id^F1po0kzlywhU0SsEGeRf3ia# z2xScw#A9OVCF=gRl=JxVWK|f|)N)JG&48($C40W1_90AW`mg=G^iC*p8W(gYu}unw zv1D|U{E1`NfQ5kD(u`^OfY!8~t!bka?)2LDx6n&gacd!?@RIJK`K#r#b7YaOzy6W0 zw%gC^;Akbz416b@onFR0^gE-$Eogu_Vq>#|AQso|lX@4wZwjS;lC7sOs>;?J`l_=v z7jQcj!Kw#aTladvG-EahJr?;=Ui^kO_&>5$KIvoY*7)7F>H4#EYy8Fy##X7HWa}?Z zv$l4#UgT``u>z-O``Ee}thM!s`;DzzKqyjF5a0EGG57*AOB1_q-N-c^Y`rusoH2zC zU|aaz_#E4aeXM@KQ}pKdjOkK3$@EK@#i^M)CwZoK0S>gLcK}+`N6j&&uK^*BDu`zx z^EbjdbFJPExRW(qqn$N<^nJ$k zc_3uE3gW|&`Aw!P)f7j9z5BGbjokhkZeJfIf*U^20nJl@_)C8e%sfYN{jI_wWoySO zZYVqcf$q8=^g6%tbC`w~Lkao%B$B*uBzwmU3mijv{M#@zf}@V%ZvANZF75N3HSCY! zZ)}50u+4XZMss-PeRi5;Vr7z!M-^oDaa^sN%tZ5^{;mlaHFZ+J{P+}dv>n=eCeL=O z$KhN1pMEjNdgs&r??JgeeS{*n8`{4sejyTOU+M*83!pmh&P7)qvt-rtvjgnE8c%iIYFTV!^(Y##Xxd#lD8=+V~kT zjSRo;=jQ%Vi{#!kkp;gK2U#|oYZ(EUjL4&r!KEU$bIN-_@YlRsHxOdwyy_bvI_y9Z z*}0@QU8DyrWiBb(vKshBT1B;IOC{h?YfBlRY_VUtaUZBD2dP$6VZ7rV3`&g1LD3p;!6Q9X1H6+|mT?BppS;rCvJcatT&t45$g&ulAE;WAaokV@|-S9n|% zzQw57cjh8Hb3CS7l9`_WEtvK{9TL-}>tuuT;2z};+1XS)G^7a@tEWS4zo2y_tCQj1Dx>ds7AL;#YLfqk50>?wA&F1jf5oSt35S>*^<9}HhHf$0D9`Zw zX_v{jCM}nxx%WhhMkm((aCPUkUb}Pw?qP$b1JDGG*{A86VGT&dp9&RsPZJ>e{Ut)w zmTlL0tl9zhw5(bI4J#YO^SBffp;fsNCU%))U&26`0gml4j_vFlJhm->d%;%HI)RU{ zk)zM$lm8Ss&45XfGmA@gHlgEte2aj#bBja!2J{Cv9d7Q~VU!3#Df>zP4qjgSsweiU zwKlqx+xw2{ip<7liRE#rx{@H$ew>q+&1`#68J0gtx+ z(C7R!tv@s#Sbyxy%2^FpTCEDa+0&79eS}>74QaBMXEg9jKOWNDbkVo#Jl|qkC1rkq za?A!Kpl6Q3V~X0lR2uwuKM`%`3i#RHAW!uiR0)`C?dcrT8E6t^v zQ9NOYu3P2pZxw#QgmZerZ{7b8e!HoKMlDa8)o+SFX1&@w{Fc?;B~|Z#?Q6qteE5C& zsJqegk*~?;JHSTZX0Y}6BjVrdH}-xPgvMeOXvD3<5Be>%LT2Iml=(1|-f?!)dyiKn z$Uc+t?_fU6)`I11Vm3MR7EiA(zAR$MEf6<24)%>$v1qJnrfvZ$Jv2%Gg$l_$c3 z1*(Vs$xgm>6qW`xRs+#nUkPISr+q?iSibqHX*0n|N=_~|14bgxN>JHMwTFEkAMyX7 z`K6qG9^UhS6m1_0R%1hC_+SzBeONz8M3^!AHqQesfTOJkngOi`u9;;VJ`02yFZhk` zX8Nq#?S$ECVe{}g+IaJ=%yBhW^}V(w$GSj+n`&K(ky=Z)qfBb8Lpk!c|5`s(tptnt zuNXgxU6P-E`cuU3fU(q3#*#W%tAORN#)QDkc|fTT?w>xn=fVA4^Wa|fhd zkF#!)hvlZk)-Ygo!H>{6IeT1%+I^oQn0K#!GF3wYK2$5?!@|V7SH`Cow@q4!_#Y#fj~QX+ftJzadU;iOLEYFU`%>dqoU%DS=F1AggW>czsC zr%NtgX?4%M4wHC5oP7$fnvIaT|MesPyASpr`Bzgv`H}yQ!%36=KCRBAzXL^!7Mj+5 zGSRvfbSu}om4M@H>#DQa)-{j)%ehp4&ZaecT6b-H*3131Zt|8lT&z}G+_iJ)AU1bt zcShrY#Xsuf{k3tuK;Ab}zLD4WXqH|r$@yL1jn}jj`r%b5Uf5p1mj`Hnc_t|;mK>K9 z6-oO7M!jh5{ttG4#B1wAr+MAfg@oM*;HwzGMgaE6vqd15m%K&5wh*6S>rI?VhzXxg_Ix6UIJCDO(rv~57Gxxg|naMSIvZC zPLV>ua@S0No+6{9JC8B$5Wl3o1Y6_7z^LRzG3!0fOm63c6^#Ql&M-w)eD(7bbPv2G zHFEH%QzIAfbl1!kz-~uDC157zK`i&1X4Z~^Y%VnM+DZfVO%VS@+P8<3OHZ>HC7xAs z7Iyt#4khBdtMS{W_%NPOyFnvmvd9B!RvAtEbQ3VnF}~S+j?}h~!pLi%EnJxUSz4iI z*MTrsgVFC_I(FPXnqcg>eNJ)h(@CT|HM#)LcI}gMx3}XU7tpnjx`o$1Yq-$O-x)4O zQhoW23B1o>AYT9cZoK*o2I4jKyYcEX7>L(V#^3M8kNwvU+x1b})g|MUbj$t0x*crH zVp04~w=t{jw_Y=}172vOp%qZk(6bUg4~!C)O4Qq5RRHk&$LIK^2hIMS$EOAGBFm>4 z(2J^BTqt!4sRVf^EEepIl-M{{Dufwsn68bFcTDT<^_bQJUTm3G0~)6OUBWgegD^Q+ zzp(kY7^jPIJxeIUOu&8mT?b=6;{NuJ%~u~13E3=A>PyyU_r!hrofoVhsNXrktotqV z%@6R4p%s7dbgBfLY;`IF)cl2UV!F|(9E3(86~xEOuEa$pI?!G!NE+84D6s4c5TD4N zfR|eK`GA)F2+MvH2=)7qF-%H9mWFuEP6hXTZdF7ZZSbhTMu?z>?!mPkD(!gI=pzwH z`iwvy_s}GRuN4b3DuGFiGeRyx0sWrw zj18r3Je^2TfAaaRK#mz#fG@C1T7`f^kcoITi^&XT-nd1LL_3c`PLf;ftMAn=${t0Y zQANJkifp!RgP>rn7;lL?eA?1_VwqTDsBEJ{);T*kWU`aTx z;?l^B;B?rH4`*hS5>h(JuQXgg$w+(KZ`ihigKhC(gmxgze_?xVnj8A0uo!;qQNO(|R)qP`VG^!UVwmWoDi4>WBifw~TI z_0Dws!Oh2*XK}jqO(Yh5=kj&G%_ALi^-KFdq+fPt&C@UI=l`(b-AQ?3JOuo+?Om!> zPgM3K;x*z!Z#k1NOYK}QuS$w*sUWfoKAc^!5;5fvYw>#Th%97Nlnu;qOJMFnm126 z7VEr^E!mA21eUk7+pl#)IdmsF z6$<|5sZa>`h*cpU&{JU)7aIM9RQ@SM1>0hEE|bONBuYMg%4EgFErp3G*_lHqCK5Yf ztJ*~7178mdz)HXjpUwAx-c&4`3r*Lbk%YvGL}J>`he>zUowBl@YuK&v3!H<@-9r*3 zM>F)IEPztH3(>mI{Dw~o1eyxnX4>w*d;0qx_xb*2owOOOagu*Qy&00XfRLk9Ab0GJ z($-NoJ4f9iuT%2u^8OvwNncI$QNVf5Q8{g%qjCYAqtvlIN3G#P^XB^`p&v)>)yw?t z@zFbQ>E3-P15k?h?r8n>j%u6l>E90cxOG%3pmo$Qry57i10hGLKJy%K$yMm2+Y8kbdEKESwhvA&51bCfgLBPgAMn z!zET$!D3HVA>dLcs}8u$%Blz4-^$7d^kj|V!XzC15(NK(vki~eTzHK4FmE`_vBEIA z`eJJx<{%IAABWjp7#SCP+hP13X1&9FEKecx5NAcsQqPK9z~$ESS%5QO6!CAEVj?;l zgqm6f@kMaOe~Cd_rU(xzkr@?pW@I8knM4(BEL*LuL*%IXf{i`LAk2bQe_gJ2VV{W6 zM^NtZbT}LRA(7mqN%!_ck%1oF%RH-m%YDA5tW}%ATC0XztG0j;M-{}^pEu^ses&>GY)~Z%OYt_Zq+nfqQ zR;eI97FBw+mGIwS)hqp3wcB^F%INUQB4d@{B&%*b*jhDvm1k88;M3NsWeY|`^UZ=uefZRX7Eev&rQtJ zb%OGlyvTNDdnnqx2A|mJ`=d zPHdjxQptE0jbKuqd+l^y%)9yyT^ZRzqm&pPm&nOcch{_l_2oP5-q_*EqL zN9^Zzr4Q$acR;*Lf5i17MxE(TngHw5-vUh|?246w!uCW#W=7Y=x4h)Uwr4!wwgYzB z@M{IMohs*A+o?d9iHd$172Zy`FXnUS{#Gqaj4Aa?Hku>IP5~p@SFC#CnH2x89jNFY zH*s|)r{nNZk-#kyD3xYE=c&{J_?m0(PT*~}xw`;q7d3Y?pcyayKfcCx6cEx>1(N<- z>9rq3v5e_3g*z61zVFu0 z!u~zLG>knw#EFrCrq?Ku!gU>V7!qHlza1974tO6!-gcANcX!LvZ0@42I0$Qg#ed_LdIuyMy!^BSQZh?IZed|9Rs4IV< z@k?HXl@}(#$Mw=`z&Kt2TM4L!jrgCdG3HJLp+u&F`0r5?B1I*A-MB$`H>9JaVwznA zQ#nec*F#bBh@yCaIglY`rf>;7`5zY{%UH|wv^8qZ&4fbY4enGWopjx__)@8!lqKt)Z@47V7J z=AkN45_pUjv%8(^O$2O;9|=Y!7q{^XkGz*Wf%$+NoWM!I_u4S626X)@7tn;Mp3?-m zkSrm^@p#F?3}5U%Cu=!Yt7O|hXwdW^vB4?5X3S~RnOULmD+}5T=}V#T%iOuq_LrOp zGt|oH^wi1%{J^Od0(MjJGC-%6571L9oeQZYq=dJkgndHzq*Lo~r`Cn2C0X%TJXxCo zH#%8)uktBS>wH^ z^-{)}L#)@Qul2m%4EU)Fpq0QbWpn_#lu-|;0P0B@jbIeRDp6e4)1nKI!WoAyV!uKY zmHcp?jo3+VdIGBfw>p7yfnCaI1$2G063~m-iCjpQkm8svSt%*wS5DTd$G&yS_|fq& z!}+1NJhjRIKXYnL2X-l=8PKU!2*?K!3&0F5^DKr0Te5=VrhG18q(Qv>9gVr6$9y10e=o{Kil2Yn1T+Q-;~g z>fL*C{cWcclAr98ltGOUzfy1@&283DM+3meL$4< z&&6w`Rjr0ZCM9LN%lG1P?9mmgGt+C!ldLyqmn_gsLbsCF4CF95K9w?U@%|a+<}z~? z_oSHmu|ThU&kL^(z(ItU&>0)NRGJ0o zx80~63U#BNRJsg|`nF2cZ;vK)?d&V$`t4(wsgezk*nT_reNSL3;I2+!9N4AO&44av zwE%kkb`BSkC8Rh$4d>KUdc2c06H$5q z8o7{KLQ1V4NUdxLVu{&TD;p+M5`WmbVA5t!RyAP2$(jp%kxgB#fG(9*0(!D0av@nl zisMsoPEDo7!VHr1L@ND(hpBc=lIvlvcNm>tV{0`!%$I5|B#d%8hd%OjE(09ube;}8 z)9Ty|I0V5svk=hJxtI$Dy^!(Y2!bGa&L;dfrQ6G;O;Scp$sW_-nOvBX6ejj6OzG># z_bN>3!Ut2>Ul$HEoy487XVI{SNY?Fi5Jgem7SBuhfV<-*u(^OU@JPh}{Dmf22SJE| z3gUlMu%^01cqjV1-TTR3F!-*?TJn=Un&R%=Ebnig4)*4fG7LULT=nPO+TJO@HX#ohBr+)}<=;SBc3HX3b*qd|y*SDO+{2+mz;1$D z2I#V~4^X|WCo89eQJPSRI`O@Kp?l{-6>D+bdv7o*IqlE<5`FQlp1{q3dpd!6-CkDC z2XyJL3kt7$uj4|pgcQf4Bx^UxO579w6{}UUe(tx<%BPQk8EUQk%u}laaAd+gpLuLXD-PUlGu&oCmz7%pJy~3D^>zOZLR14ba}cS(6geE3q`7s@ylS_D=7*8O?mn$`Eo~j`W2~~RG5+vp6pea z(#`MfRhZIyZ|+r?(kXvIVSk-6&~&6r;>O&Q9#>QBVK+xd3w z4uo8#g80pN-noi<5PK$~c{o|rrb>%6XIq#CR1{ts-q_TBQ-1g*PG~EQp0c5I^3&PH z;l+G$HB|KqC(-?uLx%rY5}mNe2F`_BdqQ~RyG5h_S=+^tZe}9KT-%+vmee$hhQZo= zyQj^~4u|{LvlM=z;q&ak?YB`Fs(MuqG&(DOqN(DU?WL1n8&MiP73u%XmMYItI%B0u zIZZTN8cn|#s;BKd@|34fiZ=eV8TCKK>U>Et73 zotb=}cE&E7=#;ux$tSWKz8YRTJ38T*G#Roft>N?Gfu;$#-&vtqY4{$y#A%lXnMs-M zKNtVHg`!r#A11ma@XM}C0?GlfY5_FC>>qQUU33AV1!WazE%z=OdBMRD#WtHP$bJlA z%w%cL+w7XS-@^nQ=HvSlG>1FPo5C>d_QeVu=3gGB&|ww|qg)XSIm}-?KBqX$U0|fw z>ifI^QkW={)TgEOod5)GzW@)LCs+&gv>`H}p*xM!AiD?F`1LjN1J$`SLD!7$_h9 zhfmXal6Ly=u=y)AKc=sG}r$#|;X?Ko-T6tWv3V1WvG(GCNL) z%!pSIR40UIbnBP16FW`_&+6{vKH9je;g|t@f&wep!>GwisES8=S#y@UZm}+6Z4Fhu z1p1yA9QrjbW-aYDT__(BR~c?w_zx1tlc4OLs6OIzhFo^N7e zblUd#BY*sAd!mtxX;1}Hmv0XVw4a{#^q>3@KAv}^xlJTn^48BF1Jk;paQ5a6$9j~B z;5U}eyG>N{6-Pu#J8n$>Fk!Mvgjnn*T_35-kU*x>>0GvH2D}6^GY0{#q{~9l0k|)2 z0aOpQ+2*;kOtxtRp@H})O#Av_lKI#lERE@`{Vr2)ir-*pzUE<1%9Gd|jnw|A8waT4 z^m)Kq`ZX1dgL^-|-OE)lI;*u4q2a==*G`p9@%MIUK;wX`NuPZx%>SAS>MwmNOm`J* z&a<~r$sMdug)>xvHahS3W>%nj$s04W3HttC7c-~1Tt*4)p15;eSf|e+FuGI@q}?f) z#BbuUA7GT|0jpAT>t{BLPZTW~|Irqc@g<+XJ^9)T;dDA{{kP=Kv;Mgo`i4*X;Gh?&4?J|3XE;!Osq3 zdj>NWME8hn>#0#3%yr7{>T3K}HK_1LQiX~Gnayz`cTvN3rZSuwm2gSY0^3vSbwohO z@5MzK;GH%u3IXfUG2*}dbdx@cK`5M5pmurxcT6C1p@`MH>B1#oRPx8)rc4*?E*5I$ z?rZ}wk6#yv`G9x3G&Tvi#ip@pKz9eAN+yk&_zZF-5m!i**S|+Cue&oT0C&SN$%SpR zlL|lSRWm2Ku(MZT#a&4?pXgOswW^(xFsE}Yn_uwrpi&Er*wy(izj zqq_udX1A~K-?ynylT6a2ElE-n>40h5vk@M~?q+(F*_BKvyDUh5t05`>OYW?qr|~ z`Ln`bVxU6`8^%6xfZ2y}xsoIym%-7FE&{RupKuWn0!})dLDO2~~-* z<@p5M0^TO~#U3%CxGBCCf2m~myZA+5e2^z_GvJd>VBW52zEw8l@&Vnga~BleP3Jl; zBuhwf`~=PmSb8KJiBPab6EVR-yob+MxhSE!HtD{-%(PTNAP0l}C@3b1u z<=9BqAgzEgr(qn}X}B5CY1jhjX*h=qX(*&Ld{MHDhTEL>ord**o`#KFC~*m?zI2qQVYZRJHvU)I z$@(zQNN4}9XpDw?IGU(OlkaH$;?eBuXfF0>3LMR?&`9R(zhMow+UY!LH_w!6z@?y3 zzY?&XR*U%eImO*dk5gZ zEcbRm%ROYdF9RX&DiHVk;bnEtnPKnn_jlaS7I)g-=stIEk9#ZNYRkO^&~kt8B%}Kr z5aO-^aX((%50~y`j{9;vqLOXDhWk89w11lK>~o4H6rEFaZqa#5i_R!I zz38-}^3zTYHN0OD3U4b3Z&{(PPS4x2^CKf7!$0DGJ~dDp_7`0LQqJg(!0mE((Zcwb zyYEpHIgQ!yM^9)bSsZ!tvCNB@%a#3o{TwKZflcBJGXdT}=F#Jx4WG~PAc+bJ1 z@bGkyrd}wLzC6d^{N2~Slrz4H3Fqq9yfE;?htT0ZH% zK*mQJZ_A>~MP}WWbrN#QgIQt!U3Y@zWEK7qKSPN5*&Cxr#PqWgp@~X>=BALNxQCd+rJs6s;{t_;4 z`m*Win{wMsz*Tib%J2BH=-AVIwf>@pLxX9fSF$?Tp0?rbl!}pIuG&7y)s7w3tJ1rX zfx1B+#s^cf0!=g6E6vGeA+EAncT|k8KN6g_m_t?+K24tjD-ARqt&>k1mR0nh7pA@6 zy}SCvm>>ts1V@IVQ-XSljivNOyx~gM(KJI(#76o8GlyZ75?vd}tf<{Y3^>pxx>mq? zh$zelS(vUn$t|C(`{KSm)gSY2gV3?n|;|YdApJ}=RwTm7g@8pIdZaE0Mn5r zbSLo9R)sD=B{i_kfZk+i78iOjAY}X?1VttUM<$X%(`z>!6^dRO%+*W&qHrmors+$! zBmdp__fZ8GXUps5!R*mX-G>~-@6S;ZGTu=;^P3fy57Y=;7cElOkl_zuI7@WFoZ<-1 z9oO_}pz$RL1kAiklnX^)Qnfr%+&Ge_%X8%@0;%ytXRg1D0mo8|&=aIyVKJA3<&vB-R)z8s5)+ST-(+IYKJb^YQTDkBYxlUW~8qHp#f6`8Zgfx zH0(+&yR2a?!TE~Za%%8c}4`t=zSy(wOUXvr$b_GA?T9)v984qzA4 z^?)v>^8vk>9>s-XTFCfm2r7xehR7-kAAE6 zEsx9E+wjL@$dC#-BRtJ=0grT=RRA~J-c||7ep@wE7NF70f5te|P}v~VP%4nC=ON5) zKx%P~@B?{*hRX69svVy!Pzc5rIhrAcW}b;-kL)hF4wgrvZBoNcTc-52qtrHCR)uSV z`6b~OOTy2U(xE1mhMx^p?X-XrU#O`)6bLN|fi4VfW$->O)U-VmD0x{^gq+aU=k=RM zubyavBwA+X6J;SXxaw4F*pIY3F^#yNIFv=G+F>D<>Dpnu!cNNceg6=BCBHaQU_LVP zEPPBem4*)umPRfOPAUn%Z(50Gj8Y=-=<-mcJXjV=<0Q$N7k#0Dh$)FqS?;qP@+?gf z7{ZF*?=Bj>wsu)*1U`I1^3_+#s;M1B=irJR=uUE zTJhW6toBVPey5w!uQ*t(F`(MYJMRE9jUc~Czfeiw(PdJJF+a=yqXEnhI82VkL|YC+uepsyCa?^$?OqcOsNH~Uji+}6G30(JFHV#y`EF2G+C ztYA9;>(Lf)4IqC_wi8+z>_5}#tw(^2Z8owt#Vf(6WcDo#h%8)lGs04piTk{WhOnT% zTKp+^)}-}6Lrh848=-~`%oI;)d|k%i?!o!5+PRvZP2s{B=5r>ruK(TbDj3TC6Li3> z{!d?Vh&Fi+AE+S2Dz&|yXKE(3@(iS^QC`n49(`#r7-+hVP*6PQMe>_Iz3JSd`O><` zbWBd0Z^3$ z)eQiP0j}RE&{%_iXodJMXj()fQSGqrm+3ykB{OgqGi@CvcnazyRC4Uac5rv~0g#dt z(rxt>{(pm!mp2TDg};+4xUTT80MH-!bB|at&c{SG=j?NqhDaP;I?tEc%EaL2QlM^b zVM8Ty+9xI9HK8yMV6*fMnF0JeY$OY3|c|*&?-l5#_DF+b`6`felGhj6U|MN_v zcQgFMm?Lk%XyrA`s7uM7QKG3d{0`qNv6-ivnfiu3d&)xLf76L<+3S>r$XR(IMu_}U z2KWMv6@~aFv@{VsU*HF&!pvCi%tSg%`yP{~Va)$O4jjBHy!fEi;ZgvhZmXe{;u!dYp=cbT5GR;9}w5YYs}HX^7wU$ zQCIcoCsJ+ou>9mnp#qqvndQO>podGVML#`Q{A+NRW)4Km+*K=eRYgt;HE0n7O)_nZ zvv1&4J^AaNK^BL#1Qh<;t&5Lt9T_;@cZ+R?T=TjHwUTQ5p3kX@AIm;dEj7``@j8D_ zmyv@vBI|P-F=-ITN&;8<7&(yBuLF;DBF}c9)yYdsL{Dw3k!W8}R#pj%4vDQ!MsB+f zqCiYudA90<7wY2aX|Fn_m4b5>)a0u@AxCJG*j z#t)tmCi# z6-rAZ3ezQ_^FlrofATt6$furi7P8m?v7Pz$>!U=S6Vf5*M$}9r#nqv)JcOC7%58LG z`RbmK$WQW@P@QYc*DCKTAZnYhF@L$K?DnpFiW6+TznY7#^wNR zOH45F(`7Z;@NJIwjYGqTB)jn1hk3r6Pf+L;LbnXNf#oHq6+SL#fkK-I-FRfS(a_D= z;uE78S5gw^rXfb*PO#E-7vngS0AZ_ce38Mb=OXcsBk{pxlzG;NV~t%=B%9v!2i)|& zB$~5#Vxr@{K_=5;@s3AJNn4#*`d*NZbu_;8Q7H_vVuyS>qsa~ys_2XL+|(Y%fXNOG zxo@2z%z1_b_lsMkDnb9VW?dEzoKOUQ@+MSD$Yzt+IdO9ddc*%cgiAprhmN4E62Uft zw!ij=FPr{a2LScg^g*4gJTx%VyW5uf@pRkmT5&5+P&C0lmtQl%UX=&%BEl}eB?Mi5 z4Fr`R5vCBt?3>&KR&M_R?(E!(Ty8PthGIsYrSCIz)?dC`((-&8co4`8X^pByp;xS@ zQMc6ZS-yl^cOi}bBc3qU&`2f8XM4$=0C$*V*~zaNN^X*AK=2!u_mD8M-YyR7wb~>5 za)mKOC_^w((SrRrD$G*L{uLOHAqi78zTUc+(a&$EW2-<;>(H>EWci9-Bg<+9yX1kG z{u}*DSWRq`GOek>!e4-pn5&J)V&WV3xg*qL<6FyM^cSm$W~<7;V~k|2)g|C_LVduM z{rvY#_J>zwoR-1bfu_h#!8ePnAvDa`jmuU!%YE3`GR04aVKw=Hs4M$@7$aan~ zbAWqpl!?Wl(nX83J{4`;9J_9FZgu+P{A=&3H(hX%h55aHFc&IJbqv-Zippn>hdOcQPcK zVd`Mvox~+0Gh7`kT>Plq;z_CoAUB;Thp|+{FD0q!A6gYFv7;Xlh{H$mcLr?T5w0=- zu*%shZ1{9sdv3|VRi?rkT;&$8cvOUYTf755qfz&yN($^Rp zlLEB`Xj`zOHwEX_Hic;DxyW3gB{mv#0z&PAb9x7Cte9GH)6(N1(6aqn%y(Ry(_4&1 z;`5x|pb4lwP@QPUw>Ono{aRu)`n=#ivSDWZ~nF@w=NA0 z<>l~ba$a`>P`t)!YkKPZ?Jx~wdEIS%NR7PN`KV3!GcOEYp|}#6mRC-jzP_}k_U&w85rO6|Myqm9F(@%8RR!Qw*)ikZX8izb%^30h<& z?;&dK>+&;QaqHwq5~ni&tuy>JbHyquR;v49M&i`&FWkfX8#$4RHv)J34St3@?W(eU z;Z>Rkj5c;NRQ(eTRVR*0PS{tm>DI%RYcX_tMPAG2G+UI{#`?3{?6Ov70PUK1hK6>Q z=&M~2O^gfXno0~7crgk>y5}Kh4K7$wGQt9S%$^xGlmr*q5?ynwt)F{Y-44GsPNRXD zZ=muJ+>)=M>~G;_L=vZHfW2teoWi$`ju$b{!5PuaW92^BSN560WnKKJnwt!oU`J2t zTCu$r`7;6IU(qkcbF4_Uz7R>A_?Ht5TZWCV*fk>(?i43tkX0OsZx81l0;$EFV=xt* z$!7`eG>u8H@G^3+G~PpTNiD~JUCz!8k;K{GGC1EHW@ZN3#p+eW!5tBK;RlsRb>q4; z>9P1AG#^N-|F>@%i{R+(Zhbb98_s1pStK;d5GDSNvSgj)$a;t*mMj)9K-PPXtUDr! zv#J}{r}JE?DZl8sI!76F5FxMg;sdJkMNk(JN30_Rwz};Mm%=IiMQlYkjQ!lPQ5a8q!YFQpVW9 zUM#mQykWQ=J4Z;K+0|eM;sY10i4P|RmXQMT;T-?9M?O~&xZ^*G+dgh!{XNC_)xYE= zTroUwJIg*8@TnO2EbzeX?E;@OJdk9q36U>3^{gZ4ti0@pv7jt9UM05vOi&BZR1U9r zHfz3+XNmP(-x!1E8VRArj3mp8tZBiEJb^f!H6#$^u^WMWL6B(a4y!UTB@kZ4f zc3y0dAanc1i;WUCxzc$fXfo!w0)ozq%_9i6kWCik5Ejl}<#vK{^YXvwfcz_6{w?Hh zM5&)eva+W9GnE%(CbB?tH(YDpYu4f?=FQE=u3`X#W+oO^ zQ;7wziGM@lm6|zZreH+JyMx74JmUi#YaN{*OE%+>ZKk!|BGj~F-Fl`6xz55XRI`P=NQA*bAHMk14xOvMhX5Nx!Vr=&kZ|2gCklph}h7>P1QmmD= zLc_UT6iCyMDuj3w)%EZqQ|6(whTBJ z(aSud9qz$h2N3<5WJpbirSZO5X1>{#VV5g|p7wiqaNjw{!`S2Hp`j!2yg zoJM^Yh`#*xdsd_RP-BQmtP4%mtQY2oJKuG(9(7=x$XYG&222X zS^bgU&n!=Ij#VO=bvt5{Z_U!?Ld??bGj#z1=IR{(Wos}&b50|bxU+0{n%XXcv^JJ$ zgkO-=LFqAZyeYUuPs5#mD>d9%3P9Y^2hsZ&)Y%1pDaW0d=tZ0Jjn#~zQ$%|P;>~;K ztJl88@c*_{x0uKC+t*tr&SxCKF>wLGR!3GJVMo?>f{v`Y1RWFS5%icSuy9r!K-Ay8 z{2e_Pc<*U?&UW-{n(ElRGnN=RyMTp1jcX9os@$B-Mn%V798Hd@S|)#Bd7qquM3BML z!4$1)Uy9H`%DPKEt*;ru_1e#w>(oqsS`(Tw)vvW#n7E+Egi9uBu2z$>1`RW_8`L|~ zR4rf262mmMI~*NC2Ue$YfsMh!m?asSp_kxO`vvV?!`1GWM-nG+33Vj!_3dh3qPN~* z+Ls!`IqG>f{Jc#tmXQ!-k%yX{D)Cs!gNb2e5Rtl8rJke4A{A3Y1v5^^Iv2#Sr6qQ% zy=o5wLtk1UNw-m}h>DRm17F*@bk3R`S^d_~(|CW`bJr2egD> zCN&))bKfFnPG3?NNQ%6d!;}GqFE>+gqr@mVC3c4hr756cDK$+YOmY+i2`Vdrql6b& z3TgEUV6hRi)Z~SSD5l?#&A^ z#d`?z+}%F6q2{gBd0E_IBL1z^SHBB_b8uL+JY_>p|M|FOnC3CQmH-x@AisTTXiQH3 zQOw8Em6i}?2-w`YbFWXIb^*Po>ncIi{f#W_h;MBFQ`rF@BT17BHE zY!(UhlZ*jK{ie5*G$T*p&XSb_#bJ63D#0`$t^{oa<*Nw1jPM>?f-ZtuR{>PXRRVYX zXbZ2(c_b86H}0x#?D&gsjMk(sZA+YVjn6D;*Y{bXxsartht9R-x)BHs@;xPIzT>VO zB-&mIU<-S+ix%^u{U{^aGei^C?>Ft;H@;$r-SizvochVJe=Sa&{dUEY;`Y5c(@(Uc zJ}N4%P-5OseTBpDCbvWavDXl^+>D~|q6yp)bDpXap&j@8Zf5`Ws{3_8 zUBzJF4$aUfmi{aciH#+Hx%%5sxHq@us`kBkEw{Gs9oBMQtWkqSHaP_jWBU0+qfCUt5>&SidvLuvH07u`0FO3jT7g3QRzTAZHiNkDuQ1H9ndm@ zZ8kWTpqfB4B}!Pbz58n9O+wUJ?xg(|L8x^;zo{69>0QY>V=hMRPz*W}J5s5ubS=S- zRNEAm$A8We)qL`>0}9hd;iY|TAhWS9r&-gOsvv6Ury2lxvrUP;bjTJlSRVrno@buqz9?de{F(yNX*dOjxA}i_0PULBZ zSIp!=4`QA|7@4KcBFRi1Ht_+JVskNWmt%7Y!AnFR;99~L!ytkU1o^LEP*2mKT>d#@ zfr+sCRscw_qMwk?n~(P!CzGZM6PT{%244W}%~p|GDJrPgf>CjDEB zjL`?v$9c_&HAfb|Lx9nD_Gsz4Hpp>^_NBVWoG>H+8_l8#w+F0SyUE{Cq zQoP9MwJUOZ_RS#1>+z>o?{!$#U;Dvuq$a*~^gHpVBiF7Ks_@J%;fln&KJ1S_vHGK< z2r0+a*FG#v;n6*uXtLtQ6Y`E!l-E~(e0U_jcJ(L6kKTIintT3D3!T=CUK1ZV_tukq z8~hjl;3VHyt45!`!5#KZ8~k4)wCDIk_*zEjoqhM7YmNL$6*9b1WQJFioOZ1S z(~g^ESImuU<$3MHqA!w+Tl#uF-0A4+$)fM!Nc`bzKX?wi6G`4a|2w%*)DtGIicL^) zUAe=f-;Tr|t8VNmzah1vYItkB2Hv+C#8c;pMIt!V(Os!K6hpR1M+Ly(B!06yvY!YUG=Zglrri8NEgw z+mZdZoWuyv<|y;Y%N)P+(2tYRkCQnwrmk@A&D4+G|4=x`ggL1r9=LpMbmp!o=Ojeq zJ38yrZOqeV`0-?|)U5Mw$yi&4jw<%rOGu`%F&WML2AMEMGQWw+Y{(1o>q`vBGUtIb z^>_mo0joBE^qZA`gf%!Oi!HbY+dg*TL4Y27y8uWu(_(=zdfyi z@-bcU$Z-r}ug#0j{6qs|txq$e9h5a*OFv2f(!0fG?ow@5$M;sp-`5;xO?Z`h7-kKz zS@tAnL#?r>{lN&Hc(}9GtQ``*;@MXCbcME&#@hK7&`<$DQfZsgr;es6rP0yA zFvAhsQeXDiYc5yVmip$msQ}TWF;2e!fpvizGEDQc#5%EPCHhNU7676FD{#Bv zgL%6y1+n0-?7^|wZ?t9c~LNgT7=Dqs0yj`rfqSc^z9SBP& zM@(fXCTX|yjZ&X5i7$4=CsoOGv|=NJ zKzoSKBr|!jdbDm6PgNOzW@_3jBC{SNuAz2^6+Xivyqg*c9k)rLI#O&Qg;sYltYq+( z+KLaFHE+-X-9`{t+p6WY!I3)`n+bdtnw&N=a1U6t(9%B(e8gCjnuqA_gzTXI&Pz1! z4$u#iY=y@C#PUAn;20OgKX=`(iYb&o@Hr+NkLj-31_`hI6V1~ z$q~1w6)uIO8;`gJqF5hbNd4w&Y)Y-<7wHQizYkgMkoY1N8xVwQg~n_E+ndow7E9P2 zg(3J-|Bn?`V=8Y_#Y}o|ln!&!QY`2Q7U|{b6`4Xf_8p|WT0&Srzp?%?PuTLP zoci^!oeb-Akkh+7`K#S~bwmphBU(Eb4%7}(WBUYJClCuLbz)TAJNh&raF@Vc=9QQq z@Qsddow}(=iVnV#Bp5g3LuzF`azc}-URl01!!N3@-oCDQ?OZjgZS0M)@b!!@ndUX+ zn%A#lc4(Q}tX<191C!X-@6}6&3fjBU-UL=P(wc^I0QC?aUcVwgf;+0Vy?HiJCw z?@be9$zy-kH$8$adD~JC3xaFK*peZS{p)G+`V4v8_hHD}mKx{C>%n?E@_GrLXUWqz zmm_Z-L61CvMPAtf({`n=Wi~x+*_pa zBUL4H1_UFPJdHd#@)i>G$P-wJe=4+D+zX_M@yPo%<+rYbM_xh@L*6Jy-m`)j@`8@M z55c1x_D!mo_fuWyeR}d@&p!nmmEOe6KP^R@~%ijJnl^t1Ps2; zv5vf731YZc;>cSLX63N@63e|PBjb*|8iErnc~t}*c@cshc>*i((sV{vbGN0=OcUdg z*G2iWx%U@A4EM%4@^%Yi$SZZ^9qY(D!I4+WFs&o6j9|=?S3=N{7b579C$PvHc>sAo zOB3Uf_xUu$<6b}zy79iwYDeC;)8zRac|QcRa%jKUaxb5;S4UoupjHv0B|d_VygY&) zc>*i(O+ss`$>ZL8l-BafBk#v)h(}(tAclLFIPy9LG29z)hUIxMD~DIVVaZD|7~{wr zAXsC`+fLAt_bNe;Jb{(?8KKQ0@6t3e9(k`&{_M(qLJ;`x>%78|cYK<>K}X&=N8WhI zYae&zi>K&br*k1nHoAw&3P)=%!AmTyT?8Gi>j-+Z3M^VrIe^wbrYZAi{c;-OvGPPg z5M^KIR7dNLf*4kAceMT#%*x>xHI|i&v@z8gL4ucB@)i(uJc5gWlBN~4)8po&8 zFqB$u+Hn6$*6pQ^2ActC^AC&GXzcxevJ3i5G%xZ4okZ@Z{3bHK;s|_^I{$4MQEUB& zK1`%_Hqv;lxAM;*S?j1 z_HoBPhwiilmgKaLJLXW0r_b8#=qYy0D_P(GwJ9-=k><|=;OV-RKgze=O0(yVB|5Hi zxfOX@Q9{hl{5$Q`Sgj-s{uQLTbrXfMaY|jBu}Wp+bHQN?@Y}YfE>;}n*co+kg6TN3 zU7XVthd#Eiv(d%bCH|8-G|^4edwo{37}RpBOB15)Ecn#=Pz%B+OGf>#g$;l6*9IOq zCh)+<_Wnc77;XDUIX4~J_HjvIURU6Ou6NBQya8`J7?YTv#NS^&7YfWcYBau=Ik@{F zHonGSpS5|~sO3K$`urlF=kb}&|8ImZ%It5xc7z3uFRUGYtQDB5&3#kMhBM`WIwroX zGdLTyzhdn2ziK*ClJk&$QW1l%Dlo6RD)7J?iL)|d-j^NoSQFC}D-cP=S(-44jmY!^ zV+o0%ym4a%%pN!P6MDF)!LxnKmu4f|1iNQ-wH}ekZ6Bvj0u9ow{ z?R!p|ek5H4Gri3w#nfM8bU9D1ZhRvef8A_a+>!bXAp3pv>-5sbbe5>DY9|;hniT!Y z_=?wV4OTa@Yx70TRa`F|Cr)g9gHa?0`W7G}#74i)*1FRyhNHp21jt#YuuS|i{26$l z=&1M;?fu`GR+OCZlUWbXD75=>+FvgT&n`NmB4zj5Y?iQ4b-RN&#c}z%#PDIGh^N;uD>2wVzWn<1;I2kXXAc%38|FjGQ9>X?-*6>O6&y zB+iK>zM#QORuo^9oN}UXc{(c=Us_Z33kh#mOJw<9JSx(krrS@WmoGWsQ=|QqZE5aI ze3s4f9BeTCurR)Y^nd5otbnQVO}`>C`V&S<4bg#UALqbS&G>Azkzt^4@@5nUJ;$C& z=RQIvcCzs1xy%pI_*Q+ByqHAM(OavNm7lZf_n+qjr2+8hIW-ZDfR~3wD&FNdYzBt^8t-FG8K3rFlWlfKOE}{1lK+Wj!w{|Vt>YL4A-1jD zLs-%Zy5TT&)^jtSW5m~#GE>54?$VAnvjdv3$Y{JM#7Z=rf~LE%l02JF1e=@%9(EAk zOv5*%E*2}9g$}Owm9PjdC%U4<{fowf(RdBGYV@SBt102Hl)p0m%K59>P!(0=t2b1| zN=nvOT~xwAb)qt4ss23PPrO^xz;nq{Gyg%(88X3zq3t}E*XV_DdHeh@^^(f@2HO_{ z)mz5L2ibqjSs@=hq|d2aE-)M#2=bR!E8oXnpLJPx0(SV;+i5eAVhF9e*(*y zn=ekVl7Jv1EedYukspK~x}Eb?UNrsx4KngF(fb)lm7aCunJug&NCQs2i_0K=UMTg~%-989Y_kW?#Af}nTzHXfwAZbO z-os)V4LKrHFWPGS1=}>#3`W%q()dkL<2Utx*7(ibB^*Zl2i(y)$te(u(2;=_fS8i` z#V(2gOuNMhQ5IHKx+oNAyf3vqk`XCmb6B|jT$Z;45g*BNvzEbQo^tKsd&?HI=N^MB zV>4}Oj-z~9^3ot0s)pi~bSU0CnY$KbV+D?&37(rF`J1lFex9p-SF2c?E!&WDziVhd$yilVxuKDh>HcX8F4=>>i@ zo?bxrvUYVpC-V;RBcq)A^P|v|)A1vNZ~OD(wV(YHEPjmj zB4qL7a~?E{ANdxV&5w7<99c5E+77~xXyPujE;pK3Y|UO`VQ4<08RLC{d2&pYd9DgA z;YmM8%+EIEf*5}R?PNu141W+Qt%(srB^HMU`R=yaa!y$!G&P1HlA)C)VtO5j`t!#; zDAlcXPlTjg4T9oEldLE;nV5+k5;Q5nKUUcU_DOTZEitt;4ODA|XsSbGpCD>x1mk3#*WpeJn5)ba^Ndl~ zoV(uBf_to)HYOEA8E7z_YyT+*AzQB7h-k7_d6zGeCB@=aX^IukIx1FkfwqT011A_Y zVd!5eX0XQ@BB6XiW^&Bg(oh=^;i$1VzUAS_54Zow=bQdjp<;xl-v3`7re=dl)o%$& z&NH$g+c-^_YAvQ9n09{7MVX}It+KxlunE@_K{w-PR8p++PL*o^WExBO)>ig6(}l+M znljBCxj3b=|6B`bvVf+_{@v{PCdO0?m|AHzfrHEUgG)Rc~b$Lu$mSUQ%#1c}ZaH)5%}V${((aOm&THCBljX z#+2kNm&87^B~T(KNs=^{n6MHWdE33*=47A5YLL9iq#1dm(j_J3hV$v&LHFZl7&Jp- zw$9)|NWkpGJ6*Ms56#?Dv1PVmzijt4;cSJq^Kbe%i3q!(>;1QScp3~IX-yiBUSjdR z!c%4OyagdUN7)FFiDAh6`h+3VS|}$T5)9aGh|f=p#xqtDVz%OAmHq#10reJ8U)ld1 z0ET@e-;yk z#P&&9nn}4dBW1oLu*?vsFUs>Am&`uNQ&RGv)v3jyl!?Vb4GTRr@qp!!eG*-H%1~?vZ+p3~7-vixk{>WhO2!n!}avMJrBI;S~r{OB^94 zkHk__DH(Y@!p?Kbi<+kO^LZ9-qNg6$z@Z*RT1E8|O|Zr^npkDT+Lq`7kBWRD3V@*S zXF}vL#v{or)!@*fOq6;VD*vj`0^Tjww+(q&`kgla5Y3P!Dn@K7VftlyQ8H>;1@Ir` z6~qbz1u4(WRWHg_HLbFA@wB=A&@#m~sHWf=3!}_<$edW4rtT7tc_nGXk#2;=QD=-< zqe2*?)L=NxuMTaf_ushGFB*0x@nrjgF(J-ts+j=a2 zKWp+xv!%wcg5^utJsn7g?~3osF$fW&g)GAt32XgB7amf53ueqit-3D*Lap zE{lKhec}xCHo(Ik313I{E8AQK7~EOamv3J)w)OE=RPsywI`aat&RU}O~& zXd!C0YC-gA%~mSktRu9i_EpC>X|M<{rba#dMHJ>~+m&BJP+|pi0gpBtT*!lL&>@cb z*OR_^!WF&CW06S8MRs4L7|f*+AT&^Cf?HrPRs|E4ekEAh{SlmQ0g zk??;1SobbdP@@=k4?&iON|@~wa84$$)B$hK1eQ7Am6^bD2b>5{XkI(hlHLRbj`U`N zTC5A{4Fub4P^UL}qzkOXeZs7fpUy`dhb|LZ64o%id#nm5B!rO;6K*1GumIPQHy(Ui zMz}2_PO}$A+NuocJb_hjSdWj*DAH1Qa??@+wrhq}?;`J6m5pbPE8~0;x-u>x_=zpp zT!L*jIFF!LMuC<1J?hq$@v%GYn9&Wcw%f?ZE8|?kURmZ7_R2Ur1HLFDyd)#e0xwJ% zqppn2z*M+tJk@&WJ-cwb&#;9H@jRgCYP@;VW4-DxG7q(W`=l|e^?Ix(P7})Q54$+_ zNt~$HNsT2}x&EftKOO1f+9z?Vh}&SjB-@8HcpHSbpQVAy+dhd~hDq|DV~?X{`5|QY zU{F|&IMm0*UeSWir#_7N!X$An)m-~(o!E2_*eqb=Yn%CMdm%TuEbWslvDesj*=w3` zF%w6~2og*+@03uvE#uFZ`ob>PM};zLtR0c8ZTS`QgP_63L`THDx-* z^hNce>QfGKhg`>YriZ9F9kY!Xrqi)1B)zrd)OqoYw_`ie3t3}Hvu^!#oZigr^kTZ< zYzIywDe{mQ-^-GHg+=1A60-X;@#w{MkynLeTP!&ncZxeSDy7t}IaZP1ViV3czd?R8 zd(3MqXMUnKF)*vd_JbO1Kd7Oy|7qJr9_mE@!UBdm(e?XxqE7-q_O=uK;Gb>JsRrt( zTs1){sQ$DjC?DmFG8;OL35)9Z)2`cOnQr!VE#|h#^bn90G~ftJOT=GAe_MfLN&F z&8yWQHPPs|>F^)!vanC$3bDKbd9LcNG!j$dCU3zY>opPPnT>qX3iFQsi3DZjOZ_h8 z^bZ$!UZ_cxMm&9!_PB*2)4fQgJEtgGLuRxrbd$W87#>Rs%Q8V`s4>j;a-qvIJ3E(R zFKwxM8dhye|7dj2a@-)qYdcJfhe2oPOqEGFoiLueLFx07+&vj`Qb;k4mFC za52S88lzr@IIt>ILs2cK&NWEUMT&+NT8)$z3XAKqG~0@p{>^B@8bambZ!~#hvP7oI zav(EHy~qK=m2M+wbL-uqdZ8l80rxj*@`xHTIWQ)g&`bmgs?y~BS9XFDlb|Hs`P=pf z$4H?!Gz=0*7h5lmhG-gPEE^?uhjJF1fkD`n zK`~m_Vr^4PW&hsR~|wtON>YEObF8l)_o)qBIodS4q2;ScnW>KEY2jc*TDC+`D!2!8Dy}%&ZKu zV#W>SdsoSv^+Blfhqe>^I)6ZWd9>N!Q#?F>NMQLxubzxQl+TOvlINY`-X#TO#d)EE z;31*|=0y8#sqLdPAdFygUP>l}iO+4RRhf_~LH-0pWOhM<=VxgakWw38QYV5M>WI^m z0Z(Ow2QuPp_rh>d`|D+CmjF{9I&pyJ1#^lZ5zh9t=9Z@EUuq5-5P6@7Jf6QhiHSl; zGWZs`=K}YXh$x@AJjrGb4{Nm-JN3iJ3rKzYS1h#6gMA|08n>G5QpgiqVj_}4K&6=N zQrIUcN=VV+QmDfuy09}As1%pE6!uAqrf{bS(jnMgCNd2}v(d;N?-dcnE~URVnOB3E zQ5Uv4)cJi5t9=q#luB52C<9GFVAd*&tneRN)`&eV(u9Ea^_qPuI=Y%}glDFL`o@+D z?d0eow>hCfRf*?RnW%OYxYRH{b;c{M*$Ad1DTUT1VTQ|XqyjGz!#VSe02trSO`eW^ z*{G|Tj>Dd!oaVq~-uwR~+M!uv+4Y4XvoN#XXfBj#{uxCsyy^#LAF{RKnx9Tnq8RFP zLWv{y$0jeBZ^@0tnV8I^^h)o0no^0SkfsODZ+Yp{$Q7m5Q@rK3&dA!TqgskH>C#I! zU9TIY(AD7Vs7F_o<={r|d#%SovvSLEaL0C62{l*dIl_q?Ha+3Y&Yi|WS(q0sZeGAw=GyE&4LxG>@Tul*+vUisIvbNJL)y^vHwz) z=|I+?f1?T8_ObzkL(!m>BhnX;#743on=(II`h~%MY|i{B(p^ffG3W?QvmZ@UQBFO& zRctUm!rK5?RWxMGfSc}c{0KruzYM>Bz9hJb(11d0g) z{#KOp{1crzybyUBpXP-uGFDhuPP!!|Obapd&e&fJcTtUVl#a|a=+jCnGwDuqrvX{!eZYfePS|8wr=EfrK}HEGFkp(Hc8v^71T{!tSY#q_c?xNm`h=DRK+^4x zBt(KH@lhibRgS*Yp68soW+c}(W164EvbV_3Ob=B&?L{*^GPzK~C7Pm1I}vHo^>20P zN@alLf+^kt7f&SGc&kl3N>ffLGJ7u=-C*JIH+Zcmy^Lv;gfObo%?8);pm>yzg=Ko83XdPZzj{vuVun!C%6T2?lC7Mhl`3B-P)^$% zZ%aj_RiKO=XS7Qil%ZYwon_XS!ydzgKecLWLAX7|$-$iQ_6HD}W1UtsoN=vh<@Vkd z_C^H#>loHGYXv#!DcZ;e*{KhI7)|DJi%)RQ+TPy|Q^VH-6AGAuTD;TuJKfAWbxkjW zCTmhAPTx?R*qSaPt?dG$NPUXLAy2V~4^_-Z=4Zz2QOq72bK`u)}n5%JytjubA_`kn$YXN-6s&GCo^!uSb zhcgz;_)IyKBePbj0;z++sxG@&vN~DA0y(rzw|BJ>O)q8kq@HB0ZcMAvB7{@uwgv8G z(F6;p`;Si+jdO7=;p%$%GyjlHhmmT)Q4&xVl>PbWm$Ey=>6?C-h2cR zzRUr8)Yf6qxUN0n%9*a>XhmP^8&SzY`&v%4ezUcAV&i7+S=sz4xEu~}HavA5!pf2^ zU10+*@Ew3l288<$IBIh%#DwD?aDa3yIjV~>h-jF@{&Z&Sn68P*ALWWA`ddkr$)*y3h&e%7K$#G(`s4EulrBItxC~b1cr*_O}M37M3VVF}kog0cM zP+u!+6Gt%6GU5F3UOWFBwrag;o4wv>sH<6z)89LDyZtftkKjycBwRnpYj~)s|YailgIcM5~jRQxWw#P3x{u8(%#6tZrY8{kP6awEfLPM2y;%STgi}*JM9u z*wey^sP--d8^%=Y_B3iY+$o8iIQqp2$)Xp^ut&52@rm2x?u(vKZ3ih4ky)To8R(ByD z2nEfg5ey^tX~ED~-iYBy1d`E>WJo&Ja;W0R!`v-Q_ZtlC_scN{W9%_UAJb}wdud|X z1X#_YZa9(;>+@shF!&ajb)KZy|vptYm7hLi2oBwadHO%rYS0DMz9>G_PkN&H>_fT$za&NYqKynzOjgue42 zU$nTl879BB{jaU4{ZhLM2dP)p1Ysa$(lHpr$iyOtHEV4ryKX|U_(Zl;AU7jP=(!!~ z2{nzXWj6G554`Z^F)`G$inFl}k#p3hOdakA2@|X61zQsc*3=9QJxE2Yw;BrHQN|4@ z<0GwIZh2Vb;#?L3`#v&9$C#^emD>W7Tiy%hi;gXv7++_v=nF45vd2Y&$&TlzQO1ef ze}MO4)FnBVeGQytZ8|QWZYu^_cW@T`DgQYAIQ8*IIEMylM&#F$s1Ymh_#Dh8KXcaCL2GJDwcMt(S_lgC&pij#qF|H2|`14<6tcL z{e2UXckPl;D~EC_?aT0POT)Y0HMLkeA-VXZs&a?CAVyI<`%93j!LPE z8quc8SmU9Ktz`Sw^0x*v*W3DNI9ZiT`;aToSckjp>AH2Vj_=h|JdJ`a(~w*cjXajQXqfkeA-8YPB&zQ|0=kSd+ZwF(nylA1&;!&LfBCO$SYI7{UQDdwBs#!{&Ppxp-h2O{< z?rpcv%G>&!o%cj2klG5JlsheO$Ek>2gr;R0TBsHyGP*Z#FD9KcI$u9NnK$f-K{9OM zqpZD*iv&(egoUxvBwO1on_9(9o7ik)S88_Q%5*q@xW?97gxShC^6 zi;|VEsl~6>o)a!MA=oJ!JW-3;2df)7!*tR|gRwXl*G^TVGUoJXE`wa~_@BtDlU2!S z*U;T}Z0Px;wjUu4u4yuj*LoV8;WBMjieHlyFH<#8r#)1oZ3?Nr*g$?7B)`jm=$KK_ zRrWQa!(?TPbrusRMIQOlgF|{vRaV^_mO&fUEt9OatC)*7$jKaE8hLwgV*GjSohY%r zq-5ng3KYZhF3L;2@9%^R8pCo#+OTZk5*4*C-2A>+{j25}Ro6zl589gh)rOT`5s@bbQHLju<^7+lL(W0eVTmq8 z40Fdog&iRe%oh~VmO|+e5?|B*GAH{d zj$3)})&i=xl&xPp%Gy7{Z`Vd4nBxEXVdm;2IAt$^!~3w#R`M>_pyDWWN-W5v6wMjV zP3`7+|pigAD?kbELrdS$Trvyft3Ekl^=4e*dg*})t-^8ew=_fA8`!4Fia9kf`WDXc)?IjrG)DhE`rTRD1dQ+=rgzjf%8=vOAIGopjHGorV!5XEL zr6lqKg1(>$E+ohbDFhkkjIf3*9zKHc3{D&IbzV+VK`sEI=Zpe#t4t-|IM-aK_j(&? z9}MxbVZ0BjeVtYB(di#L*p-S&r#DRZ-JsUI=#w!!~{h+Ab`bQ47FeVeiD<&U&g&h~cNRK`TPb(~?~1wNaGn%*JNfZ$`FP(W@X>qe z!F3WLTIJ>lX(sq3OGpDjN5~X{{5RYaSm+a8wrN5R^&pOrU%*AhJOe`TODk1w@lmxy zvlgn1@^ZqquhERf>_Nz7%d1Kr&Do!apM5Te!(6}mImLF-Rwv2X5!I zZQn}OhPFVJ@S;5;4dDl?{{0b-6I>js$|px!(->XH97NgOiHm1d?|<&!O%EoI-SsbK zROXGRc@?+rL~Z|cJLGQIC@<0~ifm=AK?_R%&kP=H23SW#)(&Q)3#HMu8gy=N-4{Sd zV%!=fqFED>Pz7S0>2iT^;^t$HR8LVA!wO6d!HaD@sc>y@BH`~?cUB>NDq0kiT$-!ieE}4yS zD@9<|9~n6iU8RSm=_(_5nezB|9&VKapGb-xE5IuIQO@%;;Vd ziI$?x?@VnrQJTK9b7V%!?_Y0IUh^HXDIa=V ztp*8RP@FbGH#H<#5)08YFbT=aZcT_qF^5=k*CdrLb;cvKBC?;Sb)`V{tSdZrhB1V0Jf*kw&xR;= zx!?XBXgbG#=6`Q3m~n}Qk2^xeKoa$#QCtzjTM=&zjl^*}MZK;)#dA@Rg6N~%M^?9@a9IP`*Nej_)JED|C}!?l8;25LgtSGgRVp%q233 zBe`>No~ZzJ3rE49IrdF$fy-RU(wSfEW!`(n-lI(+Q;a^Q^AB|7AtWnJt~wT=%*(Q7 zANh>oP2xDujFX$eVRBq$FJmQVjh^eA88TN?Tior7@O)O8aXId5=-B0Bqq`|Z&0Y{y z8k9m_PAI-6_b5)x=8g0Jeac{}Z*Mf|UO}r!%2CNI`tYCOrbRr(A|65#Ar=SGfUe4* zLwbCiV7k=4f<_V2tocv^NrThze5%o6%3w@Oy{-HjQdsJRHm0|cBY(HGz|=$3%Y^|Q z*kN8RI}}lLE4iin;XgPjSVBeE`#E**_ozrb70%5I!TVa`hLhu^7?TKc{*I6;C`|gg z;yt66ZZG}KU>v&oZRg+wjY(u-toP8Y3MrVKd{C01OXGH`^J7wyyF`2nal;I=MHn7y zuqdkOA*){WDryu3<+erpi^G(+GZRabO{v%lrelBo_vw7Mr`e9O`X9SgIyt%HN!L@$ z+tT-*?-<9Nsi258ZWlHM)7Wx6Y_BMlYAUl5L%IH@ySEmYJKg=?v-tD6mub9Bqin*z zvnbaeF>&zF3Fe&?*wWt`X>?9PZeYZ|CS11-;lHW_GL$C@ew9nrC-8Avj`uVQ`cqQu#Y z7MMJB_s;c+Q-8_Za?0-;$~rk}w6Q{Ym;Z0%O9@=o@(S{`K&)dMCIajKIr7f7{_FXW zaS0N1F<|n`H;*>G?(nKI?#fi7KTQ>8TlY4CTq9##re!<_Ex3zd38f-(GeNaa-d#wF zObc}AFxya%;$n>3bh#pGoq3%3w$#lz&MA<;H%e*zK)ja3RC>B`|DlIXETj8NFIelc zXrgOq(9%t`UW6!?5uJgAV(N4#MQvsZy(q=8q>wP?`cuD={Lz+WQ}B^T8x(*N4a`8< zkcm<+Lb)M31=^mGf??Sqvh|`AKUWH#zuvpY5MwW0bAXwWA$99 zZW)v|(yom3wicR`X^d&1A7e}nK6=1My>dynud{fbdaiG!lKb{huD4R%d-l-FJZi-C zBl$`X^?^q-d#D>eL+OQwjEHSb)qgQ-k$(Bxj}4#fmr@~xps1K<$yD{9V#%x`*hVIX z!ib`PSwPWoJxN#SKDy4+9fdpLxW0S=3aJ5`!+L-=^k)=Dd6lYrY4a*0c!tfZgkUiqr7kjeVyY>Ui9BhUaSY=WP^vqMVm)0;0^u{0$xY*>?`gv>T7zY<{IM- zV6ob(tp`53O6nxgQN?#tugVe@BzU%1DBcjBK(++cC)3fR1eG#FWAk{`2}0k50OzE$ zO=m~yW_V$SbytGT^iV757+38!XG?Vq8#Cb?3Od%zd>92(nkT`5vqj_6`j~nD#7J_b zmE=len$4o4gumunqdBqB|HqyL^LqXX_U(7}En?q(%^S-aF8fs`Bf6&lz|Lefc5^`h zBoyUDxkoVvdtSK-LV?^?(sCX1cB8hmy}XH5uwYa9LwYaTGGFgAH;sY&-;e_AgM2R8Wa6)sW>ntZGlTijI7GbFOvWfyZy1L{Mi}=*vKL9vx`(Mx0wRC+_Ie4>7 z8f*=D7eQSuD6j?;93yp+s$=95pq7y*{napXsZzs8eGuC^U|TjLfBRuJBd2J_eX&ho zxVg^b=3A*lhN#|;n+CsC^K5Qb#WJ|Lp4?rjv$&~9%5*;Gl50%VVmlaD%QLvD*sh`& zqxdk@G=!byYi#JC%&ErrDKPw6q$AHQ8cY zJ`r9e$-Y15L5UgT!iGJp2_lg*QA13YuyregT0MkY(Hw40r(9(SNL@$W=1YXO*7JByl{`X>G>FtGa8YJ+`_V2zIMt0M94vCSDd0bQ3S?;;Xue zpuW0zugVr!m0g1f+4Js~x)%Ad_iXjorp-}35PP3vmy7c!#Ub^}@8HEbN;mjR4{@$; z*R;nnUESw3m7_c*m!7-1^gd_v1*K|f*wf!u-Yg;~g(hXrN+5TP$}jI)_;^m|ei_VS z`N#(UAN^@(^2j@l4^Wq!!wo_Q7^WY%T2c2l*jzI&Y5f@hzS!5 zl~UYv-M_KC%JDl)9MUJVFK9>VWaJ>*nXLbp&g4(P;p~TTCV%p_k)?X;OxCBJNnFIU zewtZ47Vgd`>$|;vPOPza;qeBkncyUfzDiQ!9`mZ0cKU@+y4h%& z59*Cv6LwBz13~9h1_@ewRrY6NuZjRjob*9Lm;`IKQ+c@Z;mg+s!geKRVU{a zt#=+|-}mLK)aGf$Q{Iz!>siJD!e;GA*sQ6tBa_Y_e^FG06Gfq%HLl)v zM{~HmEa?BG!B-oR6(7N`M(O06MyfDG9l0KpZ*N?J1!PjC-um`9F!~PT3RE| z(+Bz5K30f8LP01;$4#R;)hr-Ce0Ma_vaW;;w42DeD3q>`c}IzH%vr88k`fnXS{%$m zuE4A@&bb^MP84g8)O8(%9%H5mKV_Xu%`)neYBXtp$W}RyT4a>tJ*7rD^g$}n{Fb%m z^=WH<`I4ME4PxN8x9a1}+w4YC8qf2|N)^bPczM=Gp zB-?h#Bz4cOEW<`4IVv#gX0}3W2?AE@(;CU>>0Zs8^|<_SW{wP>m^so2iCr)vV2 z5Hw976T0G%H-f{q9(geh8vnQiR;)Odh@J14hV8A?6K}|Jyp=i>8imk|ncK=xi>dJ? zfoBtT`OGEgnp$-VO=W1R!i?op5dx|rb{NdtQuprM!(7$4=7jcLyp=;KG|?%a z2|*?qa*4Mz9#uTGJUmp zPp(#SGEcRv>npuE%Z))xta@9czHEQ!Wsl*iw>8+S7xoa%d0S(=?Dp<0wiNzN16V$>*=u)JXz2^7wpJEciG;}G#hKwY#0Qn;^F8&TRSEf4i}9WlbBydi?zh{ znvC>E&lTx){?vE%to3g<&&g(@a*V!_q~H+iJ$gpfbYhM#TLn=+Opqq3DZEM*>&AoB_v*I21%2-$h3Egh zoE0&%*VR$e&0-v*7VyNVg%bZNBk^NdiIvOxpX05Oi{BoIX?_;eYdYrr5e0PDVl>B%2pfSUrytc6D^9Av562WW=UDM_XZt=9w|$ zp~0;Ufmv5-;Sh{X$#__Dq~fKHoKg&xBd3g@BWF7?GBuLZzLgwd*B6y?+(hLAI&PJdbgCEmEh~ z=Cy?2$u6%HVVBncL6_G8g6X^#@*=JZC~m%QFmFpexWj1t^xF=m@e2>o_%?cWLmGbt zgdarXm$Nuo>i@s3@r{}oP2aXuXDEF1pD6s%BJn_l|K?Xjd7b~mtM#n) zzh$0Q;m^^xf1&WR)!Dc8GnZhwtDhdiPT_kAI)xW6t-`;zz({@v0M&LKwVkc-H&aUo zsA7h~?;u55;W`RsoVgz?V{v)o{f4bOp4W4OTHh%Qh z3s~H5^0MZ?nz;^93^9q3_x+ezUlvA83Te2$yrMU7hn7qx>Jgk9_Y$ou?ioyR8&4u9gKWGo*6d^pDatP^wgC5wUw@h!M_Shsno6H3{tlNWGm zL0*Ur8+*FguyKHQ@kbUaAH>+aeztg6=l^$ohNnL^Pit%*)3<+NY*N&d6O#dg7dtU2 zWxnrn>s^!)90eG)RHa%4yzMSyY+hArgl-q2k!@@a1EL|eatkKT;LHEq{4^oBY4a0J zjAAF*Tde9B`+{~?r5%g&B;G`eReaK#?Un3jaz2xVj{J*XvP#%foGx)M!Ao3;^FNbT zj37a$7+u7$CI0!ZOo`ViH6}+Nq#W;1Dm_@)ClV zx-3(Koh}X#bXhJSXtO-QX1Nf6X?67^s~IlK3&_&5az>+AP_gV+o|*e$_Dr4agXokd z+1J@rs3T}D!8%7!4`D}8FF{988$l5?Wam17$QtR3s{ajGy8@>9I&XH?=sGc*FTdMn znchTi&Slt4@LMj!MTA|3O9;9Q8weT>sFyK?7h~h~r1Ucl=548JFem(n&oI^~s7{7j ztoFg&!0nfUC%cbPRgz(iX0AD&2$=?{)_>s@QlBAHbpQ1KM@>;DBlT%hbP}}eXNu0) z-xTE%=YP=@?eR>J_Kq!suP|q*qw~4R)~@W=(YfLb@vzRn@7sFT`ad*JYl^<6Z~wv+ zMX4kwBQ*r4lBondOLqUKQOpPcN!)jki)>Rg<3(gJ%M@J?CF3GKsdaM6djFOLRvTHb}hvd8-tqC!`BpM(}z{WPBpRPAQrRI;AKf zXbXI}EpP~c6hj}R7)>fB&oZ#9eaf;#kINF7S*`=6zurHwUNwgFo2pJ#G1(yLvluEd z9l^k?2c7B2rzhrWDM;`JM|701Bf5s5BieM^Ouy{1|1hOw40iz4oITX}ylcKZzwmcp1Blm!Tn@ zw3qQbnf;G+`+g#(F^bIl`bdd=>NzQ0=w5<9afQxj;J~R#kf2kOE@Ie1pZ+uJWhk}0 z3_VeXIVvj8Nnk%fw|miTq}eykOqrD18KJJ}mzjG(J2fP>QXZz);Z4STA4L%1lO5%fTzqia1Lt#W>A~k1G8K$; zwm4I0m#=NNll2;<+2Q z7H|;K^ojqg#^FGl(7SX$n~<=xADZDf`0PjfuBPY){~sowtaY5e#Mx&+Y5I!H6fL!? z!vAvYlp`=1F?kiw<>6X7FTs1)c(EFv=UVp@Ll?D4#hB9*|+E(IV zhGb8lWXXCxtM89AvvMOpywIo|jg7dI*^pbC$7Y)mc~6)@jhu?t zTbD)?99a=WejGJNf+^0Shh@Ala^zrC=;-P-o)Z1iy=6YSh`Hle*Uz;$3g`c%$V_CktMuY(&q1Pn7e+&{|-N`E=!}f^oK#)Td;20d_tfyyqpZDY-2NzS*1l~0@J?F{6)doVK!0b>! z2(}ssffIEUSLw@eioe?T2){&_KNH?gn7`Wh5KApzyZB?A)-9z^PCX*VVz^Qkh9kP& zrT6F=>MkAJRjj$1p!q(kyYy>s059q;yn;tw$vf%4|7P(D zv5VYahwF8x88Qf5MM-L3<1Hod7){o_21&2L?7eV&A$*QpL#q)^?yp1*E$6cNWh@LbEE;99t#BZ@TN z*3I9?99#8yW~U?Puy$1^zHG?pzerpE5OGP&*zWwhr?SLY2aC0@In?P<&_9XA>XbGQ z9i40zZsII3Gp<-1Acg~9r3&w9>X9VW6%9ZyJq4W})4tk|6J{=bm^0|U7oZNc8=Vl{ ziV&gAB}^)hlO(|ea;YoAMra3}PVxLk0SHIv=Nn2oLOixlz4z;4p8a=?sb4N576lVQ4jFn4p8L*7CJzU2l$}_ zlv_aUZSzerf`uoz56xc8k8ZT(oSiOb?QIKOoM(&!V z&8U}h^223(7!kl&2Mhmx{}%7`5dU?A6>;a+%&ygUsDQI?yKhVJvL(BZ`3x^A;{Xx- zciKdKz>eEX1mN$2@$3l}-VglLFIr^BU1Yy=l2x7J?S!oDgin0T!a2rb#Hq*HxF?=q zo^>bQX};AJzHZ~ZMEsFQSXdAoGCThNu;0Kn;>4E;C(fRC-PVG4B9OQ9ucjP@7ux5z zS9rz;a{Y&c-c6umPD}fN!VB_PXc=gAEO#C! z;ML~QI+t$ZtssXtgF+|pU=Uc<^mJn6coo+FHBM5`l>eAy?L5FT|($%eci3EJM{G*yw>W6CWg>!z(#x?jvQ+-5n~6r)jtZS9wi`V>&&UTEyUR< z079-^z$1q1vg-Z6A6UeI$18=Z~32lF_aHOMLobEG-X zTIyBj>&Q@NROgm=jV4_WyQw+IsPlhbtVfgXW@#fZqe)5749z3pbk7YvZ2SXP$K@M(wk3fsOML`HWom7v)oz)})yIW;AI& z;l$Y!nw%!xZK4JXbL?~6FL+94Ruj$BnITQOHv{_r22J{e(j@#Jxw3WNV-@JQ#V#=N zetkid3xDe%{yzfQPm`iuPkC!L|Bn^QrX5EV!7L zF`Je!geBKDm$%TC1-x-1$-4iGx%YvOv#9p}H%%5|N!Se}P^3V_v$49tUk7wlir8_9UFD2 zj?bnS-4Z>Wq8Ho}y#Q-*cjUIKDYho{Py!eFnwCDQww>OM4_BpIf2hN-tVI8Ztwh(? zr~xB)ssRjVkK>E2A)$1q8bQKV8LVnZ(Iz#7l#Lo>AJQ=V5aXBTvQ@k!32tEnT!+2H z8*xS#rD`&>ZuwTBnH2?_n#b)vLV4FKFHV+A+ttK*yy2Rg$KZbIz1JM2P)w^dcy|c2 zU7+@7or*n}KMDn_Iku!e#$Q>QC6~mcHZZzk|MetNy@}Mz!It3$lR@Jv8li?{joOOZ zl$655Z!{G;Ux?a>?mZ`OaA_s>>qd8-(pzOgF7K+?&4rO`^Ll@d=3PzPriEVY#RTs# z$wtD+E;5C7QC{yK@MmNxv;F*Pvt~R+%vOT>Vb2l176$$3xIY|Goh}}&pNe}Ux1E5A z365o-!48GcI}(}6V%%vlg50h%Pib;r%lJ0&DV_ZsOip0o%y~FbH?)k;c*zfuOs`a; zTvX;;3`lGy9Rd%JXEBmuAw}J+_42Xu(#t8e9G&m?x5x*Y5$cwQm$jyjJ&h z+bQmJ>n}>1VZ&v=m@^AD?2Fu{*cg<5`rPvh#MhhR&u`7EnQ2Eh6OG$yGUxC6RLl4~ zJoH8ZT%D;Tgls#hep?PB6*Oyf%CE@c`CS2{eD|P8Yacv*Aq}|VQ;}0$q(7xTNvdGS zcZ!37eMhMq4YvNWd~sX27<8^UH*zYmCxOxT1rW-zRq)1m%BLS$9dZ^}wn2Pyqc z()S8<)^_V4G$aXSeY+&;37+bn(9;8YdO-Tq6DnlAD%Br*y>x?QQ zU>kv`zt7c5hRrIZ>~5Kr$mbFKDLFz4)&_(sfq?S(29MVwp+E}_$!KkA7je`sz+iU_ z(XR0hKKTIf(X&AR4IWC);!mx2Kr<4rK%I$xU4Jewgn^}nt>G7Cuugm78No`>-gMD;6eQ|;`1d5~QkuR}=zx3; zvgG3E>LEp!Xa}>#{^5vp_!j=+W7(p^A-5fGylaxm$;aiIm!Lqj_(u~97@c3JZG5;U zbFoCgV!I%?Pry(Frp}As-Y)=~NIRdY#RYB6jJ#My6l~*fvH*dNhG%eIhPS%*^E34} z=UglCOkTT9LfQw!v~AtIPg@TO>h@Hp$N#7_@!}B^+V(|m{f6qZ$P@(2+!R0KVWe}l zI-{nM&CLbP_OGKm%n5^Gnr#-_Y%_QEoE0$sqSv$m*HDR;{hEh( z<3n+lWBOayOZ(lHXIzrtHBxS|dd!>x&6}5WfN%XH{=`{i6DKM6(^tE|T;`#hZ6e7Y zuOI2lWRHA@?G#WQV?uF{li^upc+)jU0NANo6df5V;Cq54>0amOA>m!8lI^+>O>Da9 zVed>1^@v%rCXr+aM)8g-%Xkonu4;M&pKT#N+uqlh3?@{(p{b!GuXnyp71Lr{^v=}5 zzKH=WOUbgA>S4#riD!Ficq&Jlz7_p0-kf1f4K?eabtpu0P7U85EeL)4JKy~_P3xj1 znt^GVS{MBw&t_VjHbEYr=qc{E{#DZV0(16BmY#f_XUxlF_{>iTu5Pu&O%G)y22d|4 zIic7AtMJD_YrBAsT5U6zP)g;=%K0GwD)c+cq-^tC?hSAX zpJ45Xp0M>aBi<`O+)tyeI!5OtLth=<#Uw-%8sfF&-+g>XtgiZO)g_<(Ol@N*eQ;c{ zq)S&`h?;j{W^UIR7@t*38~)>%Vg(Exxudr6sOt3an#RqjXXt?=e_oTB`>23K@6sLV zQ>)V@@hcx_KTJb~f;`RLe)G@A6vwZ;*Cma;ERmV}k|kvlGu~!C-PCZ=4usuC-gx6C zX?Ym(<1Lv81sVf99ct`WfyQU9b>jj`ubsv!@{;XHn)zC_g=w1<6ZtG?e%&EpSCS}` z)*!yJOHE1&N`BpJR z@2l80=-1PXvYzRM3T2uOr z+Q!}Irf2m@PqFvbjxqM$x_T<_ ztR3X__gU-2A93w(JiVYdG^UB=$I+{I6<1fRce)hYrU747P}A~eVWjQnyk#j<{aTM! zl=f)dsmI-MQng%6xl&B&P6TRz>p3@FT0Wue!N{%8!&yo}@gR=!Tc1wPT`ne-?Yo&z z;I@+CsJW)2J3oD@$DQl(2yjCG78?f7TJWR#Uh$|Daqc#$$$V~Ky5J76C<2;tPk5ZZ z+J0{Bm8%whA{0Wglp+i_Dq7jPNpS@wKB?2aC3!nP-MT51j0`(3)w-^M_nIr$X`Gbp z8_z7*HmdArjCY-C)_F|+>$@I1S`oav`K`^&{=f!t%0r2CEktJ+>h$LPZB4zb$c1&< zYWTcEl~E+IC=QAA4c*TwS93G9@z&$sZsx6}6Sv{2D0)M*=wPl+fA*2zE^)C;mz+6M1hY@}4yHZO*XJH@MTM zAE)Y6S9R*8%oMg@y%5ja2_ExqRP@$@7a0&(HanS=JG~UPa0o4I7{)M7F z@7Tt~yGApX?mL-6tK*GajWV{9E_hX6;XOD17F-5PcDf`}%j1pg?~|(@W!;LgR5XWI z<0ovVRP%GNF#5K8^^S{=-=x=IRv+8xUTs1*C62oRI;B;z1iMwgQ0)~_tw~S2pmg1W z_9>O8l`?m|O56AFEvlu{`rSO0jE)&RP>b59M3*0)m1o;k{dpqMt3#G0QgXG*m3q3U zOU>s6HLz|$S56&~=*b?qR$)D8SG)(ET{;*!a1c5v`UxvAQt}Dp#Rd>9{JOzJi@wlkuqrrcwG zTVOhN_+v{?!~3Dg?)Ar<&2x47uqupAw{;`@>0OAz%vE02+ zS&J6&ryiDP<3)A)#Om}x6EbnMp-oMvRb@V_vb-_?Ei3o3j~O!iuG6bHM&6$zsI_;A ztMv{09ayWyI8o^j<`=l&3GvLgB603fz$%Srn&%zYgsZh^XTvx0k4!xWAQrx4v_6hZ zmwW)P00V2eTn8<07Bu~x?N9k}E>c(#Z+Yj~Y2kS4F5qtPvgoD|k^kQUqYnBWnv|Ahcg%;-(#yX{PWb{XZJbMEk+y_?(ZkeIo)1f8 z?lf7zcrfs!_0|J zEac%_{@7-P3!}VUz@KCXnzZbp=(%!P$sYZJ9)$j-aJGUK>)y#af8&EZSS1&mW&2Tb zeUg9wMLE%HC^~w%o@|ZdQoT+bp^#*-E-N9K9McE=VAb3 z{#K_WwT&IMZS6J_B_i?mM5;6X`c|x%>uc(XiG(W(HN`N**6k$M{rs}z zoRr_gF0J+HPN@*6?j_QbnmbFTTBYjy=(k6xt}fMVt^@5DkhW3>>5~{&bqs3ec6de^ z8`Ky5q$j1)Xg{Deh40lGF~t8*Ta#sI%zVC3#zX3@n$$}*sjl?FnMno2R9;38W-Q3G zScVHUICZ7#M44Qc$UhlxT=addaV>55R{oLPG4toWhw}0Ecw_5KCA0fc2}{;93gE^B zPJhruU!6osJOztRf?X#`CFe%Oj;80?7!Y9!nXXBri5NW&1%-(g0&IA4)w30|uuogP zxwv7kZTa4AJl)fIuviYqcY_q~j%NzunLmtaQC(NX;usvUc`Bwc-_2=@yT4mjtRUI! z%kr*w-J{)5q@;GQ>^{Pe*ZC-kwT(~LbUa;9o0-qf$$XX!38w}W0gznHU*4<1hniWM z_KOdFkK$=VHX3o{xhU{~biY`Dc;2Dd2I9DM&L-&}cD%jb6srIAnuenqk9wqt||M5Ci8@=%du)QK&rV(+^r=HiiC5$^Z&2+yjN|KFDk){Ci(kn{u)KCS|1a zb*;|r@vx8c#y10;;k$r(ohFu@NNly8?6-$;bTvFb%Sk1IVtt=!bv(-Y9dj3(ZWZQq zlv$IE6KJHm?F4gjik>F9fT-!KI1ttG%rJwJ56%V{fcQSzUOb0MAe$!oEFF)?;;xrp zr>&XsFEl{7IN!?kZG>Z~NA!(X(gHU72DC9EjzKkiq5Noa1?8LUQ^qKl{eER1z#7~= z%e8Or`}FMZ9+}^9pANBFqQ&2QL&9vAKQp^=i8XVQuClHRC-sH23HnW#<+n-HDwrH^Cl=+N0T{I-n`GmPlh z-7JZ_`r^G@F@<4td%^`5X5p)vAj5Ryh~=!hhgQlTQ?*0`?-J?7X1VD8z4Ey2kG_O) zjNxO>=Du-eIj^Vjvo`mT2?0f~jB-7y0OoFvR%8Jv$}|A?X*UNYeH_o{HG6CFx@t3v z6Ms5O`m*$jxtl|RVX>N3tnpA9~k$bR3ED=x3$ad7@9fnjp6&|0++~}3p1>$oy zPxs0zZ5K=_mjt&So&zv@008#RVg0Rlg|PG7kYXFL3SqH_yZo3ia!YC-0F{L$wW}8l z156~ZMcUb{n?FiQ_po)E^9PZ(kD8wDE*q)~fA}%k$7`g2WGU`By2%v2+3tFxiZP8d zCN$KfHYL(r?#h=m8z{Ttjit7-t2Nj98}g4#mmN}Nre;Ogbw^4gDQJtbVX_}NWh(1 zWpIs@ab{BIlNKqcJbwM%(pi&2VGVQI-{*hPUhNeji*;0Zaj!ONxKu3elmB zdXzpL+3>|}fOON-1G&+Y?mxcx?$SLT`U>HXAF&_SxFV=?fQY+LkSBjq`^7u{aS-0A z=4{?Lor?D(%pf_wDiPPz~inh&Nh&FxE{F zwccI4e@LDGkHmYS6Zzd^6(b3d$H}~(7WYL2B?#4fO;QOQ)Twl^wRV1&b%{N zt99d<-)R~IHHP;~g9>z`;C4#;f7ElYQM7f;er3C^c=KWE)w=M^59!xg#CJb5up@eZ zERtzV&*YFSn37}x=g#IiBwJz2yxH?e?rfegBGiRHZP;ikct523Z%RE-(q(HF3l|QW z)9$gN>%w0lA|zE5|Lh#c^vAyd(|=~bkjxmWv6!g2*j5w_%j@9ipaB_MFDhDp_Q8Sl z-BqDsI6gF^E1N&~e$ZvjV(+ZEvDxV?trq1p80CW0c}&m2Ko+E$IqB;oLF&z#$~j`a zkYD|$=iB)uQXI>2%RFUnj=P+>W!2$v9AV9Ti>GH9oHmwDjbj3-0JA}rGF_H!k`&Ye z@2Ye3)F~p`bPUo>vS&nyNm^%gFS=!1SB~oousCbyxC+RV#55XyEUq#Ki-w8?oKWtf zP0o3iz?Mlbh&59qHOndinXBNg0W+1Shh|EhSLMVm_9TZt%LKyu`8t_e_%NKfzS1+K z@DtYCeZQesJXsh1PDG3YCyyJT)cjYL5}H*X#(5OAD!g4N=F}TUg};+jSN=5Wr?Pl@ zS!`aASnO3g?Ky8+soeGD{Zzx0PBnQ$S0i3<)fD$vBUW&Uzadd=D-NpBfR^2eOH}%` z!?GziP>@|wPyOTnQgm?SBpW_qKN)Ox2iQ%tLV~>cEGNiyy$JG!0|faT zPms0S01g?0x;2_XkxtZyQRM#sN{=+B4~R|6NuW?JfUP7cwCk%57VA1Hp96rN_dy%| zJ*fO$6Fevv5o$e>EiLL0Rmh%7%$TZ*38AKeS;|Y1wu8)vi?z@Wgt*~0_K49WXA^1Zn zx<4znk3!9#Rg=0u&Hm-DoQ8qglUK3ox-zM!7_hQXtJ9;+fR&AT%Kz?b$Hwe5>#v+W z8oty0r-9Qwy}Dt)gEEjKVq_d^7 zsr4AE@zmOt<-uJ3$kPxHpL(iiK$dana`DtU$D?0(M!b)`B*_}WWj=;-6RBrc_Ui~U zD3=A+8hrJ&&R4IU#iJ;c+cR9D!~H^=DO4`vE+N?Q47TF(d9CurKkESdgd?NxJif0G z>VbFuLcY*{{@p)RXmka5*Ln`IUEpBFK7Cgs1tHJRrX25C(w#@+=>G3IZ zO^BP9rrGXeMbc(^DAaUO5Zg7}dlP6&XMtPWUxR~sU%nSxVWD}V>7%T%JXxfEYKU{n zi)H>RJzw=yhHkohJlm7;SjU`GG5pv;!jX|$BM@kUUY(lK^1-yH^Ee zL{~1H@5eS~`JH!`o`YEM+$0ExL_shpZYjy2MV^UqZETWj?qxm#sD}rcVb!B{VJ8}) z20P16@-rY4bDii7O@w&?5o#WPcOpE(cSEx<3r%xQ1!yh;gn4pE=TPxMa0E?8=Yv=9 zUc>W+G@k8Fb>VLwZk!{=D!!s~ph@mG!4chTM=d<2vKb=1bH5C6tdF?q@k1~|dq9H; zl=5zj@DSe}4TMvBE+b_7U^-OLU`9CF^8Xu*a4`i`rH>q%!t)>BKZT=aD%;tP;(|4U zC@l4~WgG_kC`Otg=74~mXteh%L`ySd_juwAy=l-d-gELC-sPX0fs|Hhzai!%MBOcp7Ra?#2 zbyS_+F_5Y)XaDf}+N0U)bk8t22S-97#9erY-Myiufq#@+NLnq}G{Y+Z=uUTFjc=8# zux#sZCN5o+#1)|*v#EuYb4k(f^*3_ISZjH;>Ka0pMvK`JXyj+2^6>QdLMuv(SpDD)f`PZlYG$TGe@R^1KZHX32dIulj{N?xuPp56>eg9f&fq+^cwSFD)7o+p&0!Znr#vd&6+kcc+$FNT zj}kg5;rFA5D&cD3!;PfUj@IP$eubtA%_~|dm@|)DuCOT5w(lKQ>m8o#X2D%0lC|`O zBW+i>FX~YDMNf*$-?sW~N=l23(DR~Dp`XX*+eA&0-@_X3CP^J0gIZl^3ysUw^Y_Sg zRfF+n3Wo0|9fC~RB*;{kyg(0zhl}~My+Kbp_2Fv|4;*#^`!4ShZ?I<5#$YXJaPJJL z_7GLO9=wOD_Vukpj#EM1ZJ?#u|M^EWR%qxOpjLU)L4P9-j1D<-i!1C0pmqQQApPnY z6&uvH_ax5?a5B{t54RSg@o4i-xOKQct3(UfTIrU>R!h%sFEsztv~&77{F2HS%r|$k zCn&cM5S7g6QPfbt7FRS&RI8GLUAxYBKbQ@Xk=-hVCKjD}z0+alp#|W4_hkqH7|9oq z2_OBi4gPq!X|5(ub9s5D!Z_ZGXPOb}Ooh9*1g3(_f&G~ZNy&2Rt+*EAQU6fTTbOT1!vMGPwb%bqaLcy7ghrMOlj|%bWa9I6Il9g0f zoKpoY%i84pA+eT3`Qut24n2Vzvw7hzwqDme=A5$l+OBDbns-n=J>2Usi)}y|&kAL%MA2u{(BY%5JuBs6Iz%ZAK}sbl z#6La;jKm*cJb**)QX%wM1E^NMqt8MxV4&Gv9aDmo>$5X(v|?=MRD>e<`~^Nco(M{P z+gm;=GDx~dUE3NXOa{EL$bN(DRC*9q6wi6hI=x87pGF0W zbsWgdGnsi`K&2##{Zd`|;khH-jq62~d?+$O0cw*4TUS?k_i8qjKkoCSmU0R|c9c$g zh%I3;$Cf($V22ti);B%Xdn4V~_TcqR>Ebh~AwQv>XOC~Rbdx%rt!$NhZI&<@6h}Aq z5lcqjhxFJN00bJfOiR0MZcY;Jl1Mkl&FdIYfbu|iOtY)>OB)`fGAr6${FY-p`e z2@duF+7RH4S_wKJ)q6(8|tZ$kk{k zGR#uak&FTsLUbfU3xVmIBzbzq5j?Rx&gS~rN=Q${{q3op>4~_^o&Sn-eC>?dJXGpo z3J-BTH1L3aX8U3%CIx@HE2lw;EbvUk$7w5w6Q}B-oRsN$z`bcJXx~FSklAuC{NMmF^pPG>O3B$Xs5$hk9E=8d6(6$ z53+IY{hk|Fq9&(GnSO0Bu`D|b-^t&5t{^3zdNzRg&j8|P529KSj82mRn9~B7*&a-} z2U8fp92&qxm%Ezwdf1E!V4fqzURK=hm9x!Y78#WTu+;(BVh`+3UQy!$m|p}i?H)|V zgBcaTd@q2x(}TIvgDDSSE)8H7dN30_n0Ns5@c?F?2lF9=;kI!IAAl7FVCy}wH@_=N zI=d?Xdxk`NS+UInd&mQ8&Ms|50M_k+EikZYv8h2(KMP>?crZ76Fm4`De_s>8^m#Co zJ(z}|oQngPkk@yW9!xTTIW>SO^k7DMFqHw!@BpUAtE=xjj+dqfFwc_0!S?X)HlA}h z14Q_8`_(#^L|w$$rpS z^bDB(CJK3lWwgzn|2KARPrxiPCvo#cAO8D3yk?kwBTvN-3I9bOeje}=arp=O&7|h5 z$mefzO#mCuxP6^jg|%Zo2QWWfZwlB@8#~*nfr-Q4&&h(GMjvb3dR5MxP?+UK_cp;O zm(7+vf3>0^#X>B?+IUE2plYY~?rnytrbT}v z@DST|ihNAnn9dx_nG0=KngDUDGgDbTeXVBZS}hLVV~c}q7%zC4@)_PiD16oir@h|u zM>(%Zt+Xw|*70YmBd_!A;eJNBeVs81b4OOM8{@#J(Ihnsu*ka~;S^BkwrQV;_xftD zSKga;8-39Crrj?M6yfoyRwGM}$xqey88T$Ww zm389*h+9?qU>hDcGq_e~8tmZa(9Az(z(6>}40xNNK@X0~8rvS;&vf}E9~er%$MkcC zYo2Yqs&|7_`1l^9t_G;^jjJ7H5BjY~*)fhQ&5@(qU!`w1^UKwTQnKaWLW7V~XBvDP z(q2WWd7Q(>Y?&6B;5p>db7Rf=%p^C7)~8kxvj#`@Dmj;0dhBf76p0wt0fxS6tCXJ{ z_q5w|gPo96!$a%nrpb7- zg~RqbpiGU)+A^5AHvge4S98GfIT`O>d7zB`o=ouGu&)nx-_0Zse> zRaPw-GxuBqVvpr7A!4<6NgMgR-Dlsl^IGB^A5Q1&E#mW&9DB!dah-FX568VZ1u>Oa zn|i4>)i=1rKl#h&pM_{~Iryycvy6K$d>UBL>HUxQ zB*c~O1eg&*AqT1!yMP0|wv(~{{C|}XXM_->LouErvMERBpAATRr@!L;{t2<$luvqt zyA3XFS3uHdB3(t_9X9PSI~`b1k>7+@jql@jecVWu9UP%H^+s)KPdqgc=GdcnBxpeh~^PJK%? zr@md2G3^>c{S>|GfGq+~B2%z6eve{wxsaQg(L43(h5Ax#AClfNBZe>1@9-)kHusjDOaqV$g?G-&`DZ-H}8I=AN%G-`q{#_ zC-iNINI#Ztk$xE46uQTv`dF$(`XN;zd`gb@%V<}9q<%=L`lCo}$*1(jL-fmxLG`i% zEMk!2-rR1nej>@^NIZ^GEUnN*@Hv*WWBoKQ($CSP9qp%ik$#RMjeiPJYv-6hm=)<~ z?&%^IweC=)ANM9_cTJ0qsrSd>sxb$6C!Xtd{9DUE?E@bo(oYBX7R84iI{V!xOJ z3~U3k;n-ql`N2&rLe`+*ChHa4WHnnB72M=43vS~3M*_Vw=iuwfi=CF-&TOF_dgg%O zIn;B*-@J)OpKRjUW)Ge>1M2$+Uzu#=4WUom>Z1}iFb@91v#AAdGg7=O9GDs-g?F-7R{}-0S0>l+cDrF?!uKTq8+Uu{f7C1nzx{IuwBLC% zXxqyENFe80)&psnX@uRy?LW^UK(F+ybXmTTdoHh{E0Xz_xw9X+8?DCoh1_Kxau??yH$E3R z8!-}C0%9<&3Y+68bN;a!rA92*T#?A&=CJU4NXufyBuS+H863vC?k@*A2rxcW-=Q&K zusE_OeP=`5cmMvts(4>*{x64(?F>gNFnPRqyLC>7YPy4{?W>0+DxQk8oroJOQ88IN zxj)FGwC)jHMkl@+(fcM&GA-?niYs<15^QAgHZOD3BXA8DQ=!Oa|)WX0QHq*+5Ak(vlF*_0B zHa7`5_AA`Zpvo)kkC?GO6SyUizqs(yT-$n&06|INVa z!pG)Refv*xs+J}_W<2aswUR&R^ScS!J>FYMy$Z`{nG@5i58@tR_73cnjAAhxQ>v+A zUe{|6+;yNFDZQc7(Lt16@2WS!L_CeoAO4dkp6G)G z^@t~@F**^^7b0zAkjt8ubwvs8yd33=DYU=}CSf}9h7r@+)T{BqoDyk!_+Slaz9$_A zlPxMqwc)&qLwXYEWC<_nD?^aZeVqTt98w{n+k6QX>%5D0qag&{&5v59GutcMgXv|B zl?$aBG{24;sNO7aD?z^f`(qy(cbCb5xR5hg5@2yap<8RAZ&rKKN}R`VK?>;}QMJrJ%cTueq#%|y-Io-sM&w1g%7AiT`NM%y zZbG_=pi#TI4@gT%Y9qsnzlvn~$iSwR2Ti-mY83|#Y?|Eoe$&o1sJigk4+XL=Kagvb z4Ppf^i)*^+V)c(#pRctAtW!ogUc>uY<{JwRwBbT|QaZ1H8EsJ8z2hniOwIsqBi=3G2+P9qqlZXYAYgC&~3F6H2> z1pCws<;N=v#vLbmPM9wJ{ee=(#+|ut+{rpaqpkZnO2XAvgByVS1!aF#rhllP=%Ob^176~o@$s2#ZQTR4+=oXPjS|oz zF3kbsJ&ES9V6f(}+mYm<-}T#77P~Lt(UbUcplZWYIImYbwE;aw_F7jk9iC_9LZWyU zXPX6Ns)O5Z9UQM5=|fir%sHJ!jD0mT*NHj%*LdG@15XfMF?`YQAt+MC)-){TZ`DYaK$%`Op4hqqvzME-EDmHqkfRLtKy-+z^wlBVHO_%yX9Yo5&jj?Q>?re? z!{}tI#TTXQfkx!<<7AUSHv7xZN_KFyIz{A5(j_zK}b$U*$?CbuNqWc_)GU?OXmKk zcCWrcQ~Q~eGiYl6@%#gAxOxNow`nz!n8gWV6%(150~HnoafSMMx%`U#v4|5CU!H&^#;MOyRdui8 zHqe>7)eA|i)x1kK_o0^h>wNRKdcla@Cy-BWscB?gY}#c6A%3_vvtrg~EC{id@+NPV zLY|CS4Bl8@JX3#}+@K%K0U1RRcGF<TSE9g4r9-dnl2)CkIOV*n)xxL0ppaHJSYt z)1~NCi$APlSt5*-P;}r!BOHSev*LoKI|4a*F$Yy?|>E&*j+S$!#xOpK!|oz>TU+y{KVmx(@d?u4Yq~ zrUY(rzx>TT6&`w#RXo*o&iS|%>$;+&l> z1z&qS@5S0o^(i3o5{Ot~uADgK=(Rkax0?vkJG;s10|k|R7@#UeJ;q;H)v~vs>DTd= zf*51O_}KWS4C}Gu_O~9}qf;WZka1O8%b*@4M3@seH6|r^O3Hg?S16WpN8OY8@pbJx z_EMXU*0ZI|#8LOX)ui68O|7j>Jy4x`w3>}8nVVxAU5YnuuE~tOsJ8JSZ7V=WS*d?D znehnG`0w&>IwX4=43@p3gXA@h=OpsD0k@P-rIQ#ow(@DtEAyy}JJ{I5(DX-^rt{)w zKL!d-KZ<7v4xt8Q+&Oedv73J;oq;O_$fYmJ?Y?}CFE#7fi-Mmt^L2WStwruwxgDI2 zH*zK1dbc+ z&)G(Q5yw^k;!ho7^PrrSiBpNIm9ol&*m>E9bKkqnpHn8G$mAxBw4Fu6@DMX~>^n5l zb`)^A+>~)1MwH8|z(dp)rr1x?Zfno=xMhgx*lrQ73u6A>Ds6&tJ$L(C zrYMAW?s`CRlEayw=7%CTZh`qVwM}&*(&q5>j!;1^80OIUl^fm_=@N%D5hKND85X{M zr00Fa;W|QLz6|MdJ+Luwo^9JjaS+n;?D$45((G=^z652*n_>hH&wmLo5wDxjHmZI^ zgZB_E*MqlTF_UUovy?YsWA2CM<_5j@Bjnu#lZ{Xf2(1f0ei`;;eKI}k#TPw_{>rxG%asy4d%{Aw3%h)*hgAq(l@{R`R0-HjUI={-)!M*Ubq zcDD(cH&O=3?r_N3^i<-H1gXsg0AVnA349N6az%tC!*hY*EBUhdLVVqDvd28lzI;`h ze3g3grOmJVl`q0D_HQ!$?(6Dw8y*jeU%B3wcj!21kn-rL`saPztACy^SCuALtj#^i z`Hdeqxe^xdCRaI#y!4tAbUJoefQa9rxuazkBHd?sh;;i>)NE1|AYxLKg~*Unl!M3= zAreTDG_L+&XW6@bcK!gqZxG)bulRg_2Fbyw;0a&$?E}A2w$Pw#Atg5}*;vpot@rzq zqUi{<9~bcbOVJ<5t4N=NrD*0mTIy(8im?)2@r>ixYkrs?`Zh=Yqv1tf%4AX^H(txB z{(u}go5miad^tj{rIQO1nZ=5yyma0<+El`sH*YuZKWB>^gu=-3MBwdQI&UH!=L2mr zAe(do=QUfImTD_k0CcB-K%gASbTiv!Ah1;Din1%5X=O<%>~|iiLT$VW%BmNTE$!H$ zpCDLia;dgvC`@Vp-ncQqF4ay1iAgf6`Xj9-DV@O@ZM$mHIkv3)dv0?S0L=Hr7+460 zS5|2o49pWmcND2zG>8hUADdqZ3@V7{92Gw6wPlLBfm=0QSZllrOWR#rf>vK)Ra}dz zoJ$(5K?Xoo2E;bR{#I$Ba;a9H1E7%}7UETh#RH@)4S72WDg(fmZ*`5QG{5m$L|-b8 zZ~%0_fas0s16nX?saCwy8~v^Q6|hUS(xcv(l(kfyvYOBs`{_wmt*%(o8WmSE?^ z(U|M)g#Wc_VD9tRa5zfueOZ%nUm8RnX}P&Q6~N8);6k5rgh&Qu-{5_zJWpSO$y{rL zYHR@aIpBnw&3sw79qi%O{b^S+UFqRA$}71%;l9wNfv{3?02lJ$+9xWvdkZWje-1 zrodCO2O9-imY3d=JI(!H8U^m0LBCPZ@}e^e*8km&{?jvz{%33Sf80yCf|SUOiV)){ ztNRi>pS;SlS$D%{xl+6xDYm9RN*Lt-E{!OHlTg;16XgqQ^J zUb9u89VrIm^5X_t1Y=1Sb;%akAK5C>fZpo~C|%Mopl*Wc7fjph#^n@4x7L$praZM)=7`LG z6NrD=9O-YZ;)iFMBfFjx>v&qwK>UUtII^sP12;>uMIi^F&ly5CQ@r01BMYGeH&6D9 z(1zYz*|ec-2qXWKHzG}DP?*2SSG8|%bFxXcA!YMmWeZ6NrSAkn_(ie}VV;~v@`0Ks zhmv<_*~~Uij@$0>|Bdkfg_@wsyp&F**pzj$m+}`(l%dU{uq~I*A$3ELl_5@X565T22?3)6)^{?X}QMDo)$-O1{P6VnwTln zImXR>0?ILNuBU7>ZX^!<3iYq7w88dNj(KyPfM`K-kU~A!ytxS2z`U81Wsr=snsN=2 zAvLvs(lX!uxe%**r5BRmJTp&zHDGxe(vAWJcNDoNx=Rc`)0MO z$+BWZZD8BDvnx}3b!RS% zhFa&!UIV-2v!6*9U%zpCQEGku!Lls04`p{O1IM{ymz{8N>~VOx3=BP9zS|>I*Xkl{!8vV7n!D zvLAu{TDW!`l~l`DITm7+pl%r3OL+ha%7LVi<RW--eCE*sc9obgKeTxw_dve*-MtaYVqF1BLL-XoZjB5 zT2h{!^C;!qX8{eJKDZ2ACsN-`H;SdvdOoWjLZIF#aTZ06=IwT zShPaCH-bOOM-5fbQNJa3@~{rlTpgnJ7kGiv3dtBf`x^sS~XdzA0 z``L$T`w3XA<4=7%scdGhds{D*m)yV$y^>rb(mpA;X8`E~mHNHa>F~!kY%i+1hc(|& z)lxL&&=PTiT?|^IeH`cQlQbkY&LhtgB3B+ zh8-fCs|bEPBuX`z-wGua+D)O!SkHvin(F+IaPQKEnb_p>GINd}fD*`$-kgIH0#Gl; ze?XOQ5*i!yL{do+>&rj9>Ur9X9I=7IE(a@O1I71UVp2EtaYbqsUXvAn zTfKP1TSp#6w(x%zZyiDD6j2gB$)2OfoafR=FFEE|msa*kmlh?h>@c3I7LTI@`bp%e zD_>FR-tMEUL+P@OOXDu#NfM4%0vU_HL&lYptme9dmn7W-Xe3uJZg4M@pF~Pa9A0p? zkUI`U71y_fj|_Y|x{gTNb4l6hl(~4is|=a0WA9DLDU~FO>i4Q+8K$Bq{PDXc>5qYJ z{dRo<(~@HhE;34~mv2|X8DwO{y?@xfzr_0f^06n3%f9R>5F0Wf9`8A1pMz2rVtFnjxu?pVbt1|pSwdCykJV+b*{;m?AR-2vz zs^o;fdLOsBJfw>T(PRGe4~%b=tT&G=KLpgL)+T+kfmWrK95chdMNj#NvWdyS1tzF_ z6RCThUopv}{39T4$uXaE1r>jDxC*S-cB}B$>^Z6Vy6h`1-T3?yz~)AkEG)y4!UN9c z8?#gtN1rwIcx`HpHsQEqh4GYKIR}hg7Kln{zd#O4pZ#3d?~h2I(!<}17iZK~E!Gfh zr&6>W-uOs$s+~Bj?4@h$LaoG4I_sf^9oyJ!!tX^p^4NHxVB1e{8GL5qzKYJKjfAi2 z!#RxFq>(24)V15IhLmaC};E?Uc`9D0qZ3?A@w?ODJ-)>>8o1TPPMgll{KxP zP1S;wwPsbvo5y{ui?`>2J#mixQ2o#1=tJBw{kFF`Yf{CoGCF5}&6>07jOVHd-a(@nPi-R7i6`J4f^!bncmI>lB5=+{ zBaj~1FPkKxc;*JB{!@!<00uYgF0K2uahS z2I;Fu=F0ye7L_c@a%es*G~wgQ-YFnccvp5`i0h}1Kh&Q`Et2_ygLxUoONU;FY9$@k zizqH#c1xTcW+Pfcc^*${Csb>!kd`Mhv#jC-08x;#PDDD~$JA?qT@>5q+?}J=IZ^GI zhG2d!r@SJ{6XN3>GTi;9f|NRBEu8NRO8?2%RCvU^ukibx#60h65tBm(YPYJ^uM0x#<3F6X26z-7YoYPOpY3!M zF8uGN>&z(NXb`vwBX?|XLbp?(makmaIl`R(soRq#>cDtKBirxDmr!gIrF zN8!`HAqw{(JYGXGlM2pq<8f0gGbx{Ejp9uxPA=xyH^>-i`x_Y|xBQ8}ZsaIZ?XUxy zv4$OA(%(-DO8%6^4&)a*%y`(?;SF1@K&C0j?|2?V?BkQDCwcZeo=2Kz9WMy$_+byb zjwiu7p5LA?9piWWWq!vi`BBJ{)A35Y!cWZUczsFmCAZ^k1V^U%?eBPjehARP9j`A3 z4=kjJn4UI3^8210zvC`nrY#p8&u@RntAbC^)}Y}PAAEaX@11m*dVfKO>;17`SMUE| zsNRpXeb0I~|139;N7}y1yZShu)5kVRrP+)g4Bfx`dRB)5tPcI-7VFSaDnf%axcVK+ zW0BvXJo_EWqu-%Cx(@x=7T2L9SiAV``To&|3mrvLiPy5IriJQ$Bfcv~+_1oWB%A5k>pi#3%7&@XY zhgZ-)^`zTqu4ktkcuI$GAM{jJu`bf~&a3uCDw_LZnrC%vY^1GKpN*c`URw2^RiCZGp-FUlT_?j% zeD1RLFF87U^BNfj5AYYmpgPMiFqQm3OoMdz`?GQt?l#_+Y?K0C5~(dg)7J5UDN?iV z)`)=za1yclV)cYDja_1=Gj!4b!-XwYs1sBAHSqaa8u(nNfmg@sMV|?6Pe*RO$cigy z##f8E@Jzl6OseRsRG@`oV0A<_*=j>ZkouRaaC0qA%G0*Ve&Qu|f^3rOgvhNMc(4nf zR0eprhO^ab))BIGYTCm(*Ky!G^a>t))mC2@|&P&4mK(95>J(qb&kGiISIvgid*FV%@<9$$xcxv6A-a@6{hFMro@c>D zzq?Cy9p6ED)HzAAc1dg!VJ+Ln zi90H~nm(Du@P%3$7{%E>aNr5nCaAvHYHSd(YsaM?cNaSDrp2RNSB=$}sxFK-eZE2j{>Ebuu{{0bL|1pnDc)?Hp^Y)@@?3Vew{xbHZ=ST3XTf?qwUoDN~ zlui1}_uEO<#Q(!34-_H&?zl0?R<`uy*EY7-W|~(cs|g_Q1kyDxL8mU!PP_D+?`t<5 zcvO?LBJ}7hBhqt!ykO7qq0DqHAla8*w#2^Ms`>-zWw+`RXSA!ipKb+A&10O$zeuJy zSJTKmH+E&b@hXNGdDwL*PWaX2^=KStA7%lEZ}v@r3C_zbn>a({!}oHtTGsMHK|Hk} z)~x4DQL4($XEGcA!8fXiz-jz*{x=={p2!{X^VM#z@&KN11UrnPBAonDa2|J zc0i+SQMkXV<*MGDDoo_{QDAka%Z*b!+S;i*1g-Ew?zxfARO7r(gClU>&D|J`nhAPp z4l_f-k8aJ$cqLOxy+sz2e|NHl3TAOZH+@kI-jL*z6zbABP3`&D&1`Uf5~>0dEi$;P zCtZkP)(^|86cXrD$eQ15i(hMVm70yoVXcOY0+?EpnGm<|zX`c{KrqW4kGUNK@za)%zVXFlJ@H?ghc zDG=;6pF^A-qom93(aRi*K`8OoU9`C(Y;K`bIy@2HX81?Lx8LoFa2E+4*CE9eJC}sz z^KMjNoB4JVj(m3AB+4bGkIVxW;0(6ZMq6a^AS$H{ePqBwb|^;u=-oit0wNJ)!kH$0K+t)Jw4zKQ8EGto! z>yU3wfZyX-JKFkkh_Q(NX^k~gsygp2F9r4D9&Ps}FmKx2C|s)7IOm7Xqf+{P@NaBw zac>2)HRUvLcD76pQ{_i$V7h8sa891)rWs{{RM7462KMS+JOg774y*DDnG6`XAq)A5 z+T_MY&=GF1fm*GNohUc@K))wz?9^)wHdla!=+)xM2Hv z?Mm*Pg3@mFMBOV|xV<7sCYkbK);S7EHoKve%!eL+d;V~!$!4piS}sHl5zt3_9m6ZM zqy!vn19N}f<(}o`4)SpeAQ;yXc7)uMZD24-&XRZ*6A&R5Dw2xr&x%%-DIsLP$ZByTkg3wEI^)o z5RpNGe5s5jZs3j<;6f(7;T}~PKcz;J0(Kh0I1g@RQk}hKN`Vy{Rra%yn?jV_86?9u zf@=4mqVB7E6)$7Kp&_v$>=i)u}7;u3;v3z!vS z0JFTi!o2&+GIR1azIrD6hS2`O-Vb668cERbX1F*5um<#aX3kN92G9_slQnrhwx+s8 zg@{Y>R~y@pKw4te>C=-uR-?U*PKVFt(do`p(&5DavSW$~H(hq7fKemT#pN#BWU?LQ zWot->4^t{Uwf`BE5u3(`zxYR+K2d2XprMBAd#U#-MgfkD52J*rj0~bRFc?**V>X$g z3ox5KO-NI~qBc)v&yV-KnuE?Yi36 z6w~VT__oKU=1U`ug|44ybBN6uyU=%8(UEA{K&_3CnBvoWHWuP60vW;?TtZrE&hy<2 zHw+QaH!xyU)W>2?D=4if|N3v5Lij@aBGVcRVr&aJH$D1)yy`}?0@p@|T9wu5$+6Mz zUhgG?>Rss7JBE6zDjsWExoaF1j}BcwT3i=0rw{viM~iBWTrc#T)_}LTT(h<)SHxbnNb-@rH5U9q+jZ52RPf!@C(It12FUpLn}m zv$iOg!+UaUN^RrL+Qu!ljsG}5Q!uACGrGl*eB6KJ9cktX^e7BPZrenj-fjG;&Rmcu z+xTxh*EIHG?)-uC{`-tnba*&(5u{qBErO6>)?=boRqC~>6YsBSygyDj8&Vf-6ebK% z3{G{=k}&5nk&=f68=EPXFT^xq|3akhVg7C6GGL`K2G%p>mMqvfM$OM)G&2CO&8}qb z8l|{w?6)If<3h>-UNh00HF~LdbK1eF&Ap!o*Xq>$yTa8>kAB{P-4C|GM}F*{Wz?w~8fysro^FT))+d~NU4Dq-CVOm>Nt{+m za_N0y7_TvNw}`jF7il+CS2>4lks2W!BFJ%k}U?C$4VPD?|ES2eQLfctB-7UIow-MEgays|b^G)W;@w8vw? z8bhi&a?(C+@;JNkT3ZI5zs8|d5{spJT$|{E;mR@VzR*d~o_6VNu8D%4=G+(hCavJ9 z)x<)5d0z;x4iC$=sJnSsbYEy#o*w2K3u?FEOWH;9vYwvQlQs_~pVbDHS1GIR_2Bh2 zy=oIBUjcqqz^`CECfDleANm@L>3t)QC)plE+amOkK6mh^zDrNGX;ZwV9Vu+1thaq` z+QgRJQn4q}7J(6};FLnPcJ!{M+v$gL`r$?Tp{C=RVTx)y$sLSdqRz+LQ_3g#2nxB* zQvS1=#&z;UPP!mdR9MsT#4xwNZ#fizY(&CI6~rc_x+b*Y^CUh;DABl+4nBlCWZHg@ zlEn_^h9xb(Xne`AuPHAoC*UDGZvMbC8MKHb7_#U1AM(Dge z{10PK(p&2*5)VS}4X??JoU4>M+x5LBQ~E=7%NBj+Vc8oYj_v6C+*h3ZL>FxLlNk1B zf{1T>S@-DaIbiE{L)heQ^_jYhjbQ$(b&@*ReYI?_27UITo@^7*W6E|fuXTO&igp^J zuTk(CZ7_Tp&OSZ=5}M7nt(OoJdJdJzZ7xbao_g*??Uy>qFtIIP_o-ZVoYlXr?Ao%Z z-L_j{WAkcuMcTH|uiEfeaaF94p6<-+{VFNd6?C-}7JB{^-ZeKRQIhA=NsFb}B9`5h z6hl2LiFN7o7+$qpIE?ORvN~B)0Jbc1qdA~+H5@dvalk8#6VaNgk<6lMj+rLd(PPkL z9)X&ZtrVf%DNCucly(6S&BqB&fG;vv>e@1=5@AdLR#Ma{RSGLVDl1=e(Wq%fG#jm; zsP`E~U6{E>D$f4YpW9)AcQuoGnd@*mcC3%x6Zx0idhlzO2do+(Jh^V*qPx;Dvfu3XMnVPO&5l!bu#SnyTL{_M7-q;mMF1Mc*^Q_3L= zSQb{#@AvK1?CAol@Q@WaZUkA_n28!pQFW^*gh`aUlp@J)iv6%Q-l&sm8t1eX{Fi=P z+Y0%mVa-e)H)!SC@*pHJV#kq-g^<|Vs+My?(%vD}!@Y2r=FQ*|G;7N{)+|mfk_s$WPU|z=Iw?K6?Ufty zkJPrUk?IgSBT28+#9{RYkiQ1+_v}4xtF$`6&QLq@FLvZ#mB?F9nR7du*>uLk{EiT7 zg*+_iXfC!!Zv?RiXnZHXYPz=rUYm@P;e{94)K_+={a)S$oQSI#T02!Sb=jS)p{69? zr*Wcj&P}2*?>G50Mk*bR84d-VELf{N1rT^#Xj&09nS%h%5OG0RwQGcu9>jK4r}dyC zz;Te+_AB#L@f<{6mDgLw)L>zx0N^mR*+TUN>MgKxPOio7borv$9%{3F)EHcDRQKCp zM*afEuxYaP>I)cGaVNH%z4mTf43lc7g|9pL_13vH_Lm&#l6!d0xb?6-JhBf+OJno+ zaZ6$~ciTGmeBKqWKp!~J(({|Wd)->til38NDKS#SBw|>%N=D*cZyA%oeL?#=)#IRu zWv?+xY{jozfF?)r+Z4lZ*_(U!r9DsIbI{|#A*`v)oMDHs-`ux4v6CTr_^o-es(ho< z8^g4W;7XHPA8Gp#^Rj#@(`)?UaaQdDtgO>h{CHzyHA=*7T7bQ$;n)`mkK8bczjj%Q z&ot=;ErqAih(;Vo(SohcLa47^-DmA{SFtk+&^}W$dmj7oI~)dcLQRfYtNTWXnNRJw z=D+B<4p_8{7KmMSM1z0PV?I06X3M&fXb_AQNP0v<9$Bgt7M%%nTlQLBfJT}hQPx{W zi7G&8b0HbzS0@WUyS`1)n;AaKu1{#Y^Qm3eeA$y7bn804z~{DWFM7;lvJ)x8Dz?Ke z2dk8Wm$-`a23CA;cE$KGs5s#&=4VhZR8_2vwB4JP-XaoLJJU=A`#px@0vW?}>=*jj(ql`z-ZW8*DT7$SyU#ztVI)FxjW1w=7A_C*&;Y9ZPD@PSQD-x|Qp*lWfoV%C79BHw|i=k^p}z zDA1*Md+D}g-70eEXne_nN6&P%X|QockmPFX%T98bhtzwym=`Ju1o|s-EsA>S{fN1W zMtJFO2IaW)@0y>uJQZCsF)Bn49_}^y9 zBWJ+`?y9_5DSmBF0P~aC22;oEk^KLNdl&G!i)!ybX|o}egzP|40;C#sqX7yAEz&@% ziA{B<>_S1%nrC9!4U4`j zE~FTHS9X1KLN(JM2l`r*Lj%I0F<01fK+R$EqwFOrMgopKwVxQV_5Auvr4@p%-y!Hz z;S_XyV#N&we`VJ)H&P?f%_Iue=0Xhox*a35E14E3sYq^1#Z4uIU>5~5iY+6al3yYnpIWamv>_~=)&-ZB$t-^G-SWGH%bx0$%}7SIPcX`$EOVNk0@=jeQJR!pS5kdF8wM1F9GPIxW;C6EDb`~4iJ*9w%P#&7bE@VrJa^D&m5=A zojvEv{b-fYr;gVyDKYV!Tkq21zOE5ZGwAqo_*y6{a%#C7I9Gbi_g47foG?KXQjZG z2%K%%`khyh&jVziinev1G2m)H?*!QV6^$r?2Mm4xv+5h)lfsnki}Cl5UuXfb!Jt0? z*YKojRTX-BxTEgu$8|?Uk_z_e2n`6Oh8CLDQMZNAkUtSZvSN3dRm@S;MZJp%EqFt> zJv&yuyWt6XE>K4^eWuf-^MK^099=DYV_|IOu5C;P;>~u7L9=1!r{^_i3wZiVKfh}< z#;bq8wmh^2w+U07+NbRiqV0}qz_)b>CUv+ovONYw0HQWk2E83OD&Za$|zF>q~v?6iVwib?^R@m@Mg!+Dl!W9!2gGNX!bRBA`GHl$$PN3DD!3O8L znBn0HEJnd96RoXgfXt0yl23;l*FYTUAQIKYD&C`m+!c0OCIL_~rvgyU7Dp8r8`iTT zegQooTAj&&4$~~<BaJy{hpiPXienf)Yijy1MOqI5N^p9IdbfZ<4BorN$Z{KWaEB=94j z89)M2-eS0|>&e>7G_6NRvY?sP(Yze4G)ieKS$u<*#inOciY8FA3z@T4Fcdf(>iV>0 ziL^f~U_KXM-r9WBzz)gM@Q`*_Fn&jF4~Jl&qp5-eg6Xz}lwwt^4Q=?UDhJu1+MHukMdVDS zB+;UvZ6;zEnI=dhJWgG~KmqM(@oEVv^0Qn>V&u*@WVPDJKQ9^krYO;ty7tEcN<=Oq zHgu55Thvh^IBGiI@WKqcKyP8*U9-T!^6lGyH*Fn6>Gg&`BNeN1Qn6b_j+$(ILv~_D zkz$#oof4zHQN? zw|RmIgtIcW!MF>xVPVGI63Ln0+*VK2N}niya&Cy5p1pTNdqiK-XwMg-jeAO}<6Y6X zq>M-KpL^EMI~fsyC<;g(Vu0L_1HJNtkZxYhiQgBEzh*ZLF;-;ES5Mt(hYt0g&zh0@ zxe4lA29Yk|gvL9c;}yg(V9tNI^d+RHsF6yO<2259IpVSQMqFwv<+Q5{X1+ z+_{nay#`7 zmOvbLD5vD-BvBt1tKTsd_VJKY`;yvXZ%?F$l@qR@X=h(mrdEh9u+M)u_o8#{ZaqA% zgs2xjs#vW%`z|FGoU#Or40G-2pRXe#yzf(*QAEG+X+>SKvkyIa^#PfhJqS4I3tv>w z?K}J6O_W4tO=fVvKc6EiLQyL*g(Vp0`^Z|87|#7d?PH+A<5BL>8EvA##O?9IIUA!V zHNJyJ={abx8Hqjd{M7$IaQro`t|-r4X9Dn}zj7d1bbqeYVDkdLZvv%(K4#~zsk zpRQmj;nuF|4_Vcq;a|-l`_lc?c12M2eI76_lXmD}yeUga7NzQ^K-`XHzf5mK0gy6> z`9%?_%`0v!>(2Ot22y@&cgA{iv~*`YotcpscdPm|e%58{qbEh{--^cfv)MQbk@5bT zuH#P-5-QYBiBZuwNDG?V(}4UCO{5|!^9U}-2fF2r@@v~QrfDG`GED>D+;!B_;}05YOuPASi}{R!5>P!6S(2I7m+bQBKUtG=EtBR0<#7>*7;or)!Lt zN?4VbMLJzA@|Q)NaKS=Uy)G*Z_E+ujY;t`Yq)*kV zLh1UUzV+679-7Y)vFjM0QJX87PA(nX$?ZO|FiB za*+T-P_sB43RQQh%wA3~2=4H(^kKQ&!-g4_hQeO^zBmZ21+1XA%$#j<`9hyq$7;enml=?YLs*~$_mwenbN zl@nZDjS?4jd>zIbQVZ|patY_I@}QPTDIhUK5+&EZ6Yz&R603PtKAn}Fb)M6!skPQl zcWV?jdT5|%`vIx=IB_h+aAa;|9UihB*YxKEdsZ;n8M;u1FX1tlmsyp-aG9z^@A$#i zqrryFqz_R+WxHPqg_aeO@rnt`->_wF2N4xvheqlSxq9T1YL8ocYypA_t9?>A3UD&V z`J~y!YPs0TGc~WbQc-469(}JMSY-s(8XMk6U25J`acam6Olk^AXdBL$jj{-}(-Hkl zQ^mgBUlr1cJ=@e85-xG;oE_fRJQH28DgB(C(>iSGQLD&)ZAO=>z`PokulypF5pep< z({2T^m5A(ZKladQxr+C;pN0!e7oIKDf&}do^5Ibx7nJ+i`+%S=ru*!mHk5Tr0JL3k zN&2D-s^f$7pTwR)p__E@fxS0im`rieXKV$AnLuzN+7r(GX1(iNczd6r9ck`Wv~5cN z7(bkA;_6d^R@V!7ACXsz-4v|D5_pz?F__6yh{SkN#q{Euu}7|#h&1CB1+WKVIMQ== z_BrD@!Jgs=jN;m%jG=v+roiq~0S+TjF zR$xMWLHoyMjP z=Vh#qGHk*+tx}tpPC-alOl&MBD68`jm0z9EJy9#^+I;a4uR4PAn)<=<<&mH zzv^aLliFA(h1Xa(<3WuVxz;w!;wiLlXs8+-=6#A|Pxa4i*VYHcct!sJ}ye0;4(XwOvdz?#LMt zX%s-hVAaODnNQ(++D504L8(F|Lq;o%2@@d>LX|5l$jL9d0%|X6+!BrG#3uqu2DUpQ zyMed}_F3>t4uAdAu-hZg>S`0NL&)jU_z~Ycodas}_2Wk;v69kh__Al8WBngit2E!; z;MQ#KQ?7E%vF^iB=lZcFQem-Wq%_Eg&^v-?%Y$gMT&C>M4F`@`TDjC_&d@-RrAm=k znPp)b>yk4P+f^o&6+@!NfgmfcVD5OiR9neHs!7bsp{-i%K#YHviaG64tqvGBF1M9QEFiuFlzplM2L&qEkr@bRseGDG7>VU((2j$n+swu@ zY|DfmB^cXXg;dyTGfGOjsB?mjnVo$~F>Y`B+Xr<7vCRqgr0=Jj^U13-{-HySQmcWk z08c~28^dmXnSHUQ$86R)R+oJli1tMa!k3efZR3%)fsyc7DU^lJ7LU~h;u*ENtSN#T z9`o0eC6E2mqwtJoFjkXqt2%89P%rpahpF-1v}O9U$_5Suww1goT22_{3@#L2LU zlNM(=Jw&L45Gd+;;pKE9>oQFKlB{V8hcg#}3FSqsi|9Ipw~LfJAzNuGeU;ymH3vv_ z<3^L<@`@|pP5f`>jG(V5ulWGyD^ZT|qFlKcDT#8nS{{utJW40+Es7zOtw0$vmdx2! zdV~B~+e-h4a%6JCw$dl}@*TIWv`>EGNA~e0-naBE*jBn~pEEET=451Q}AY0$5C)ymSv+h{&6|yXaL90kW<)< z#!r)Txj|2nbDB9%m9V$EaRdMB`CrGsOd|#;*nm4YBNr;ZZ?{RPiZ&lzP%awNQFs5& z;P6Xm%9fPc!PdK@`Qnbc!WW6ML0&%~B+Q`Dg&n4*w>3ukJoIiSm$ z#ne@s=wpuV`Hk6v$N4Vq>5)37qzGDV1RIbwAHt_|joPuCM4?us-5@@&=)IZ&wPUm7 zV^}DQ=iSc%V-l}l?mkyf#h2h@%|636p6BK<|OyLW@r74wmS1}TMc zVOr)L8ml6a%tULXiAh&}aU?Q#lx}*A+tu-s>8?V!JL&#ZnUIUHfl{B>83 zY^XMUf+SUmlc&jF{&HT+F@|eysa7YyRa9O7STy->hgL4Vcy(@~>Bp^W=jyh@SWKRD zk6S2{ClM}MG)}O$!Z_UC2;Na+=m-24fJE-?v!Bc<@4V(1te!%KPr09TCB4~l%asT< zDV%KcZn%;utuvuge4Y*_+$xEK7yh+H}99J;i0(9M+gMPtcaAa*S{mjl>y(oO{hh+Hem!fcxc=FPk z##v(HuU8$#yvd5J5<-+jOMSZqGkIMGjvOS5w<}H*<&OBXDzV0u*ujRtXcV-L%g~a; zP|awfAkr4(DUy_hWlvb&foachX{9+cgEh8y>fq-5*SCV^SY`|~@|s@IjRW?mwxv6~ zZK_I_l3UFsh9Z8o31<&3RT*$&sxrkLrg{cstcMgBBo===7Vo3sN0aX;auTs*cxs6D zAKE;pthC%#aN~x<@ZyeW(G$UBR~UV#uz7?P_%NdjE^lgN`73YAaaW*R8Qw-~c~67h zC4aB3UfFt*lJ%x}1N9zep-$?=cjfc#KYe#K^%h^tw=_JuD|eWYG3!49RFXC)7#X=A z`rt4lgRXzSk-@%kP zH<(bsFxyjuM*w#^ZAAQ!`d|E2`9H3~?i+`|en8a?83I0E7w|vp{|@@!jiVrww2{E^ zVzTN=#uf@+0{_oP#N_h7>!#ku%w6wt_Ys5{4X6$MrqWn%P1webvkpgSvF61sH_kY1 z0_Q|HV2&kcM#59$FWL3k>f{8>K^%1S;F=Sy0L!w2S2a4AN$(xi@U$(P480E{r z@@KTDfc`0XQ@-kC&gke!{BddDYkKFfD9Oa}bh?yXHunYxt|J`XZgVWnCfJyRM>YJ3 zR*WCM73hVrZK{qRh{oSc@KU18noW-;3afv^`E9)Lv$yd{6i#x!D(9Q%d}lb{+0Iuc z-(oYA6|G;gKu=Px(si4}lAp^G4VG;%DbTW@0C=M1M+QQ`CjL7^yo7rPOmD$s{@7vc zQ(&yR9s=pYcIY8KqPK$+7om^{VxHy$NsE~A17+gT{@MwOv&_T;vH0#a?XMu}Je9KK*;7O`Mqv>(Aw2a?ZHcIMe6y>}x5&A7v*vCNh_vnP%#U9G2ubQpjXmg%&4Fkh>bhR);n|_EvXvMR#q&aWgs*4=GT`1 zGH|rv%Hw(-)Cb0?%xF-QffV|aDQX!Vb)i}hHO3gTjT7Iv)^t+WgKO!ecGEzwlez=v zSJ6HdxCjdCby7=kdYx2piy2`$K6K@^rjz;@0M*~v$oO+Tk8VPv6dOz#Yi_qIUC;Gg zCHdD#b3G3Mp$Van7im{av%>K7sx2ZNhO}^^ufN^(T+hch z>vq?3J!fxJPD>&?$UJS-w5LB0dC&1~)Xbrt!Kg{$F^@n~@gXp+JKd<;p^5xl&Mx3i-0-Kv2`sw+FQzrt=~(sL^iUtt@| z1LGe_Xe|D^5UnYkYWS;MF04#sVKx~94v(;Gt0jXQQE94J!!-hf1&AEnoX>bJtqmU? z*oGZy0}UvlY}(@5Fp;tcBK=7q)`rp{^YL>8rFEd2kNq9^T0tr=kB?-K7n2Wpf(rIC zj|%j+pLA(>Nxi}K1aRS{v0XBikjDxH!=|97Bi0!EyZM8tdg1E?o&}M2oxC9t`pj2( zz_%w8UUz!l4GHS78SavSj!h;!3>i^4swbkRA)yYgu4f!p^+HK>*|WDS8V}9;g(!Pf zZxr`T@27$tRyzDXh@f5F&P4HeS`pC1$n z%QAwthW7ma+|*4@Q&+c68Q2|*(Af&QqbukR-uu~<*~p%l2Y(iGqmZsZM(4VO;)2dt zF`zSG4`lU`eRNgtJnNCB-bF-S)kV+Q>mp6PlC`Yr?Dx0J-|hW}>zyl)6)NFb+$49s z!~Aq}#I?PcI9e1EDXP>4#3o4gaNYCafqA;_c|C>cE%~YJeD_@DvSZn%n#1J|?K)``~#+IE5Hb{il)Y@OWND{ItFsjOVZSXXo*p>9TttJb!~U z?}O)PP$GCHp2IU}JsgH_{M6wwJRY=p3{MjV(?;^FK^UI@uV8o?_EXZZG3-dbh&TgB z@;)Gk$8gQSJO^WVWPf%Z!@qLby$^;%#CRVJzkCeA@QpIS-9MDy2gPI0Iy{OO_oI0E zAQX2FLh+7&1;y1=?w_If)!e}-PLS&GD1MXpX?YGt@zVb6Jc=i{?A`~(zb4K5ptw=N z^`Rqg(W3RQA$jvBhevWF%cy91DYSe#yFsb6L(31hZEAzPm7p#DhPF*3*fvdIqjc;~ zsYtx==vFPRg*ncb>wHHz-#>n0VHwW%wtS2G%^R$bu6u!tcYk%eomJWprI?$a=FlB_ zy&58BY_aDwb3KlDd*J1??Z!8VZi5Zh0yzV0Ms4W+PgvvGK<1BZocO`H#?x;kKQZr} zd_7O!h4WRmZ32r%dY(Rv)ARK0#BePV8`7$Lyj2;lUgu|8(DhAm^|kjnuKufS2i(v@m%dKIxPfRi=HBy& z7aT1#a>A01!}|<~vMik2Ks1&RVuL!l=(HXEEV)E_DYSm~Ohc=faTxKm;#F>PU@vx! z(xhTj<9pAlGq}*Zh*X(i-(}WlLn?T1R~{;JWl8{$wE@l}+jAZ{bduvdD%Xe*SFRN! z;Z{&F9yx;nhNJq+wv}tRvUhxZXxW37DPOi$C|~x##c8;*kELwUbWFFuPs8hn zDSQwC_l1jg`@#p3?coZ)Ih)J4V_%0$#Q4VhmA~_hfsKc@<%qUnTdetQ9ceAtDEf6c z+Sa4z){M5rxG14M5_TR8`q7fDu1XU zr?T;#5o^gmBd_WoQ)Qd@ttrX5UxZd-sMPL0i~XFXQ_QA=8KriFv+Q7rb2J^SFb5NU zAvrMWR~)PZ!ckfU;`NG=?t$RE@a_BS&=Vkh$$tN2Z)=~P?8T&A1<=rQr|aC$$wc>; z{gkY9+qNCnFn9H$=t;~P%w44-j8_CU)%*7=!f%2IWf0A#zET%h)whGd&MMwE|iFx{Ha1SN7Ie9&UB9uMM+$>#)n4tdQLu{G@q$;*Yc zg$ysHnNBIFF6amv#mA+L7*&ar_nO?7&ry|#p_WJ+)!Dd35yo0j`1olGN1ud~KVhFs z;vgIq6IwBi0Q^9`Qea*UXA3%CsLAA;**J0MY+E~n_tt74zJBeL%2W$C7`1jT#_iY6 zr8xcCISr@Q&dPhMx*Bpt=b2`x(6V2@-4y?1M0o9gv08FQm$7C!>!%J#;r11kjWJ)*GMrXX zSH*F7RYif7XR#`zwye3^SMlflRkA9c+V8h2p6IKXL8??0ZzdaMck6P$`ZGCdLl@YF zn~6k+qZ4Euu8yM9XPRPM?a2kFy74p96anlGD0()^TYbtdkS-rjUhc9O{l<<|<3@0X zbUJ)-052T~H{GltpDxRyE{Liu9B7t-P)Hy(gHtL^YlIiOYw7QQKOC(;7Xo(e0g7*W z3_=A-TYb*h6=saMppQl>uY$g4{SOHt9vkRXFhRP4hV?$KTQ=^?Z1^*|R9WMk$kSKK z1iCT>MlF1$j1n=7{|A+7xz{~(tV(HSpNLe60|<+nC8 z6X6IxGp&&OE!(mCNhzHmb0!3ax(v=&TLsGetx23@O*aXn1~L?RPd7rrap-4~Twp^;Zb z;gT?@l6F~{T7}O94^o4FjV6pk+W>-{7e3bY7}S(r8~XC(%H@{3x=q*iTxqRV8d*a> zYwfvqn7i66X&tCb^?;=gMmgVkx>B-jXR@_&tLd?g=I7&S8iOi!@ z(fS4^aL$!j2OahLBvA9JR?HYD|J4gRI`8C4d_5LK3#z9kBgaa!$21N%DQ43vis{sq zP&>32+NI!G+aY?3%@Wou6t-HQ5&jfStJ3sW6v%*g@3)&VsR?gs9Y(Q>JEs$Ru!x~z zX)vF%47TOv5xlbrT~Kg_oX(gm-sl zdDjnfoz{hgH=KyU%;pP;Zg*Pp?`J8d$D+@6BRC6zWX?Lu~Z{I1DwW^pU+&`4itSLhM(Ob?F8|) zIU0yLXtdO3iAkwCB2WkjGcHRS9@FxmA#h%@s+yD82^|%0=)mn|Cw;u?J%89<=cc0_ z>Jo?hv8gzh1aV5No%M&MGsoWU_Ttd-i~;7S3(| zh-efnK%E*I!RX#=39(zY4w->`1{k{;k47t-jCI4;C`?e9RL0F@T)Cz*$R$kXB7n?j zayjDtYe%lGb``F6g&%gcLsN#@6+|l6b_MwskuRK>%l60t*$$~&D%)Kc+qAZ&)e0Ou zbHEsD?v_F}I91yMv+DG7nIW=_!|IBDiD7}{4seY8$E3W@RG`KQjm;0`HzVhO&@E?d zNHQ#vyr%tQ-w+?+nt0m|w!Et;5VlCaIvUnUIzPF3kEQBIuj|N^5c(r(1w_H!2j*xU zdxS>p+p(fK`L1JCVlWW>+4BB(f+TJIYlB5!F#n%@usEXWm&ep zQ--O<<*Dei21Wn-aM90AMb|!cKo{HW@TVUIsc0ENG*^dVG4b--0VawD#vT?CZK>F0 zLG0o1@Z(gp<@BW0^nK7!pNic%F!r!mI4c!<2eGB+P>mu5)|lDXGWyJ-Z0(r%b+$=z zw+adyozH3J=l;<7keDz$L*H{gx7FFnSUvfh^DXU6;}H!~ZxCloB!A4MF5KA{L9aG{ z%ohSk895rH+NH1!Q-0wj7w))GaRi0s0YoVb@^P2Kv1_@fxNx(`Y`c@(4+apWV4cg) zEp#ay*BQ!l;kIiEz4L*Q?yyVRluPNV7;{d;VeJlNL3&kH9kZs-Jnr)H%JBZgNvlfv z0gGs{@H<<)Hy5KJHZVYSOz?2QNc|R%&=Rj}$!0lNYqstlXz5keYI5L$^7$cZPA0I& z6kXd`SW}{P0ph==fOQ1sNYM(6X>d6b`mCbCz)1tRrkE`9^~1%myjtA>0AVfz)~5Ud z)L$H1l-FE~>SRDf#2}fSB5OW6wu473ljd-Q!jYu=-!k$zaoHHwgh?=+9|a$5%o#U+ zJ)q^*Xd{6z*%hE~z{E_^-l0>_mGSSc4Ad8BlMPVudZL<;Q?BU@&Tvqr)9H%KuuvJo z`i0&qrq-WFP5NWH&%^dRsJ7eh4ygB>!Nm-!_t0Yg^rqqFaP?j|IKx5pPNy!e-j^yv z>OJPuzTVS6TBds2gf?_jZ*A-~$O3#X9bC+ydJiq;t-rr-z1s$7IH=y~B+1qLx5|)u zpM3pE4&O6BX7!$#j&B(H0rl=0T+E<)4=v`??_cj8%TTLcK##5B+T#pa1zo-OzF~;S zedt804QJQlugf3@sj=}51H^#DJ)q_pFdS)|PQX*83K}|6np{DJ|LzO&mr!&=$&E-A zB-SOpV~d6qV;D*mlfIU@_Kb1GnAFDCJAERR{gVub*89QN{YZiQ4Kp*NLoytQ@u5TS z2QI_YFFnCwoXgFSBq+-4G>pS*4M0TQKPhJDNGWi|Onj@z6~px>C}A4m621o$u6aX> z8Hn$pt@-0?1JI*!l8*01|0Khq^`1U3L&nB{4DTRA@pf*-KY*V6$2p~I^X$1sQYi8| zTU2+kBfHIW+}U0$EMt`xyJ-Aj)3a3Uw_Y2;bjf;CDnvpJyCEKUf2%) zJiy$7mC$toOm4yEr@`d5bqQNOw{fWiP0V#gXk#AHkVu4nFwt~)%17oUvCxU`32h?- zYs6QmR#25T&)%mRv69#-Dpc+&zvcgR<-1h*bqF5Tmqi=j&dF=i$zX5ufExdCe_D;x z&~DgFZ-N7K`^M5p228$t97%2ZI{DBc|IgdB-r7WmtfxcX&S^-f@P(6{AfOb)=3W0P zVpb7#q={@nv4msqobIHy?-DzyEdZc>x&kD3z2sKJxTbyC=Eb;=E=ft2O^jRL?>8~-7w}6|pd-hW z7Z#h35CiYVAq#T{R&?;z~$$5u-{j5I4XWK=@m8$p9 zDymxO23ZwV-C?S@t6@+TcR0X+Dn905sVY9`{QXs2OYs?|_P0`Me;hmuR}p@6FJ5eX z5x=t|h*CDuZq}CC*t#NI8*x>wE2FKlTMJhOJnDTc#rW#vi`9?3KEMr$vg_i6G6IO*@DmZ)nJXK`ueN>5luDyNzA{%ZsdjP0^Z(r}m zp8<9lpxwoINp+8Kjdbpn8pLI3xh`AZ?c$7mEtT*7!TDwzwNJ~}k$<~57I`gN|4HSH z^nOszI(!DFX*p{{0;Ipjk^*>utFaal0J@SEd2LE^MR-76b)q~l$L0MQI2?5L16+|adftuyhMx`#{g&C_ zPIlS9yq9r{y#|ZXDJ!xzbOXUg()zNQG;BfLcZEfe$bCN%wKbgwg_S6NK3_8i=@`5( zK4}bxgR3?_>{V@^A-7ef9EvAKNDMk+qAGRG9MfE(V*acvjI#iR7KmpC&iTYignFhK zJEHyn(yZA%!V8c8?jg$rDOH@^9`bWROn96z(WP1l zB=7pyK~;Z2<8G#E6guG(oNm0Wx3h)HwJMMU{D(4X@DL(#;+Sz#<3&$1IHyymMIG1X{< z$I$17rM&>J6wwfAWJNN04lJCN*BsHPO3*+!rgjbd)#p5vlh?eHQ`o#8ZRb}bW+^a* z&Fazk*zBN%FKACHu4O(og$N>>vNJ4cE>+;RD+SYaSi}bQrI!baeIYy829*}T9LNmk zFk$xy51!qS$1Z{jf1INNOc=9`;tO}Z!hqF9@<)2JNX}t<`1DJy61u-#<1!#I2XnP! zE_R7=*K8;8m}NoYr!Dc*N?b%7;_DFUJxP&t&AsMnR+PMNF@S8uJ+2ua&0OE60Z&}J zTK@*5W<^(9WsfX)aymC1fDV}QS9i7D_pX3sIpZC^Pbgbi6PmF>JQ*u+?a8Z-wq|kA ztiTru1##8ao9;%D6Ene(-5IEpm((up<&}41eWy&mKr7rbPfVb`vc2@K<5auW!$AK zjgr!;t}-oK7Z?pvI!BPL3!D}N%E3f9Vm1@uiq4|wWskSp;YC5dZv*zT)+~JU`2w|Y zv@I8xJz`NZH7-@6Vl478ut*=xj9zgcw_KHjv>8^;cVSui(JR_{3;qrf{>ppAb-L#$ z(JJKZd6gz!rL)>WmpW=wUH^{J*JM^T+T}+cE!)=!dM9j*B`-J>y=MN^ zXDhFql3abZV!1A307;}A&N?9f4vmuM)vXWeXODW&3}xs*9`|OM0%?745g1YU3yN+= z-)v6GZU#&gSw=J{^CRnnJegpat*|0qQ9h77KQO0vX)c)|BUvZoi4l?)>{s&E^%+=? zpORSppbfwH>h&3A4K}8?DvyndE<1&_Syo!p`i#y$i%3F!Fao9*ZWvryOw)XV>UNV; z%YzyP(Rj=HjJ^mw*?RtaIi+s%eAyf09C1&)Fe2m10+VC}l7Pa095FJZ_jvjD{Kl6SvniY(!6Ao-dESqiO!<*5{K7WY}`}I?OQJ6R>!wh#~+Mwb-!_9@#oJx z1|$j(iBPsG%h@=ZkZ=z6*s5M_{1bup2ID;%$nXmVyx-Eex>f-+5UFvAD$IUDn-6e+wAzCbAmXxU)OhwjX#WrJ5*Y}w#64(%kT zWrNdTtZmv*VXB7tO&jJgKN3`wTXg7_7nD9X2Xt5m`J61ykTb|bm!x% zM<-6dgI_wfpu8vV#^00v9z9VZm77rDtfl9G91SsEuMk`j4107)?xK}1{;ZY-DEZF$EYx?9{ z`0CfiNNeKM=Jt*d+ftL$;a|?keaZq0*g@2Wwz|NqZAAhVV)57PD%f0^6sN(i&!2kKjlFM_xv_U>JLBP4X|kuq*{b~y!!?mRhir`@i?=LN zf2WV-j=bg>P_{(qjmOk|ukjmnUpuI`?yFp_nKq;oldhwDCtmA(z7xOge7+Owov+w+ z=_UBAOLf+`@&Akd{L;)J{TWLG`TneQp!ELyl!1JImZMWNus=UYa5QtBy0ch;bZ77o zO777LOS+5-erMs#cOfNm{L>#~uLxNcp1hU?bS zXXw`BqKR=_;7j%W18YdAaB5SWi zG5L6sfVB^*+vvOX>#nmzJqOLd;pQHbAb0)OgcvvVpwikgXT2B9ygyptgv@AS!h6n0 z*u-C_fz(sc_{3WrXtZK!<^gIk(A93{-N;ij;Je^GEfkt~S1QzX)OTH2e@A`IQo4@% zq6_TrsHp_Hj%sxsRX7PqqO~zRpOtD^tCRm4W@8%utNH_~p-ajI`0 z2bc)WbQ!BE$v-g$s_S{4L3?^VcP?u(T38mWH=!#CDqZPbBM4_btZPJbgo1fJf4jb4 zix{c>G=_p%hT>dvyd-~^k9oQ{SFW5l>(&?NI;nxP?p35Wn<*)b+SjCaN5iM(w{&DI z%0wi<_B)zs*3p`__wZ6i6kZ{%0*s9Iv`TzpU?sHt29+rFmB<|vRO0Wd1cmzI^qzvw z{RVTcPMIn8vR_yhFU?F2~?wpdL|knxPL z1ly$Zr`&`NMd)Aygr_t}(Xu^*{WP$P^-|B5)>~b+7)-U=*16e2*&SF&M<4!Ozoy^Y z44bxUXJqXE%#?V}etXnoO1yQy-V>^>e?|xMm zf48d1i*zINZg|fIao>k*5ZhSm1!5MkzVjX{v~fmHZu6)%Oj>=_Z!PT^f2U^2DXQ^N zhNSV(0xJFnU@BxF##}yao=M4#yQy>W&Aww$00O053huVxbYW z-d0`zAV(`SHRm(du%MSZUE6Arv}K(nT)IZR0&>BpwPqKs8JBcD;9F?OZ|!z1cwTWk z)d1^7s%ZI8L(79Y@wJNb?N-$+KHo&)FHgT~bn>$!=#gtm;2rUCpQy6@!5WNNci{@698iNdcciTQqbVl)BKiPje+m5xW_ zl?;+m)SHWbEh&j7ue$$+xt`QC_OQhr2YR+db@D?vRJFJnjL|ncLeY>$tq9T-O!KtM zdJBhD(d1v|G>+sAF>eRvo=13RnZmK+B4o&sEZA=MIFl2$v^Nozwbijy9mJ)3Lwi2z z*4|~0!F1E<;m`{n@^eA7nuWSOWFe5e>%U?vB>8@Jw4)si$BuT@BbE6qRYXOPI-V$2 zj|p2U29!V9>I&t7GwNt>Rx6+-O7jS5lH<0wAANuZ`<5L~Y-{;n3v#C<$GzG9D1lss zgFJzpWLpUtxOV;RVIOmJp^F)MPs^%r_0I_1C7Wv^?`#|?5ZMk7pb4WGPFzm@6uxaa zsYR<~SIprrr^DKdEir49DosTz?UseiAY%Ryvxo63c0}Ws@qao0v-rQF2S5Lp^FONx zX)8L*DJzHQEwAH$J^$^W%mAgYW?4pOx~ z4LdGlO@Iwr_O}0CgM$UiSp~2`!5UG~-|(efLQBqGXKDt8Vx#)jM6Eg2h3X$9@~K|@ zHEGbL{uJj)(f3x_329=*{n_jiqjA2U;EO-({bBrx!b?sK=D{_QMB#ZU-w)}8p|hg* zDB|q48KKiyMm<&>=N{_{TUo)FV)!+!-)}^zrs}n9s7lp(q!$1kr2-f>pjML52P1AU zKlgAjm6SQEP4QlFLV^RM@!yWFGty+zXJcG!>yGWvDtt(W zzUWJTZNKj`S$g~5m^@i~-9jep#5YG5Y_^JX^sZE{dDTIJ$NxsDE=2j&x!_YRc-(d) z;K`pVTOJnBNJ?Sz$RLMv+Q8jh-Hi5l-n+$S@?Kk~jUZx$Pe6?=N5esob~>MBJje&-8cx_Bi85|GNHg^;RqXGX@&<*w<* z%&Pc1yAp4@eazAix#3s$P%!*{>LKR~V#BY+LnZ*pyZ%CGDQAHv1oELGQ&2b;XyqD- zDP&AX5_lQWNlv!GNndOb*AYubJZUfZW1nM7A~8sqqn`!ammXLNYFp*!1k*)m0SO9+)CzO~6m&md4{aCp$} zkUPYB6#hUrjKSIP8;Qm}^IXOm;I}Ft6>(BPL2G~-?@%EsYob8WSG)9qb#R7)JtIn^J7lEVXf{Wt%9sPxT;(4+ zM!%G3lv&52Gb7W^k4N5!CD1lJKR%Yj4Nd}Cf*6Eko`nubICRB7#7fF)q>R3~CQcWY z8!=bNW0ctqe-i~8AB*2^f=tbFY|Jft)JrvOkH#8F@}>s_BXSJUW$Fc8UAtm~IdAxJ zv0%>~hR^AvgSj|CD1Cx+`O4KosO)59;E+k=X)>ZVCl<%Lw(Tr_z;^Ohzn%Oe2l7?% znUT`UWK|?Hy10M$`4d7Cp?3?d0LdkqKaHx_dC9D6g)FmQYi)nXt^5#oHMw>2G5s6cr+5%FctVGBR zLWTgpG6ZOKQe)@Xv*YY)!b^7Rav!A^zR+ofjo=u3Ilu zmvD7i)KT%ox)$G09e7duOS?(G%wOoV%x;e?B_2>-=JE-b`>*O0O}2blgVyq}SnWVn zz1w%IXtL$3)MwjC5FTB%z>1(!Q;lwYsYY3U7CQhD=XQIGh6Eg9bIWwUPtfN=-SYw( z*d;Oc`C*vby`@s-wFM4xu1OY)KZ%TrN_2zt6DR@U_;j+f%NwJ0p6|{qH)Ti5-@$5W zd|!2Z{nYrAX3_I})^TN09q%CJSyyj1Y)@>`7lEW~r-x4^@12;sc@G_w?pS;m5!qn! zR0IcM1j*!lm?AY8M&U=JyjE(VT!+Y?5_M%etGTiA_6GKVVeVqttw-I-IzLKQ==|oN zA9a?#Rb3f5-&7K9JjCsp23uONo9_i6)$tA0jlIWW@qxd}do}r{s#;GtZkzew$?x=B zE?{PLh$dsH^q4Bl!6iN!jYH4QjK-I1AJ0~+->C1pY`t3jj0%Sl9|^-f(lgx4FhhP6 z=E(Vf=h%ju&Px^_Lf~SQHz&iLiYdR(4k$EjC$li zJ&dEt+Ty5()#BnWv&K6f$ub`2T~HEcB%0mW}@#Qc^+l{_jZ%M1XBdc**^au0bQ(8NmNH zw1jy>J(xJoU?`4%g_eknFaua$hovRFIU9>V^*^B{Q{zun$JY%c+hR9l6KR5vumj=?GKue?vz)hSCu& z-2Va{Df*qGBQOK+Lq}f!?fcUazxF?%Xl@-DLPva*JBXsq%mikBJH!Eq2`Ty}IWgL*8BI=y6qiOI5N4iTk6~iHo=OiR{!#jbL*8@yQ6s zj;l<5LB?29fwOwyOS}5L(Zxt$;IrG=HdH6)?4#R{VL1%h7`MAITP! zXD_rxUa;wG^Li;jec>OhBodR`eETw{xdRJoG(M z38g1coqP8jIQ|6gRO(25GL`G9OtiM@NZlUyesLsLPV8wO*zB|x=DQZMUAu`=|4l0^ z4O;Pe&Qdbk=8u@rd)zSAgWC?6Q;7^zmH)~;byU<*4;7jut%3jh5Aje{H*+X88|1_+dJ zc3>u`81E^=RJnQgss(0m%4`~3xUTZvqIZuM51QKZMJw6hmeTLkJM`>oOF*K0{x9qz zGng7eayKf3*L3vOgcwtqbA~15pyG@tzk?{6o#N(S2it+Jxep-!ycJx^Wc zSt=s7KBH4C0<_V=@3P4tOzvw+YprCopibQZ2<2DV_UE3EyRAXqXr_td4^6yB9YQrKfPtI*+@G9p{T=F! zJyw+2P7cDD^{%!j)3qDOeS)wc{tqmEIQM}x*tdKhYYmnk`UZaO%QAYFbL7TYPojx* zNd~+5NM7^n?{dW4WMm7#xVfWHS{|3VD*<>_51o)0fi7WuRC$xp=)C5c&Y-5~`Qd2& zcw|8s)U+a_m?6X{Av#2%)~7}AZ{imkhk{J^R7aZrV$}YAe4_R_M(rElhFD)mz&%Eh zMyBZgTu1j`2UoV49|z{bvDY2lFOh2aAi58!X?k4(42boApC@u(M@f)-@{A^m-*i)N z%x?&aCZ~(k7jJiSZ_F)%^^^Jxt6_@NUmS^w&Tlt5f1h>@Y*(`40i7?aT+0-p)Xsp) zkFSnztzNLnQTh9!_m048D^Xvl{m1eYC)z(bH;rhY z;v%ZW{2S4>dttd@7my;^Igu2_F09-<*~m3tN3UbdNvdM>dh>rf{C&(JIpq8^)N5Ih z#Ki8ryGHliHM5^y+fyJU&S4h<&CXtB#2P|$hHKxbHlx-^F^JUkWQtVF>qxZ)<>&s+ z#UCN0{@S_KtkCti1Ii0RYI3@P@`Fw{0!&-Y8YfN|V;3iN+!%$2s+9HXXf|T;h| zO_`d|H5OZ8SdGy7Fy`(N8EtKpV+)?cqOclz@N+JI_jOCh9A9R;g*n(vmt$y!!SX}X z@w=tqx3?TTv`WqL1YYOo%`2e#Rp-LsX#=x4b65S~RRAF{S6kc?ATmGv62Qx4;`NJC zy#CL&iS*yXFQk8ZJhUA|h%0S?MBQVRD7+sK3cHDM&650z9WTi^9KR3Y8NlzC26R6w z;P(*#HXeiq9)zidga`co-IKKde1)P^z}iFIY$(Lrdw&0y1P|u-ZxXD35qK9wrt$k6 zRcaBqMa9%}QL13RbU(zzk7taUZZgVjy#iElz~N{=S9PKxNBkL}5dW=~skB`GW!63% zA1MTmqF~R_V=8GNLl2eASYylQ5&aDP+D?sH7G(~IlUX-OC`ZM{E(kdJmY#r3UsNc>b5JsmVchke|czA9L*%FTx|cY`|9%bCV!|C~GUy^HWb=dQ^&`zrk!y*6RVe2nioOT4*wX~f;~MD_v-k;6!Chd%XDAICkh{z z%Lywq`JRP(*%MJp(AWW8Kod`l;H+rlF7zB@I&-Ot%t{m(P17c7WN6B}MsxxJ#ukZ? zX&N>6L@g`O5f*Lm{A?Osi`r&gOrP?em&j^fB*6oRKoFd{)FeX(ZN(O@nusNJRHei2 zv~s#4-rZl@iQ=6v@9EQ_7V<5^M@|!rc@>dpsy>Q4GSTEk0Tttj8=d|rMb>%y*;(_g z2+1R$CzRQmDWOE0Ng9?cl2D>5v3ilD5>@5z=G}NXR4cwcR{zpx69vB&xwkfbUeKPA zq0)DT!w@^^8pD&AxeCT#fG5AjW)KX<79o%&P|IXvkEMypQp;{IjEhBB!xODF|xKh5eUI$iHWxzp(#L~r9GE_xu1bDL{N(O zn%bsrKQY%C%R}@6z|Lf>rgoSd@WJ)Sp;=^m+Hh`|x-g%B_{LCus=C}&)ee7c9`QK2 zfk;QwxDwcoSA(TaM<|MZUNSZlb*P*zb?uJ@kG_&C6rumkMcfb-oAG?Z3p4CM&yJzI zyJmrd<=dB|b`GN4*;%Z7cf+4G+&N+|@5sC1ncXi?%niA=8S5q`{8dJ!Z*DdkmAknAE~+>OsyM-@ z;)KjypBF5qwjyeb=iFTPxhr@n*0%RV8*kCZXYM)!GMO0j#K^lwH$Q#Nr8FkA7Po2W zttq+uDX85#_?-%7{J`+OP|O5=C+Yi-qr9#`IAVMQP8_v z7s6;v8Z-HY=z=}_p$Cc3BL}zUK+&3XF;(h3%^JXD%X%;))J&l3nws2vyR{WAbl(|5 z7;Lv^oF#h!Qd9WuvqDyQjQh^ev2!ukrEG=lQiR<;g8Nzo4w7ARiC}69yL}Az^)X6Z z3u|)kccCHoow0709>|q)eY38(1Qmbqy{%{vu-zhAI}RjNxa7sDKKD*#>z$>f&5HEA zaItn6#1d}ZF5w?{jL7J@-{1(yvU~nqW1EoN3#=+$KB(xDgZI$Ib^OXF&p!lMmGM~Q7w&}ij+C==4o{tR7phOv*tqex> zXYlHmT>WoM&j3u2L21tmU-0dBH7HdEZ~dMO?y~?8P}K7$pTYS)1A1@Wu6>#=tZd`` z#tELfgQ!IqM)^> zk+A0+ss~C!qiDO02N2}Oj*TimK#b9>&As~_8*aI|_GS6d5X=3&hkiVOYP9A4%tKFc z(A*!}*KufL98ANZHg~>{Sd-Y8o<(QBH2TxuTkk3MRF zqwp>7$bHj0LbF{d6RX_!tOEBvz1V$E_TMoRoWJlv5@N-IoLPESN6MtCRnPNy$*~pZ zCnI}B7%_}3e(C&V@!sf`$MM<&>gS{I7d=~Edq($slv<;=De^iOIcslpkG$>jPC;WZ z`iEy&s*UxUlAN$Ndh(NaH@nbrd!uvY-Q>I!bdS7NkknawzJ0=9*L7zobe-!z_Jjb_sJ)gz;>q)FnJLn~ zK~f;8xg71?sHpj@+@lkt1jjCCe$_s-x;Mf|4ELTrnrr>q-ni4>v>(qAqzr8&xGvn2 z$vT#u*Zdt+)Djb)e6?HmgiVdF`Or20VaKlb&|&mcmljhLvZt`qTk)XvUVQF@O#da$ zlbkYQ_<+XsT7n;QDPoT)g?apq)+ZW%gZKmy$k;?-E#)KFkX+$yai|BlfwYlr!jcEa zYqa30kf*{tRU1)FX?ieNjmv24Jpg<9OzN zljUb{}26v@oCO?~5zM9jE`vg%sZ4zPU4eKw+VCm|aRu^pm~6AVQVHnyuQo zuwf{uh#|->IzKt#91P4pRz&ldyW65))ZDYlhGOux=!|FZ+BA+Oi?_LXYqJXz``g^S zb+2Kg6XfQtO*T&Aw`tz`X)J9|H*ehz3Vk#;Z*3auqJ*2bHjSey$mXpTqorxj-{r_7P;!pq3ZmF;p;G{%-lqG_Yl=nG#Pe^$!U6J!* zrJ)-{*K)RHvGG4E{|8gv?ecygC&_EBF=yy)b9_(9IKxiGy*(V=wms8gID-ui z_EfirQK6T8yuUxw4|~bOK9iO%<9HXM)~yYxF{uRcKomBe;xnYf(@pUZ)1$; z0gQdH$q8q&7YIB0SdiD;r;Wf_D|dJ2H0~SO{8ru#e`jL!&*A#M=w*jwWJJvgje6Zp z+hg7LEdM?IROdhWME4!@VfQT@!Phnm(FL!rBa_tIDaj@0JoqLLMX;o_vkWwK$r1om z$xd3elC*Ty)IKkWRt>Et0A5W2w4?yI<+&h+))fGmDS#Fi0H<57%7fjP09VjET4r>p z%eI4yVdanKHD9KMW8!fkJ6zZym0TlW@z9Sy%BA3V=qC61iTkv=&&}@hQ}?;WeHOdV z&)nzd?(+-xS>isoy3a4&=U48t)O~JqpI^JrZ`|j0_xUZKMCiP?AiG&2VQw*l>i!iu z&pj2GOuIMAPvz=q`n|VCziaCByLJ}8UUA*bW=-g*({9bN4k_~&cmBeezhGZH^2#hw zgf!Wd-Gel6E`!rt*`H=|#+4xwNvUK&D#+;IR24z0%DgkOB3J4V9foyKI#{2<>9W(( zQJke4QXVvBaJnwr_Fve}cst_=YDsZC)i9uz#tu0e9GC<7d0MI?T`EN815!b|2A4I{ zr)rx6(m`d0p>i-%SWE^dJS|8#@BI=&83!kP{b#P<+UCDsLdfUfge!xD3*RpxGkHaDC6%^fXj;~w!D$zfc2LV$l?KPUJgtPj4+U7+ zhl>?wti<$UVzVN7&2tbdHBS8fp|WE#@|xyIOwG1f7Igf+S!Q#70WT?V9qzh=zd8oz zbewiy1ou4LC$lHVITxq)(>P_DCj*Ub;QxK5@L9$X1&2vFsPt|#mhwMl}7JJCn z6lAG~tWH7h@Q^!GkmVk-I0b3*kn2;Bb`P1Gf^>Sw*HVx!51E#NbbH9BQ;;1VG8Twh zGw!plQ~MUDIyK{G85zH!QyCKbf$GnVhQH&}Xb`mL3Pyv(CwF}SgU*nF(;kpedIwtr z8;|4>j7OZmb=j5SoUiOcR~o5#s?RS_}PjQ1j=i#6y7X{kJbk(}%I z-O0`OiH&^N7mckd@5{Tff+8!|==DHdi3T$ql7 zQms=Hf~C)*<=A=9plClI5^dS4bBE^k-67F(HVzXlMzl)qgH|@~MdbSh=@(O#&sBiM zetJ?_zB#X%3$KS(!nS3s5)RjV73{2?!%rKajGohYhG?wHe!kVSRJsH88U(=*~ljdVer%(AEnHsv9=wi_a1?dDx)w z-2|OFY|xpQ7V72HJXQFXc?bQy$s;>O&p-0Y)|^n@jmI&VHLHI6lH~Gdgns)IX4QZG zjz$Wa_p*cDXiyzr2>PUtdlk^{T_eat9`X%AW((5cA(seZHqn0IA(I3_oDmLv+e6M2 zCKgnoo$s zee5sb;}UaA!hymza%2QIE)ieLgs+nHa%c2k%l2d6KL{?Lph}@@%T>EeUUV3{RJCIq z@qmwNQ|fAwhu(ef$*Ed!8x{$BFG?f>qqY2y6`WKxF4d{4op+A&CcfovTc7BQdi}=~ zMINi|6w$@$8Am}mB8=vzP0Fv%1zvlx%izA9uC9Mk1}ICd@CRuqSGkC{O(d6N&8?bF z6TTLcmm1pY6VFxReB~Z>iLN@GL`O+(A0?`lJIjT{PdRi5FnYldLF9e1ZKy$ zmzv{hbouer^~2^?+Z09LJN$R4ebJKU$ii69wJ&VVX{i4G=T+;waBIeVSLL78IPnqc z6S|g>6N?~{J6!oR+ZBEGM|3%b!;NTs5?c5il;8+QH#ce&>rXI-=_FKoY^XNQH(*f9 zNhMK^09{Qdaiy9E*c2Y0#NDT0R8JZ&_d*A+kbAy!N0nyGkoye1lNR2f3brwTtV>2c`#j#9q)jZ1x;S;Vor5kJS`f5L}uXaKDYTv7`wz2wZ=dG`fK=jqwhrT-W z&{tAZeYJblS36OCwb#^F`$m1W+le2cmUOBm2Ged)VcO%+*siJZaZe~l_XY8BuL`n5 zkZ5C7M6x!?-u{^kt}4<=%y*H(b;7F1kolgfa>j?9v-f1~dI;GZ$MJhGGK7WA&-9PL zl>9A_psAj-95vVhM(gnsr$yt%kC(4&*o~p_JsH=0#bUTu8I%eYu07n}iq>yH zn7_`M;N*+o&HRYl?2DAmzR1$-i;&H}h|=tP($38CXB+;z_ed*cUbQQwWbPHm7|Wwl zR75hXA{sAPrz8@nGjceo!BgTrOxf0TrFhEUaM#N2Ph-YJ95+N8_hj2S&2NGe1viyX zd@(TBaNURz=oICS-+AZg$<6oW-Ox%aQ>cs8cTK(Q5zXG}1-obRF}7@tDrz{BG_8*?b48hLqCUY}AV)3R;b`n6?91E-3l&!!yK2Qyjf1VEvM-#0N&(p+;w{B9y zs>&a7+}zEs-j{rk`v;7a^dri~JrL_{(f9*ZiDRqckF4AM!AfkAHa?$u%ER&dWz?!G z)%aB+%dF)JJ2z&OMF#NTq^*wr0X@t4(^lgnSa`l`wC*JO*Sx*na8oQh~B zZ+2vDxkCh^{_$wC;3D4mJtx+z-3NEC1cvJA%PN3;sn(qjqDxYC?Jib^+8Xe?z$+<_cfoP}Hc2Yxs~=ZH;se?^Vq>8Of}?x8&09A{3phxU?7Bqaeor}7+n>7H{zv2Lq^s;qSJ`pLPEF>F##{~O=Ubwb>d()q`)CI1i7nsh zAFLN7vCSC6NQqNP(J$xZZqNp&)UopW8eXZcN5v?=>QSnj*L)>4u@M!_ymv-k^W8y+ z*Xc|3f?l1U7=>-qEL@}3RT?3aL5BLy#??2>|FsbPQ8(Ht>7I~FMH7yyhjDcUvHDhz;Ie==A464 zlNrd>29_GDzyE?{OHO~OlPHw~nE|DKHaU0n!NV8m?a|*mXr%eF4`p6+VO6|m@NgR^ zyG5xH=Mm9`(MAxowK}azj~XJZN{^QJIXwJTIFRv*^_jiDgieo7TaWs=MHVF(&#Sf3 z6WLCj6MqMyoyW+5&tgG;afPN|?-i6ZqXOICae=qOG zBFe9f_f)~uXtPBYj`U;Nwcw&?CMw0>dAqv46B1v|vnsLVk9YmR#%OGRHnX`lhdH8m zyTY;k(o$;aoTj7L0L0`1RO3P9u}wr0+YEl$aLWg&H3Loc#LYlXO|ee?N+mO|=?jc3 z&6Hg;nSyep>Nk%1y+t+OY~Q}`cwa{zr9Z{ZcDE!|C&&F=`E#(yeJD=U-K%3$sF~Iy zZ#|~`^@gV?tYInqUg_tO7az-FfU7e3#mxA_jqhY$b5wQxTSD*~eIT(U3hz`_{^@J- zShPN!Ih7#j+Qo15(My@-F?2VxE8~yv`jHe`Li4`0di2Q9mHdhyEi1=vug(LjU@AUT%xzZuALjlAKFaFa|Hl)^Xw-xmDrl-uQ;jt!+E}qA#Y;ve zGO?kJ6*N(#w4oMT+oB{w6}fbh%JgxRQ=tc2tn_GG+G^z-X^V=Oa7*yk;5A;smdd0- zMMaHQe(%rPdnOaa_I&&Q{(rAuUNFyk*0cB8Yp=ET+V{PQX>~Xr9A{~c1PwkLT`Ok^ zPg8Wr6hF~>bSkekmS!NQwF}KxhFks{BSA>~A2Z10RWPVFpKi|LQJ0z`m%Qe^`Y^4R zWN$#E<+Y4QZ?9t#8!XF;B1>NL?wqEm!*_)pm%kT2eq^V_ocG6>gvHY}-S5e&`tL$)9{b-aPoO)*i+o^)s?=DBhTkU;47$ zsj={7o3K_{xL<5oeSy7lk|?&2@onU*zCZ`@6g*bByZPDPk=4m}(MQ=?sYzedbVr#l z1LZw8)570p!@=Zu^6xBhoraR)$@|jiHJ<$Ac6%)CwnvKNBKLNLesjimh7%~SqxyY4 z;;Gg=8SR>?b@d0{-SvyJ^X_?^pLlZf{oOpBkGP&d7KT0C_TIBagb6QMAO6#$3F5Rq+Vp4;x(y1YQ}$CA|kc=$T)ncnYY zxNspv{@>=}fBp^^kH1V7lIx9!`yaX>?{HTvK4Dx8&)u}NBEeDRS+5qrRObZ0~u=_3iH-MY|%uE3rL)R2K%ZMtxv~SZYLrR+q$+S@C`*%ipJ8 z&h(GJtBJ9c74U4hVLLNlAAMXLELHJ`5pl=d#Qz6!G6VT~AGRyQ>#drz*(WFGyp;J` z`0+V8FP^++dpz0P9Zyc~3PKZSsDRqYU1fE8{#YxZv?FPcoU=EW?4S#g$K>ttVAwN^ zU_7jbHeoXbHLZgBKu7g1G>t=+!ZjDTiS?z`X|>En_hRTKs(0smifVu^T>ncNSZvJ~ zJ?j4E@V(kJ!QG z8~$B8aN1Ym&LXHUr2oL|01Mu)9Sm~G{Tp`hZzQ*WJD9)z|6g|Sf6n5^*g;nP3wLQ; z1OMz=SAUfAjwhFDNEYX!+KyS@Nss4$T$^g})oTAJoNH4ZGd$C#8V=;zRAHcGtDJNY zIxzeFone_a)f+&`)-inVFdy#PRC2-;BidBk_#T9w=T~j2r+H@ERE5u}O{JhgsLjZz zX%>W58LS3c5L#hyE%1QmN&eRt=MQL8^-U9^zd;gu6@=PU#}Sfe@fUvA-=;d$;X=ni z{A1fx2k?4Ow1qX&>cg}9t;Q5VmXcmjc zf6@T=8ebMq)pLdpC3SaD4G*0<;f13%^XVWIaJxDeoP;(rY!c_p0PXFioBw=OdHsQj zYjzC|dq1@cKh<h-sdLnXdnz0S_n>$g9a_t0Bw_ovH$I|s`?l7j|v;M!%g zALiu!VEAcM@FA|zOv^EF-Gxk(I9OUBCluI(7Y)~i8|8a*Tyddu#cB@IRJP`Da%rKx zS$~A4av#Q1n{=iWwCY>7sch}x4lM?x5oT?%AhhRRul^lES5DqxO%h+o6W62%&u>6{ z*6fnaqwgL26kVj{$~6U?n~s83*Gey-SO)|3oz9kH72BrYl3J!5?+{{95c+189jEIZ z;Tv>rjWkHY`_=Vjxy*iTAhV(2&>Q@JkL6qUVL9BQkuay=pFWuHhY=p%ZpGO34p^4N zUwZ2^QtVR01vbQ>MNJDgN~2i?+c@bpIL78Sn%-;T$%(6KlhrG0lM`3u=q?^qukkt! z@{(IewI@6AwfMmsegQFtOKWO_>J{q9`8e-V zcP^~EHo@lBZ77}zJXQL%%!-&(AF;Wb8oxp_AboL0htEzGMJ6^f*2S5HjGo6H=+n3) z7t{_d_>l6HnzL8K(2v@c2i5uOHQe2M$3+)!h@Kyv9Gx`zyx_B~FNd!WkW#8TKYZt= zXtF)p-g8tmzdgG3ooKSx4*GQy1)0Oc_f#jJsUG#TX1qv@5!pU*KSl@m`*$X2&Q28+ zPK5KuKG=%XuIEcb?K@(w-LVy`e5(1GiH(nHq&xN`Bi+6EmA%ZzaLnv2nfaFu(Ztxi zADkEq4pgSyIx=GWtdn-ZyA1vnykohIa$5Rd-`L;-A7c*dBY_)y;Ft@XGrPmgPM@aJ z$7XM=WF4X@y%c169b!S}x20yiu1G?%4G z_oKT}vGzI@Z3EDuJ{&;Lb=&UlfG0GowUw9G_%P;a5q(;F&0YY-P&>PL`A#Ia52owN z5DD4!Vg;JR_y=6;7INKQ&fLb--N`Y7oR~u zk}i5$CaGzp)Ww+O^U>rB(e_s`$rqwqKa3`KIXkRQGM~!v^Cq1!Pfui;k5hM~iUM6+ z@CZaZwWV0cOgZTRG_ZY4C(ChrwNmep;cSaa(=9im(^}@N`q-_{xg-&H(#%Vqob8CHx*TD)dEL)sBM)gAJMOE zfNG?Ma~Mu49*gwCKibNOfN%|C(Z2UH9?finWGia4vVUwfR{mqYXa{NKBql|vq;~P zA%CjS<7~2KI0a$_*e9pgXunq%$4CF|jSbM_P|TDUf_>M!e!Aua_EH*iBlId<3} z(^JX9qfMo-M~?lZSi-(_ANZ6G6_`HaHr%bNelezj-j$?f1%U(CFVrJ7Pg72jc@F3>FvFOue8_2uhyV%fwRZaB7sl#${_U};V>$wSZ8 zUGGDalBCZ+HBZ0m>CZb62IQS+=m=(C2w$uIoyra@3_sp63JVuJV5FrhfT@?G(E3x4 zqhH?#{0{$-*_79L>fqmzKY?p<@q$sWkd4ecZyz~BMt6b?d&<)*(6p&M zwks%4zF~#@V@q%kdtvsz!OFfVWnH>Xhr2zdvs4p^)A^gqcGp_Xme>`yd)4=FuX;TB z@WkZiT8@NIZjC43<%W~mh zX{@DHa4L|ZaF(ISb7`0Cx^fDshf%{jW~64d$OIz zBF7?`jx0Kuy>hMWu<_7}ETm;v?kf}29@6@BhZ7qtTr6&8HYJZmjCnm{;i02? z4j>OZOS7Z82{*-?8V8L3NSaiK^g`@VhmPuU_gRxMeD2nRzmDn=dQfELbhLJbN94LP zg~n(*uPCWWEwMMVZ+{)y5r>MQX{=^kO5~u`vLAYPq_RIbk26EUcWzB?RrZGs-TE#H zt&K0MNi}Wk)Aohhdv<5%h!^M4R91z!Mx4SCP9ieA`fG#o^4BvWA--ad z^kLDM{rU$FxFkG@9qf}SCFgg>8?8d9eW;J?%WVDDLr6+wdWIBg!0~_@tSO$jTT;mj zCyzi19r@}AC4Nwl7jEfcc~M9HnF@c0RvP`FB(M39j{K+)%R$5&7fKs7sVN)#qHFpz z!JB+XrdC21JA3QSxA(|F^uO<|wCV}>pdHrixr4-PCfa6l542ao0ZQRGC3&A|B;0z0 zy*NHwz7rx*7DY*g%E4HtS0a(8%vveR=0`19t7b~FHyox;mj%xmR~?%x6H@v;8%aB* z)6VD6e;97kk{I;P^19vdcR9T8>z+iYII zT;0D+rh0UCBgDp4SIr$oR7!@L0a{$;BZd>*$Wc2T&Fp(ZupivKoNz&_)CwD=L2HYH z$`ki30K!NV5G18Fgqif#B?r_|mG?kPujjz9k z4$RqRFs)y3mZv=+>`yGsQmJs(D=NwLERD(1_=9LPhQ2V6p8-U_zkA4;TnZZ*HdPK>Y0hY1HvuRfELRK#vw}IZN`&|eyOWS2wp!=#6|-vMjb^Cv#-&m%X+PdaavS^Z$-_m* zgVkj#KxZ>7eI#(5SPZ=52W8WFJ=zN$rTdq~>rC8zOh&)Tr~ZtZriM`St>0Vr#2m!R zCkom=ZlkB1R+rqCsv>h+Jt;7Wbb%{?E>eH#JWf^lH5Eg`EhB+Cs@eZ8#LU6XQv=gw zkdpK=W%0(tRTfz)J>?-SA~Hp13S*AIr#}x0 zIfao$75(2n4R5*ce>%mKpr#i#e_h#j=&N#`b;>}U_dD3dctMF-N4r{-w2RU{t5}Lz z#hVl}Cs?XN7o=9|*H`1G9JY^qvmS4N11wh#Db+Q}rzNIR*%v@M??~YBULVSD+lvR;^cCb(-3$Gt^d{skZ8z+Q40s!=SzD-+?<)Sl|3XoIV4+{Ks{Eaa`@wv2+w_ zDaVp$G*Ow?eC)*J?s#yW+b)>(8;|5PCZD(nNe?D&EV8yN9OE`cSh9DTp(xaBeVORq`ZBv=fx{BU)|1q9xtb((Xx3 zDw||C_c~X%mxcj+PRa}4(4+?E_yRf9o*8Nx{8LIjR+9~+G`2^J1QPEKX&xEahQ;Lg z%hYN%SkfpCx4xy>Q;iW{9Gw!qI69S~9>$7;*~2;}j3(v0jtQlD1QTX5>YjM_kl9>z zTr~Sob6SNfSQl#iohx56VWtGTp<{xbQ`j+Kgd)a+bEn0V=hi3Yt8J*<@Exx9CMQ(% zej}I=88=~W^HITs^5ldvqUZ~CQt{6x4f3R4fJg$8!GtolBpoR_&BwppP4LA0iqPzX zg9#P%9A?6bJ~f?~m_H(Ke)Zd5D}!ayhzAQ*9^=XAoW%D>nZ)w z`0UGq33DqaM4ApxPKc0qea?QJHtWki2A#BMJRU@6LJ-OS;vKB{ z?kxt=u-4S1EFT8!!T3`!yTrCvScu{^gf;0YnQ8O@qj6EM~k$o zUs5=NM9!TCwrlnXgqNH!S8*tTQKTG=doFtiCuf(CRb>LXjhj$D_d=g@388l)pNWEj z8*k98sh~ZTl%YbqJ$$W(o?Xmqh7wa=olwOE%3m0SDtIB@_$pWSnxJ`$YE*;nas9b< z0Ccq*Mh0`#$sXD;M;W=2es$y~S-u)?Gwstk?Jy!!0ASu@dr5d$xhMx|XG=}Kj zoYWb2eG-8LfBLk(gha-*g~mAr0oJ+!+A1 z29_K|@qW=6y{C6X=MXBdBRW^z0cg^G%j^A2R_bOfX0l?k0w!n479{uH1Dq8oz2-w< z(`l&wN~J9_LSbW(;vaBOu@ocr$<@g)2Kw$k7p7(!FUNkjPWqapkyDM){#Jz*{JBUY zl2k*8xLd>jsnvM&af*3MUqM1M)zcwJgM>J8F;0om=F31_&hfn`ojM=Hl@!wkjorR9 z>nP#A1Fm_71r zK2Lh^iZ9xLr&&;g$AuGz5d#}y$|ExTMzB~P<4+B|>lkU#+~YWG<4u`Q4%{YqUtzS0X zhy)(Z3Zyq=3u1bZMTh}Yc$-DAG^1=Jb*?kVD$2#!&$EFdW&*>%?UGSulTtrf?9A%; zjSaz>awE!1TkGEWAw4(PEI=pJY9Hw%TCGh{wpVsEzx2iiO+mWp&+zq|iDgwmeg`B4T={B&r%kwM@{!DbX;bDl#BHb*sPcKrgV#71?%*M_SGHJw(S ze4M$vd1EwTY8&HXsiLuN!nBKtmWD~q>OfAy&Rj;(uR$!Dum=k2Xdc9YR(ZML>&3N@uF?aV|Z9`=GA zwriA9!`wmS^y4NEfvU!$zO{d)LoyTNS+X1ID1 zBgNWMBWwGbW-?yX#uhs{W))d3J_YsYEWWAjjMO9h;7rcEmB%``U$QnSK~zkofw5+7 z45V@xTJR()c_BN2I-);<+fW#s_CP#&+9R4~?LpCD22r^g@QeMyy4W4}WFI4?uc6lq zG84>fn=9A0IV!a`;>jm!xZgB5lc9|f7*Ad`+@0lags*LEDjQM5IXE?q8#%&c6P>|- zXxzl{k-n9s9hw*`c!O5;h-m&s4eLw-g6WNFX&1(V(rDuS{CSr}tyQ^B^rQA$%@ual z$p_heY~6)TeX(RmjGb7TR6aSD+?^__@Y7Uuxx8Rv4`Ubg8VqLiS2j_%m)pRfU<$pu z^0}tM>SSju`DE{}Xrh(pG|>iTn@jNG$v5N4$KuJNvf#iNlku_SyWu;#Y}hb=>(+O; zP?Fvq>R|>P^@WiCSj-cu|6~OKaoM?7ry=u0Jl9l48Y?YQrxnH<_h$0<0r}JRGftsu9^&K_UE6g8RmMSNw|8w+J1T>T zl%?0Am+qNC6LQVunej*8ekGPF`&_jB{o>^A_P(Q{qc&Dwy5r5ysLZ_-P40OkeEeJC zVQ+;mWOLipQ%^Vc>regWIaarvI-CYeyzw2aTOiK`@4*Yx%2U$teCo*-hKVC)L$LGV z`Md)&gFR{Fn>B;-*nTB_C%ZK2yqWpn{LNcG3Jk?J#? zJ{caWaS+TdLI>hK5;{8G$j-sqH*FMx&I9-ta?H1hv4QH!55uj$QoBB<8+?D6x#Z%r zETyTPaMfNNG^1H2Q*S|^x#i1Eq!y|ClcAwSk3}_++N1g<%8vHxNj)Cr zW@g+}H2efIfHXQ=9^ z)CAgg`@9`zaaQWI5{l7TsWF%`RvxK+7t?E~eO>;dU4}A~+T+RQr(DLXlMhtqcSchM zpLFZ~h){&%b&{=V+>Ncq6m@6;?%(dA>8h@LG2A)_85o5}^LuruhN~zF6jd$r?pfu` zgUKBXV@=Pd{Bf6+?Q&Osgo!A_?CX#a^0Wj4+J;Hyx+Rgl+bxKiarvsx!=+G)E*s(w4Iecfj5 zYu3bUVc7#WG|SD|m-5cuBPLQV!BT;P-M2kn)Df$Erm4tu(Uz~h*{K2b%EDc0amJHt z-9b~y)n)3>`H_oKCOG}PTD?Lszc!6$G|0!AMx!MTX3{ViLKvM`uf5Zf5Fa#ZQR1)# z-1Vg8W9~zU4>R0{1Ng8w`{6)7Od3pNo=uLjndMv_c1VzJBtt*7ot40zdsb%if z4WkINR3r)D?&#sLts)rKU!YZy$=}m>>oAN4Rh8A+S)&u}g+{~b513cY@idi3P0+NbkD;LF`;b31 z#ExiiI0waNXBCSEZGA@tjz&O*p5|t;?cwLdWEomo5MgW@yHG>Lyjo?ao3(l|-sog6 zVQlKd71S%2WcW57pW}aTp^(a}j$M-&Z1DN@+T@4#uV6sI<@y<2P~$#pwdXqor%jOM zQ1hO?$ia&mikkR~b`+?Y$-0%;<^r2sxy}+GAD=X`w(br=s6mjN@`N>K#IU&NfL%rA z`M%=DQ_Bq>PxjO%UuQy=qaGb^$G#~q-~ayfr=(g&Dyt~(xNhg?!4!XSyj62u2$4<% z6WCSKTy=zDpn3*o7Lcr=snjAPe`Sj}v_V@kw;avr`A>S7;!sA%?IoK~8-u{l*i@!p z)cZ|l^E7vz_EXhzQA4n9TImgrx8X^qBoQR## zM@&4e6d5~n#)tqI-SsK1KbudC|L34yd?UjI^g;)-TFG)sA z1UlK^168P`P>F?m*2K>h#{duip%%zUjuH`*s|YJ@zlA;b7Sa=!Seq0wRPRDk+zCmW zfXlyT*l(mKhG~GLVJ3xC+w#ot^*<)Nu5GCZ)*Drd^-;!Nro~cU&acM)I7%YFDNeIC z7E5+osZ!u%`>5BWDoozWK$|u{84G>U>vqZmCXo#gdQE zWTodL{x&?4xN!i!yOZHsiu-O?P@lxZ6KwjXK0M$g77}PLeFOuOXw*FU1p-N(wO2(h zy9^*zpYQ#OLRr5j;~P#rsY<$uoHztC<_war);4aiN@1hK@kni>`aT zkjf_UNUhhfiI2by`u`B}qWfp-gZTC}YQ*xc%C5PCk{yo!1M}ZQ+`T^|?lYP`C_!*A zF5$~bYIc1pm-X>kLt0EAs3g1%d(EG^Y6L8Xf`wvLi%*z-Beo!gH*?PD+AFi-Yy8!uPlv4jslv3}M()s^}Qf@lKDdh(&RN9|Xwm3~#3p-aK zQ>gI&U8U4NCZ)uY(WH!0)DAL*m@ZKVerLA)&lDY)$QY#B+|D~js!PEaZ$Dkqo}@lq zLv>XqK2k4CtTnfs$6b#U!yx8OKytgPu^{v{7LVpE99f~A7g13vooZB z86)<9(~;}(Ov(kpEN^Y$;3(%gygP9%heGPy3aeK%7f_lQly7!O{0l*36;b!iGKmdp5mcmj=R9d{eP_N&0sDIrL}s;!_|6!wWI|%kLX2st zE5;cy$TWmnY^{~PLn!nn%s7)KxS6!M(Hw&=taeMW$56)I*)+Kghu3i}MjLAN@-A8e zutzNj4H`W2&noj`P1W>Q=8ws<)O}oKK0=i_x3c|{%6ypB!@2c%XY*?xTaRb!@07C~ zSBX#4Oou8N9DqXY?KhX1aN23-2rJ8B8kPCV^0XO2)nS*DzU|1*PfRxo9XwL6(xCl6Y5%0=JSzTlNvf+=caNCqWh;5W$6 zwQ;YM*^Dzgxn=ID(N@8=0g^?BP~I8Mv#bivNtrt}IpJt|zOOqp>GxJU3f&InQ3D zELw?=6rcHdbC8`7q@lk4Y1Ly|_x>_@W~lr8rxEId1G#_9xOWqh#{GEG4FBj)R#g5a z_cvHDIh>q4=sM_(iQ1~m;#c6y>Qq8KIb?^9IvWmUarsUqil$n=qLAbno2e%|alq%MUFL1uysRw|?YFr^;v=M!s~Z70}RI7utI_fr^i~C}U9d z*oM3i7twCF^rvH++@t)*?xDBd9fG z=)>QDky*?;f*F3xDQ2GxU_gcNcFL5yUzpFW-+k2+bwg*(Y2z%2=D>qD^s&Quypf#{5Vckr*CuQ3+oB&gYCv6T9WX zt!tUBdS=Vvup72Xe?P_IrfhCx% zrm~v2&dMTsE!Mb-)N&=A;0v~}&44*E>aMNGA#6`N;@%2Ayptn$Nd- znYBkvT1B)>?9+nFsgId<^qqYQj*6=p^OS72pVX!@4@a5>TYdffdNUgeYz?IwVey@m zW$e2(-b!FYjT7QrEP1K{BA(3$YvdA~HAdBQ$??myjl{b5&SNcpl^m_=LMtR1nEMx_ zg!`?9Syfg-6XfG&#Oj$5t4TgplYFQ)xuKQ?W-LB*3q&>1S-L5t?FVulP}zVJBFLS=M|;m!v}0nNW}&nm>kcU zWTRP*_gY3rBI!smUiOMnGq00gR^~{$-4J^iIf@2{?YrjRR`iVp= zF&ng>%I=Ba4CbYJK`z-P_6K5lvEWwag|Mv^e3tiG_gO0b5rh|_fBN=qC(1`(WHu*I zz27?4M@T8cr-&d#EPuNyp(b_CeUw{>(lMBCc|AX%Ey>n~c(R&fMAs}5)8XXntXzq9 zu0Abq-X+z+5Y7#s_t{vo z&Dw}IT$aC;SyKA2HNo8XsS)SC*+)TSI4-$4HfnRM@{#5jXi%RcPXC!1`MI#=RCU2! zN--Lo!FXUraKLpwQN3eCObw4}c7AkJ z&jH8U@VPcIF@6r?Mx{N2$P{$Ojjx(}Ovhl`_>qkhP8@^yS!rwKy60$$MZD1*4yTqZ zfL6TmMp+=G>j^4=8|7G7*$PZ=h#Bh3&`jfvl7`0)Gv}xVVQuoSS-o7lLo8_jLetgq z7~;2Z7Vn{E8%h7s@m76S&zUuh{Dcnwx@yaY>N;2m9_f^+CXKlgO1;kOS z7K2zOkn~I>nW>uJK2GM$6+>)H5Ud~&X;dVxX2skQ)+_x8k55CJ?|v5pcg9nfs{Z7z zpjAre<>@4|lpb&Pj=7p|Vk zE`U3cR&{WIR??iQ(N1$e(d2si?qhJKoCmHkLCx`MfkuN(ISh?C$I96V&bK}pV;KX! zHzPpHo*AH*%OA*=E3apfNQFQ`Gne|N6+gd zHDS0z3U>6_sJ96%tlQJfX*VJ}Wqo8!oI#~iJHCtk$GwZm1*KLj8i8R?7QfU{s zJ)F3iB^&r%6&;i}rzgdG$KWbndp{AF29tw3vemuXIW8#8=l`8EJ_A?As*U=OYs<~? zdj-b&8TUG^(_q5@dj-~(wZTVl;Jzcc1el)LL0|)%@`?Rs{76pw>&6r0*L;)iFx%2P zc)-*ydkJiZ!IVVbkfu*3(t{Iyg;##kRI~=IYNBsSQS(s0so)?s6$Cdvr{o$RWpBY# zObt&=HFadS7*uI@L8(@Hl*lczv?p_uk!r7ORmNU{RBhRGHoVljG2>KI*$nMC@Rza; zVcs{pY`(AIs^1&pO_6#6{1E-Q?n!vwl+4VJnJ%xx8Z> zjD_|xQ+Fk~(rTfh7N)~0SOU`lxLuFD?PuP<43{s(<)uIED3W$ZLTh?X;jbeRGw5$; ziby?hM?%f_)XRTd(UBMf^!DaeXTW{&3X~AS4g*+>vPGSAQLejrNRG zA$j`UTKE88F1d$*bl7-DLM2A$ zf_Eey2eQ+_O#aN%d^TaD>q3bX7H&av4G$AfBV{_9f=m8iQlLr`XV4FiR*wQ3AP+qa!zKG zz}|FI4AH&n^*XDlaej_%~ZPP&~ZLx`N8>vI_HaPgTQkyo>zQ{;* z9S+LA;vdTm!zg1GQ{ggu!#JADiF>buqx@#oAw~JkH9BOozV!EuPq5ECUtI;3TuBrw zuob`+fEVze&vkhblt7I*NnMw^^al@7@D1AVzMl|J(uQ< zrPETz8i~9DpVS=SK9|%2z^^E&ma+;!m)dP*41!VHdhbaUp3zrUC%CqM9+jBj3 z)Ps^iQ(1f<`V*jQQq6I9Uv7rPbw+}XlmpSt9$hgIJr#6K>W>THXW)lwg{M^*iKhx$ z-&ehFIpv1Ig_~EM@U6Uotn5TcZh!W|&5J+sbqil%v~*w!f3sZqEWXtF-rk;0i30KT z(d+iI)D_!dFUzsObDS)z088PSz`F^Yk>ws>$x^tq>?NMck)>gu-?FbP4ZRuk09hKg z$_u_`NWWKN-!K^fz45F{|#zTX=bF2OQ?* zQG&x+=HyWdIMd0aix3%kJPs^*2$#Oga_(QQB)x%!X+$RFY++hMkKZTT26(tnwhy@Q zRx@dxbTPvvy9#V3+10>GR=D(OK3RL&yE(ToscnTw@38&CGVF<-cE7L;eVCzr&OW)3!gV!kXczt3+5}-vbV#!!dBJZObV7dhOg(z} zO#>Y5<+luYrYl%06vD}`4lrZ5lYrwX8Y+4wUrldED|)WnfOft}TB1I7XqI{vV1=hX z2Y9igzCdV4y#g>peGIUu3n!{S^wiH0_2=YDpkBh!M4tCjz%ibBJ#d?&J`IqAORSy) z%upW&Eb0+Gpx?^+M_d7gS7jU7)tkF_vkJ@v7`eU5q+pyz!zfiu+i0E@bCqP`gw z=y|=UmwM{mEJ5s{A%{Xr+M1Io`Vnk)i%Lu&4_ssxv(G3Q=EAT_nk@ zDalfA1FZ7Y`+(~l^*omNl{xCGz-Fkg1{QVUMEzh-{n2))PxsW99g(HJ0x;&OcLUFH z)OD@R9&vsVwzrfVH0bGT;Xs^%a1U2!ZPWGt?&mi@I>4z8iV#xuy;3 z=XmO4OS9Cg0P8&UIlyU0eF2~r_d~q`FhhL|a4p_QI3|44KY_ZQ7mE5R`HuGzGM%w^ zz{#F^z0kjM?K;2{pbGT}V21iIV6D;@j>Y-uF~Y3}yYTq$g>avUmPkk(K zougg_c(S9uo4^_Bdw}Ihg-bt;u(L1Ap>I8q8@_{bd%q_IbRU~dW;@_ipG?VT^70nB zWJ&=`T{2yS$RzVPuoNJi1pj?faxFg{ef?_s21KRjamv(hC}xSPr_jCWf`SzZ%90me z8{l-GZXfVUmu_A;<Z?SkX?>s7ZTftfgr(1%o3*(gJsC6uytND*(z~mzEGTQ{^l#5W zeBFC)0-Vd53;AEie-0|CuBb`2E`S;9|E)ya{T+q=jv4J2bpn%oj!|D1>Ofg*+*O5_ zY^n1FoYHC^2La#Mpuwv6_Q7ugEH%e~0DfqiFbJD_!zSnLFt5!n)8*_&{(w~Z15 z&+G2Xw)8fH|MaX&>{*xC9PHL7wg6Bw9i}#5-(6}0{0fP{*-XGpVokucsnv#KxHx?Q ziRpQiORO+28op(7b@)$jk*%pp((+b+Vm7&HfI6?$vJC9ou`2*)y5#BrGs#T?ma>JD z4%6sE&sA&R+uQnVP6}f`n@yn#u*s(|2iP}R7XZ$1DO3PvQWyiQ3<;-<{K!&xJN;#) z@N(0)#b*iSFyjgUn?3P*;2qu-08)dPD*((89|o*a6w#B?G794TjTsQ#AoOATy~CS-nLfOhPciS5yu2%YierJ_^X>uAr?^`#E~3u!ZDo6aWemc}2>y&-a#GxuzQj`8 zmi`_o_PhdJXSpg_)^ce%X%J&K>h)_3q4?Dyery!XWQ`u!Sr@sx>}bntX_~D(;&-gt z^0P{9v_Yc;ZuG&8N~mq`-Ze{kf{ks^xr_(nn@+H?Fh4s32qPvp7=E#_qZ)%3Sa9)Daw6xZk_(0Sl;TApD38{r5O^Dghz z^erCaTb6_w>0|l!Aecjh;d$si6uf&iT9Y^LyH>0|pUqAi;B`JbeZb3HcGPYe73plMH;_ktJ5H%y%@IXzn$CtxWJ}8SoG)e>SOB=xGq47@ga$Do z+5ly|fHMIz3^W1Dh=r38zix?bOW(7GM1z8|pgda{u(5U&=_zy_)k$sYGTcAp>Ur@6 zXd2)$pY}4~b6nah0EfA>6*H6eBw(dEk2Ec}tVPlLFUaV}R4)1)mW&2gE<*Q-bC2$D zb&k+4`V95_d0^eMDcpJ{BQCw>G{<5PJ_}WVH~TEi0iNozumJE=E(;ZanJkO}mOzA4 zYIliso>!AvJXl^|nx@@dH*OpcBWO0>7O#k(`Y6<)0{OlZ) zeUEYEg4+!nU7N>WqH@^00*$aT?Zd9(1czo^dm*cf6608{tO==7qTAWIT3d->tx>FW zK3>gc+}QN1lV-!${uXq+u{w{7h<5z$Y#W5r!LW(G%6W$cH||hU|H!Xop+R^eKUC-u zR&>o99*i$+ZM#P_!}0Zl8Qj9tR7DvrKVja%RQ^>-o5BE!M8{P#_qDJHqa06Ljr5uH zyYV)FR<~*=9B*e`*WRSfICP9Bpw@Lv&Kt)@q40Ha-lO9V2;a~P0Au44oqTVa$H;OM zEwY@^(4Tr&zf1&HT5(tbbUyzFjE2^xrk63#xih^B_4)G0ii4f$BB?WVm*{&#-I?xV zRkFS<{v*RJgTKnB9(BFR7%LW1b$hsTI()4rVWNpM$>vfeHuzkAZRER#pej79&dypM z;4Pk04W^#&IMrZki6ZZBFcr(=vn;MsEH*Y{MVr5`!9nv3;Qt#oJmga++X0KClUpq)q71Zi)|SIy~?z+hiU>6^C1vNYIHkA<~Dc0%6hIsP*gv7$LTo zX%#pS`Q-&pOi8T)#LMJ8+DHSYwSg!VW zJr_%c!GYr}Y`8^c*)e!1zb42$c#Ha9xJs zuYeZAg|t;Y!x6wg!G_Rr;1!PHIzZJ>VDkVo3>N^)=LjcFo@tC_N3OwK3>*6L=KsLP zHpUNw&?Ow%;3RyKgOG5!gUU_e&4m;N6)7gTBB4V!Ez^4b(5;BfpuV?r;XYc0;jT=NG8CvWf|G^_?y6jDIDBjNz$sqKY3)G@VFnpv~Ob!=) zPbX9P$q(u@gkV_fZuvq^D&bR%VHUZ^?cO~i;L|{>wBo|T*K1DB-DaU?uZERXLB%rV z1VP8zZA}R)i&S$d1*BfEY1;AM%DduFzH>=V`L~$))XM%>lo-)TrNm7Cc@Q$0G=#k+ zX%=jox1@4>YPYkb3czm?n!w^BV@W%J)e!#xJ8=x8#%vlp%1=D#%dFflr{#WW;ES2Q zHQp3<=YNACA&j$0r)a*N{wBpBOShgp`3~aS=`ZDeDIts5FDLUwaVuBR+99|3WVx2P zyGo7}x#!bR569Lcf!Y{HVnmt_vO6<=&7y_CCX&aY0e9C&$6#9R&P6;=HYKOdgR*k! z0{n-UQy~SU>bF)aL;#N`8i6$$pOMo>V71bOQ%me&a*8Y1NC+naa6Ry=jGvapJS7ZY zzJDjBumK*lG<7~p&YYJ-y9+hgt70~#fGPh&R1S;6Ew731;IkRivlC6EYIV*u1|MDb z3Er5#^h;Ti&&LB6+?$|fQX5$C;BLnbU)xH^Sn@ShiPuO3D~az-WfkD-UIc02nN9?| z0VO#CE3u3SZUa_t@KG|ARl5xZ>?V6&$xR#j`}&t6BSpCU5ASi3%WKl2@AgM4X?uN{ zwinCr$U<*CK$GOXB(LcZf|Vo(_nd%hGZQ}=AaQjqZQc?=CZN`vlznU5RF0n(Zuv2< z%G)9wjnCU+!1sOLI)PWZymbMd?eeBE^-SJcfNN2&a4d>UzhXJEs&sy)Di!_`;Zw7w zS^kB1lf3Dfx`QSFoTE+JFsF^P>Mh(-$-Ct%Y)A5n#~I-)k23-DLXP#7z^^zSR{{FY zrpB!^JWdA|kHRU*bHob->!=7%CLO`kfW?9(1WYT|;Q9 zUSV~BnM_Xt*4UPC>E&cl)HJZ3(N-OBMqBm38EwTgc-D-et?SAvKq#^X=%uZGElF?o zHIvTczn5fvqHq7sB|3w6J_|Dehh%IHxZLS&72r{@P9hRkCJWPn<-lH$0a*Q%TRrH@ zq}(sr>Oo(=$d|02hzZjv-mLmdW&DKDQHh3U4cyw1P5U_g!d$1%5nD~ga``&FtY@s& zs^R(~lsVU=)M%u&Ko_oGECn_u+Vse_OPktR5qPiswpz7$>D{Z?$fO1K9fMWY%;nt4 zs}&BE>cv(EIMR!45pdjzZ86{pM3Z6xGh&M=1a>E!a&`+D_b!9(?W^hgr59?7DkmQ> zcL>9?`nT3c^&O+nzz7>F##!$td|eT*J)baM>8d$iwTD8ymNV($d946E*7G_8c#h+B zCg3Q?Ybjuc*D_%7Dx5fY15P}zZ|VI|~H7cTYfKUY$(EfmecmUOdNQnW2O%9Gm1#V7BIt10kAb}^`!ZFNroAB)K2X5UQFR*WksCm#u)l0yA4}ePJLp*Q_p&t=alqREJH}V3+ubof z_EUSrCH#)@Ha`*NP&^{;0F%=_Igk*^@pT>JwXlt#_3oimd7Gj)MkDg)&*>PinN@IO zU5L}{k+5o9`2O{9I}+P@H`2D!k{7=2;+H|TyxuQ& zj6cm#dbClsrEu)&RNh3+0f?!w8rnlFY0OQSVPBWK0XbS zQ0Ioa9Kik$AADO*@Ix}eA5f^prfn@|>edey6)Q^zr(SaI?+y!2pU!XPhx5OjeEn{_ zK$~OcQn4y79AiCPx3+b*7utFiU@+Sa6EO@hn6zO^43n;Wm)%Po9d|c7PRhD#Qt^`7 zWLxTj{8235KVC=8hzhao_NYia(N-8|=Q0NoU$6xpPS-O|A%cMq7`3n)y&*uHL6j$i zVJG&nBVawtdKH&D(x`%L!xWbbPGxKMx$U`!p)TCDlKz98hgy?-D^5#gY~*&@MvQwc zMcG13oA%)?LwHV+tq3uv)P-oGg{zPiY8BqQpsTsq#^cymGPkTEebB9VT^y#Y(?~Lk z0eF)Up`F6>GonKk4yYaipcduIyF#d?Y?y*FZs1nxZc88dD=2C)WV~@uynTCNJhf)p zt~o>2i7!`Ne=$Y7ncP@jO_#Q95H{b1U8S({(sj3~I@p$8^j~z?PQUnKc8`Qx-Xz^P z4VZF`5|?OPtuzi4iLMz7lXjAScGY_NstpnZOVSt~@>x^q`Gxoetpy5IE^AeQ-*Z{p zt+6C!Ep*_;Cl`~wJsJojd-@=L%HhlRDyho0rMollzBcL1yxRi$ZRs`r?=}j(l6NPD zlXNz1SbO^ml*DR#x}Gj{7ZA?!|4V8mn+Z-6%;#e_;0m9Qa@6_WF?(r==|SaAB$|8q38`QCYx?2rdc(O1-Dah z7QBZ<@)YDAI?vX=KLaxQT9X>H*>nDCx`Av&E4MYT%cge03YUSEj2ZY0tOC5vXCMvS z?J}?%P)%zxumVsS$dnIG6$GOujJ~K@QbFo^_TA#hFM=&kelg&$Jo!%GUPrzQP|ZKc zF90<8sKB)FB5s9DKaIHW(I^ zjv?&DnLF_8)dBv_v$qJi_zrV8ivc}*Re%H469Z$dVLegHe~25N4N4F4Pksb>Y6$W@O;fAHpys7F3j3>NbA3`PL| z;2Deqd-c=-`uv;YC1J0g0=`JN%Vmonqn?O;8}s~%PvxlR1W74ZJ;%e~e$|sEWzXJj zz*U~Ta!j?t^!=` z8B7Cv_3Q>zz6q>IV$iGSHeRKk4|+Q;{C0 zsqX}-89aTuGXdB5bXNk`xO7(mdc7%9j##GiDjRqSsp}4A97@)~2|rb~fkRm{!iz-6 z9~f(|rWgJU)@YYU*|`~Ry*%SYsO>yEb%2_k5|@jB>%9{Jq_jv3Re(+mqbHjfVjv_2 zeNgr%iUFR(mDYa6P|9$I5-8{C)2#qp>(iY9?8Pt>FymbSbHq@_t8%stVed~27f9!b zp?+kJ7_KnZUQJ(h)BeOz_~|S=5kQSRh|4(ex1AX30I_(9ArH`r;n+zgh5`^0gFYzx zXNv)zLzLEj#jyQomq41Q7sGDAwtR;!KPD@N3P3LgMamJw4$(ji-3WVsVyFWzG4$n% z;XB3}>lv2qPYj)9S$4Vr*L!vfkIl=Q@5B%R^o6305KauAI?pv(Ku8SwpzNP126!H* zwDv286`yhWUCGmnVHMy;pKco1Tf=TZF9t=*5yNe~N(@^O_Ws0B172cS_Jtg4m~O1S zn!fBm_a}x$37{NU{vJwMVx&B4y+Bf?mvkw z%pZ}+KX2i$t^1cP{8!W86B)?;`lmc$WybMYzGnhH2;X3*0qW13|8!pD{Dn-vK<*iG zQO_uMTWS9l5({_D_!25$e<^CM093Zhc}8tSkOSN$n&i{>{F02VYYeTR@bt*IJUUk94+icMM}> z^UgEQ?#mney&+=DvA4Rc*4T7$j7^O_*oI**4GZA!p!Pklurc>s^Iu}YvE5&;;8>I$ z^UmKt`MUj)Z`rg6%gM^y!VFB|jxEambIlLY@A-A{C{oak8%Xv(i8s2oZN+5+a$!QI zo^RAG;*o;qva}~D{Un2QtIHhd&o-zf0R@dcSDFo5-yhb2K5S_=Y*jYwl>V>|^kMgO zit$&&t>2@H#jMK+msd67?BMi{&vh5kd;5|t0r|)nOFNsNP2jPX0x6)K9YOw`aTnf8 zvhPKi(Utpy6MuK+?+%Lvq2K6HxoQ5CRP6M;H?VSNG&Of@{-{?u`F}K->h}rPStjGDB@WNN zcW?E&pfXcT+MiR|>0j40>v`T6Zkz$7k8?hqh0ggf_EKWi1Jh(0MAYC+mAnnl)64|{ z)cetzNe3Wr-Xk_zvm!HEGfbm3k@Q9X;ap`Ofqac40tXpYd=St7axT6KkQTH&({3JC z)2Z{h2Uz(NlFX$WZp;n?Xyhb)&=OK$7Qt_w0rPey#JU-~uaJjK|2>OogFj&&1Ec4& ziyeR6gR=Z>2Ry{{S2CC;qvNj>(6Y+uXoSe}_c$+7jgYE+@BRt=sjl)~Yg_s$WDpBZ z9Q~3U*30wG$~r7X8R)3|l*7*St&*GfQd#8nAfxnCA5@K^$mtQD{WT=$#oGp`!w6JC z^#QMQ>`Oa`z!g|62{QIWZ=PcfiPaz^Vp}VOP~IXP?*+eej`M;y9+cw+mm>$2Z#}cK zA+Nzoa02-ooz0)`|SI60=%hw!Mbe1HDr=zVp69KrYs z=gvX&KCIV`*l_ucE~3}@QIo3Px1J{_-lLzKgx*fy1&gGkv71LA=hL}6n}u6bPAZGY zu$RhWK%17MjV3Yh7ldw%TlQN(D6T%FpOQouZj`tAI8UTO73NxMl6&NP3M~a(%u~=5 zqC#V?JV$4oQQSMD7+KBhC@7P)2cdNnNIX`?QFJ@gk6uTHPXEncPe1ga@C|9B(_$w% zdhX?3p7H2%bi38*v;t6lWpuiohtugLqT5oogLjpDeNf52pM3e^U<0SykdfCMo@!hA zYd7rc8SWzlw)_dW8t55B@?$(hQj*`-Gca#XJ_P)^?*+hBDz)!iSC0V zH-;)=jn9*lo7F<|KJL}@?+7ZSnrB($QjiQPL=(I6n;(!JiIwzGfwRGt@Zxi)68pS3 zBX=5oPWzGxnCZ;`y4N#EvxZQKC5$v}e#~Q(=e8}z= z06LCei5bT&AgnO)OrHbC!HLg}>Mr6qh#nB}x={ zYlUspQBDojwDRdGD1g@>*P`!D|55Tb{$c;&Y+8RvI`-!f+_SF&{i0`o4X|gw4Um6g zf2N-F*MiVz9s5lnL{cBp0g?(%9BJ$io_E2$Na-W&*P{cmuLz#~mW|r!n3DsA$<4tV zSq+c_GZ{;6*CLmL83gw^mdS827;ZINxut~L44bls~$7Au=3YvUP_SniGGG+mjYQ|s_$61hja9o7y0gBwZs zj*{ietv_BN%byzGnXVas3mlC}!lFcVcfRxb*^E+;r;(|Z^nV@+-hy(q?Y#9*mr z(csX9W!*(;Q|-gScLtIiSlrukN$N!;D+k6HSh6~EW9UUO4pa=D;TFe?TV7hkjiF3l zX(DXlMiqsRD<(5o4rS*R@6ipOC}a&K+iR~4P-+so4|ulIo@P;bllE5WDVx^t$ZB4! zBcUgyu9}?A7!M!hDV>?zFb_k$RVdTK8ig;-C!1HbJp}QQC#p$q8~7`qn>g zIx<;MrbXqS$52$dWuwviN-uliNF}`hUep`VT$~os(h;v|T>bD0(D&s1>=l~GtN*9y zTd>zy^zg>HFB};Q*8W)Ui9Xte-&R>9Q_G0#C9nbzLojqV@G>WX?SP{}6Idyk1XQY* z@S>TNyU@iw2t9AzMj{AvvM_Q4>a4OlV`ut)a%5u@>$dnX#UBatbHeOf3KaKm;APn* z(V%6MGRKN}l5bKrT_UUPMPV;68ha^8^Rp#M_*=3x)~!Vn(IB?D(2<#wOGYmW9wE5V zUiXk^_ro7%nV7V>FnYog=t|v`oM0CB2A`Ueb#JM@l}(v=g|? zNxBR0SkPdl+pMHpc#&Pyh^?%oh3O!nen|^6Ss2-gNm`g&KN99-F#AecA%6gVKa!r# z98FfzdQsSy$r`ta4v@6)r)FvFE9n`zWb~rozlKb|q=i`m#xpTc(wF7N*NZ}5qR>1) zoNo@H#5shL%7U_RYntPgg5h(Yq!_W{rInKl_aeMZIZ_Fl2^E!~I!0qOZaIzlhZ+Ww zSSVb=`Akb$1hV&DD{hTN9Aal>YV9H`Q>jUxfX!i#1xpqeQl{8JFJ;bwpx5yNKouLI z*8ul99k&6NI~^-^>6itdvS;3ulj)L(DY^7cR}uep!M-w@DU2!kSRZCxCX5w1(W%W~ z)|LA(Uj&27zLHv-TR7Mu_G)@QpG@dFl}fn|3KFh-)FYCt^7W$NyODOhv4Hz#bJjuV z!x#GL#PT4rA(57|oRUjKFADp6h&a`c_6*)6vV1vtUY46-tuV7U7Ru+r;cC2$3a5n>hK#ZC{>dcPi~ z^QHyr&xyUP9)!6t%djLWOd3Y}^&rgWGhw{zdo{gLVdSSw4+`@?mc3^YUjH1c@b4NP zj~Q=&senCwGCYf^kJs2oiM+*@p6FyyTYeHxZr1nv?7M0KG$@&w-DcGo!@^bn>zSoF zia3t7#Xdua3uA`0#ACiGjG8U}R^arceG|&25%+26nN4HUH5rOaJ;g&a6zxddSJQ)Y z2@bHmT2D~VEWsuSD#Jx{@vVpDn!<831?-?@p%qCrlhAZMvAr!!1Dv3|fL;c?43+>_ z08RxBC{NID3zK*=f38gA*uu{AmxamE>dy3Y@RMr`JJZK!!epz#H3~Bz)~~_D8?lAu zW()D;h>TXb0%d1POs9F@ zzgs#jm!Mumuz}!uzV?HGl>x(Q;nw3gO}RGt1}*;U|DrJ{TKZ>U>FE4+nsBmt)d*FP zimuggV)Qg0_NfAIV0)ESx(PBWY<^U@)+3GvSi`?3el{>~I z^45h{InQ1wOr{MZHWci}tGp1_4fnxb1jEhP(Gw{CjZ2K*=-`&0F1f!+>%q#8$T0%F zh{%^eLS*^=iFA)yBqF18iReW{4kC)4znp_Sot#v^dJfd){u^y>va5A#`U^r=9?N>) z;wFBRMP<-crZ{c$hLI|$$hQL0s&OMM)sNmUIrkI3d(rw=4m)9}Hd+QtjHV6~cTjv; za#G6(Ei}(`yv9Pw>!S)#C1)^bKy_ zB>d^KwOrtXgTpP|u$pi(%~y^}5S7npDd5+AM(cs&E~C=`eMTkMY(|IiqVh1bpYhcu zS0P8kyDw`Dgt32F@6Hy(+n=->m@{o7v-6|Irf_Q_$p@E2XVA1ktk+BqdO<& za1r{;(V9Ux@gW`>$?}HE$W!=+AK?n3>(sW;&`%c&g8WiuCXGG~b+6%QV(pLA@;Er$ z`eV;$H(@>d+X4A#7FkkCXVS4>3aBm=?2B-g{l}FGgOM}Dq)&h?AT95kLiA-G!t4Js zrX~B~n|x4!51ObBS3y&PTmz$?lQzIhJ-QFrbD}fHJSWOkmXp=INK7vbNH4>QzU)DO zAK^qFp3V6X#9Br$zl&U#ACu#q^rE1v6qIKiru)l(*0Hcxbg4S?jiD1h{ky{wr&*b& zWZdlYu@W*qd#eDy>(OaopFJJl<+HZ}Fq^&Gc#)k5DF)t#k?7j%@H;GCrft>KGQyPs zz8%6hVf*uP-LZjM5%FU^c@%uk3<~@xjje3K=Y36%rRCK*4v%gbXJ%4<~fHinO z{Vlzx3bv3Gu3$?5uW$ux2X3&fq61Kdhsbq+e!=Q_(G;AJn)EpvW^MnOG>v*&rrmI{ zEfatXE%;*u2b=n7AFH9=Kh&1Xnt^2)n|K5=i64*{D?Z0?SzbK%2C(9MIZtPI)Ubx~ zN3@yZ@7^ii4C-v1ahNGl{2pek1Gw1Poa3C3zG-s6g`g93qNoB~;__Jt?DAOxX!5a> zslF)6!ApZQ!>YVaS6(NPzIlnuYn?A?;tPATS(=7fpXF?xjEzV-YQuVGTh~*ix6C1x(K1s^4?gj7#>9hBF}=&+$5D;ZrU$4AW$d#OYBYG``8milU@j>1OG5+f<1Lx(AmjjqG} zims<0{am8=jkv-^)YFeRj)>-^SwnEKc0@l+5R5`@{hk#=69k+XS^)pciJ=GB30(7~ zPT;z5z^~j@yhsc}isIGEOY&;&MSjBcAZk5JTwY6=e06y(1H8%Q)d}qK>H>6m=>h;h zuSQ;!myn`(sPdAR+8q3o&1;d%Yvbj%T=Q5pb$QhRE_Hb|0lU0f09{_@AU}4%RBsJ< z*(o7qr*4Px8d>V*2zd!JRw~4^n!GgkpSnDdJh7Pd`ib&588AO_ZMa6?_B8aEu_zh;g43BlB&tB)JgLBsWLh zQ*W*Th%Sr;?=LU@%vd810zGj6dQO6l>yLBg0~`-IiYgfzB?&D()~*bKP-}mKoV>ys z`=Y8^D~`QlwL|{ zk*ggWOfsi*>^h`r*; zsOU_mqSvTW3Hi%+;UVsVahnip#pg@>ik44!Zr$HyQICl8Rg1j)>@J{ z)9t^G;jw_fb_`bof6rFSOhDNOB8!q3j;4YdHIC%fv=^9?Kxhiq+$j?$TXVqg+fHJB z1m&I;KKteU2tzD|yIFlhbEmJcHanV@{#6Ws!lSWZX%h zk!lAMi`B04{i9C;pmNgt(TM(Rk}OLp8r zA!jJSx%l(T`Q&KZ{hDCD7h-{^`K?So6E*v#Suj7oy@I)?9xb33_r&=8U9r9iHr>Oh zKh{>~qRH`sH#v|Vd@x$tQoDom_I56$e%J$es+3n z4zUF?{q^3q&|MWzn3ddE!?V{eAh*zaEAQnaRgvK%IQpPFTuql4ZPK2a`?_`qj*KO@ ztkZ-UCM1l#Zx_Jq>Cc@5b>loh(T%MXVMA_{sNc0wjZ}<}|v-f=0(9WXOau7`UqiaMkx|(;YPm#>SVy*FPLz7s8w4Ys^0!Uyi5g@Yk2GXKJ_Y{L~rgV1b?K zLYnJmRuADDY>U>2MuRyO-q0`}4>t^M}BWW{>;8V=&YRwyN0-J6)O8@boIv}lcJ|D$$6D5zfNB#nG>47y_O=JbxYEY>Z=2M{I4dv4 zV)oH9JD_rq$)XK`m`O5fjwQ-xxgw#FCdEa3oc`Pny;oRrd&}t6`au@6b%WgO;(7Y1*3X0ru3WAobG^*ek7`tZTdjtfD;&5lPwJL@ zggqTiL>8+m zp5lHoLol=H*BIkPMh?mLp`mF7b_0xNKu4O~O*GvsK}M`KQ+7Te7a78{3l65u76;WF z9I>y(uvWcA0l|ZS+RZ%^h6nO*qa~ZBPc`1!-t)GqpwU&qh{ledB3;-qVo^uWXNClJ z9&cir2Enya9f951*m_rF^CT|af$Gst;0xk*y^-ODpKI>K&?5nX*masM7g0;aiF=B z=Nj9)ECXzWsOgu1+by~a(3|H1%yA3N;2ygl{g(RCH|QxY_jh@i{^gr~&&wnoNrfy2 z=`m;aEG_QM?wb0mp=3*H0+;6m-qJU)H7D>I1wy{z8>Xkt7p-v}gI<6wN&X zr#txU*U}P`&VPN}p#i=yIO)8PmvEg%L!s=2u92<((8!1?GIC=S^_GgJ8LKBHF=nic z7s3e^+d<#;Z*`K$yidE%$8~G0I7tMka3?n)s$<>*^;0 z_>Mzs>gve5&1g|mSI+G*)=j=?=u678zL+}=19IjRpnPs3AG<5+C=v@ZN*Lxz0?nUt zn4v!AM2C43nc6sCaF}gArp#d;_AzHW%)LHlio@LLV=i)-o4|;RM`tiw?m4O%Ts~Li zZov0kku`%hhjb{dX3$)byW}65B7b?bDe`s@DzXAZ`VM8vlv1GCEApp&HoPK7e2iD* z52=X!BEJqs^on7X(Z(x#pJLPP>jhNz81%u8I7S(9U&eBc{9Z=PG~_Wj2#JX|YME?Q zxPBXPjpLGo=r{e}r~D0xLnDRe zD@Y{F^N7K@gj~TaZt{=W5%Hj;McH zevu@FEo2`FhB|Z;H^9+J)j#H(s^Hd6ybJp6Yh!jBObgVq*{n6RpNJ=R7U*c2ef_&8 zJrvJo`TP+1J0pV6D_iBJo3hWhOYWN;HC!Kwo;S<>M$r}fi;4}He9cH)SZFJirQO=Q z*V1lUWog?PgE8DC7H;UlqT4q8E-IM{{eI6=&L;^NsgDCtl|#i{0SV>(zLwf--fSg;RkuvcL%=Ps}Hi`5md`7 zSkx(mbx@{l2B|N6Hnuxt2Ql#<%*R&o6Q+e)o=|m+z)#c#OdYi=oL=8+w_x4z3weA) zLyx`pR1xyiSJ)&cJy8iOqLV({tEmIEhE$`8pV)Iq&C!WHqiQ&Vcyj1@F7>EA^y(t3 z0Ku(2kMSY4&;lsNm#W&#?pjB{HK#*rJ~1>j!^MaUpY;c$GdMXTiZ)4Ic8(kxI?V*f z+kyszsIlRDS-MIA-K*v9atXLR*)vpIDD@pVc4VztWR%<}kWjV+x1zJrW}~!c;?aGm z4fJ9txqj&3ES2fQQMNPJXYAs$I;AxRL{${%QMJ@=_yCpBxZ#DKvr&La+h1|r&C7?I z?&eQwsE{u-et>tQkY?9C(+GHqYt5QnU*b$7pzE#u+4azghg;Jq#%QBI`?@xsZRhLE z#o91r6>J3oRgmq z6_%5a77hG-^rC#eke`C8$w|?fn?f(1y!0%(z%t{SKHgj~+;AFO9(VkbTC$$QFMwE+ zyz`x>ioB*D)n$G+T&*bgsWoMHx_2o92_6^jCu(3#^72rJTsQ6{rvVwUX8pBpz*2`E zN6+pl2B4=H#ISChnZw$yjOf{}u2!w|cjMloWY*N9FzmC~mZ@`PwYGROUX$9pebSt) zhM&5}7)5412=@i87m;|_WJc+7HuFn)HsvZ$M{Y{JDCIA_lv19)TjljpL_aURD8)CG zg6DUp=2cO&lx5LdtXC+{Z!oX0n%T0pP;rEI$-lPH*Vo<*M$n4iTPQW@$=w+BwgNLC zHtzqr2pzr=L_GOqTlsKvqey(#Hk`l<`G)rpmJ(jz%MoYrSN;MgKF;8;{DsjBdxFqm z1T;E+-FtOKe12y=suH0-Q4sxVZnwj^b#u-0%u!mG7_E=Vjx=39Sg*?m{1l0V)`8+* zxh@}2T|HQlDm4DnQDNKVgV3si^m$E{(k9vZ_HO2Ngs1073DKrYbn!{}A>sN*u{o=M z18K8*M0`8xbqEyLE#FWK+v~L9JrN5Ow1u8Mv_yx54Np2e>{x99JXUC0G*?nH;RlCe zn}>Wo6`@-I{{VGx*nukn>&l3Mp%t7t_iIw*7zj4|kgro-7rJ2zpnFkP+$A`-A^I<|yb$pnY=JUCF zclq6YMc4)fiEx%gsQsr_giD8KMW_>Tj`KRe6JZO^j}+$}yu)HA4=UVnz7kmfK&hR_%**%nV&dBk%W-cTb$J8Rw>M%} z(GD&}ZG9=oQXM;^%Qpp*!Q)r|K{a4_Ly7gw#K@T%sNabiu3p(M_*fS#H=R3D__zAc ziexke8hU1~Lryw2awCzXJ`-rxuFA>)H4;QbOqsAa$U8BX^6*477g+y5k9VMm%me-_ zSpmvSC?miU#h}$n5`r@^^7a?H| z^NXJs{wZ6$hVR2McdbVPwO1x41wMwktT~78itKu-Xs8>B(nB*{#0-{3wQ^SXHficF zo~qf*{kE$*N?5(tONm}4n3syp6EtH|#>>u6aBjSKteCUu*P8LI?QQ-GX#z;Ha zjs+|?rLoOnF7FZ?4^OsL!1@PzWEPA7dg>=Q6ELD$WwP@du?e}d%4(f?dGD*dK&pp@ zXUBuO*%%p6zavz?RlF0Unuo_j39$Zw-c3UNGfD#5)o&S~tKV+Ls1iLk*O~S0U72rD zcM^&Q`A&J+zbM9VOD={dRZ2na_p#bRqPY1`FU9H>uU2hsPk|br887w2H{^$px8eVm zxQyFO+d?;&{_Y5^ZmN?K>pKfQ2s=LVIByEVa<{qmT42V(XvK zqd{*b2f(p_xX1!K6vN1wJ%_-V50Il>fA-Ij3om6Br|w3BO&FTKHyZ59yr??ZWS62J zQP~W|hbq~uYMX-T4s$8FW>L|Bdr*POrz$$|54g*f-m!z;kx8c=UnbS+C%np0b*XvV zN?c5ivRa=ePMtlo9uL=#Qi>-BPBFu!c$0Fkep+#)arsnBU7UvrNjT0W!Y0=2T|Kk_ ze#0cR^$;0p#5tOWR}bAp(LWNda-fLx0K0n7wPUUxRw{<82f>-zbNbYSHe)a$Yb?U0 zj}MK{q7K;TYi{gzo**5+l75|}UNvl1H5hDp1q83_jnL)mQzmT%JDT3ndNR&bV$wOC zZd6t|tVEN54R9l7m!k5n#LEC@J9H=T^H!I-0OcAGc?qDMRkcDfAgtpga? zafwOuM7DNcmiA1(BIQg;GW5a@hWw$zPdr-G78=5@)nspSi-C3xm~^}#IeZl{cTuA+HwsK4W>2Gsp%d2zRCov z8kHcPt}kYC9pPz_c~Nl$xB^@WPis3?oCn#pCQ_j01cNh%f(X>n1RnYaIMIOJrz^A! zI6iAsPX^vEeGr$tb%|M4vxMV(d@X+ITJ`>w`m=0wX3EOLw( zVwW?RJxQ>%QQFCB)(uwr*kZ~W2+l!GM|eBLaSUHW(l)a{zt7;_;D zIg~MlGrg?I(bmjNKl)FnCY=e>>F@XIQh*B6s~e+|F8Y#|CUrqneAY8eAYB+wt?D!# zZ?dMnP%ozA%8pj~|@k8SU@5Bo>SgVy} zrL~ny?lD+XdNSO7*O>w8&e+ML_S#nxlfL~QbQ@{r^clQs=8 z8nKd>Y_$(wy=z{l(KL7SbKh=$Y;8AVGybXCHp$JMTB|!vRdeGSY$5f~p6#YynP8e$ zq}8h;)ojsFQ!cGky}Yv`F=>LBuRSw9Yxsu0AtV+gsn(%Qh5yY}I;Lh5>t{j?g44?~ z0CCl&=B(n>=ZK2-W!@ayGl@wZPdMxIXn`cs@EY=x_0jh)ob_1(xLkq)-45J?1OPh# z)W%>D{3vbEFn7 zXO{I$Mx@@7)69S4tyvRlvE(aaM&G?~JkAANK`Nn_1DB8u;7UOL3sh?HsL#=Hp)zmE z{QnSo(H(BIRMb8>yh}HTz^PH5!<}3uNjMcu*9dFE_(z70b>V3S!bI5^h+>lte7~`n_v)8Q{=F zx0VAcm~oJ;)3dn(0a`Ub?UmkMeN-}hUl!NA4g380*!HK2*eGzyY9t$2qghL-;;hzq zYWn&ftpEBRE=;0*lUe>UEl6PJg{X2XVP-oBaie z^+W7DZN=6eT>8Ad2Hi|K$NTIxX!?%1y&a`D>-u#iTsNA#=isT*cWZ*lXLjC_nv{Oo zw^~=3t4!?tb~<<}5}x%0xt+(1T%@9fjElDBZgQ|0kKbxqS(5qd$n`eg>Ba)vMwgqv z7MQ(#4{z2!TDlVhx1nHXW9pB^UX)Gx#V-~C!sPlc2!L3gySmzP?aDMf!>8 zXJqNq@X}havom#c_nju~34PL*2R*EN?t@0{IS0>xI-{8nc)y_{$9ldVZv4_I$u|~)43p77pWKP}Lb*p%4(UXN+D`}zZ zHYwe}h8r62JPJ0`HtRY-sH<7e1+256+yJ>lGCV@72^>Nbush4WQ@OxNPg7Cpr| zLYNQ7MVl`IQ6?`V3JUyY80xyRM;4(lJ0I&*BX3o3s zg$=-9v@Kd8+7|t;GStwc`46NPrWTBze<&kE48Sr42F5+_zCv>k%Wc*ayufU{NUbCS z2`sXnuT{k{QbJBn4)gJgH+_Ji3{4sJDE)Y_jPZpIDo<(c0C}cCnyno6tekwSJ0M_v zs|vP;q#1v#wxV^*JGUc$6?_6kb@C_!)Fw5fAHdC49(914e1SweejrwBi`f)s#?-xpZ&qOz~(&3v!qBv_3xsE_GG)!_#e5jU&*pRsuNI zu{HFG1~i1Y_SPo738 zy9>KVbBPH%3Ys#2)>5(UXl&b%cGzeO{O8EKzT8$8eDUh~(_u(`DNV>IP6VP_Z0=^3 zOw#K>$0hL5;9X(I0*(_IhQNSz790tvQc1V2gZDL3-R5qmb-l>U?|PM=qWu)4o}Yqe zIcD-Z*qmduk(ptuaSeyrd6*s;atvta>p6okZuIIQ?Vc`lD%=XzR}o8$gBY4DutY@HW~Y8fES!iy0wyB1~6? zF2K*hj*%^A7!H9?$}<*FIu0nw8)XhPzgsdKh28=}Rs3lc#h8_GBogM=s&v>lYOz93 zkS7X>#s!-H!$rGV7|Fn0c6W;>ljbvBjA}0iQWA}MioqmCp!q8<#uvP-IKizL_ai?& zFPbRVZsgA=9iIC9BexuTC_YH(TMNdm)?qkj=dWA;>oo@>kx1x8Zt14$4zj;&MDQ^- z2R@HU5#QEx5u|m1hJ-se+QgihlUobm1i}%}O29e`t^)L$l+fa6FPyEBui8ArE5GCQ z?o;8FxsT-V$iM$yt%H^UC!swj|9*6I?HyQVZBu9AjH{ZXrR={BpTiFW!9kU@D{Pf? zDe`uVE(1Kxa<>G~G1>^|F)FkeJztFa$|}rb2-8zmy}#)gfA32OdsgW0;Q>_id4v3j zDmsy7pRc02;KY?c7o3z+0;#AjIH^I5fV$wsx!K+YC#ld;|1c_Q?l@78OdK&jPX$ru z-JEuH@D8%i)xjfFc-hA0U%MDvyckXgcZ&w}vO1{xGwSYi@C@FS+cTxqD-MUM%ACSE zx_qhC#Ag>E_b_fp|IV;(hSwQBru726Aj*>K1x<}7UpYU1 z-Rnz{V?4QTq1OJAf2lK%8|RrvTHkM}NH)wdkMv9-Qw>6Ufc+@ zvtUUo)}k>*mF?b{jM%zTO!UJp0oxYSJ^G~IICQ}oChbPHMk_8K#!Gg@3tHmvt=+Tf z_+GMCsf=qMPmXL?s&HdV_@0&oWSEX^F?={o3z%@dx!^jsnLM)Gx5c>r8m}|Pbpp=; zkZ)c&{%CQjsc^x~)MxiPh)EOL@FH8BsV%7)o6{o?H&MQhsBctl5FTX1=0!2hakL_$ z`iD*72O}jJK)o+1)#w1E6x$YYefXZo6Ud&si0aSB6;H%A4^?>@Z9uyTIF^8%f-N{N zw{mQKFr`jD^_vVIriLtL(I&CY0H0x((I^?vj=tipSxKmL(NziaE>7V*5l=vawEelw`x*lN-o80H1dkX*o|~@YxpK9D1;i$ z#q_rMs<>aV9nP@2uVyko=UP~*aV|wOYzG(`d|yAngB(g@~tu zl)nD?xoV_+>{Yn_De~#tsKWJQgjIv?tBSkirliwzq>c5bU_>w?)|q21!izuf+HFqVKH;_7 zLCtiPsasEz7HtxHs%H;Vze#)}aI9lw_&CGK1vFt(EiKGWMjCU|7|bFs4V(Sc+-=+t zaV>qQRT@nrlNO;H^AhA#C?+hw&j{E5I}G-(gOHJGTIK{%%RC}#*+fSySIntn)v$9q z!ozF}$ZHiDD>=W>L<)^=nFiapuS;Zt6rz5Ul!hkPGI-2%mDekhU?elL(&IcUw~w@O z+YD_R&FgMyqq&5OARGzJ?~vA9AcN<%kA7Wnxc=h*UiXK$;s?}6>T02aGJpcl(O!ZsG!s~wH8!t=J^1ZD6 zCaK!%XVKzkA)%OVGDX<|vh)+#VH+FNl12iKHA-H(GH#ZWd=-Us9HLY&B9CAmmmPLX;bAc_X29eC~+%;ahpqq3?vj9sJ=C zeQgtrZd3(0p(>-KF;F?y?Glv*^+d7nlxYvq=CRt{G7SB3K2 z`$*kN8HrRv$gG~k1}oFXewsfppLv=;K$Je3pSACUH59L-S1rS2Y#9~DT-9`Qjv=0E zuuW)0@BkY5X+{EKYF}3HXoVY#R}fyj7x&Q5BofyIRp=^)#!~GpzN$o8^$m-E5aVTS zmj*X2;afDgIknMNS6`S`1fC`$qQG86ZggP{ z_Gw)kH+AmXo1ZS!%op(X)h3}AdXP9d?XaQD=KVh|?_av7-=w~4;zx#GZ)gwLqzu0U zdJFmjbxwb>%(@MTJm9PIg8$6+B%bT)89u9C?VhR4bI+I!G45H8g|&{sfj60&pGeqF z068sW04~Fe|KPQc{V=8WVWaqtNgm&WwGXfCz9Iit8p6~AY6!FahA_kp_pL`DSE1)! z+(T0kIS4r>@)%pC13G}e^kmc|O&`<&v@JeuIsoGkY5vGgEY&-cKCLxnyq0XpB0q#m z8@`+InEY^K(C3nyuTO(|To?N?aUPfHZ){cN_BV^Rik_OvZDiu?FXIrCiK6ezwrnTd zip?sy7k@Fwo755pRIN*Gn5X9GyX7iRZ_MnU7X{TCAPSpVoZm3kmf*$-rp%@`q+jYz zef?VRXm|mQo(G}ngBLNtT|7;X`LM`&S^o>%*#;}e6+X;(>P9s_N4Z}E$YszDXk8Z} z26w<>s-<{9J;Y6>BwnpJXVJXo`pHv?#bl-#H>J}meLQU@^~||=H?eRr^YS}` zOH`?`MQS8%F`d5-Bx3ai*IiSuEs5b9egY!i))1%6D>b9vPz7|mMJ@zvGRP%BjMxY+ z12QX@4dp;~@xmbE$+pZ*#mtMR&Fh-uh~C^{X7SrHhh_s5@AOX*FJ(t~X035YRGVGB zt~3&#AnpEHYrkG@EcoF+viQfc@vF;(|E9%1M2lbwVp`?$ykY4`7u^k+swO0mMwW!_ zSdZzKWki$@>Yt=xE`?AXFoY+;{KoX?7#Hu#J!3FazNxm?0yx+!;BbSRW_i1>p%b#B=~D7k1| zKzXrt*Jo)Uzccj8fAz3%{ckBwB34&GN*4NRzAyV#|1%+b3I?!83u>9{F(xBrXAW#x z;>pL>yl(Z)xW}1yS^Be0H^(3TTb0&ylAaTKkpa`PX)El(=SL!L@TGoeX=djDYv)o#WCT za9l5^NdF-jG|U}1md|}xsLk?#qnli9K@Lb?Myf;ytXt@>nPAf}v0C39CbI zm!~y zwNnX+5qwdML?dD=^~%pQ0}ZbsiFK8XkWE$1G&spDTcx&YO`;L8Wjm`x1$q~WazCP< z7=Y-oIP|rtgxBnfH^)wF?%pCeP>bQXus>b~kSw zr*EQ`zKOWys&5MTHr!g(npWB57oh!jtQPB|4Bsh{hTR z-z-jkG)>P*XElAlW%5+=fLi>4l-DEpueW%Ql4EVqMyNt#q`i5#|BFW0hvb1Z!c$`l zuesE<$NiW^XGrr~XqNF@-AlBv?5=hBzVH1!q!nT1i%=`!4~0Kc~hE!u1RL zl$f$DGQv?Iw{xS6d^D#abV5v*n0-r)ew-E?C%?z|JWKWxN<5Xe{koggFtso_+1Z>o zru!?yVl!0qGI2hhN}qxW0`e%p_`<6fhiREpAn1~!A1l&kp)d61Lh>U(}h^a{18B??J&riY>d0StS*L2Y+J86_izmhA6V=Zm5 z*R2A)jshfHClq_#w$!dcTPiX$3P45eD=z(o!aI?YXqnzVcV^Bl_h<+4NL2%Djcm^& z*)NHi={HeRQ@a%%xHF|=oAr08kJu+a#>$Z5|B`pe8QvMd9VqW4vAid)LF}%&UN_aX zfa;<|S!ro!oZ~l(*N#rbk;7yqWTF{9TAWa_!6r6TY@Yr`s6N`z7Taw#VKlP1B9~Q)gZ^Z4jBL7Oi1R1Ns^uwls2T$CWDy&3x7*a)N$pq8K2oP*1a_mT zeMq~F)kd+3s976p`yjDsiII`SB77gTT8I@Ss<-D<#Mv{QxCUljbKP#5tF0Ua;IPjb ztSU#6a>y=&+-&P6@67KUzKJk7$!^Yna2-SOSaY3BW%B;0J(Q9fHdJTt_e#i<9=-Z^ zqla)SAp*V=zOXU76H})+Iy$nmqN73S?3THs53st7JBD}svscD z9S6D7K<-rAc)e?xviSlR<9aYUFezT_F*%YASG0o^ZH9|>p-0FaE801XWW-E_c*5Uu zJZ;DINb4$$#?2e0B+XeGYVBicOMWwRTy_5@T)myi?ZFv_Io$6ZDXIg#Kp zS^{`D)Q!}D=Rkx^I{?W*ppL(4dDi7$&#UqmS~TBLZpB1tp6l|jam88@C9P0WhZ1EC10lkF_lgTHy0_yU4XEGS&;sBAUzKqPS^8s$J$3l z;`yNz$EIT)f@@z)QMeD1OEWP-70Fi;*8~FBj&tBaoOrL`+JorU zOIzp9S5f1fWpzcZ0{pmm0=*E}RlpKJR{>>!DrzcpM6)>rR}Ml|pa50D4=J2ImVTS7 zf;SPpLXLyyXxlKAc}JWjV7M;ig<3&iK_I3Wfy|5v=VPmkOd8!gm-(3YwI)qPa=g8# z2AsL4hNoQ=4MNyl7u@g)mBTG7#S`@5l9D(_<;G$v0+}JwyVMl!9oJFF36yjt9O)n6 zDg$(%?#9S8UJx9eDDHAk1;pW8Dg%MxW4>l4KQKTe78PrIy&|`mz!BUXXb_FX-8`YL zO5%UOTt;AS^Erd2I;MX)NCRaT-mk8j_6Rt!sxS0kwYWSds&_1)t==!LHPu@TLe;AP zRbnHRxJGAooB!gf_b@ODIlI7I$mNyMUT&fz^#M-#s&%Pkx_(pQ76*mk9b*x|FFAA^ z_zBy@ssNq26cO9-WoLuD=`8gyag*P?Ud?=R$oswbsyx}2RX#N5Mk^&ui|NFMq4C7~ zMYT`;nR~CiMrfjrzuz55<@>L>F*}v^n}Gq^p5~bvbk>b#%m)-Hri!a82UJ|6PMP>A zc@i?0W5j1Y80VWCer>02wH2IN>oj8RMnfpMYIB_kCU4!WqXsPTC-wwuTXQO2YEH&n zE@|SuW%JxpVzqr-;!A;WeN;iD$*|(@MBfcK*@=D}SK>LHC;@aj(M1fa6Q5pVbYeRQ z>4XAQ^L5m`y>?-{(}@oekwU)EyGNI_`8v@o11X(oiAWc?PYQ-~YxhiX)eh2e)dBbw z$5kOJ;B0OLP#Q{PQLqZ3&qZw%J?iEkWb7T5)%z&k_ooZj!G2a3lxo3;d-_{fQ^RIo z-(cOyV{l|YzhjD2nXWCfw`c~-D$+Ce>Yg=~SC_C}jKV0jM4=|2Rw2e+QVd7@>sy(7!r zkA*oZ-&@AlZfb@_F{?Z8lKm_DO0xVtJpc0IxFALb<;7KkM(?95Q6&2{jpB#WWD1@?vh*ms{fUJxcD)Fq0gd$L8T!Esi=oQJAEZwj; zmr!;Zp;+!xqr$MxHrmql*IsCOzm-I(TMcjNGgYHYK_oT|K}e0FYlUP$bOO#0sUOb~oskwy2!juSOdf6nOlHHbR*~7ccR`Yo-#-YUUICn9(Wo}k9t|32OOijQ}Of7&;Od^yOQw?w8b{S&H6;lgb=axBNOjToY#H1II zI9fHoFELf;rqYX2nflz#dxiDe-T&U0_7;J&V}MW^ahbzE1`#o%yql3U~7=EAND zzC{*cyc}(dQB<^6tIuXJNs)W6$Sek9=6$3IZ&D&wJD+8`?&ZnJmn(4h zG_KGW&BAoaOyQXi#IXpdLp0O)t9}WXS&3=AjaO3wqD+E-!MTL+;8vDGVXs`^O%JZZR`>*>M%~8t``X zoj97|pXkVe+TA#?hCO?^rwoYDb3i)|=K>lI>x;(%S|&UGXpB%X2w8Cj$OAjcW7S-r z|7xZ(%PQZ?c{MXBk5yq#0Hf!I-8rmo#+Xnce3uQm#iS z*F~F=#6>-n_g(4mDFC|!dt+BQMcBK%74M~o1_H{?c4E)=A>;GH}tueZ#mj?FHAG3rn@ww=HnQ; z>$?S<45Zr2ScFHN1vm153(VK9%p8QO+_>U(Q~Uf6z3;T`vh`6&EYt7ovh_iNgWj?( z+ZTvS91RL~O6%M1P zLf;`lYU<|X6DQ2r&bn;r3{rj5OVu@Cs^|D#)6EYTC3=E2<*av@>3k-A&0IXEe{`*m z9=b#%kr@>a)xabgSG1{a!rx_4Lz52r6bO58GPCo{L1@?udiWKg&=T9|vqXA^H=2)33b{yQv7 z!xrA-X@l10qW^tUcEY^c+aE)uSHLmZ0KI;77x7%-N4xcYm@e%MFTTlB5KpbrBLiNE zgG-Vz=J!pA6GxRV4h3#xIc`9`V#&tcpwdT8xAZIh@!8Uk@Jp|OUvBBozH@-m-`TCw zS8~_6l>o+;!fR_wrkMh>LgH2t{S}z>LVXL$i!Two_za;5vr9;(@xtiz?8;@{>Kbc}rpQ!a3d8ui z3R@a`J-ql108AjOYVE1R+WCDXm-f) zLN=v}{#5!_cPYz^P@K!P>zh6idEiZ6Ga z7K``Xvvm>O0)=PAlD3h;HXe^m?LM6@P+ctBuB4)3D+gO!{QrIFDJAuGLTtBmz`pEP z3j66X+hQ4M1f8TFsnCL(=;=mX!*6-|TOSxB)owb;87LOY&=%vkidx88O$sjlGq6;I zo`d!3(7`{|RsA{Z&DeQeZ0s(OGtpR+ZqJLOt*`d*XptFbWMgUbMc!F6FJjO{)bt-M z04<1w7N_LSnBFpIzE|U$$(ugDbOsh%(|rBQ zcz1poKa-@4eK>RdFB^Cb^Q_s@;pd1U9pp2`=6q~U5lyTOG4?AtCMz9C+(_}|54|g+nej-mi_v( zdMaYSz4_I*573`Iy(8P3Yci<$Gp;wU$_A)&yY5x`jTsqtdmiknAD2^wR`BLTP|$*J zsN!e4X)A$5W~d+1GFU&|;oru!-wr&LE%4wSc=Fc+6gZO^wAcMWW&8*o_(_)3K)vqW zhSY&~;4cv$UjW~)pD*xj6XbW`J+FvKiNC+HTwy!#tcTB5kenK0QG3fjRb$UlV_1b# zW6y;fu7pCWa$_p={h!}?L}_dIhKq#=U9304(=2_`3^tlU#B+=YTwltjn}qgE)&4-V zbUt@uBKDkGPg|^$By_f&3o4{cl^6)yeHJ{B8k07Ssd6J7=+Rz~?I;sFhNg;4@Try0 z)!asUua~4*Jy3HG8ACR(v%xTLGQ3}MimtF3oir&5G2`_%xA;~|vGQ$eliH;;GL;EZg5ticaoCSKOJ z*Jc*kKG}r7*xoQ}6TB<5Tyb+;_#BB<`4Uq&`X-B_aLFovaKPZym>W+@`ANI_6{@_izn5f>@zyNLQ})sw`(Patc84 zB|YKR0p$2olkIbqw97B)eB&zrYb9N;3K_VhUnZz;Nnc|yj7yVO()+pwH=LE~Wv|=l z4-xpbgP9~ouiHYmT+SzBRL!AAANsiuEdi4Hw}pP{AUipfIK;a+`AHl|P1F`vOKbQX zNrCCYnYo>55mnmW)D|q(pqp^jB4aP+Gb!1c@w_z8GtKbT%)P2DLf+oSlW8w9zL5E@ z)IpOzpv_;&@^2*c#S01o8>B)-3_5E91x;Nid^-?dbLzYg-@Nz}5Dx#5y~{&KcAM?G zt*?FTt$6r&Z4?dNe;o-OsjFs|rw)lVJaFyBqBN0tvguF~V{1fivQLg2ho?y>qm?S! zGdcXn2hSc_^b9)$_f*VkiMQ@B^qJ+u0cCBh{QjP3X>09cZ*OSR{zPC4| zjx^M-+oOV9b%bu1(T5~c*BkDW!_12K;L(P~lP0E%M*V3{j0v%^l67)jp=@OGF9Gwo zu}9UNOn39(&c%#%v1}A~LPcAWF>s0zPqwbf{B`FM&0YN5*FsI$3jWFKy}he5FTZ|- z4c)F#MX{kby-CVcgEC}>Gcb6>%Q&${M6slZfa1r_+%N&@wZn~46*EbrX$l%=HIyGR z$eBP#OO_20AUkt&!%{WQk-XE^g;raCLt0~B*PK9t=8RFRw#;k=q|!zLE?g6lZl2;w z8jhEY<-kDm;Z1hJ=OmNNl~I=%M?%-LAH|!R>!HE&XR>$qott@*V{_;+n;2+5&e41R z1+n2oVd;G?hh8`I`0GdS$(&*t7xoNCFKy_VY91ijZP{cJ&a&tB_~#X_dtEcEsLed8 zqAk`1lk}KpefzVArbd65?=q1df&7ps!N z=gSHXVg#~(>e@z|x6jw7q|fujp;UL1p(M7gzghX5@jRZ>;N(kYH1&l`K6|M4C^YOv zOo?kUe4$Re6)2aLN}s|%NthAZ{@DvXaW&t`vV{*&_=46{X!jrguZ0l0oLrdx{+C2? zBBGE^xRIURUHp>FN4jn%cRpXO;Ij6IozBjl%GQ+8=xGb1LdIA*8P;AG)u;Q0pYu@1S_BM zizfOnb`DU2v-0StLi2txKnb4863WlvoNRz{STIlyaml;QOt#O5G3yCxU$8Bf>CuhI z`c%xfpq-k*;K;KJa-G$FXc_3nb56o;&DEIAZy>>(GZqV`m_}qzL(r7+=2XmGr3@ml zBnypBS(V7O!pq>G?=hg7yZ)IBq`4lyIo-zT17?6#$_`zhGxHqAlngVC!dKkGo*JM! z&)&bVQ=!J64N%8#Q+FRx+}&{S&q!ksai2o%{XZ3V2Sx0k!2yc7ks{DOXy$eD?|4mh6$kW^?J;}rNcyT;2txMj8mcDH(ZaY84BBD zz63N_k9q%r7dQ0lAMUd+`>2Huox2ZuAFb#UDSE#i(m3P?*h5ON&8jV|vF#!2Zr*nf z`JV`GKmE(oTl{WhjhyJ_pSk{}DjOi{f8FB)7^L}m^i!eH=>crdRarv$IsE+zKWpXi ziGgysCNGD!fx40-bLgXrfo89Vydj_deUA-Lf@|~Wr$S#_G(ZXdk|mViL!OuoPzh!X zltUdk{4+gd8MHs5$)1D+{~42QbgF+3c`Y3Dx2XS22GZOCddQ;(%-{e`cK4$LROj{k z7j`Oi#ZL#QW8Mrz9SdmA2~cWI)xV8JDcJp~KC$(LehN6k?% z^V9J7wP#M&mtuo;=C8FYG&x;gu#HPF%2Ok+V+b*eq4D^8%wOx@2wYWS0#6r8TgO`{b@Zn$f2xQ`&+*weO^Wfw)6+~28e65$C4Hm$rk~Q+FRIXx zL|}PiiJq{?yl3eXwU5~?{5h!OLry}QY z5NmiNe1rVnc$zbVgvHtYSjg_p;u1j-p*K79XdT8-rm5i8CbDA(a;M%#Ze6RlMicS4 z^@%;9@C}uQC5&Dy?;%tuo;Xg1m`zqKyTh7lWyz zw|s~5ncKqWY)g(AQQEyAO1M;BgFV*fQx&(CC_5e|!=fZ}zDZJsIJa?#T7Pn_X>P7v zy;XZZ+$cL(P(J@BByH86#s3*+tc|v&)jJQ4J{v6#{N*X7IWq`*zF?0eu-RJ^zVpsy` z#Lx)ni9u))E>sco#PDNfnb$-{imf~`d<#sT80N*T81(du^Bhd)i{W^iE-QxlE}fo! zx;vCEPYiE?5x2*0;)(7rB)XHp62Rk}1lobmw5_89u#l1yxem}tpdQeZfY72jOr=xQ z=C8O0Sx;_xnm?1NBvrDWK9?WD3$e0#KHJ68(~s3@V;QS$Dt?ZOrKcb3ZW}8rm&;r% zJ^fgVRC+ir-e_``>tRpi35u3(3O9ULAAG1UUaKnhSAAgiQBQ4Nenh%zNNV_Hvz{vA_{!s@4=#wX z^2W|FPNhs6xwVOi>8V56boXtu9FPzlk*h4SMJcxPb#7!#2VhI9hqEY#86I7 zs)`*tB3hn&ES79K`4T+#Ho3V_c>^A2$In28z+oZNZkh&~zbyin5m%bbEh|DZBR zvSl?Adf`-)dVT*WN0WNgRiqC8_-K5JKE%;kqi5zEq@d*b<6bjPY6WYlIFQnwg4G-6 zU`3v0ye71F_+<+n)mkGi{Fg&q>E@8g z6?!h9wmYfN%YnaT3%wH18RwaR&NR&iEHWkG4iR7-{1uZ-I$c zBV>+!-j!JoCa?7Ib8PALboOLdW}?y!5qayv?8^Mqrn92Zk;)2}PES8wQ0df?oC~un za~>Em{L}mBrb4dB)ueHfm|YUO}d zYTTm&td8OY6^jFyf#&5#f3{`rgkgoexK5{M?=XUcj=BOBKbqLfpzV0&srx$4(}|}Q zCR8V&0#mRLPT?2K6SL!p;g~G}tZ~fF0bXyJoeQW6A+pFhW{Uw0v#|1G$=}- z!l+eO*t5co$Wlz72-7>SRKA69;_{6EYLlUn9qwQ%S|`8_;Pah;^nNETX1rl1Ey`hmrY7=OjzK0Z=wB3}z?(l9V4`B( zAQKgvawjT^iZxHcWJQf8E9MuEcC$|GvdN#QzzI->=^yka?MRwQAJeKg72sD0N_L-M z*)=yOTualkgY%DTTIu8FeEq~<<_yl0!8H}>@}qk1)A_*CaQK|?8Ofsc@;2n<$(cxn zqoof-!{$o6hvTi-1x7I3Ww#CgCl=du$QEWRI;OCLPq99qL zJPNKNk9VNYd?5Mc=CQ)e2$c4?Jglj@aF}gw?HBl3-vP+gzor!yR{Ik(5kS|!w-7^G zp9+1l#`NzSL8vcPK<2u8QF>$FyJQ4B^qw`YMaug&?0X?~givB>nH!`}6FCOyJgt59 zqE5{vVwB$tIh7ElQN87-`J^T91d2MZaOCyOkNVDP5pm^6Ho+EySDZpx*oldroSBU# zk~*W+0(g%TR}Zioy#~JS_lGONIwP?P5C$pTt;Isi6r8Iv9VR2;&1^hs*vOsPm;olY zGu)Q>Js4kT>fV=LXoaSyEfussqjWi)A@_0FbdsGF_N^|Ro_@MFD0{x)I+b+d_J@DX z8NDtijT6I4C3j-T0K3s^H{g+!4I8%%(23y=Ku-)pi}2ZEHBSufFp)oc{hHXy@d>#9 zPL<^8j>NEVh82UJesPXbx;!ylX47TGu*jv;(@*y|3Y#y6@uU;Cm)$eCax8^}lgcu{ z7AKWXV5b~ifKDn)0G(7C0X?Y*t$dVUzEtjpr+lfDiOW2x+yKV6A(F~GZoX0L(9GqlA|08N#n$@1kl`Nh#Is5JLTvAbYiFj zbYiFn^u!>v2%jWY^OWQFFp)2YQn8gMhM%aCJX0z$%=xAjgPwkI3Y0ES3}3bBvSPT* zrPI?-_W*^>7sHXH6SrSo#gn4WBf6779UvdGbru{IrdgRrDz?mY#mB58xPD*%DW~SbF-gp0cs5C6zp< zyI6YqvHqme!|~)l8=7)$a;G-9%;c*~Z6xNiaD#t};B8OzE-saXHV0ZxXmbJel>(uc z1HWngua$t#X`Tt_gf<({6PnOs;T>36a}-gUd(;(ysd3D$xLf|$?BWw4J+Q}Ic5%MP zJoekhV^-vYt;ZZEhU2sf@G-~fLg2&Kn0ehLfX;IjImc-^py3pLrieqMv|~sj4j)Hs zMwZTF{ub}T@A;GGF^_{2mv0H+6E5F5z@M@C&INS&ifxxKXOUgLyE4xymz;ceWxk%5 z@2<>iNJyif>&X|@=v$3B9&_P$e10N;Pda|$z|Lc?0(2g80MPLh1oUc5XqB>DTtFb} zF|Rj#Q2v!%MPU|`9!6)3r~X|(-)=zdrxSYIrGdc7Rt_bAA&7zPB8JO%JD``Z(8_l+ zxvcq&@}1!7;cS=h_2etE?U(tvbpSr^ax1)?i*syl5kQw)3o%@78v%=WQm zjZ|*ma=AT+loc}4<+l8Ler_uPyT}b5mI2n0EEQV*UE_G&0YZcopyVMDK177Cbc7R* z@V$SO!hgPE*v&_oLB_pAimsKUquJ|~=0b&R7V>lowgm7cn|U2zo#J!p7j3^@k;(Gs zWMHRr>Rgr)Fi?@ki2meRug6%g)Pb)o}M)U{F^O`LUW2TTan484JOv$ z5C@tYTv1|R`V^($jciHkL!U*h`xWMo$a;Xn45{6uTu#X=%moyNO>|m#&$-tlZ&7|Z zheRePKQLDfKS2wqnQOEkK3&PW0mtxXw4V~D;2y3^0EdDG?BXGPGDEa2#M+f+;F`#(zIqu;iT6A zcq}o5E<|1rSm{Lo)o#JI5W`CElyBSd4hYHSzo<&9!Yr~>2(t=l`Is_cZU@sxB6wmO z>mX_RbHzCnS@(-mvvH;$;SDnC7h(JcKm6f=!fQ5Vb67zH!{6^wP3lcOG8M%1e7K>4 znGbLk7FS_#W5HDhE?o`bIob)O{Me?%Z2`Qu$pY>#u*^8L2I8VAUhV3Cm=K)Mn(wXmk9V zfg@A%#e7>dwJNcQJN1~dD2vZpuL~Gssd|G-v<)fU6Xk-2SoogDqsS4s75ITz!{apv zai%I7j=jsH=HSGKL&EjPkwN9G7RKvmr)$oVEb4dHk*8r$mr_mHJrkIzk&1M%?5pXb z*_@+_jC?@96Dh{@J+!Z~p?a-o?IwPWey4LsQlz}KOX$m5A!9zvtgD!&J!_>g-ClO} zq)=RPRm~E_bZ#x92|g^8KJQB#onBEq8WaMZ#%DOk>6{pU)m^~ktrlF3z$2kAeISl6 z+6Z2ic5atwZ0C&+c23*Kg;&##)n!rd3)&;-EkSScQ~jY{1x@SL>$`%u#({gI!qc9F zzAo2#nCH{bg$e5P5fL|B>fWhod-Owjt3MQw0np7`lWy9*hE=ZWM`41Gf==VF`lRzu zK^dX{j()a_mdanfMG09OtKLdvv}M|@yO)_NkYuLavAe~-Y$nEorF*VDloF2$eATSh zJodxGRj*$VY6e1JrRK3K#-*m}GT!7=mgSi0Iipu9pB%q|M_IDwiM`Y(w;fD7csK+r zimQ1wzg$U1O-++&)F1nl2$zUyYDOKZavH5!9{e7&SUHWhw7htHxZzfIepIArXwKSg zLc?U%meWf)Yqt_`7KH-446qI`@5=c*K_BRunYc|5C|`%4|Uls0i12Ks{=IIrGmxsA9z(pLMx-QmC^CaD1hA-rhU21 zsLIdiZ^Ti^-+yZ}n!|v|Wi%HMzgp#*37C`7Y+jX-&`SIwWc9q$5sBk3IU-enmslcY zfH_3Uc~$QxwCw1u5LxqCqBfTpZePuO+>m`Wa~@>nAyJ23x5+lJ8@@!x6K%GJ#k=)* zEd7h@VkFKFQ@9w=)RYZ97}I#Fe8XNyl%qw_)QbNq!gY@?W#aG02BMJTNb9&R0mSt* zH9ZIT0yrYZTtF=t04gJOV9}Ir%P!_kW$2{3{JzTcUhhaGv)-V8x_lj@g*8}m6Z5p( zm~o}uOw7tzZ+xY1%#pb<%N6r>LsK3B*Pb<@`F^K0+DUBe|Cm0>>wi$?LOUHVRc1yQ z*+`P`5@=S_iJJS;rTnEahLEdKayv(dd1iam+1jHSd?Z!$P-@B(5@K2UM?=(nh<)t? zHf9+oR4e&#@L8#m&qhlhtUc_k)a(s=qsMKDCN>UXZ$?-dOruLt>>bhwBT#l$SnMJGC4;fOQDpa2s5g)A}n| zd5$r`TM{j8sX3u?R@YglPJelC?I$NEUN1N+HSy)>agRpnKcb1=;8jJJoDn{`=x^bl zoP~23V+-eus8*(1%1fWAJ+GV_c4O?WoSaMb6j419s+H;a#l2^pI`aM6V<^(gxkYkh zKMen57oB^owA0-MeIGjoE5vU)T2RgvPoGPl%V)RO$J6uhvV-rJC(AcDpz5&2Gz&t}81gRB01?ryaL++4S+m(6z*VZ;> zI1uX$L^q%|O+cy((2%l5css9V7@#MOb<=M!3C9w(d7d+CXM$14e?m5Oc>qI|HEZmz z{jAK|T4UDSFp@C32Q{9-+*l=8)e8F#yE)H~RyD}8Gw{9N#U%(yTgQn<=ly~JFQ7xS##nB|JOMbb6n zn_O$Akh95O&-0cIV9nk@QFT;`kzCFwkNx0z1HCwBtmDb~+Mmpp-O0%7v`X5_>0^Lomp72qYrSyLrCc4hYKDw)FJ zU&7Cjx682`P<^Y=p*>61db#jMBkqA#b$#;>mU}%2pvFdnx4YEbA9ZEzAxw zT60d8fv1%*_z|~>K^9@4z1lMPTC<*+$Isu(2{guIglH5}rk8g264y(Id#Tb(r+eXc zMmTgYGxd^jFLU(L?Ox{UrN_O@(~D+v;&hmGVv_el_r8#K3f;{^lGg41Ws}o03ZiD= za&;*MH2TF1-hGDgM<^OjKtn4-DH85>2?6&4>R)`_SocqByk+#zD&W|ihq6d-;)EMs zA@}J0vB21P@`QNu(n9`l94;NrAE-;m@rUAEI)T5kwlm`*cS+ls6=TQVf95%3b%nX; zu;OU4mw=b>-WDtthfyPFeLAIwH~2Os$(%iPuQoUc$JqJD{7MBz!-&(2v4G567<4tT z(~Oyb2vTK@0Oo7PNHFrp6e2(DT_w*PY(*!ciwNO+Ain6w@K-BFlluOZFQQNA1TO%#g7nIlFj*=9Z}uMp`@Gfvn0~ zM&%pNr6OtdS*7W%+S{v}XuI)(`vmr8ULtVy#nL9DX32M~HU$tEH=G=``jTwWhc@UH zgv6Tpc;&Zbp!^m?Qu9|Tb~Jp?5et{7m^(({XhhKk&Y1~Fg~~eGuMgZ5 zdZ9DI_e_~sW-Dgr5~CFHJ(>x>aGXsaDx=+Zht)*Bnr$Ea9|BLcU zuR4L90(Aj81zG}_Ut5h}R9gy>_)nr5qUkU9>PniLU{;O(hid7kMkPX$sC_AQ_E}nI zKknw?Gdb?+0RP)@*97czsReK*Xn39rn9p4e7;&c%Wwdx8E`E1&UtL-XM$t>e*J4!2 z@D)#CBoeMS*)g0gWfD|%#OH7(;O&mXrNFMgUj|6o#9?R}A={p9tD`R4gxrry*9r^!QdmH$ui);TsfhHi|8Z_9o#ujZ< ztVBVx8gQcdX+DmP2YkRRjTk#faKnMvCzy~y< zXnd+seApNj6w!!6{_oGsd^ejAwD;b>FUg+oJe+gp%$alMc~}*(z9{;>b^0$|YMs7f zl1@L*eHf=-;69AgFLWQq=@+>Vcm?nni~uQC0_xlnU=`p%A z6*0^2BHFKO^DOWOWxFjx158?Dz4`!DNFEEY9P-^le9KbVr1`2A8bu`#r|39drTxWcs6 zqEs4vH5}cHcAO861^fF5pP#!%jBt_t`s!g#ME%RJOfa#KXX=X1mc|zfC{YG}CmKos;XlI{zthy-RKwDRdlber z7NBVloG8>Wo`;s`&A;LFE^_tSTp)3hXp&H!D5n*|d!j=%0r;;LRSBS6DT#LrD{U7< zw!0{WP@ouZRq=4YX)RULcG3NNIq^6l`rQmIPd&SaYY$vQIXsJeC)MuAt4luC($U&S z9bn9N)n#U7<7om!OA*4j3L&kL0c=?XlL|RgAp@vSbJQz-1@-LX&U!YB+r#W8zK7Ji zpvT0-%;t>aPUHTs_qX`69=|6KjGQCA{do)Nk+dxHe$#r1&uZ8!T#rTfD~q1zE>f!y zIziwH(F!qpcd8YU^j~O$WpA&hemoF_Rut1R0@QhAWXj>(Ltl03@19!#cAJ6M$ z<|L$<1}7vVr)O5(zR5$QePRGwUX1vkpv~5q%j#!gbP)^IrOZKPBFb)^hKNq;e)gWc z9-k3R^t6gc`7mp*^U!~%8+CwJAUC6)z^7T=Xa%GLE8Wn{w9yUUo=Z#{t06%8p$OIa zH0pc}GXrTYXFC0O{0^%PBbM;HV3X{xtn%RZEy8M~c6l!5dn4m7tjE2^U*?ZzM15bn zX?vcQtq~m z9#=v=N4i;BVy&VuY?ho@wrg)1dat4Ey%p0et8b6`H6QOA8q?6qAqOnYtD zXtFBS@bkdgG_ret9=HrrzI4)S`R{Uwg?RC`+P8~>=q}FsiH&K?9?VX!;gpQ;83Mfk ze`7$_r8@V{K>DuHlx&ABgYOQLGmA~W8JCpBmrv1E$<6Koiwu^SkZNW)Ruc?!P@>i7A2C(YIkeh}B!hIlkA4p~bKwl;e9vux6oq`O1F5!~_~T@rKj1Oy{8+k z$lam!P_tfGM9NY7Z;+I9-DGaMXzW;Nqfr!5d&5L?U3q-`QQRdd^OdpR>dg!su4p}K zl1$_Z$%*?5jA~5kG(kMwfwou;@@+Fgxkk)Rfi@5X(;UOnn=%#JIM8Yr%>vnf?vgBK zQsS?*t;_r5m}8+d*`3RObJqU8=E6@E7V!PVCRC~U_q7g?u-r;acD0QuCs>a-u~?UB zpLsg{xf_m+Feb`lVZ3C~1M@;#F3uQp->A~=iL^%Y^U~8*zELK3j<42=+kKmR`KkTb zq?3#98J*~j?_;jq?ul3}tt59AHjri(SW{&=%>J=0=E&wc6QK7UD;u7xV_XDN^f~x+ z_q{7BCwq@gKMdHn%fh70@jV61s!|Y{RVaXmVgF+acRctT?n*D|npCeu|KoO814 zGOQqChBap^0huCJbd|Mbr%b_?l?G?2lT($5Qxx0P{DDlE2|GL)mVk8|cIP55-P_5q z1q!3>rnNLB!}gQc<`^C`cW(Hex?a^C$nLSf+-pF|M}5g~RWm>SC#|s=LQe7$nq=zx(i}^`{%RREC;M?n^aK-U!!}ryzN1W7j&BX$ zw!<>K?bE(BDpzb6w@F7wnqwvRf5P&|dDjIeYV%TidiS^ZUL%3HM67nr@HbXz*hlvp z6-w=XUzL2etp080^>&1#kCw)cDvfS$d+WqdJnN|XSJF>?GWv)XoICy){Lb6BQbq&? z04z0pc^H1IvGum|8(VMwVmL0_P5sXaGgFp)axsE)(8ieBW4q4;wB`5}D|Qy@WKF?B zrPqDRYS5@jr_y_fUwy#NbcX6bVQ%bwX#S^1JW|~|Ir`6aSVoow)Lk}HVw2Ey zuZrGMd3^ovKBTam{G8ZW7sImp8<&!l5M^;>VA9DPqmFUqRhuq`F#$&8F` zD`xtRVebf*=JHG8OV5ooo-3=fqgBE2tZn*5KU9??of%kIbXzy7UitI1@9TzD^DHWF ztLaJ9t+h{;7Qf3$h|TFeOOe&7iOKPr5u6@vg(}*VQ@XLYC-dTX&Dm+8QxAmLbw=Hl z7Qam2U`u-U1zMJqZ`wPk=<8JC&{}FyYrXtuCVCE4`n21Ur5EAPmB5nEmVgBGf0n?% zSo%=>mOgH*@h|3NYHHG~+(z(`&yYSw!N&qsro0xzv4GJU2Iz&Q_4XqQ{F4Y{$)1E_!D6(x(7q z^aBl#Pq3j4&&;u*ZLA@h21@FQz}RKGTEnVn^s9e-4LJZp4$`oou?iD9UQ7qqFU@ID%4J#Ntr z{mMEu9@)k$_bgdro}km;!#$<--t3JOKV17}SvBq`r}Qb<CI66|UbjA;n@}5w3rmMVUuq z!~Q5MtXha}z*whu)OV$y+JP=5&9t?vr?4z$q0yHdaL{+O0Oq|kcfE6Z85Rn09KI?W4Jb4!L+c%f=*#d}a0uA2G_ z;t6oYRR80fCD@Cw_Ok9_d9y6K&8!N9nyVK6^Z3qxvpS z*mE1z7GEWRF6fT=t^l%c+nb0|J`-pj9TY8|X@hbdKQZ4|h~T4v55{5(`H`k*$j*=c z1C;;Ke}Ky@ByhO{!_B|_js9DGfyOr+_&(ihpc0}!25g=k{payi2d!wP zuZas_5T6F?t?Y^LEvfxWUhU{xa<}+?3Ut~<`8`{Fw*tNT)#EM*$9&fVg64V9csZ^~ z=BP;KxV%5d#Wu%Ea%}On@K=m(@iha9-B|XpO>0Io7xM)p%k{0tACVny@e1SYTD0cb zUZ!QbIVg6LM8c=h1KT$oV2x%R#KNju-VKAXPdFOWing`J5JrfXXp zM{1VQR@|4rp%)TaV>{{Pi*VHL1Z#m-Hzyh$!1O6TpsE*;sp=221|UCfP8Y)dSOUVKHC--1U48MfdbbNun=NHI zrn%PzSR6&`)7C-7A2`g!7q(>DPfN4lp=PD_2Q2=))hq0$MHX1I(tcWE))4*+DwYR5zBByAb4&z9Ev2h_#kTAE{U%Y6RyV3mnw{>~~#`4v)*f$3MW z@nMUc6W;gadry|R#Q#(O+U|Xo=<6}>Yl6NW_r8kswblD7)Yk^@ zt3Y3Cy)XQIj&G*-#ULifSK@tPx^sNz@?{HgwH9YE{d4T+i1Vd;rU!2{P5R_%8c%@^ z*hwNgr%4}{plgDR9GErR@0dc`=|CM9{&k1nMT`Frtn|9mAPNv3I8(6wets|{4pp~@GSygS#-0iwlG{h zj2EP`m}RN&<%apG)M0(^D1XBg*?ZrWZ(N@(^@xpW%Ku*|n)E@6R^OA(j8mo=(cDRx z=}?5$>++XK_3JF*2Kz3opZF(nF|y{`Xkv}lRE7^jp0^q%W5fDG=E)Z()iPc+b-q4fkT0;$8y)C4SsxtuzrNHbL0ymajd z4a#;8MjF-T6Oteqz1*$GqE+0vQ=3)eu}q$PtpAvikGcnD5Ml1$ypqo4-;Lp7J-`0l zVd2=?9jef>=&gHMMqIihjS4M`=6+bbwdT9nzaFM2us%lgs)Jzzu+N`(Xv}3XVT?NT zvGJ_qt}@ucY;F&5nAuIr3>p<%T70hm7hh+QzGsB3Jyle=G=0Jr-*G$f;`~?M_+d?^ zwxiVE!bZ5pcl5z~#eCq=WJ?ZZXOV}zv#NN=I5*EbOx^?Fz@aZ{cJ9qmD{OY|&3+a%2drzfpLTjD#lc~I<>Z`)GtQ4LiZJ5vjHlGE zaPX^bO6j*{N;kzO<*_IaGxh|rfag7MkfY_y1c z+U20%^|vPb#()3dlfF>2z44v-SJ7Qn4_E(b&uH3(@!T&*JKFZ0_|g8*@Z2Exd8!u6 zqaT+?Ib^fQBsNN}>1M7Ku}@*!cJlX+8T4*UFMjE^)ka6>Q9-_2PjLQnj=tu4U)Yu$ zUz7J$rLRADU&ga8_r9hn?k?{utgmI>SBbuU>3tc`y2$$~Qrzj@SE0TF-dBOXMtWby zv(DfPot0ju=&Wwuwf|8fx<7#x{T?>aS3Hq-_SfACzpqPvLg%B_L1lPwTOhY3T;W{NiC#Bseq0DalfV z$1lI8999D0d~$A8>|72wQ4ZhNZBG0^P6m^3<4e#_%>k3Ubfu$Hy3!EhEmO6^ERtm? zS)C~P<^r{s^tfPY%+-{Sky7xTx8O82EqMq;Ht z)fE+`!QOc@S1Wi;SNpfeMmL5vFPPD~rQxTW2oyQM7yH2uK>bJPR(@Q9u72=oK>b(z z92T=Jz2|Qf`RsGyMpbwPTuoi*^N#qMe$0q%W5O!&qHr6a|%+et7rwupR z3SVa&jWou0XpME@;}BsgYkRc2oDRep<+!EsvQ{iUK9(}QQL zR1;Jx3VB^{Jf%9vmTJ-NCX)Ya1$!~2VA`ZWAyqJKCH~h6_SnFJeGb0jk8QcGpl5}w zb0zEdYqM`TB0Aoh z?3~STcx>%r>2%NN=-S27^9!SEZ`UuM3NP-lQ!E=}`FGw8V${^Xa3K+fA2VZ_%K@|- z!01>wJhuD}A?O(uwLoJKfuan@fCw<<;{sKCLjLwwBHYtPG zfnM{Y=(@=J`P#?pC*2f88ve!4kf9%ulhjp^7%VB%ERCdEJxPrbCqqgq14-Eol2jKo zjHGCVsghE7s-#wUl9DSOBq=c7;bB~UhY%S_3Fwzp7hgzfnv>K|#u`ca7yg#;{zCRk zOSzqhgxi5#4(yj!?6fn5>Yem?R~X}By4leK-0>|_$G=8Za&%wxz4{4hHN#`!jA)p( zjMgm8Mfx-q`8wr1Mw4!j)=&D4q|4LI95CrV4Mk0Hh1$}^Le8dw{IQ%*sy$^3Yre(- z`f|Q+_m@;|Ogci2JLU}QE+)km^{wS6eMh0ZrlcVwKQn{KiCO+DjlR^A6N+|X`f3jE z{tF?E57!nmMR%%wEF=u~+oWNe6iB~smPoQ#hBWNfIt_?F48ZQ^Y5 zTkF@}Wmx8p(6x7Y^l%+r63Mf(CAo@L{$;eQL2I2MV*{hM=vO3qbq%tgr+$s{JG30I z$^z|TX$Vu8o;uf>$`smMgG!?@?9!+ss1^UuenndU&*S{%S%3l#2a+yhN2f^ob12D- z4)JqSn`&@6_n7%!Ji)rK(&&akbgin3ZWrhzzPBh&Y4L`ioB>`_x8R!bWTseY12ujv zaB{vy{WL6Xm`ha7i7>cfsB#^QA0(zJ>@=6}@| zE`LXY!a;4!ND|*vaLWlEC%xc$G>3ukiH)i&-{M&6{F3hvYF@4$^M}U4P@W>piVrSjSvj~afgW8{HPuB^gSy zm^2cCF(*1b!CJ};=85@JU=C9t9p4?_RcovCeQi{FTB7C$wwQObI7Y7xJ!LS-m?7Z% zzDstdl1=)NlFjf~fKFwv`pG)R|p#k0srLWST z+4{p$`i$T_hF*2b&VR{KSQkqL%gvBW^E8Z%-w@KyS(fpYLK;Kvr~^y!b}2#${%fbW zV^@b7mR*ZbaPtFeMw?YGb1E~Vgs{k_03z5*GN3TK4HWiRGVHMcuE9)Rq^845)bATn zyBapt=Gb2(j#r3t{u8CI!Xb(R-pcIXB2n-!GS5ax-Zx_a4PPrs9gZ(GIW;V`-)l`m z;~5gCP>GaFRJ1QnCa#WQE1)_zn>BC8DK3Tb4{#GdVn@5;TJ_7WxO0)Zy`gA{76u4u z{=VkDRvEO(ZO<1ekjNKi^9w3H-|1+r1k{8tp-l<}G2q>RmeyJmoOlupgo|1I_fQFU zJ!tdj!VGnD?>32a^g#&_Qt2iJwlg)cz;Y5v%+?jo(CLum6nJL;ty1bTjimMi2zESc#}al{nGFx-ms2;$ zI1&%jIG*LTRv62k{fc3b`TPo8f!QoZ8{14bVT-y7nGv=*DiZU$z!Wv-3b?B2Fxd$eT=5;;}{VYh>irAB%A zuLU7Kk|&TlZ{`MQxUiur5o5 z$)Y9+m+Wq+WJFWg&Mz``Nv4@3YI7`(>8_T=8iwm&%=D5EJ%LR(0%O*n#7l#%Ml#3* zVqEJHHW;?rz)~Y9Cg2F9c@hXkD!=ko*qb3!TB$mfI$)0ZLPQnRK4J=>&jim3Qc#y1 z{!@~}YD)40lDMyuHR?XjWN zCG>|w&C`Lc&6#sJ9d?$8e|wDeE=VUfDxSey+grof2OB@aNhvp}Rntw;4-yjW9V{d& zj~$EsLNethaFCb~4Dyhex{_ilH02&rOg6d1)StY-BzIzBPz|2Jg32=j=@*p!UKLbU z2}%?tsI^8=bw*IlNkLtlX$7@ov=x*UU-i4p`b`Q*cr~n{HUuYt#2L~OK)*GlMGB>U zNH88yhE#{p6|5=a#_wXZa|vwujaG398BU0P&#U$}I_SQQ*x485=8xsFonQ$|@) zF>s0b3ii>1%ChXcF@P&28l`bixB z=5Vz1nW{_Nz|L;H$h}jl&1fm!fk0xJJSW5m4{2mXid$=UX-`~f@w4>Qx1{&nSsKm$ zJ2KQHdq$8xsjvGVX}rjA!*Qr!cI^YEb4+(AkbN!86Tfw?iTN=xg!(Qup>&u+3{`@{ zlBInlz9VLs8O*IWsTaJJkWr^vTqt2+)EJBF$+;t3K7Zf{<=XL$LAiW;Rv8Y2!S^P7 zs@FVsB)#G7d@y%T_;7K3RtneaZ63q5%4WDeENmBTlLZ|B&3J}VV3DB|eMIVdla}D3?{m4z7r7gXw&C(q`;IFyW4H=~wr5>31uwUW zLhVr+CN^wRti}dbib-*DA6|uNYHf2zA>8c94q+#pmr2?x2{9k;Unp_d zos)70b*w6eT8aYiju!ESd^zGv0BbC9rE$a?07YDW;vz_tRm(9pyx2t;&AyTzEn=)< z1G-fkltLd${xr!=T2tA{w6jyw>O*N)kXFAdXYA_>v86Y}>M~c;u)Fd~=7PlOJW@JN z>j39kPUk2TR!C3-=!r?e62=`f2R8vfAp@Gg8&|p}P@&68U|+w%FH z(!rxUS=q3u)u5_q*rc(9>u@gG)MP`gXV{9XtDHeM~+(fJQp zgHI3LtiTITg>cCaksFA~uL{`m3*%A1sCE2NvA;2m z^hI#^Dv7g&o{?QkC}CqJ@ypEFqoiz`n@>pgr8dTQyh-gQ7z%nW7OpK%8jpK|#Zr;| z6^G@czouY05})^v=_^L#@43&zPudNMyQpcyQUN3^W4>D~vO=-el5N(a%p^}mb|>fv z9o1yf%FeXS722PXOlS*xrVwv8DrEnKR5#)3XJjkA^36`ocZAs=M-`{_#i`q#InPpVDB4X}FBiyHGLfvvQ4HdowYU5O&-qeqCW*VS@JH z?7(io{|14~gZkCSk_Av5UOcNnH6ERb0elV6ng<*SaH1B(Tr)ZH2z45hll$v5M;ux! z`=~?tTQM`b14KEP@K+_YSIO>~$vUP_h&RT9GxNNIYWQcOKYkI$D^bf9T1Tw&D$9K* z=^gi7fPZ(~tB+h@xi0`b(sJKH3djA^fFAb>PCQs??+hL(?%z$HcVWMUSA1FZCZ@(q z!toyrXDRV_>bsh08QIw7WDUb)xVWeGRbAc7e(6o=J&%c5gZs;l*=9Nqj@ec~`kE5t zN`+c*6`*Hw6)cl`0@!U0p6)!;a@8C$PhV+eu#C!aXqy1(WG1^#XIUAj>*Q)sH>Efk zECuvrpy0&cK}-$ad$_B?D~*L7RD<*A4XFl|!`5I!dlNWQDp5&NEAe=W&|isi}H=8UVclC^+#xg66j5p3H+QQSpN+QGM!U zB|fVIIa1NjbMSxWbwcsp3uM!oks;UJ08cDt->?-kDm7N&B zLmSRcqMZh)RR*s=G1baI{RxJ%qE-UvWKas|$w0x0cR@@gKH>YUW>|}%7lP$rPx38e&3dgJvmuCm;#kHP=qzDU2;h>QpHxL0tZ$Z&sl zj^UnWSZWSZ6VfoI($2-0Y0M|Oc)n##fR{@G&_znO%zHV2(l^IU+ru3T+ z#XF9}T$~lIZyzZWqBYK>_Eky?#PL8AvQ-Ql{E5pg!@Zn4aSW$%j9ObVB?WVL0by5Nb+(b9X9M8ezRS> zx=l4pyL#|z-b?0xB~9$G*l4bM46quOb>67z_nyh}Fa8q44_xoJcbOEi+s=2P~@BLw(JeBxz}K{Om#wuA1Lfire(9=G(H}rP$V=;ti$v zrX-!hcV|u+;q;nnVwU?xj)&Z5!T5TgOuO82{UTcF2Nnv7=m;gz`Uh~c*%R{Uo z!UJ~@ltyQH4`W@(DuWJPDz^4Y*M5r#PN(s z^bH)dE$q+UG+SZwHCFX9vETQgO#4zZT}~!0uFOmC`E^T|sV8?5DlXmO+{V!*Q(zfT4O8g7WQ1{&f)`1ka4VYeQeS%K-kzf{|bAbm3EDd zr?-;3SLosy#hNqEARwcCchRw|t&HDm54USk#GH|?Yrd9op%9Be@%yzq*)n8yjQ#ie zK&y8|Y01T0P*lGuGi7gEc){Cwi8kcpSttsgKm(@b)#HoKg4a&G1~3wB%7Zg-jttzw^ua z1xMjENz6rn$6Cw6fxiax1Y(9UOJheF?I=KONMf> zPWMCQLSgl>!hPh0)+?dC_hFstDAdyWQdV|ot)y61{gPJaOyRj>;$w0S-;A|3-Ds(Y zdeH&j@!J$sVY<|%?QSCJYBtEE)a2&Ly5wxB!$r+-#2U58VF+~N$7VRXiE&lwrXq%y zzDJndB`hc7LsW_+OOIY}sag^{C{Jk#D2C)FuFQkEd5qgB29no#yy;hbI+*lSkMuKE zfg6Umr;={xv4i$>GcVKlPj>$pgFZW1a{)kIJ#SP6_;M;9L5hfiCW|P9iBtRed*m~USUOFwqYRP18@jH1X1HLG zzE)<-xAh0f@^t{7?#h>WU9x-uKv%w2QrPl+JHwQ369FopA`)$vGCgL_?we{{XPVkN zRaqpa7aEg|%~dM}c5N->pV`n}HGXG0tt;YKK{2#_UHge=)TQYC(` z2Mvq-(tNwfFUVe6eg&61s97!hw6r38qAB82&3C|CnrXAJPm ziFQ>lG5ar;^<4j^v7QCadSWxNp2Pi%OGXF}t6(!cCCawy7oa;~SJ@-$g(s7H=47Q3JN)AFsNp5sy9 z=BOu0dCmdLefgm*_fwmNWsn~^>JTg#%RK}Oi-kl2Ff3r?hp^x}q0Y>D{H1XTy<1XToR z1r<181T~%jp;Sa-&g4N3MFz(@6nYR!GL+B;So^BitWS}JvtAWfWKOI-1#-{SHxFyT7rI+IiwJAIV+;s1_`C;B0&$R;PLa47eq#9^salHyCh(A5a(o!cq_yv#b4 zBEUMNAM@S$sTDl|aw>|D>v&%k%I})c&~B^1-}ojczT~#@Zcb7t0KD8%2mo3NXITol z1c-tngn0=RtlR2xZtF-#bBbvCGGAd_XU4Gs=eaPoAsEeH7?p zh5O(Xu=TlI8)OdQ`WtjsYD-4Yj12}jw6p!rp@q!tc4gHA+#21hL2eC?iAneMw#u~U zBu57mS%LSc>MA(Tv3PFn3?*lISz|LfmS*Mmth&1HOOJN_azntnyP?Jej{dws^w;iY zlbRs#|l^H%)NV&rPK+r<(LBjyl7F*kS1%8ufk#6MM`);C>;TGb9t9V;juG z1IlehkR)~pUKpd-j|<}9zUdrX?=4B~OZ#zJ+7Fz?nE>n@TnV7FIJ-$~EzVsZ8@2y{ z05us!Bo34e;owY6E;0QUx4(8hW8E-p+=?L_oXI;IZT1|?&^SB?cW4~J&&A<6xI^RU zq6{7%$s)fn$y$ldTa!}k0{o$qVjh=#IlEl|=%m;|3M<8mUL(b)2@py}B$_Wsm6g1c zf)AFNb1k&Gq=TE(&*C8OG#G_vh>ed-MX?X+Lp!*^C_F2B5Q+y=P$WzB-xIB%n&&44 z)e3mM6I3s-6I9x5NkOe7)C%e=9~nWdB0wk=k@&^=gB+X;P}0FEiMcRvD9H`*458Pp zms?inJVPXUKz?Bt_&p!DW`K_yl2Ab^Ay2+T5|X8Lb!G_N{y!s>w8?b#TfJHsl8~%; zDxoo{gpzVR<~&z5r1l1AfHzPzIF6No{Ew&me|v2m@MQ*Q`o#}rstNGhaqiHbF5qu` z(0RILKTT3-0-S3pECI9>$}EMY1egJue!=`_bjNzS0_N8g5|lRaJ3};mCp`bTo-Xg_ zLghSNf%_-HZj&na3hv(@IjpIYg;PH_x@_S#kR-)qeq!g!O+A`*{JNR^cthbcNa2j$7kc{*BM($ihh&*C6YC(NlRJWFic zR4R(Vzl_4Ou?L~pMgcr$nJm@Tv#p>eEKCZj1n^ELsCmHNP!7-ustC{uYVvL)sPO~{ zr6Ll4I%klllL1P4IwkqnJl#oexbYk|FV!#jY&p!t-9S$_B%#mubRHpD+EhZzDag<+ zbx1-PbG9#DxsttPaE&5hSc7e4)E7h4Thiy(E6dacWoUIV8(R%#oL^q zCcy6-XMMJ(Td+yXP$`RY15O)dGJe8a`-=Xm-%4M>>1LN=srD0A`pz|>>;Rh+^G$im zF58&nCSe9#A+tnh7hopGf5hv0yR;4y|3M!%F_7_g;zc-P|CToF@sIV;pNWQUQvTYL z-0t%YuKs4BGjR6@y-{my)!OnR6#u>3{EFjV;=fAZVS)zI;b{kz}iM)7#= z1&qaZEcqxPct;U4&fx_cG(+8@)gkcj&*Um;P;G_2#&&GPoyk#}tFmvUQQ~>H;X@k< zb4I!}#8;4RCL8~*%9ddvu1tFjmFSW@R`u-~pgAC=g~s06|12%$XkSg{G45s0I$D??X*XA~xWe5Tt%2;18K7|6cAQEY3^{@2>z+HBpsh)eXz z;t$lV=-WR!F@;PiwQ}}+o8^77JTqKxZSsyryyk~#s+xQEr@bPCfs?yd=y&EUPB5ur z-Z51MwCW{SdD$&C1v?W9somVYuC~6Rnlm*P$iLr5 z`{$e~x?}ctWbjMje4FddZzMPmj^KndXmvKKCOC(n-2|<&=S{1xlNi6-_mI{`jjrr8 zd+tdt>$8mCgda7C#O(WOp!ZIiqB7b;P+@lkRB@HF9?mp4*i>YbCviM_hTG%3#4ti$ zs)X}_A^?^Rp)R_{+@&K$87#pUWS@rNrcA1(yBXTXpl_c1mvs;%VAcdWDMv# zPeaGrJ7o!I8{BEf61j@bo9{pP3QNc(}=bzBJkS)$?&Zo#xR zQM03X0+88Fj4mlR=ctv3FU6QU&I0Uy0Dgy+iks48A6Py1>-r*>{`Jt3D#-yZ+nHrNW7|RVWnxpPoWcnA)JM zt_^V{q6vCjd6pPx86nW7DG|S%m03GlM_cn<#pJFt-{JTSYPfADSvT6O8e~J?%MkPEv{_2YK#V#dP)3MF?n}J7e&^mc{O}yvtAZ|QICEoZzPhr6JG9w-P0oL;aL)GL)n;FX1;s#{EZ*sbh@k4^*RRfPGZ^e|5L9YS*3=f!hyo;=Z^8u6J* zqeAw(3TZX&cH;gO{Hp$OFw{md#rz1a^=|t}vKA)U}nb6(VhKU=XZjltpR9nGH*lxd%e17rA{>Ec4+lXm4mb;+lsCu^D zrPI!;xLvcNJI=lRG2bbV7#lv#IQ~E2r`J&{X?E8Ng`-1-D*4ix2ZDEAP$0~=hgmp& zBgTGjC7g>)&C4V0$qoVifD1upF1;)UD6jsgEIzZ(?KQ&zm%c=`4YJE;jWc%HGwwOK z8_1-X_`(QRz@>Bw4#M!>mkkDcD%&uIb-*?`%U)wV`8Q;T3s4f)Z3UJfRkp1k%+DV! zwiE`!hM%O{n6O$K;-ZP+I;j%xKb_mqp(kY|xUI}O!bd~LymyC5W(^&)UCiISm^v?J zj*D69#VjO7cCZA_0C_sD7XU}F1(=N%dOWdD)Mh6OopgmrMeqrW<_DiAZhP zc}J{0B#nHAa3ab**omkvu2Z|QP9z+YX+?6^?VzOijH&^Cq%_r!+;EcfBd3F7(kiCH z`8|7v3HAKQ66;4$R_jFS_{M{NRW(!*N#89QnEse*Opc=qJ>`itxd&JH=I`~pl+Zd= zO=+|%G<>o-VLqg|Zg)AFz;(#9C04XolhzZS=}`7NADIE)JIvnWif}%W;Wfbu-u?Md zO&BjPi?Czz@bA(JUb8L;V;1S^jhAz0`@>39ia){;b@B(JhKN6)xO72vebV^$Ikz2k ztnEx>+4^PxG3T$tc$Xx1$DBQf9b4A+Mn+lut`x7M<1QurlF_Vy#1&!XtJVB24L0!$ zIdcU7O*wo`$lCmw3*|ck6h_wURT;owhQQ|p9xKB(&?Wt*5F-Q2FrV{V!Afi#(_JO{ z8qzndyg3#B@Ff1cB>tpZ`puja{DQ&wJc?JDKg?!Bx+lso%JB{9nVKDUS2tVFv>Ah` z{;mFbo=Lkf<+0?Q-jjE##}29z=-0QF4nMhX(=%CA-=*>Kv#g(@3YT_ye(L7srtYsZ zbsbpVDL=*K7wx?h7$n5Bxj8;@ubS$m@yJ|l3w@Y>?Q_1jKTi*y>BR-(pvIfg$7MtX z7+n~}Fuh7$Yd@5uxgQvNU&a&{UWQjFxpF&^9J&i^jj;f>9i=9fYPe~@174^DVyz}V;GgqZoty>ZVgV; z6Er+uawn7Eu~9c{#Az|Ktl!5DA`LF>yCvr777FRR98UQC7sebR?lw#hMPD{MGnhOE zYhkJrdy-L&abjBmBGr4#;+K&Ke^(a2-4M1TqF_a$ zox^>@HawH%?KpPh8LY0ei!anTms3E++p)%|SJ)U~#~_Sb(4j-PoN46n#x2U-?{XAl z#xl4ZDY6;2$mN)_S(o#9qnA&QPaVCSZ&(VP{e}tkyv}^tpn%{ zH3C}bC|r#b9Oz_>?>orJ++}=|V^On(c5KFTEUow$&!>2P#`8PL5zvkDG1Q8rT*fe{ zboiuyZ;_wTxr`y)%u(jh0HfUsGaTxb`=JwHhC?X8ZK?*-PXuR)rx9w+E3es~N41*q zPdNIKl%UkK%R_mVVkJ4$5J(NuI0etMTLiShNs;cOFyYYQ4DY z0L<0>k)UxmC1vr3pz$~6R{!K&DyDkS7;4uEgZ+&vC&k|sM50}vIfnYH#qb%UuuJ0& zv$Vt2bj{^!GB}Ahh>!1id?a1XH26qyHDNP$;(?<>51wM|Ek@i!44$s{Vh$QSUFF4S z@DxkuGVvmAW2BL=r)m5SHICBsgy+lb@X4C&T;`+T(2SXIJuVWD{Lh(TiH1`6Ncffe~%VZvtp5?MXJMl}zh=8ak%mA*>n=FnA!R+7D$ z(ZkXe0{edXL+9qgk>Rs~RamyyWS^$xL)pu;Z-hKV+PgYg9#3{zJLB2=5t{6y0RgxsrKY&XPuqvC7Mkn;HZc2K+)DhX|c)f&|JK@dym6=i1K(A-L zp*&x=MprT8`ItdK|7e*LTVuZ8J@`!4l=%1}s%lDnTp`uj?*S9uKr8SIqY2khgPIWB z?Rh{Aw5-FkosUcH;@fyLUM7OKKFw9c?OTl}%-XL3RvEKN(I=!Mbb6_^p`9Tek_k%V z=TD07NlpkBQT_qmuo+Y`T$e=N(0ay5@gx4m;npK&I*<5L z_j%fAv|y8#SW}i?mn=zHfaRsQnD6Ze*fFF_{IU;c-B_<^#*PbDzYvaJ+84g|VLghp zrXc&JJfxA8QyQOlfNo8Mna4!LKKFNNeCc^d>@<(|*{3jLXU|N(XLRhe%okRV=K1aN z_}xce@D9sPG2gzc){G9J6Rh#E`-g`lCe-}kNb@dWIVMMM@Ld*PG)Bl!U=|Q78*(Om zTidQHGIZ^&naa*-HHUlbbsWdk9~fElWm$y$CrQ3MXNB3nMx=tU-wHCz;x4@%r|!$E zy(=E>WBk_lBk|zBz>L7AGRjrXiud6#`Dm?`d**E@dQ+Ejppu^>E3-+e|y#};Vpl@F7Mg& z*x48N@!b1q?{%)`S)*;K`tZ=~exYraNFp)BTee_>V4i6_LN%mEA_u6*Y>yqJvjYZ~ z<}9-zx{l{*6Oehf4uqNedARn46a_B8lpraOTqgF3%)C&WAS*VA`&`M7pf6~*2tg`w zQr@|e-_o23Hhr$-=R`CiHGRK?!bqt5--l~1!slPS7$81-fAqRwXZ?QK->z(CFDY?H zDO%NJ_cxTq|1Lc<&sf@7_Xs!s?xsV~J2`$0HCf z%i>dc$TauivT9B;C&R~WoEp#Xqc3`nOBm!qi_&0IN|OAItTHq)uQ@O{cOypl0;a?! zTo{|UPMhFqJS#)>n}=cUFN}?Qzyw_vT!Ee5Q9x~8#vEsuwVX2M#!!e$;uBx8i*0s6 zEl!9A36yvjtz}wwF7Ej~d>L zo2^vkr~z%GLZ(*n(Z+`sZhm2Bs?0Z6LsjZAZS(O+BsMG9OchryI%$b*O>NX}T0P|% zSyMi>de%A`=H26sgiwU6ohI#ZMYgfDT^u99#qnSPL%bo=*%svCTw!rOFaT$U!P#(B zp2e94&IdjN=TUhnIIWY`nvoo|raasE_c4z76&!OZy(TVFuL*YYK;jWntD0bI_aiL# zhvP9PINj+wS>hPxWW{cTq@1*kK{7;4*9BWm(NEPT7X>4xVTh@DWX;#7R*zddHGc0X zBPDihWOXZjlTF`LV%ia+8n^ah+Z8x;+OejAdAO+p;a-)RKEvQ%A>0k8I*|D!rND=vvmF7y+`wiB`OP(V0-eP4Xqeq-a8A1y;;Eg7cq`S^^qPwTU#ka|4rw6^_sB>#5zBVp!ZH#|AQluDuU+aVMU5Xy1NDN5fRhL~4 z{rXj>Qdrd*$#;E0y1py0dpYSKX&PB`tTejIV0lvcOBnN;mpDgCuSeFLrT9)8?_qU2 zbirtp)?l``+NNSY(PY9wPY+rL-BHML0@&5T$l;QBgFnxtdc}C~&J`b+1bX}CFrr&g zL1pi7?8(b_POyrsYamBhPwmac%ky}iT%90=YgXcM)A^Yd>@6*x75ud3a4>G|{sa9U zqd+VNG1O(T@l9mIZIG+ee*5ld-lWR>9!>j`k=WtQ^`FR&w_}3d360&$BhZ(#&YOQZ z`ovvFxr~ZuT#o+bzo3UVn#r2cpA{4SA^N%!6xj8#{{(Krm&v{1C=N1jm&Eh8S!K)G zCS_Akq8ZKGYxV1&#;QpLTFc`P-HAS}Q*)UbUp3Y$6#b6!_}_nR6s;!M0lX9#t0omx ztLiM3o|nqz0d#3iu(>>Hn#xv*L#nkRt!AFgROx>0lB{glUSjLb)0&lOHD>4+dELY> z+E8KRvFApsu!a*%{&&>4t?ofN8YK8 zsc|WdGq!9q0!_n)-uI2t(80;!8aZurl@fUC1M1H0LkWWTZWXYT5_e(w{EP2Tr@rZ# zP3!AF(eyio#j}{Y%DtQ}U9P0MMk2;Y=RZ$KmITR1?(-xuRU~^u?b|6RNkUzYls<;q zo7cw(t8qz8uFr&qa`p2w-Cu-kM2#QjFmxU9Fh?pL#!8yeZHxLx&>Z)8IDR#q^{f}d z)wc#aacY%2E<#Oll_@mI=(d(t_ns!LU?!8Ys ziC#2IN?R|Ct^F?(z|e=G-HzQisy{Vuo9(okz9?$Bt;qCY-MDT1H^S^z!Ccxt>}Ik3 zkPL4b<2IwT>Z&HZuBy2vsXYEGbydsSUKy_UpPNEv>c@1T-N=r9F_(p5*t@^Jhu(Po zra;Qf6;jdjQm95nt8OTDAC;|o#we2jI;pz$mwQ`JBpsp;WcRXXDzP)etfgcRze*G9 zSGDz=Ocd3`RoV95?olM)iu#%??aH!}EapP3gHRvup>B+kZlOV4=_}-;=d?j+$E2dY zQpqb_@{e_hqMrM@^de}=d>ohWv0|hiOp(UEJgmYBMkKniJo;F;`t)$4oi^i*?6=CI z@05MCBX&&4T#!SlS{t|f&AWXe$ud6R-(zgTW|pk@$=YYjSOoLmr%T+@6TGjf z{=J^z+=Lh3eF5104Y}|gqTk%}E4FuV$Jpf3=);lfEmO_vLiKx&L=h6o+QaR}dQ${r zF@xEa4Nv*>P}nv8uRnQ>|4MCH-BA35z2aVc#$Q>b^?URf!<5hwJyH&%Y(43(KVy z4~JR=P3CpP(&)={_~w7TH2NMwn;MT~<14%(oB_6c72zeTFf;V*Oc%v?RmGrOU#G)& z@r=_ijc4(ylr~%tlkuq5 z-?bDm<5jI+Y{KQwY=!+X&tIV;Pp1*h@LhAS;M0Al!@^DZw;aa`!|A@UeE5I97m?*`ya=p0aor4l6L;ko{C- zd&PjZ0Kznz=CtUZGhSub&A*-S%eLd3@tU*`1V7N<@sBCT(0M15RNIvM^Kw(c_{WO( z`5XTYrzOGe0Y~LtL9YsW16VKz|)xK9wvGX4`B;A3zw zj)|#4o-tI*?Y^EX=QKSPp(Klfne5Lp_ki$wEeD)AidFzPl^RoU1@Jr@Tm^U;!Gs0? z?SaAE`;Q;XB{{hSFdc6qbY1oJeIMgXR~hW?WL#e&KTUV>_a%b+Kkn=C85Yz_3I)73IDBkcXZvXjR1D)wV{aR*QP zwGvhMXis~2H5X+r3Kktk<8LRO%@hm!(*ZX1Jm1Jg5y+IZY_)Uq6zKg>4{Q$ zkLkNC6@wm1^ikw^Gc>zuFYNySQZGQN_O6~X1X{S>nb=kboQfnLcp$RdB4^J@GLq{eb~~v$;ffK0{~?iPBoC(wmN4pFmNJSP~C+ z?CbOY!*|r1l}9f0`FB+w8S@Jh}gmyEr9P;4f#A0=bQ6U%TSShO0eZx3v8ux9=K zWP+nj0v5MTf^hWt79~tfz3-Dg|AR7n`1T_IKc)vSTsS>==ETa{tS!D9A2|I;iM!|M z`bj_f*(pb+`G4QaY)aHOlZX}xXuV`(#d7qjPx_)84c7H4m<`?kck`yZO{@~x;5P_{ z;5ep27VA}G-3DB7MLSKD%?UwBs(miw*SEnm+a^FJ$`n0Kjg#N$s+%yE#d3xJuEdL< z&?x!6`(u8|I{~ByUqi42@MNVWcpmVP7Nz!*`-QT>Tz+q`_CErpdqj&!A)IyKHt!X- zj3KK+4zNLJ%_uUroZv#6oNEGs>KjAQoXic zswytC6uDNc&yrzs<2y#KA%9srf{kvB&lr;y?L3(NK4CZXGY8P;wNK7MhM~U#f+_S@ z?-l)aWK3&Ml`#jozX`IWhntJu)>u|0^&l~f7*Y(^3+Es)oCeVpF`U20iQyI_26+P8(v3lp7+xfU#Lxvd62pu9iqQnW zb+){_0aZ>yR{}~TfU5vygjHSzC(eXcvb-mQ*1BlrY^mZn(fXf(&ersOTXDkRSOx+o zjV8eV5;}rgft3SrtwgIhk;$6L0CY8@w=+~TG2iCDnyVC+5+Gg` zk+}W+f$aSQ_7*4E3pn=XfzGj42Y8QTuL-z{9I&(;&|_}}uw!o}pvPVU*eT9yfR4R6 zfR?>o_Zs$U2oQUUNWA%;$DWe4RJFq1ok{kt-(sa#aYT|mJ*~kAQ1vnmu+DpHYE57Y>{ws&_fpt>P>xA0gE+K%mDP{ zFdNv(VGf`thZVq14tE1OITQg}Ih?9 z9(&U2mZ~<`Tb*R@+wGRUPS82_x&T)>_H@CEa=_lF1bggd671O1qZ%H2VPMbB0Xp_N zl+m!a)Ux+90b)-Pi3v&eR0l0p&9Jv2$=-%dmc3@sIrdrsA9U<>0V@aWy$I;BmjHI` z?FRJND`Y*=u@?k%?5$Kr!`?mj80oDdK@877!`_jWy`=<*Jw+t;z2mVb`ig(O<)Y-q*nsC9*mM+6>t?f z0B2~F;vCIvV8`AZK##o@z>dAU0UdiqfR?>t%ieeb#GWD&W0LGy>8*vm*OKi0SDTey z-smKI1%Pdiy%OLma=_k1K##pJuw!o;pvT@qV8>nqpkprpXxY2#&qjK=1c*IFBq)Yg>DcQfsgqvXF==TXj=cilDssSHKA^{5A+Tew2+(71Hn3xF7NBEqcapu~ zmc0)gdx}WB{FcX_mEL;TdoRh}wXIfqouG5PU|;I}iv!Gda>bTKvR#ttJsQtZYh= z!M`V#xaI8n4cn9DP01_WB6M zdIN@(rzmapJ4nC`CnNsL5*ieBfj))Wdt8@X7Da^nO-)HfBGHe{fJ(LWOHq& zC-V{FP8!mrj+Wm>Twj8iWcX<$YDdco97SNN3<#V89pI~j0`86l5bAo?g|oq zh73P&Su+zO2bSu1Q>pKz1-i@ zd&xW0_lAPTdraml{xAl_6iJphm=j5%bJ;Z zmV_y?NxXJw*(@SclK6=ZLqXzBVTh(G%^yJ$T0L@T*-SB5oNS&W?;zQPr6C6p!b>FV zIXzrGBgnpGrZ!ygW`d1u!l$y0;2|ZoviS*AS<$Uwo`(5K5S!^c|Kgi8{*jAVuUUd- znr&L*`*)dv_*#81wbx~iyGLI>*vcnVxIqK)yA7e_%-#b#P5B2jP&*$X6HHT^4vtcG zDou$8zc8dLsUL#K1p|ppgUA4#4ZHasnWsIeCBL1I5L3dwp{7@k9fHyh@!vmD`Npd% z&c;FYXZ;C+{Tb+!t@AiOqI&MlO7(|h@!E|qs0Ln6902V>^B>Cxi=Bz5q4>OBB^o65 z(+2W+zQ?COX`EJ9X{OV7J~(}e^b7X)QNzwmYcZgDII~{$VDA~Hi}9jZLl^iX0-avH z6^^e{LaSFNi;!2ULXg%^s{A(=0&3X6TJgKnSrKTwmC?95oy~MFTz91vFz7m+UBFei zPEx!G=yg{Tz}`Fzp!z|iSg90rU1Gjv%T2#z6#-!^q#_b4UJ?E0ex!wnMxP_Q?ARpP zCcrZs*;e2xybVa#0(xY(0z0xDfFdj2JAsczlz>kIs>=D+ibxy> zH_AKjxFiF0fM+@ent)~3NwFNzV_*faV_+qqEB73wFy+4YKTNr62oNPjBvy7=N)^W^ zDOCZU^9o(t4T?(Ndr=_BhOc|EDcQ^GQj}t$-0QQ?`|Wbzm{rQ!N{F0PDzY zDxiiBaiBnto_=?|XiHL2m_$(p_%(}S8ekH|bUw_yr+&%$8eG^yEOdqV4k4}(jInJY zZu+(A(D6^Ga-Qq>zrhio@TDa262R$}coATd_;@}9nX&aT+U{IrYA=l^kJu~y{ zZbFhx-}m>&@Avt<3)%BLb2(?`%*>fHXU@zL{OVm^^BBFCxQxf8oOl!-y~LyOLc}SM zvVIH{yyj;G@fsg-7(Y1i2mFa6lyc?>;w^&srFbuK$$%e+<-~gs>LvaqUWhma5{f!6 zap^GcJKbQTs5uNnoVesq9HEr+5YiFse}~unY=W0~Cm!$O#82SSOZ+rmh&TmO)*hhX zHP;H_^*-V-IdbBy+x*y$P|CST5MM8d?@96!@4(|docL}$dWk=a7a~r9gu>+|ULuHp z4mOIK!=T8CPx2>@P|Eo#(h&?2#J8t-iSNW?1t-20k6z;2@Iu5Xkg|Ra6nn{bvA;018fgk05@( zk2p4MIPvd&->>EfrJSXL_;=6pnrCEsiRa+a!HFm0(MvoPFGQRI3B`k6;+=x{AZj9N zjvWF{{7Qe~2&J57kdELLf_TiWUg9zyt2yx~JbH;oet>v-${p8j_so$dt14e^35k0%WOSBV@t3Z^3V;1@* zemtrZKT@!XKlHK3SXoXZfXZ@$Ah3Qaus{D-V1MZFo}6L6OpD9#d%a8e$ zm|GiuU1|eRt8*eYlxC|x?Uw#ASOs4Jq9&YAU%Ocp2ry?y% zx&n`0q;xirkWwIJ{RSxZ#u8HL2-h#01=8Q2=GD)~sz@NE4byOrGzX7fq-I(;0VxF% z(q9r%JWKmy1yb2dCQ*b$2r`QV8SNEbGPI$I|5SDv&`Ty3FGPj{iOj8plseA-a)I;( zVgzD7KgG))7U@MAg-2|65>oQf@*)kv3n8UI%K9&$*h`h%f2Tld7f5#qq+QU}1w(r9 zh!6OUe`=kP*EDUgspMo8Iks1isc1=2i$ly=DkQraa$K0-=Y$9j<-#tR|k_sM?N zHJ^~iAmRRX0_k4jCCGj8Ca?Yz*!B@fcjIw7N4gV_UZlJ5LP#l)vVH^_#ur zC_I8~R8k3#UZf#-A*2*YNEZ-N)=%FNNcRyh5%c8U;bDC6HDNq(2u(k3m!lq$lu*wqX4fk6xsQ@j^&D2mfqt2cP{H{aq#x)qOT zU_!b9k6xr3@j^%`kg|RZ6uhK00%?svx>F#niSr_D#N+iG=?Xl0k=pS>NGXtz{?Lnb zr$9PGAiZ56&DVL6mf#V+hDw@)M=w${UI-}#64D$m(z62Te&Qu!zJ8roUpv=}G!c(5 zR1i`bk6xs)cp(*l0!anv28z9uaR1a}TrF}kr2pvp1tG;%J@KRz(4nBRe9x);%E>s9 z^U8*XD{(V)URYbYQlj-}?mC3HizDKc5}9J?OyY@roa=(4xgEz%@r2zYcWe*c*K-L+ znKG;%m}T)>1!t_DzZ7~79}Rs&dz?DC)S4x&mtUl(wzLBX!-0r&w*R3Rl`}ZklX;Ky z;6L-*?uJ`oTP;olwAo{0=*+E5$G5a})(bb7AI>;rTw+{oEQdPNUJ>4wP|)5GVrjbv znrU^Ir7a}3b!hVXg+`cydyEG=!&8+P0KX|6~(=boZ!7fefzb3cWYJ)a?z5W)@=f+c=#wjO^K^Kj!{gc;Y+p{~&1NZco zk^j`ko1-=4v6*1;+#^}wL223a?)vGTPhKF^!mI;V><_Ww>aYw+r zJ?PEMzBQq(mu9X1;^HAz8yql$VzxO52{$Q`k3I!xMIrW406%b508j4C*7mzXjC&C# zb?14`M?Nz(=vd_Q$2?OHcF{@25V~QY3#Jw9(0eK`ne5cP=> z^l_(0h%xh$dzR62+U$AL0>{@bW1FtP^A2un!%c$isjcwD@BV4)$3dPGZFg_n`~s2O z^ltO)?kV6}P)Ft=*Ej7UC+Ub8)$$NKB*x0cQnIbZ?lb;vWp0ZrXkUZIy3y?U8=4Kx zWsy8zFxF73T0cS49SUh3414gO z#`e5#&=GM&>|m$no3obob(1eyaM7M_vELt`IrpF>i~)kTJuXINXR|ou$KM zx8u$NoOj2^^S0UZ`lfeV=2|N|jBWEDIruM}1vx*>{Zy2vhc(eR-+0VVMMXYyRClEH zd{Aqz()=DJ^|&|T#70+8^M2ZoKlniy(8nC?jGFTDG+bJUmzf7UV=yGZBZv}Wfyx|X z1<4G5dD4~h8g@c7t)Zu4kB5gakuog$poo5!N0VH^6>GIjhQPV`RKDjgeiEqd%KWzU zt_LWO1uKi7(DlgXlNIQNF^5KJpFz(zI1UqHY=e-5NWta?lRq>flEFSPW@t>bD!7N^ zAZlc6D+y`)c1Y$It{l!xBOA5_?e5rUtLK7|A)tbWc?P?qO>O02VYzMYDRfB=Wt!$W zghMzOC$ZhPdp_TgRKC>s|;K%3`9T?A-nm+`>H{CNlha#WfdjemTgTc_#9EZaTgK zSs4cM5R@V2_SC;oPq1WuQ+_(IY?W`Z<2; zfyN3hUV(ggujxbdJG1U<)@x`#{6WNbXZh!-^UGn${IcmoR4RXaTlvN)TuO5ZqSlPRi=u}Ya%VDjTqML8YZ@XgBjkBe_ z(4{1Htnxq&c-{35x(MfQ&rui|GP9ar%iq3XkKB0W6>B0lWlzI2iP;1iYk8lTaaM$-K&J*flaUHC@+e*SsTX0>mdbZh8I zghW32D^}!x;h=SJ*E7fp3LS_b<2-SA*UZa{+~TG1C@AuL&VoV+XFT zr5x`vKfDE_;FZ02(}9=n&5SWp(Y2JXE%aJ|qd6ovrK362F49r@B8?~mod*5vK|Io) zlz2?^J!ITIEuM2l?X|x~<9-UkZKp^+*!kouguy<-9=Y`E zi#Q&7RoeX+VYu$+BF+V8;+c+}T?>d`yg~5GI3n4H`{Hm2?Kl@A=U)X@B%Ty$IMOuj zB5qN-hy#}gyQhf9yI;ns&a-A5{Ix_zziWxS^IaVCG`z=pKaS{~xEQHFb}LTh0ZSXs zCjmqj9K=~fdO|o=2;l@Giz%F*Jdq%{C?wKwsA(!A6S?fDk6?!&_>MopxBUs8xOE%j zDi9NMBMV*zVtPV2F%#hgB8w@Uo;*uKh+-Jd_+GmJKWiHysD@5n3!NpLzug_$+X7aA zi8n|HMwQR{m*9p|i1jZ;$F01X&GI*7PBaN_T)i`9tk(< zp!yv#9vqrwN$Roadgw*>s>O56c<>T}4q9{vEkUmvb%$_jng<=S;3eqqkZ0?s6|`Hz z3o?&bBJ=)*tEe#DziRYg4m?zl`Dx_C2D%Ica|~_`-ND*H$cEfQ7)1lbQX5~4obxjNj$Opn17PpoHE`}*fB?>TJZxbt zBcdOt=vge3c)`*U?+|?~(s0E(L^qMjX{Q0vet(ees0WUcNC2YLkeFNM^0xPEi;bpm z&gj;Tpt-y?(Bd%&pVBwwL^j5Sv^u40##hj2a15_)AIs9E;qS}vPD#5ie#zqR2HjMm z+kG`1nkA|SGtv5Y*PP`Fr%1W)U`yklKF}0V3p~=jxeb&8JAdOsv7Z&g_g_qD39(_|@ zAbODnCvh|9-W{M z5Dp{&x)ccKpdR+TeDLF0?IFP@FQHB=Q2z|D3_o)ODr{Z20T7`~!qH-?h9>gx^Yb9< ztd(cz1`(3x`M4P7F*Fd>>Q)r{fji*dx4xilnpxLt^t^Gfca|~f4WsT2`q{=6>r>lY zA#<^K@_denq}O$?<5cvD^*6S;!VsG14F%b7;FBo!!ReV`LF7+grVGcQ{Fjr0iC@;p z>{{Q_HY2~?6y6r*c}w?(X9&Y7=^NcQ#>|2B_ZGC@9#YV5iZZrk8s}yVi_6V#|Bo;X zg=llzHRicjTRf+X2m3MV-m&Q3v1FcJf0JjL2l;}GZBa(gbfZUVo7{G_=Niu>luA#f z>ZVSr$Ai>1109kE0y^Xdycb}A8QUhIH0?ji3!WAakA}wG$`a(F`IX3bc z9>@=bK^xH`Zz8CNoAIEhU+IZC{{huvNNJvj+wC;r7G0mY?QTt!QFjVyG|?o|BA>yu z@ywf+pi=;^T7v#;%^=0 z&ky1fq*v)=JdUg5iceg)(-BTLjZMWxU)MxF8i^5t9;hY$(Nc@J8nx-`$RDCZm~X`o zP&7~8Kqpe&S^ShObr-b7Cs(5_&U}WpHKQ98;NGwDu%P{+Jn{rZ?m;9W%jo7eyD^mv zIscm?A4epbDN0(O!?b<;iYYlh<5J#31?}cd=wVx8X=ICGsP=$0ly?m-m1#Ysf$IDq z=A19lkPt1MypT0i6b+YCLO@Y(kk($CUwIJMhRuhRVNxRK<&%9VdTjSKJc7iJPkfL< zTKQ^QcsmQ;!bQ#&E^>Imz%rbb#Wf4iblWBwGhd0^@)OWTikl8}$?BaJci8NBAVH-@+2LI$O4%1gaUZZbTK=WxnRVdjDp=@>zMMy7F0ZfE|8a$5d@k0989>KU8h{*#j|0{(u!N zJC=$zQq_*5I8SI8i{pChh3S{;tsOwgHRHd&hy$>mb6;2^=Nu+gd&n|e)uwnL{B1N5 z;|L!g1!031;U>y}nln|q1=)tH+EoY{u4^3tJ*J7zP8Y(0Y+1`+2$|$Jr2cE7pOp9EBXV| zaZ1GtQ*tbX6+6YGI|%lTyT?Q%yRWA$^g2Y2)+SK3L!X9a{$k|4nu~N55sasy zgVTWtGfM`<%Q`9Y(JTg>8C^=Og36&t_SIJ~Ey}uj$4ttA&Up zC4}}5nBo$Jo5*r##Ae{Ou7`mRGw7`lD>Q?C2UNPQCoaJx!)H%71%MQ5VSPv13?x{@Qx6?(d=#v!g2lfx-cV*h8m%MlJSd(7fPg$ z8QW50A<|=1S^iNJkp2fKqe6*Pq1H=5&GXc0;8lvfhst$u&yvN97k_i!a}28i-OEt4 zJg@8i>iI(VIk0NE4n(uJ7M#2uC4p%qoKMqKJSvk=2}YR{Dv8P=P~jDWOgHN;p<*l=jn%9|3`>WxkR)mX|x^=!0be6Z5+Ctp4l@o(AF=&J$ATilg*JZ`_2kF zd9s%hbl(C->CK)#mT5g^OiIS4MoQKmJ^duiX}zSZZ(^YvN72#2(mHZ^w&-Ed zJ+I)(Af}~thweea$ljR`=x6WTTZ3774-87u3$#mrej{f7GF+tQXSM8-aTgumPl4J0 znmt=#%6c)Fuw`aXk31gUto!ev?m4it%%27!EhB=PhK`XXD{7A_q`&nXq(h$6M%MzMCS?Q>pl%xMnS5 z*9)6zFX<>rJQ^vukuZBZo|-Ql4PAv~6zs=_*6b+}ls6iDnB^;L-^#Z~jQ6mevraM`W53K!`(c`jOf5K5nMq7xnyOMC1pgphX>^lwD=C zxnM!w$RD!%c{KTLJ7QyqTpKpF0Snr8#cCORir;GU&|xYKE9qG(X(yEw#jT~{y4CHy zA*<&rtLL=mFZlJU{L22W-~JJt@*L$CfaL-&FDIdjx?e&TY!N!FwomU*=KOP1>p(&4Vwc|qOhwr=(9UU~Yl2Og8(wg6igT|cEx>iHD= z@+qiP*Cwohk~&80TG92KTQ44}qK_eR7FjT8l&c%;`R(x)FUU}YZdpbE;TVtJ?RK^q zk3IJS-9L>aLtu9w)L1=lbxnI3lyUNFX41QnvvQD+4qxI16r&RT9+UwvqPyv1UrEN? zYiFg~x^;2B=bvSCPbrkk6MJNc=1YqE+7~6cT8f-yMtQL}j`^q!>-S4a^XE+Jy$UA- z%F>lUT!0)r#Hu#xR}e|M03VX-<<2^XT-DGdJL(-x)oW$PYFlly&FyTcH`!`yo%Pjn z@e)gEfw82b%p}ms6+44-X@VvSWu}U{hSd(OP47(6l`SqTE0CKU?&hX?xw66T$WoCM zT8pfO6=u_ADP*UM(UfmyAi>q-sB*cRvWXTUO-|I^EH_kLUOZP8qN|e94Jl(KNN_nS zktjo-)aXb`GoPADaT9E=Z*sT{i@YgFy5sYpH-J6#EFAtW zZ5aOEv#`?E(C!69WEEfj44m9Zfa<5lx-_r4Ykc~humbV zU&Y$NQtPM$_grp_rAis?GXiCqDZX)(VALiS1#mg-4#cWOYjW7^P40T?FNKb}hNiVL zI$uL28>VuV%~|WPtNlWoY(woOsl=Qs+ih-}yvA8uE3ZV!fJ3foYN(T|kX~-6wWF$* zrY2OQq0v!KBc1Y4q=5!rik=PWdRKE}wp@>TG`TD2IoAPc;gG3>HoM(uM{lZTZ#;nA zv&QYHYiww;fx&iX6B>|M?QW3QG&HTERNlaZmCpKvy4A9rU}|VwOHq_;l-ve`fu*f% zlsUzsMWx2Pq7tLEw8(5ND;LFx&Y_nI)8cX~#ak(UDLpNvCrV@~EiEq1g5W_zRymp+ z^_6G?cT;mEWUYgUS2ol&QV+B{(N+`-?z)@MByJbwFZI63b@K~++sA&CY% zWl3gvoXL>?6k6|cxaE2(mRwI{_;bZdTji=!*{G!q=u8DiTyxd^jLGVlCs6v4>TAg^s`mP;+hf`aAXfU|xT@xhHR#$u`up$&nu3bio0dvWcpB>6G?1wM2)PUW z+u;JcArIsRP;KI@G?Y+Hy{Vh)SJgMHfq-A@a${&1Xac2c8emZblPCds&QVR>-@n~dU3GrK=XziweE1(cLpi^?mIx}vPySnhi(wUqf@FtdYJ zaU;n~7Zp-y5|}HUblkjm1ga*ji&&}^J)Nb^1E3T-Wg&iX7Fa8Yx87M}U5vz}h% z@`l<)S#l`@CHdC!e6&SLaUtxr_;3jpFGI>Xs%^FMY8wQ}N(eaW-Bg-FV+q=($!a-Ai9bdu^vS4 zoeRyD0?0EEr&smU(UeneDJ)4WD_&I2N|P^X6XGmP^Nc6;%3D!wbG@t5hIvhw6_+rU z&Jjl?&xVQ+#{@yTK2FwRUM(}}Cak6q*DlAlYjSs9o*J**aGCYiL9+KHiv zqaHV+448mj7?Kl2!7!MI^TFQGOwwS2h|r_hD9H9}&9X8f#$EEa*bHZoD`|Q%j9M+~ z4>a)nG1N8Jx}EVW8|-W4l=vpQ3>6%6>sWm>j*r=4obaGcZ0R4dmUfNg~(k6-_ zeJl*=6T!fw$}kKxA;-Ha(I+r(L9o@bxnV3upfyQS4Z}bSOP5|w3CKjYZr~VFN5e1y zE)oikVK^T|8T@#l(*^gOjD`x-XaV`PIApRH?MS@~=2(4!so=%;Ml55q}?AhikWeN>O;tST&!Xz5TTC+Sn=k*gV3Rvu{q zn2$+{4^Mx2S}NyQ^FZKPfLiJQ<^kSYY4RQ}|Nd_tFfhH~|KYUdROOU4S)z_>vK}*RplN6v9g&@eOZ!}J@u_$HD*WTm=jHfBnMO2$B<*Z zoxf8Ue#8Lp<@x-XzO@w3j0gE-*2??*z>=i0GK%>&LwIA(l4SnQtl(91bC#s=cS?h^ zlpU5_u9=tEnrw9r%o%I}LW|n6GByRsjSX%rJz$l|lBqEGD$l2 zmhlCvL^jzqwHb*vtkaWW#=)8!)-r3krN9Jpl}xLhid?oJHo$BHtA!1Je5udO6f~(d z);O_}s;-4?l&#y@tg{G;A*&ql=koQI6YF9usl3T$m#q#qjQVOAd{eNrhlxNn7{FwQ zeCj&UMFt3%M_|opU`CK;G*V-O3sw+&1I#OKxy}Z?+2A#KIli4;bSJHUOUtumJi&6D zZAE~InWzO`GOp1qH;QcrCSu}?iI(-4=b7%8RaWDy!ZN*@s!zeLEGswm$<>YqGzZp` z!|Zm>dIPV3N{I1@8|#1^A4>~b%Z^$wGaPusY=>TS25vu##{^G(66r2W!SO6&)9iCJ6frdZJ(%YYH;Fc+EHQ%zVR|304&c%qr-W$%$k|N`Sss z(&UIIj)0lyd8=I1pH=fw3P-O`p>7CslTMD0mvyRv)unZ&>utI+i^UAXlDWc!&Sf%U zgsVTqARAx1UA7)FDr8IAOh1p_4 zRf`vw7Zw*J1K_39vIzgdAw07&X<1edmMyX}dlc#ug#*SYWS#}KtuE~E<5|$)djjAe zY!{Y_!eXk0TwNc3H@rgs#m*p9w>~siD?TXeu-onk<&W#RaVBFi@Gw zjQG!_4@~T^K=T0#D%c%c4cQDlWHUj5Sura}&nvf-l@~5H8R^fwyof+qVR13|%LB4~ zA=$-6mWl#PdAX%D*J!%E)L4W*2d*tHvs9E>Ocf=i7Bf{HJkBdBE-kEJR9Jmv>~D}k zQEny?39(>z+Nx+SsY$L}zKNJ1c&L(#=mY1|di|1m_J4+)&DjI;6nv_?c zL>nkNxz=`9?LAs>mT!Uz%OkC~5|V4=I(@1(6Y2CRHFwqN_0A-HQq4U&-O5V4gYu+m z)m&7bl$ttyJ;Kr{LmFkM_hqS?TNPhDw>n-+I9dpkU*Y=#O?1tNobGFpNsU8&V&=O*PQ zn@n0k0%FvHuFTB_(%AcvUjDHuoT+Wpf}#gu-Y)CqWQ9CjQ$u@>YFooD=r@Y^2=Mk}#&tO!n|ypj!xsTgV{0-2Q!8!5mZ<6} zc@XGn3#*}?@rkrb?0>4Q=pDEPxB02GTFl=htQ?IlXDx&@-{(b9qVZQ;@8H%tZ&zT> z2B~0#PTiGpR@d1`)x==n4Zy20D&5H`W6U912T-4*CV&& zGISXDu664YTovmo?oB2{F!VGM#P%w7|GkJ)eMP+-Z(i<_%MhnQ5b;W;@vI~pNwUnd zCCtNctBDt8DQT(LBK5IM6_~?Y8vY4Aj~V|*dQ z7&hfwbb3CrNz2lwX%icn;Lwd|hs$kF+EhxYPj$dwAXVqvh2uMRJ|Q67OcJp-Sr)Ha zg2fgW?Hmf7DOsnpCsx*F$+lJawykp4ut#^6HpQ1>brSX$<<-gTI|bjU3D+0Yks)mE z>K2-hnIqKyrUo}OVXxE9nCZM`?@=%^HjbcAyZZ5b1rZ?Y@N$ooi(`@-K~EKCP#MWa z&P?Qd`%vfC1T?u1r#IZb%5x0QFg!i;r%+c$P|k#R$C!L8GYxmn@JootI%+OI-yQf9 z9N^rPI^OxbLJs@(b2&3wJ6`+6rqY~_zaBmGldnEsh}BI6xg=CT+*Tp)%|a`s)rBfk z(4A;;!BF|f)9RTSY%57G6b=)F3=R?Ms;QygKSxnARaUVQ1TxBs#3-E7jg$=7BPd6pES5i5$YB+8O4 zP9P*-GOV;Qa~auU-`&t4yU20Mz;qv|=j3lA87E;~kG~LlSA9Onq%NF6h$DG?;YtcM z+W@tb+rt{#8@1E6H`GKV!iP8VN=G#oi{Pz6HreWFRS&j9jcsUx_AnIk1_$tjJnU>Mpqq5TbHasm@jpHxcH*LY(x^>(@Sv ztoZ5_{wK>jvbH3C@aS3#j&;)~tb+lp<0PpA?qL5g^7mN0(|kTeAWqcSTgm=u4XvPuyq4@U%?f@jU^qtMeNuFbgrg7e03QmqtmV? zK?oNcXC*?}GgKuvHkFVKnBa(LCv}h%C<@~^YHbLwuXdvB6iA7nud|xYkxk4oh_6La zT1OV@V@;K1sxMS%7rkOy9mNe$j+0S2I2BPEnI(!tdC?#2E}8j9#*rfpX$Gny>IXT; zyl#~0gKWVNV{1wBJk`oB9Vu{Yoa9==`j*R9<#4ZsNrjmbC|+p3kQ?9w^+Ku!%L)fD zb)}6)P}@L~pUPp+@>3l=tf<3wzs&j#&#@gW>Nst z1MomJc-=|C=p4v!(#rh&F_X1b7_(USGMyG$((uAF8xYk1U7D71qVqahU`0}2Xh9^* z0&Hc@TQtu%5QZ&_$qxtHku=0egOR98>1^#sexV6iW{vr#gF7diTbOSr805!gvQ@z% zJq|9N+QC&Q+glRs%=uOe;gZ5!kQ1Q$^Cwg(6Dq5kScNPTEWp(^HAICh1_lVX4XZ7N zFV&EqkiqcbX$*XGST>l+RWv>*+wGMJc81Xx=zZd`$jI3(t~yfjKZ>(<>m28f%iBPG|P2Rjab04~yjuR>SD8*!Nu` ztQvgkrg^>^=KXQ}end}}-*_k!c#5kHYyjO`PI zG6+|!@%b>ePf#4W;e(ffYT;d&T*gn1!5om2BUdXvL~)k;h*y{PwBTc$h_jfj`50UN ze9+pRH4Z!Z*KjK^SJ9bmePm}qmp~aVlTpARoRs-47gJDij>H9Y4#0Ki{TDW1xfOjC*6!|_WpKf{w$Z14#PVTnHY{HaA@d7^1aYjkuSsSoQrUM31tdA(Xibw=C4L#h zSXyK)%7Y=Y&emeU=_Dwj;4<5+g|V>`=MWtBTcL09QwOVHZ-X~EUuV*WE?Yda{Tt+} zG7$QuO%2f6{5PO%jW~H>XA7d_B&J5|s*$i#rtcOkt!(y{{JVv;pj0i>gOX|H)Kxkg zov!;U?{|m^T7dMwn%I*H?-1{`0)9upUkdn=fV|Bs8Y}7@Yv4KGM5c9G)fj&MonJnk z`+zv8aDvvD?EDual9QUlpma{GJ=OK-u>(AONDUY7Cj^Y@;PFv8@qhg3XTLr2kyy_T zEmZd-d3;QcfMVSnl*8j+6?iWRe3wN2uNQLv2~7^~4>JV3Cg<$y-;{m$Yn^~|a~}TS z==K+{&f)M!IXREN_gP8w6J;EBl@Z;Y|D5~u=e1yG51zU9 zDm^WgYH;>MJoDfdKQjT$M@iTdgmndLOP9M5mQfwyO(MKr#K1_1u~1PFV-4g*jOWV` zGs0ecv@e{dx04%%KG~iLOM^YbkVN~fHkgpuGli;i2zgep^hqo|Gfku*K@vlejOFZz z^8Nc2AEn-Oz$m@OK8H?!(DS^Sdd|7a>HV+x!5c-;0iXQoB&XMKqm0}Vk2wdnOxVXN z9Xt%>NX33HTi(IwMeb+VMXzeE=f@mJO`oZzZ;5BC>WO4S`}^p4PeqPPCnZItL%XQ3 zFt9D%5yc1I6!ceK{wkalWS(Oq6S01slHpCKR?l~+S(ud=9@b@GHu0t>qc^vcapMzD zg&yNgL8l8_1V=%P(MwHL>8UPNRoWIE%ooh=jB|G4WS)IQzLa@tzLhwx=-XjnE)Omj z9k}xqllbc86X^xa2w2(BTuXS#6$SZ3RCfQy-v#aNQr?j;{$aCTCMm@gQEQ4COR0=kvjAApF^ zfxXxPg`jC+0VxSd=&F>)z|Mrj;otjlX6WIs0J6jbHQJex0A31FMP@6uE$bZ{Kne$X zFMX;=sy+?93Hn--&7Tlc+A15^=`R{OWJ@A{mwI^eJ1boV;9z|4&+b={31mlmB%^Zl zaq>#xAS=}P;p}G48>uzb#Ce^U^JL&i=Bx)6w5qcnEtPGCSU`jMoz$iFbo;&A?T5U zx-+#)LK=_JCn22rJj_Wr`XJ6cQ{P1xX!GPWF3|4@mF)Bl3z7xiVxeUG=xQSC2S z4u%fPhE06*gBpWDUae)WOEomM>`657pgVFjqt*)(B*cQiE^?r7=xzLk8jzO{MXO(J z2eZVmr84`mM6C=j_w2Au zW+0A|NFzprp%i2wW+h|OCfl!KtX)tieF_+oS;O}HC@s2fGDex5`E96~lhRpL)Zxg+ z(-@3QGt6W91aJ_;Yq43vWLFZntDa%TLEKvG%(+FY65mi+mV6?q8J`7yTWz(#k9w>4 zli;u`C+k$+h%U7niy-j)TKv%&NGt={CkEgCoQ#jBy>!+ISFc1|9{$wySkL18dX;p~ z{;t{AYr5GwW5fN2at5CK{LR9ZC1QPJ%~AJR+dp}3=Ql@oFTlNO_?+SKIp50xO7?lO zk&inS{!zTYA>dg7-xqL5KsEoYC;kLgxRI7P?33_z(1LrVo_Xr~@BgvoXiD!ts0!>u zx^o!*NSE||8guybZc+Y50TXk`uNj_%-iS94`%s<1uR3h(MY?s@FCl)jTMV zqfr9}zTe3F_PA$RI#QCkmd)wh-{>ZtmfX7F?+(3>9NxKK3JVeUj!-7pHiu`ri5rGS z1_F8V@G$1)qSl;HCr37yEa@dyxb) z{Mohh$OozvdyBr0ojsP*CI@@Uqm4NcZFW_%CnH^F!ozYY9kCl_W=@ruAmAOQIsxJU zwXyVeS?1ovGVfVhTN=#;u`%f~+-{_uSypOsV{UHW3e1~RVAu;CO39l1hN(iIx&zBI(dJhHMvOxQ9K z`S51r5PbxFhcyf&xXEbBPsuA-ZZz>LCM1|$>F{f@EEl26qBuklN>CB-(?Q!1^cU5R zME*?l$rbi|raEHN%WtP##z_KVvbb^vDl&YbnF}oqE^Oq|Ai$i$sWNQpS9A{d`-$ji zaeFY9Z;+< z$Ezl$Gaz=yYA0JUXO*hu;jhs5;ooS%9G*?gQr$xQZv9}61_`=F&@f$Cydk-sj+^+Q zgi|kfLgGF_el`kZLa%~viuZyMnRHXN)a1MDy=26RqtiV7aO?uP%GoNX+x2Y&9HvD3 z&BjMAhJitbXGCHm%vr)Vz|NhOS(g~i7D_W42xxv#+h4`U+=KoH_j&RBoeRmupWUp6 zGSLSvSm@hmIcyl}(mVSMG*7m+7$G{~|SZJ%#nS3bY;m1V|i}HO9D}8=b(oV1bPt-`q1~nR15J_mY z%Ykm#pz=wyQ4T_8$+u8b(y1tz9!0$&KY3^a&i0LnP+7x|Ov14aM=R>s@LOapFS`R{ zkF5esmAHb7^;8p%hS7_!pK~z^k)KAlwvC>@qS9rf+R|rv4g>faIeuxM8cpTxXuN$= zG4GIN1D65OC2R1_u_a}YT4~EkScY?R216Xr z1Q|Iz6J1?M1j-!lBDxrelcYVuQ3p!egYv6Vj&!33fB7c@h=YsXf3kO zmbHvN%E2(|z%X13xvbDuiGxLShRC@Rqt8%htK_Riobk<~#^A-qv;fp5+N)O2aSd}i zAXiGSN4S`AaGC@oh^%Qi@QFI(m_QmZCT4WzJ}YzaC~IgEq^>SX#|o)i$sA8 zMd3G~@tZy3`JGa9yCP2Z@zn5dABIUC9q3aS!F0u4GkG)PzybP`kRD!0Dh-*y*k=qp z+-cm4Mw0$?Qu=%Flq+*~9F})CX?;+7Y<+)L&-9$lU%va+L$22kG*(Q0{n|TT^TM#F zX5MMP^_c@hroK(jx)SN@>d|d97?ZtlGROTrvfp3^ZI5ngQ+-h3ZksUe%I_#d1L9oCe!QL zu}zu%zU8oXjuL><3 z3Soyg;krYjw!Q-TCuS{h!tc}R$l-7ERoKDb7l`*P0dcMuI;wC#*5ar$?!n@CyD#_TpUnf zp+MYLz~XQc7HR2teUSl$ub?6r$c9qaP@8PP0CF3!2PRD3j3+oZiSsO22Iz=Svazhp znpZ^Zx7Mpw#|Rzeqv{LAJ|2ydN|x1hWmw=agRAdsCqH2;a(UC?#GCJ^Efdbe@T%#C z>lc^jP}7;jF=Z(F-ZRYpgw=HA-Xq?=9YTNVYC7|Xd;I)16j|~tIQ_%V^73HTtLWYs z$gOW-0%hm%Y5Bp_L2r>%I=PTg_ah`x6r*z4p)7gxR{CGt$T}|et&>IXc2ye5N>R0U_(Tv zASj{^TOAUuVNSO`mD=Af1zJd(Vy~jWTZxpdsajs`q9??WTp|3pu#$Q)nJ6)CY;w`! zf&$1&wro_3;g=4@a5Y!rl1}*cva%2!Z#p{tiu*75rR`ItHFKpV$u^hQ<=_3L{Z~Rv zKO+={Kf1es_M~O}(XxQ9I?ll#?KzX1@CN+-0)KzTpA-a{h`%42M8#Fz%RAY(vTov0f9k5pbZ52K!D7t*MPuRDghJ)HH4{#os%V<1Up>( zgTM#XXM#c3Yi>O}MUv*BzT1j>l}{Fg z6_DA@y-EpS%(`A>CtxRF7hu!_h>wt@cL8GnpKR?_Y5?!q)T?X-%<`bzfbTzwaz~=v zKS6l_2Y=P8oB#~|Ey{t8@acb{ynqS#-K5=sW%%JcX*!w{KY3UJxNjfm0$#+A79IoC z<5yIA0B!hrWcf;*-NFx}Hv%p=)~oCRd;>qJ9)`9ry`oQ92DtdfK4mlD2Dwi;3-|-T z9>AVI^(i^%gkk&ol&ydtcJwKofSV8XDT!A}(j%|*DH{NvJkqD^20U~W`2lAg>r)%lhz%M)dlqUeUb@eHi0M7wN z!3ks8`94Jp_*HkGk`FldLZ7k%um^B6;B%jVKA`+5$_JS78PcO)KM9xv===id0XJMk zc>yQ)gAU-b0i*}q4R{IggF%${I?w^k0E`;yQ%V30fHi<^fSUoYP>>(+6yRCF@SuK0 zx*j%;;C@95xDPM~Fejv6*$VhR;90;MLy>MKY+{r9l@h=yVf{)Y;Q6Wj%67nASM)1g zfX_tsD;YOPQq|S{%4WdxGm#(go!P*DBNX;I{Ynd9M|{6>46rA=Ux}F|Npa?Wr3P?I zLBFyGuyAR=5+=j$dvCw846t!czw!iN&$@o)65#Un{R)2jQu@_HzzaBK6Uq(v!goLy z@P@X2#p1wO!c0lNUF{|x+y1wOzSz@31JfCm8c0S!L~Ucgg3!AHP`C;OF7z_dL` zcZ(!_{ZhZO0dV$fzze87(XYtgf=oM&{D96*@D*^^KS2*r6Fi_~;C_Qt&498QFyqDn zzmp;{}(FrY|t zB&atyGe6?pZM^vzb_ z1w8db;0657e&CIlqzkVAFJRi61IkXo!qWpv58&N@A5d}(DA&0GWh>zA9}g&H0yX z3$SI@ppubnJPWuT@Z7fsl@7oUwMY+GZx~dfFn1IuA|K$!ltE<$ zU?1RSz@4ds$}vDGZBXe1e0koWqD{ekbL*gz16Y!c@&E?s4=P&$cUzGT@QxCc8}K2( zs8rPZ4x|TsA8;99bm^ed2$%)98E`q^PQa2flnd}DOHiIP$n~YDC*U8Jq5Oa=>k$uV zXhD2B#_j#c5BR`;pk9FYtRGZ*0H6E8pb|3=^6Xcj3z)bIbHZ+S->67qJ9~eyI%m`0Dtuo>JQj;2>3GbJAFri2XN}!gUTMjn12o`VOi)OfLg$m zf1!SWKko*9z~{aK9{@Lp4JrAzVth{@Qnmv=bKQ{A0eE%RkkSSCyX+w)Y(9QIaN&@W z2>8pKA!QlhM&ppu0@#^5r0fJ-XC6|H0cMmBDKW4F$jgS56@XF8hm_rb3+^3K&H~P_ z7*eDK&?{C9DOy1LeM3qKVALw$1H21xE8r=>Cjk2ZI{;&Akst8R`XS{K;7^)|6nP=~ z`#O{#u=If;r3Ub$9Ye|^Ot+02<##JmB;1fxZd-?e9ZM2H?qm01v?rkRI^S z&p-z-@(b|8jQU(c`2e5lNBIDE3=AnJ02f}PD6$3kQx&BVuq97XIsi8To(23qU=QFY zfMJW!5A%^8aC0%z1D3my9?-rH=>RtXM&*G&fQf)NJfJAc08avL2mB0hH{h%56{QRC zhYu=>HeZsS-JmEffTsYr1AYOx2Qcj+lmqa>!$@aEKlmZi0iFQd4tW2MkPdJM;90=a zKUS2O+aziIc16hnH2Te&9MaW5H~)~*i4Utk!c(B5*8>LNr#YfTvv?eKvUV##Iq$fqSGiLq0!Vc1 z2>$`X-wBxj9q{>J$k=?QKKU&0-LSG(DaA9@yEPch3BH}xm*|~Fd@emB2P))oa3ZIYgum;EnZzyl?a)iH#@Yh2T5vU$p zZ_xZPbnJS>0tN9m6KlL@sXbYH=S7^DrukhEYjIAT_;(!XURm9%{0;REOgBt(P%}1N z!Z#D;FTKB4`RzpNvGWQ|+c@K3AD9%rH^d}j2|1Ne>d*4zo;>u3$uROgOXG-L*k@wW~5 zzUb{$G}H0o&*z>L%{Nh(^O@{$uT1Sz=1!O*p!Y?M30`;-}YCjF}j9fj(t zNBH9izvstz8K3`o5gU$%XpBD|vz~-+9q>Kzt3D+raQ`qzYz@*V0p#^@;A{MCpYm>C zd>D_3ngiqXH!9yu$m#z7>QjC_5x#Z=X*}cbmGIR9U&+&b%FsmQ#fB?2AB;1;^}x3e z_=cY8Q*I`FzJ3DzPjg++@NUA!`30o^e0QI69i?9uQPLi~Me|5VYp5oO4RHi=c@P~A z+rl6El)EWl7~bGA6)ovu^O5eFKlX8buLx^_;8qRPFpMrS{t^;QVEDEHUm|R|wu$t+o#7fwfPQxl_{^{NDLf`p_e^{%xJa$~4H- zXQ)LZmc49UWCxzGzxFBLCc5H7d|8KZ8^Sw?FVxdsRJp?Wwgd4&Z}%xz6Mb6$@hO{O zApYz_{3XPHhuT%}XKTFXfysUhN=4K;q+9xapK^z&hkw19Vqy&Pt2sl@$~`j*^7I4j z4NwqPfEfL(T~ZOg8{x@>Pte^EqR|HV(WQ318|n6bID8(Va5uuAL-_T0rg6Chz4>GXeA{JMjHZ)2}>2V{H6>3F$vob6?nKJrjBHq53`xe4W$!m3srv-+2+8 zp_<%rCUC+Rauw_w5&cR6YN@EzCpD+i%32dr=B&6;0N9lyTjtD*nQ>sM}>h<>p(S~F!F{es$K z8}MDZpkEn^nLznARA@ek8NYnzfUjdozp@VYk^uE(cHYWy>^LOPQ(*|~xvO7slH3*_ z3NJvo@t%I=HtLt+L*aIWyH@loRes?luh${`U?ui!1Irc7j^NP^bcE+H@aU@g6?@?E zpefOej)%$_4t`sl{mN22s~^H2i|~yIzk34Z%paqigufPewy)|}UQm$(Qus!MXV&&B zPmc)Sf$%pF{vE&YR6IY6@C9}K%1xp?m)WT_R|k(YP*FWDAfL9OU-|9?>alu^dJvw} zYq7`v?S3V90(cDL;8_Pec2~c$d;;xe8Kd1;`vXt&>VD-96TtJ+G4QbVzfO|=z82%y zkKYs?i|}rQGyPn|QTTj>Pq`mH0wdCwBixB_bW87t($^xq2H~^3pxKYw1B(ES z=64a}%b{A}8~i@xZeTeCwLDn!>v7}|)psB8)o<@t=7vw8d>zv@k>TT)FC0pkbyvSK zc_Q=H)+w6qamp8qBHc|&-S7I9><~b)PS_c$NeS^=Cr~{$BHdL__bVY2sYhq9rYnG5 z{50^r`a-{QYhXT`BQ^wSZW^Z^RKD07(C7B|D-~3qK>7>HXPP-apWVQB=$(EgX(D=m zqb6n?b&}~rhk8D59|dTlRIo@)fn)i1DcTBxKltr15A* z`WyQDl}G*NH{#pf2>-zle1Rs?t{rnU`T+g&Dd20HI-ocM%Q=k4P)%U~y>9^cc3m-` zyf=|{KtDM&PD4sly3*{J%8nZ^5sOvBjm-|0D9yF;JfqM z0XRqSb{%KEnHSM9S@U54`8Z#O{J#mlR1+!RiBQc`L*rI_h_Ab3 z*mn%@6%+k&+;Z;@)$9wf9{CdZx{?Q!brZpdc3LqGJ`xG$*%&kP29#gonaeq{COyui z9F^aW_#Ywu&zPSAj?bQHnrFrtpM>vO;F}JgH|Ip;+s+_O$vE=O416IsNz$C9177>c zIQ%t7GzR~EH0i18@cUOU4k-Hq_dm97{8fPdR||Y^ z92ig@n@D|k25XwfDIcmT?E}6j_^75)`BXb>m}c%s{puXj-S8@WZ($b;*zb4m4c5%O za?FlTbW^_tpVoIpy9v5zo)1F(^g1)r-Hmj|I|r1X1)dKz-yLUNvI}@_g0C&HP5sb( zu@B*q2!ERbVMh)=&HcnqBm4xy{}`ChkY}3z9EZ(pT*k)17Y{zZONh_o%MI2Kvd8HM zG+(%ZFXPhz0Dlfl+KNGkwXJYvVZ-97a`q8!T$JmAl=NML1i`a zaJtODEx5_ApUp?qVWhhc>3&1$&_1K@(**yB`K^rDprL*gqCkU?cih>D%_e;vYG!v>X2#IKbRCBw|wD}DP6yO6GP%AoQ` zlDiJU{MzmF#Lh_A78p?}^u$nAOo@n|A7quNW2 z*nNkX!k-)X?wmcS*aEkcrZ8x1d)+?ZaoseiJWq(lhst{z;nQ(;;196#2Bf`?(_PzwZiXz>fxBq0eT zA|Mhr0a;{I!4(7q6%+*qP%s1t%diE)sv@}WLKGDh6&Dnr8!Cu9IF2KZI_jw7uDI`j zqN9#N{^#pDr|(TBg73|n`T6`OGji&j`fhbqb#-<3S4-pa>xZ=gRqs!}TBC>_#Nb?k3o6n6ka{ zS+g5nx0A-jVrx?KHtafh26hv%wjyX~;Pz+w2hdM|J|$4xKc@c#eKqvWY5D+!3p!%n z-Sq91`{jV_yuD+5WoWF?E}rAZA-yT5Y_D7p{{`u-xFQlO`S}~DR?%JY9o zdYDHu?dOvV`px)0n0I^T_R7B2&+V$b8=A!0?&Lkz@;3zOZ9IQ_W$Rr(_h5W4JC?Nz zKfp~$@4Ab&SN@3c-CgEy#o*XG`8&U!zd?FOUWay){R`4tbXaW5PW)}b`)?=AZ@6uH z<$NS(_vtgD(YdbmI{)rC#Frrc28&1ireB}YtE%1?tp1k5{zcf^eA!)=7w`K!d%v~x zK0ta~R&TG|ted7f0ciS)WZyS*~D z>;4t(rETovo%m&}zjz7heev@4$`+Ph_`J3f&sX!4>Caa`!S3U?w^vTE8)s-Y26kRk z+1dGR*~Rnr+OfTIY5Mb8Y;o|sYRBt?_^Tp2D(i;*D4u7>BboQZ$G)Jyn*zHIvSoi5VaI`hsAePzL#zneJe1sVT##K||0{aLuF3uR>#Glv7=rY6Z?L2CBVPYC zKaU2N{$Jc{&p%HbvV1K^I`?CZ*%pL%nXgS5c*OeIx3h zeLU&|=q)gV)^Wb_~ZA%nzsaq%7b#p zauQ*|&2A*@X70D6vUzp8Et#R69q&xom0|7RB?!B6N0+Gow03Y4;@?C3Wp^B3LUTgU#qi~D;Y3=D3-+Qe&rN&mX2L+tb#pO29-{Na>% zOOak(tXW*b{y+VGffi8^Ta@un^VO_e&)|0xPufxWmOK*?;;pbSi z~N&f75eg^5Ss@PGv$oeDxS$%#0J@3*Tm8b2xUBsql)cn5H9_h62f$`C0 zJ1S>e`qiFeVpH9WYfU7y{EUSC#aHgAEVT0R&#w1b&@Z@}{iZd6wE5RT`$zl?tj+a! z|ESvjF~Mi6o8EvXq{F%QfBde;bvr5_$;Lr;)laNw5xagT{$tC>9tU8l^=+s(o}cu5 z*n+@W|D25=A4BlFEqCmw{Npcqo?fzdY}GEFr*A@fW7q7ceC3z4lhsL^M*FijLOUUw2e4#Q5wk z)7ub@jcxMt`L^_KM|%CSR@(Lu*+qJN>h_Oax0A=t;6C~j(pw#=thC#J{;Ylq4#Irw z8ua$iuY!Jp8JoWq6L-zN5A?U77fV0h)#pg)ZK9Qx-R=IjtNL7BH@3%421tVPBfUJo zveNwgyG(EEX_46KmOope?UBS!NbkZ9C_moE-F-ZG|Hs(z@v%rUcrWRt`|utxX@4;K z@O>*QE$r^oD5!<;XW9V7Pei;m9~VD7jJGULLHroRpJDN1>h?@`3q(gdQ)J0o3cE*O zx5n&HZ#DP-(VKqGpZOAg-+8~vD)XqjA*nB*?+^WTrd#!TZWCQsC+)WC+*O|Cr{y8o zV*|f?hQIrn{5)J!e(b!B!|zM?udHm!esiby4fOM|o%nmKp4T9~Zrzcd!xC{ z<@IWOKnl)CP=2J>eE{|ju>1$*w;A;AFDO6aJ0U*x937ntCvo`uZxH_=;+u!RXX67u z2>%uIM1B!3f7(CIuQR7w>qt$@K%M^!zh5(u$3LO&hPWJ7(Tl@;+VyY>?Djjl(v3Th zs@wD8=tZ#$<7a1_kr`bjL$kXHb}zy1IfT8cc6ZCp%KZ%NPR3pjP0g-`cJT$`PufX* zb}#Iiw3GPuh@ZEU_yLHYyOa1Sh@Z2Q_zMv~dnfU?BYxJ;#9Kf74CBXI`T1x12hi8! zcR20gpVik-&_98W+W9(G9u>{X7$owdJJ6 zb$gzXaNSun)#q7s?O$yT)Yd?44b;{^Z4K1cKy3}w*1-R)2KfD)O8vSzmmXoDI^M~L zIO(5O;}P>U;V;{Px5-`t@F(BE@CkJ_la#?t*Y3xq#X5wl_|L> zcr@`u;xyu^#EXg767M8FO8g7)ZQ^F)4r1Niczj|<;vvMNi6;`L5lo(x=i5-cD5RWFFNSsDIm3T4nTH>9=M~QzSzD?Xr+(E3nJC9H7NIZmi zH1R~@G~%hmi;33~?<77-{0s4I;%4FwV%>&3KCvUw+r?km^yyR5_rG`FFu+$2XHK7M z`c!os7=af618IIb5qoF6b^NdM#TZO?uKj_x)1=zar+_8N?@ zz6{3KH3p0rjBVTd;6pM4@m+`C4I9y`AHGzE&(8Ee?x;b-(~BH@MR;)U4ugAJs0+Sc z(4nj^zI0sHp$wVA=a7#(uAAj92<;n&_6tIN@nIPY6a^o(=^BRIFYH4%&hD_j_;QBT z5WWzMBiP2{_95cI{4GMrDq{N&!gp1J5b}aO?eT~2gd7)0MM3_GJ0tC(_@)RxA5!Iy zUooztrN}TG*ZmMVOGi@ItT@&3_?NfVOMOQHO4QjL_sNoP*BPs`yhec;NHCkSQ%^nL+))a{-60Pz=^f{ zl=b~~Kd^g--IwfMR2TnQ-)#3CyCqnEZ+*P=>o)vYAOD$~Ef@dgVc-Eo6av;FWourPdKze;MMd@*lwQFHkd7JHw_mSL zox61Hw(oxXckgj#6NLow~6sWY=H9&@imw6B|v<-GQgSmdcjoK1vsx`$62%Sg_F+2F2t_HZp3{% zb}Sh`cGmPM_#JVcqaEjs59E$h@DZy{_WUF31th6>qHE413qQe z_;Hp`!`}l-r_3rrLgQzjbP|5napn|!vlYL?M=|ihtd1Qg&K^@b6ZSL9%Yp-!PnrR{oXyP;La`U#ZN9U<;+9fcjmSoTAf!-1wO@FzxwOI zF@w}T)>n`JpYfI({=2_xJ96M$+@D-{FuCv; za^WO$;e2x8rR2iv$b~D(g}0Fl?;;njB^N$KE_{ky_!YS@_Oz=HVIjG&3AwN>xv&Gd zuot=TD01Ooa^VPa;Use5MdZT83(18ClM9a_7mgwqjwKgPB^S;n7cL|ho<%Oalw5cPx$stU;oaoI*T{wM zkPEkw3v>VC>O)vaE^I(9Y(_3@K`z{vT-bwLcoeztSaRVga^cD3!o}pmOUZ@n$b}D( z3!fktK1D8ki(L3VxiIn^+YhmRHEc>QY(Xv@N-iuW7nYCb;j!exq2$7g$c0yu3vVPBt|b>fPA+_wT=)jLF!q8gzc8O%*qB_{f?U{|T(}Rp zuot;-Ah~b^xo{M@a4xxU0lDxza^Yff;br8)mE^*^$%PM)3!ftw{y;8F{MFT;us*r4 z3AwNxx$t0eVJW$AGP!Uex$rD<;RWQv%gBYdkP9Co7d}BQ{E%Gu6}d3?MOS`dGjd@E za^b<`!s+C~a&qBqM$+@D-H zlw3HBTv$RboJuadfLvHXF1(IhxQ<--5V`O*a^Z*M!b);s>=joZLZ4jNm|WO|T-cgi z*n?cymt5G7TsV+iIG9{Gj9fU1Tv$pjoJ=m9OD?>UTzEaX@Hukfr{uydQHo5RLa^VH!!U}TX-Q>bY$c4|63*R6YeoQX>id^^|xv-L4 znDM%+FQHE^%q15#AQ!eK7j`8V?n^H0M=m^;TzDC|a3#6$Hge$uy&L$VmCl_8rF1(Ihcq_SZ z9l7uka^X|t!k5W~Z;%T=CKon)%hjiFAi1!VTsVnbxPV-EDY@`^a^XsH;ceu?2grp# zk_!`WyZj2X$c6dj!u`pG{m6xr$%RwNh2`YJ^T>r4kPELM7rsU={FYo8dx!0r*sL0M zAQyHf7amM5JeFK|0=aNHxo|$Y@CtI_jpV{d$c2xQ3!fzyzC$kjfn1oo$(3K&fLz#& zT-bqJcp$m(P;y}}a$#R`;ZfwmVdTQeUTc zhp-j7a36AEKXTy_}Ik|8?xo{!5@CzZ($%X64g-?+SUm+KM zOfK9;F8r2U*zhw~U&0pT!hz(%q2$65(cN$b~nO3vVSCK1nY8mRuP5 z+?7X|LoTdOE^J0F>_INat$c2xS3!fktK20us zpIrDcx$sAFVI{e+?U$}Tg}umy1IdLakPA!5h2`YJ1?0jD$c0ys3$Gy;-bF6Fmt44( zT=)XH@D+05YvjVO$c5jL3nQC(y%BS&VJ^9_9l5Xrxv(?2urImr2y)?2a^VT&!s+C~ za&qB(a^X4T!Yj#zYsrP{$c4|63tuJ|ZYLMUw(xo-HmQcq$b}uqh5L{Thmi|MkPC~+ zg=5KuGsuM}lM7EH7oJBhypmjaHM#H>a^W51!WYPeFOv)3AQ!$%F5E^g{DEAUwbj+P zurs-^AGz=ta^VPaVF|f#0=aN1xo|GI@CC~ z^-IjHh7HMuUCD*L$%QA73rCR)Cy)y#kqgVoh3Ak9FC`bQBNskJE_{|;_#(ORJ91&x z*K8le+-kTFxv-R6IGtQLmt44jTzDS2@FH^IrR2huF1c_4x$p{d;f>_NXUT;xkPAO07skGEBBg{SXt?urax?HM#H@a^WO$;Z$3oj)XUPCUt zkz9Byxo|DH@DXz1bL7Go$%U_x3*R6YzC$kjj$D}WqpM$GKDn?hxv(p_a9?uaQRKo> za^cD3!ZXN)SCR{_Cl|g?F8q{S_$9e8@{=o{us*r4ExGU*a^VPaVF|gglw3HOTv$#n zyntMI8M*Kla^YR%!Y9dv&yovYAs4<+F8q*OxP@H!ExEAac2|GG4&=hFQg~yN! zCy)!Lk_*epg(s5>uOt^g(s5>&mk9HN-n&bTzCt)@LqD^I&$G7FQh9j$C*kxv)36a0Iz<2Dxx9xo{!5@CtI_HRQtU$%QwP3m+pFK1nWopIrDI zxo|tVFvnXPtZx}TaXLek_$VK3;U4^k0lqDk_+dO3vVG8K0z*gi(FVqF03DQ z`4_ez7q%rAb|x3@OD-HtE-WS&o<%OKAQxUiF1&+Wco(_w0dnD|F6>J#JeFKIm|QrDTsWOvID=ex2DxxCx$qWp;T`0{C&+~_kPBZX7rsF*+(Itw z*Sq%tMQ!>WJ+y66w~pO9?pxHQQ|C^dJ9q9<)aJO-aYg-0W;wk>m$t#TsocI-ZuhF> zXT5{I(=Twl2UP#JJ%p$?=P|bv3ifS%(`{9l z%+{(V>Dk(}Cw8zdojGYvG4}f@_V#KjT0S-qGK(mb%qsS#vJ+lLG%F{A?X-Jvba(n_N zh_}qXwtq;#ns!fR_6dARAgI}k4@k@GLD)ZBnY|O?RF$vjg`JVR%$tYLx?yMY>Eq@X zd$*#^2Kz%5d&|)r$IqNTwfLm+vD0Uk7JJ`Am^5ux>CBR`IB=W(_>BGZ^3sXZr_U*! zSv(HX*N}8_#~op(b3D%HY_t9%puyRlbtGb@&zfpwc^6@brL)FPEcO;2#dGZ)hkPMp zUQYyEzJ44W=4#j1SgXXIWaC^Z2X6orq0iYl4GQ9;%tTwXeBc3H8vqzwB|&o8s<^z9ie(ZKo0 z5w0pL(Ax;JKrft>UZ8C!rx&Q*f+}rwMo8IKk3BVPp2gm}(?f!@y&X&!){SRYtBXnq zL0xP-E3Gc(oSSOApCGK}b^Lkhb#cl0RqCSA1xACqxWR%vsks-1b+PH9ur4|-R;#-V z8L&$lby0!vn3A!Ri^oo%7Nm2{~+3AB&epjVB^P6DxHGfCHJOOm91S_t;+ImPH*_VZcVGVEw|yr1trral+Kwnt=QZ5 zcGTiH*I(TdgwY8Ud#||Q)Urv%-t~8+D!TriRW2d#t*YEE^;_<8CS}vH1yhOj4jWge z&dvdMr=Ojf_kc~GRXT0fq>?FUeK&y5m|9wb;EFX>PF$O{;fY&qL7uoK_k|~J^SZPP z^TPYXv-tW0I*Yio-GKkxowW~cA05HQ<5o4pLo#Vy@T-yZ^Oc7!%hWdSi>KA(IP6uH z>N0z0;rNs0l#DC&@^K%XFlo-Ta_!R?S4Mx7<@Su$3$bBg}&8$4`J8sU4wfvugN9IN9z7@Pcl98 zpZUlU%se-hri*R}uekO!e7rq9W4SSt2s4CvZSGgd1dfc%a6;^2scJe=fl&X?F z8dN>K1HjXI*q4m*ME2S}?d-jSJ2XpNJl^gpULV|~Q$-wKlwQPZo281?r$t)6ziySD znSpy(OSE{}H18f0!&|R?XBCY7b9RSRy7!=|g!M7Hb9%ZD?Te3uluVjgHffrdv!71S z#{H3$?WS1lJ=8t@7;p7RKStq!I0jG89k>Iloejo5QdLgRT@X^I=bgjSvU5@2@btXs zg6{P6J^Y_ePj0{TocHTr_4LdIPdh!8MtORs;3gg3jZ;n39w9fwY#J_+nN!P)rSz)lL3xLEYGc%Ijeecc5Y~XydH4#Z7{08RtEQfV*r@v4-s0#dOz-S+okY z;#AR|YMv^>^p@4y!qX5^ZQ;k(X$86!?YY_`PB|KNs*Ja_uTqY#XyZD^D-aIP@jZC1 z#2Fn|j68XpKwS2(Mq_98FGgEOB(uNT+Ix8Ee1aygrfg4~Rhsf=5K`H1bVyqEH}?Fe z>|fF=J^Oz~!%sU6$MsHSe?7us_N|G|e9Y}OiMOK2t!M^yqi3S|3_>@p_pkgN_znM} zD5DpPXB>g18-#2BsjUcW6SeK2whn6BLG5Kw+ZELIgumIIFdi9!=blL91hjHJ9li9W zdpe3NKdTY;=e`{e@{x!I;}x$i$jqDvc45GtUyzwmfyb&y#QMp2#YXTC@N6!=VL@i1 z86LZ%P3Pcg-jA)Ae0qHt2HxW21(2e9+=PT8shH#>Jea4{Db7AQ56^EYbt=_U?1?Q* zVH(xP@O~U^qI9QIy$r7jDYcAhQ5AIt)jF25Fol^^FUA{6N-d}QASx%ir;av@>NFHG zrOu|h!qN?G=Q!0%oR4>*XsZWMa6i_w`)0gVc!38!dA`{Ts#PH-(jm@CCdnDa9 zlj@VE?#BF%O;EkPikhTahzzD|eX5t*(HcDHN-?&MQ@uLy-+HlV1R3;WUY={+HWcKS zP4Z$vuY$&{h-41VW~e4GB+xab?k?MG94WLdk_x|4N^K)`KQztIwv*Js z=)pp@J5?|7t(7mg6V5g+#^-0_Ffg-=cG9#mFPf#xlrhPD>@tI@>B# z>Hw*w>9&KU9$*)lY==nw+AdS6!=>h1mZgrA`hI%4qovlj=9aC?RBEL)H>ne)wzFm- zb&Awa?Lv@RCiU;>>CTe6&PpNMc~bK&XHrj*`b>Jdi==M1vgI(pXG&dza~G=TN?mLh zqiipf8nc{9T_W`o%Y)RVQfJy-OzJYJSKB$3x?JiFR%cRINS$mc)Mb8elG?;BT&b(1 z7Fiyo-Y)eUs|Ts8rS`K@NL@qKOLRo_#N!>26+gBqxfM=UFBoV|K998Hy6zN{d@f!6 zg!aiXIAT1?JZ}wEw6#$EM(TU0`B42{>ZEkrpQH}J`H07uuIIblB{T3O5vp;itcB8!veP>LVlmuR~?y_Q^}pp=U%t zV8`2=>Yca=WvJAvsd|Y=>{PXX5!vx$qu1^cT>lr<#}QAqtGBJXdd4INTMG}>`<#99 zWosLux{m7MRZ_U0>cLgi2dJK6Eqres?Ln#!SlbBIhp5i8Ya~=3rh1a~6`}eF)yu4X zhU%kKyW0&pRM%7OVYcm*hYeIqt;C`Fm{YyPgI1jdTZ4M@KD{rfHyh1QHbzM@;`StI zcL2sDZ8##M{x?(?t_$pwkD+`Su^*ipm)hD=kb33?v~7i}GF0QsoQlT#!@FKaVk{1U7IyXapv9bpf0KW*yu`B`2l-ZvkIyWn zscW2lvVYL-Wcw`D=0VA&enhnn+D1lZ6Q)~9^{;lc%zdeL-r-vA(jbL_R8NtLl4N8k z-8-qyHrr5rlIlEEY)aik^=0$}DfI`co9�Db%ZUd1zplV5qjEx)QA`BU5?kL$$yf zL8y+RdR9<2+0LQ*Miq52)!VHm!xUCgy#c2)r9MWrpV>xFWbM38^`1bTLvw`Xk zXMe*m_Zhn6L+R=trCL)8dxnit@3(qTfAI`eFKe6^+07a^TF;Mfpi=Plqe))mC_7TL zVq*4UoR!E3$Hrw%n&U+Z&q3B=6q#90s#D!b4ZQ85}yNCubf_B6i0RT;2b|@9N%V|E$43 zUe2qZ_qGJQMqfBAhEeX9)kH5x(IqrH+swL=Nc3_RQ~3w+W;^5qcC<%>qZRTsa}n`R z7XP@#Z?yQl>(G;D?mZCC8ijdl&?YkX?vHRm-rrDjnIn56+%fMfoRQ3thalV_f2P?_ z?16C4%)ATHFfu211Bv7E|<(gjAVI57u5Iip0l5v zUj&+wUkBGgX2Zq^Z>X2o!G6VjvpXgC+`BQ zfJ5FvxFB!1<)Q2Afeq@y%k1-VU{h$nUGzM{z4AW7C7U_uX@m>%_O^WX`;*z^`)I70 zg^wY;p6w}TiRHB3I*_7Rerr2i@*WW6?-2XVz&j9*=iPz}IrIEg2-nH$ zVMZNqL^ziBmL+xIwSmpUmeL-W2;oKZY;Zht!O}oK(b73-F~VM6rRA^d`KH%<%F--4 z3*lqmihKD>QE{2Q7J(MU^8RLadz}ojF@Ke%)nX39i(2JXJpKX;{JPP4>-fFWMF&yDK`CY6svj!uK+@5J?Xz(!}01(#p^00i%tu-Yq_VwZ8eBj`b1EEzAwAq(w7 zy$1j7X8&xc2R-9H9I^qfce{bwTVD{B*V@}XwtKu`@MvZaD*Qns`(1%c=K}=Atv|3H zf;<+FMo`*9ldVGyeq9uEzq5Oa1a|@5Q4TPSVUcS}82l^8)U}&?qnJ>acR*!l zPD8YnJ6^Hs)WALUwR2Cc0Gl>Gj9dn4%z3hwoSK=j2B$mnwWSfSI2Zf^w4=z^2M2r+ z_~p2uB3};yk5+hzE78A1zW)i0)sGFzS%QLi!E{6a_rL!=A}j}ZkWq|Rqvy*eB-VPq zPOoou=hf)>vPqh?o-gMoN&bxI%f6MEXxs=_gdZC{BZm8?7tCf&w!~9yqERs#ELx&s zk^|9XLv_$hXYVCeqfbi|ji?(uJB;}vcty-CLhT=j`(*(Xml z+a|L8p6Y3qbg1_JGDtglJbKiWdNI|WxQitAR0^+At*oN9-0V^~-_i|jr&FDR?mW>{ zDLm*@FVPkClSp<8%D3UfjG&fmR&R2Pr5!oN)skY86_$$Bt#54(?31gke38qYZPBP# zT|Q<8>YY@#QLR%&J?}MVdmVcFgwNa+HGSQw15J%c{XNyQ(E+8@wN&l&rd00@rfYQ( zRSHK@or9ZaO1+$F7u<;wG1K~~7V5NvX!+OYh z%cU^Y@(|b2dc5t_5mnSPsa|7EIkbI_Y9F)BPzsISaVflJr3lqhs(-b1nJ3%TRNttg z{z&!1D(c~zT)GWS4NFl$^;4^zuznwT*V!J4XPA_le9x(O*qN`VJPf9~pS7s^Qm>`@ zCo4s$eo8fNDTHdb_g%V&S{@3N!a}NTtj-Gf;#~A+s%z{Fh3e=JTnc|MwNSQyr}}&q z^}-LG?I(6NL)+#bIdv6ol8N9=27OA={Z#L@GZd;vf9z~?>YA$jen54qsSTv=^NF)< zZs|7Q%U{t+RHs{C75jiK>Qbt!?NSa~N!w3d3ih;_QfEbj({SIMy z@Qy`T9y}Wz3O#uJK;*$Y3}Jcj4n|lWyzU66dGPGHUmm=U2!|fLwjlE0wM1ARyrzNf zJb3m}B@bRVhAWg#pNUM9lw;F$v^nddxsKcTf|<~a}EcL>Xa_cg-u;C&g` zpmD>4_eo&mJb3RTEDzq>fxYwKy=FG_;Jt)!=)rpqB=q3@86@=JJpqC|IS<|j5P9$( zLRcQW`w*4~@9x0HdGLbKe|qq433TVdy8&T&@UAhP9=v4;r+M%$1r0rT7lX)ycOJr_ z2k%S}dGHn@EDzpE2+M;vI|w@uUKzsj;7vwY9=!1ghaS9=Ai{a@Mp}eCc*87$9=zi$ z?7URw@(H|D5$B~EnBsew`=^N)9Rb)gX%WtYX0MOVQx^Q25&Rovq`fZ<2FWvPxl$ zy)(KPIoMos_6`;3a=cYZ&z^?^`wjJ~==S95e&ytFSVThrSv%uhHYi4dXMZ?e5ETEyI zt$E;RD~yDmw&sDStuPY0+L{Nhw!(-V>gRlIwpzAD;A?9fWMr4lHd|0z>ulSZv&|M6 z*E-w&`_497js34X+iVH z*=GA>)H>UK?anq^Qu>>4w%GP7D4}6XWK`I;E1)(ww*cK zS_aOxJtEb-ZRHr!lDBOd!t%CFLRj9maR|%XR*Z1yZ95)B-nO9#%iA^xVR_q*LO9La zb~vcKZM_fwvJlZEXVGdD~hbEN|PM2+P~n5Mg=S3WBin zw&e!)&f8W8VR_p!5SF*i3v8UX?ML`I>f||Z+usqEx9uy0HDB%vv!S=`V}wI*+j}6P zx6S6gh2FMTK|*hv%@min?Jo$++x8T~^0xgkuyNkD^$2Ue+=GGcylra{mbcBuLFH|` z4dFCz+s&Y%x9xfmdE1sF9D3WX0Fk%t5`^V#y9i-<+s+Nb&f9hd!t%DAim<$G^AQfc zZ8puWPQLTDO}7Yn+kS5m^tP2+*tyY)B5mPzkL(}$BL=eNW}5X?Z~PMS@*|Ihy9xfK z{R96}tYQ$nO=+}0yiFEqf(q6w_sr2k1gWruv?LsUs_h~CF@yo=w%g? z?3xSndL>Tv`ts%9!`OFdTQtO}{><`DDRsqYs$((LJ7qhSDcp-$1j%|fa}P&bF`u?2 zQ_50m&y#3-2by7MyGiQ9sG?9^bTU(zITLxP&vc7Uk!m7~wjm{!rqrJ2g{I@6p5zL= z;WDXZ$XNXfR>KukOViaNs>y*kPoZs3sV`YYDuvNfS6b0@v_(=I!M_-)8m8)dilg}?H!B!}2EsF5Rj7f=$Zg$qmh+Ryf>Ah?gCD^E=+~ zYL}97me+{t31%DTFl2$$tLg|!Yt)NtK=)Vl0tQv)F(~VyqV=v`&#WtT_F{7TT<#xQrlZ8 zWV=f0{Pc8hm)bgAT`lzxjI<;Z%+1xW;Y6%H$iC zk3C;=y^3OzUs=~CwGHi)a2%x6K~j&j_9NSQQU_ZdH)Xmjq?TEprEZkE*=k;4lOVux#jE-8i6Yp`UB=1Evhqh%>N7)6T6b7xK zZC{+IWMf;EfTMM~)9oLfH-rnM{QYFEyorR-wmTq`V-J2bfbqZPwjO`70EdXtT8 z8ACga^dTMHY7!P+3?&UDhx!r|-sF(7(fKN4ZRuJ;Xe_qyH_VZE*& z80hYG-S$z?>w0H|^}603VZE-m4#Mtry?J2oUe}u-tk?D35!UN^{lEqt7beHl4Q$-& zx{t74*W-b`n;c^c2K2iA4}`0DK*Y&L+;p_V6AmQu!M<9A#e-~lBuD^+}Ue{j< zY~1VmUlG>p`m=%VUe`Awtk?C&P3Pp8M-fhYUAG0>;p@7sZq)1gT?mJ->;DC!*Y%YM z>veqv!g^i5ItaVh^~({~>v{#kdR@N|;qZ0+oFKxzuAgoZdR<>&5qw>rXJO7+v3KQQ z9!Y`wm$JPMxEUmc1V-4if114}#n>Z3AV=N5w6=GwKydR@4zm?zb_27gB&W9yrm6JI zbQ4$XHWug^G_t-PJV^*ZN0%DFSjkb&A%|ds((SWf6X2R z+ikqoqY&J`{F$BpPk9t<-1KfGa0O&$gN2+4oUqhKF7`Sp4f@=rwWYCQ_I z9)(}#QJ7Z6qcF3oN1?omN8!xSqi`DE-=8Lyq0Qx9UQP6JUZc|gYxj&1@8jL@(!QDrJ0Q`3`1#Nj#on?)$Wo5PB4@gac0=g{26~qp%oZc@%8RQF#>3 zLOAp&ECP{7;bes6QJ90UJPI=qPV*>C0hLE#0>Yt3VGM{o3ZoE~M`3uNJCDL(gym5< zI?$a*;RuA~QLs-($fIy5!ty8_5QLpa!Ip<=xlJd8t^O^@64lfOy0KuNZ&4WFLmj+j5yaJmFHxD)$mT*6I8Lnv{**?Mb z8-DF;hEcrg8!Ov)#%fo*>}fNow4**}g~ko0xlT-%_lddtE#C+6JwEU30H%+O*le_W4`hwAsF3`tS28 z)wF4|eI>PaZu!nPZLVo{k9|(|*Win@Z`uADd~xfMkAI6V?kYTg)$tF-7$znX-(b6E zdESyTu&Je99nBq7L^2z5f$C_^+)Xr3+E99EyGrUon12?kw@W<{(|AI4wbbGHY3drO zJu%HGw7p;IW0nWy>|v?rqXc2jHb`x1dC*+EKT5qLJ%x=@udZ?)*;w?5* z-;{a}UTW)PFu$9qdWmH?fpzM&MG^hjXwKHP?=2@^u^Q5+0u+-Bww9GTPIG>TS*fK? zlseM#EOm<1z42}ss%27(td8}mfLT(%vz8)tp41QQEakRvwRwuv=P~^zRL_+9x*bin z7fO8qbNlM#F@>d4pG;SmOFheSCfl2&K5b_|pDEl_z?- zkXXf=3t9$Svwsvgu3{C>FKC4y-Vkw{vu_w;JNDp6n0OK%y^Y(jJ!dJMx{nZFMr*Fy z4cA`X9`?^6_{Ym>|E+V9%_05-que8^iC)ekD$V}x%=euQ@A2|#V(#q}vT23%^@H~) zO|u^!Od>IN0)=N&KUCbn@7xhD#t-ZEwv6`=GCqv@55y-e;qq!??imz5ART_MKM$PsRz9FZgZ;y`zf@beIsBiwc&kLNi@_(Fu`2)Bna zIl^ZnEJt`*5O$96$$`C_S~nhHIl@a2mLq&*VB;L&!vY)U2tN*CIl>19_HJrjf3u+@ zyf?z3Bm5AM&=KAPBy@y#0|_1B`+&$1zBj^hgttOij_{(u#yP?pBP>VwZh`I`;rR&5 z5uR;29pMRt(;VSZ(9jWXp9zv9{Ck8$NBA}nIl?z1EJygK2+I-vK@fJ1@OKcFBm8xQ zqKE!eS(dayT?qQ74d>rqpf2P$C^H(*lq!8sP-d@?xFB!eEl_T zB|pRJ?O)zX{@7EI%5Qip`E{qGe6?H22fMK*Yqyej^-#N&ysL-Wt>lAx_}90R-)_B( z%@Rp%8qZDU|2tdB-*_fcsr@W&;7LvX8n%+JarCy*&eFeW^mgM}ctHLwd~$crxhP2O zCwJj~Mq{bnrry=VuXdaIPtaQbeLH8F@1gofaF2(>;6FQ_ddc}XV(ngCt|ivIF@B<^ z@zh2apx%B3yCvLU`S=yAZOFY4J^R07w}hI;Q#W0NgVm0w26vI)#CU4Q#c0K~VZk#38#gR?D#98ToFCY`VZm8u!(qYc2#3RhzXu721?_<-92Oi65)KQV z0HR^R-yy7F!DA8Du;75e#tjSFSKT!%cvzsjVZnnD*05lA(>W~I72&jD!H%HeuwYvd z4GXqJI2;zVIY1f~Y=p3e1+6MHESMLB-LPO5!WtILL|DUu5ro5G!JjZ9sSPr}LpU53 z{MsToEcj&*c0+%km=TBm-bYwNe{Ulk4*k6b5)S>n1cITz;4?Kvk@6URb6~U`?gkpF z%ip0PyVe`rklleCvb%p_@Hv+QIb^pQ)IR5;=vCm@gGbRT7IMh$Kn~e0gAfea9mpZO zB@luky91+kC>yf72HR3)HRy@iL)dAN6M3$=9QDvC3laI;uSzk=zGhyxJ$`K65ABo3 zV!$(0Kau)JG}us0Uhg(kO!l!9a`|z|Hc|&!3ZeQSZ6CK3a`}16HZM{g2%lS)J}cU0 zPfi?*ViHGI-a#&BZ6;AY8ugr2pA*t1E~dKL%2qJK*-oMA)wo^h@MWl(+U-g`{QY0s zT;!-Lk>~%vMxLi$g)6sqO3Rp}%@GaNbEO^+KYFMxk!l~%4Ao^)8>OePLh9w1B^lbTlKLkswFuSKQV+wV z#!$Uq>UccQXD9VmB6YZ>D|MsP!?E}wRG*hRFkO9B>X3AGlhn-gqkSSZhF7>S-K|nr zTkR+h-%DMDx1CV+9&t6F{LE~9mLe(jujy)@RGYjT+U_p(&sIDB9QOuOB=tFrI)%2c zO0948TZc#6B-J(t3Dr-enztlWw@MvnM^g&lOYMtyw(L55xAM5rbkaT{o2^Apaj7?2 z*`y|^dWo9Wv#(u=)?GUz0!k$*FC_pi^0sPV%Hy>3O%RQq8Bod4Qw3~N7( zV4k+rhY`L-w;j#xgj*2SNImbi3fOWRSnb3c++xrt)lR%|_3*2hc(cr0wQavcj<8;O zuG{@*-`jDP9y1SY8$OdLCW&z-sAq2oyvwU-?q*A`&08m^C@LGu~pUw86!Y z6}jBmD<*lXd6=bkU+?UbH{z1XiqMxls1AL}O{=Iwo$4hr&GFpsSv(ZNE6%Iz6+7aE zmX*Er{K*(Eu^kUcSxrvEqYrX8$X%7!-vRrpEQd|_jHH<4XX$E7+9xr$KV{oS>QScd z$rRd4U0@a4l*^erNxcki{FGY8jYPh~GhJ5EU9OfoEus3hrCY=lx~+Dqm#FC@gZ1x3 zNhYFJ6U~{Ao*7%*J6&(&BUJlHJrhrciRS!hPJgNO@RXitL3LxkOTkNQwA0mU9)5y% z6Mm`UEj!{@R>oG3xqK@o`6DVhRG+7P@=`kid(pON9lwI{drLuTnbf~o3c*S&^sF1C z-jQC&HGR>1| zmR-n7c6X^ocA+(Ac8jELvO+ZH7sT2~ZHiKcwmm<0S@0)LoS0JkQ?2={J_p>53OWGA z%ZNYRDCojA=qpDva0zC_`K7oGhyCc>ueRbeBg&7ojP6bKPUJ2l7yv}`Tus$W)U;p9 z%zJRe+WCEGC8&p=nctUV@1xmQqCulJTTU=j?*^;4`S!}6{lbReOew}o++f#sBU?X< zFHgm$1x#6Rw0-`=>A?|`!>o<^wC!|OnNyFqzEX~Zt&h)eY7@(HHe31kQb*c_Ce`~RZQrpD zE&Fnp-=x$X!7QP25WLD@#{9bd*UQYZYvleInS1=oNwdLbiFdMYVeYiIMQ?o%gufxG=XM=k9Oa7mm z4Z0GYLiLZKU3fpVU&F`HYTWzh^#_o<+PSK(=lqxFsy2EUwOKn?)jjRm2G$uFocOe% zU8Pf3;$E1MDYXyP0(%Aw)lpQ>!ZU74okR7FD(YgYx7!nEn8GTmH{f2FQXivg)Aur> z{J!Xh*QwqUsB;>-C)sUOuMeJC`5L%km}igvUeY#b37=X2D0Lm4Y(jNcpO(YCwjsgZ z6VZzPHc|BiPDc$Us*WTHCaNAuGBP*o$KG~$uLCh>0R#R|E@BxP;9i$i6LVKm_@0QF ztNOts{NW4s!&W2`bC030iijV+%HXK&?ud0B!4J1q6LUSr4j|%(;SV_buebehzEYu> zdn1K!!yj_4Y-_wsYp!f_gf&;T3BsByyF0>~D_bAoaIS1!5Y3hK5!PJUIKrAMTZtx^ zHdpo^)*|!k;HfFI;kO8fb7i-JXs+z%2y3qFM}h9<%D#)R=E}Yq=x(m;D+p_@>|YVq zT-j$4)?C?*LDdu_?h0(&T-pCZSaW4p2KIYf zVfw8w8_t!z8sTuR?ByWgT-gecaIWlyAmLoub3im#_H=|bS9SrynkzdmuyJ!`%MsRG z*=d39=E_b&SaW6VlfjxRTa0kpT-oD6!@06UK{Qu(5W?YH*`q)-SN3p(HCMJ5!kR04 zP!M)=W%ox|b7i|Athurs5Dw?cwh1EK7CJ2~LR;wUX%U<&+b{^bxw6)aX|8N8!kQ~v z2jOt8Yz9a;SJng3T-ic<=(qWt!MEe${G^;s+D!YTTs#PmN2GO0b83zZQaW344cy1- z>}4nTaUoN_OG3%j(*;`I%W~H+8bEy@M)9ix|fUuV(>TgVzf0 zj>*WaxV6`fwy5WMgK#g{xn7_a#Ll*|~m6^c9+u&rS8!QKzpCt%a2f&M+9n?PrQ+0KvgidVtLfaM?`@rvh9 z$&3#Vj@uGER^er4)U>tEs}WqYZh~Vw*ug`xVzP59XHG(XqkBl5LUkUxw3IrP>M6Kq zrqpRvAH!WN+C(W#r+OLg&?&WyYEcz+232d>DchM;FUFlTrIu5D5ZzC7PaSO*)oC~n zDRnkg>!ecZ9H)92HEpevhoWV=3656lsG*+S-KO%H6Rn1tQ|%*luGNs#{!&l28j?Cd z>ISQ!7EED~)TLGzQin)wnywC)>RU-oA2fs$ND-(+=*#!7|;n1D=!7^!8=ibpB|4sb4tHVCE{TxB4AjD;)hL)dTGc z3T?Mv7d%O44y}^H4yu!^jti7-CDn5*g+i&G+t@yHBc2{pYQ(9DvgxzDXv0I9hbV31 zcm#%S9f&uA$%PSs62U>?S1P~9CDU&=O<>XWAKCffwn+pDNas)eYI zl&w$oQY%}7Uak~l>p0cRsA;Hk92!c(4R!LYY7jJYA8XxNRQo*d1~fA53%#M*U#flB zJ5&cqElsx_B=rDmn@V?x)UT~|OC2sX-%28Nq}2D*(;Y3fzMZ9PwQi}Ec9x`0l-kZ} zQ|c6{Zm3hOTk7A_)14)Cos~i<%#)gLIg@&d)Mu<+N?jz?_Unu0@MvdBU4uR*RL_;V z*xImcFO(XyoJn0G^%Bd2)TL5q!tWQV%cNdy=UBGdAwJU$buwowWINeXs7v)GsZFfC zOI;KdwEMos?t<>-_$oqt}}^IEsNcjjO_A8n=HNA+bp z`=Ppy>fzQ}L-l^D2Uk%apn8g(kG+-dgH#`|l7#9*ROi_l4b_LKo@6aMR3D*wnbl^f zK1#K_^}3}19&RxeCq?P5bm)+|iItcfG{gCM=ejy=3EIU`Jb$*IYiA=$9Ne-14v zQr}XKR`g7kPwVPMdO6m=&YMsp(TYLIc_r8iGTRq8P&kNINUD^pP8Myi#my$V!bKa>Y z=4_*IHm$NHlu2$$fo31XSOd!se&f{(o?Go9(+QO8#-kB*TJ^n1t~F7+r4|H7YV8%q3K4m% zJ)DkcjDPhod~>{SaJnPQx1+(^L+}XqEW2u6W(+NlYy}va9@#Rmad-$GVMU2oESbm0 z-Vv4~Y|=R|Gg;zA$}KilvEkGto{%>>vhh^AR-SU?`QTa^#ij{@E9cKH3Rlj!Qd|@B z9K}^t>P1F6%SERqCwh@l?s{9jz%Hus?qa!pfn8J+99gx%E~@E{d~s@WS|=}ZuVY)m zCL#YNj(!6Q)3uI$4_4+yu5s)qW2lGKjxNH*33jbxXD&>_k~!IQN^&OBe8%PY+=WTJ zUYz2{l7;S49pmV-g-ICx)sYnole5D#mYkBr#rnF7L4PsVi@f2;>VJum4V!yqkn&@RsV)TMfo!MW^?3`+%mo@P-7d`O{hdptKoU^Nm zS&J$3{M=bJhE?_*)kH66ETa!&V%euv6VSx7XESmmG3Rezxq0UEJsgJET-sXZDQ#BubtUTX7&@saTLAmml!%?n={Kg?;96r_;-iD z!$p~MQ#CQ`tZ!ZH3&iebCGjqbyV(T|{YCPBNRQ&)zgq(T;4f?N4=$;DJ=YJcu%sqa zypv+~$bUGiWj{I0es{YIG@%7b_cVh=5tmZ-p$x1c=Df$i9Wh#rj=I2BV$N}lIfJ;H zeSHFd4eWZW_RsE-_wDxAIPxt(-Pi$1mtti37x-mE^g@mKZjN=v4bcyYVx4irsYWg3 zcm-A&H$)d8iZ#ZK&`&iBx>>tfH$uO%S6~~fcz9tWT-rrJ94@^^xR#re^lyaAw>8P2 zM!0<2kPL5xuC*=6=tj6e_a>Q`JwDTAx;r!P?H)POF6YjX`T!NFe;pO=EEL#s+nE^} z6ck0Qe`Z5;D4m01$0~YeHbj;ji&tPRc0+Wso$0;6TI@#XJ-Y?zL@P!%npKKA*;l#D zp1=%!?7KrFV@b={VUbUf1!bs9mNI4@8LQ;OcyMGac=|XjI9{v*Sqq*z#F05vo?}7g zEH?v~o6%P1N0N-onZe@k!=q*2NTDGG&ubhRSBmroMYbY$*5xT@1=-5s>6SyZib2fL z@SstMA-_25V*NAsD1o^pga@gKDE$6b_+gQski3#C%Tdzp>${|fr3#O#5JSEH<{W7!?+~2eUqv$H^H;VHkz%3n=uWfXij~$eYMwq$F|}GOQfRJr-GB zAFi|}e|8oYwpP-_wurzl+|3{Dq{+cn*pf&G7{qY}WH#yS1b4yUa(o*5lrC##fX zP$Lv!BFT{OiBo~mIgc=_K0S6hf2%HhM&X;fu6ncEFz`0fdIk3sWEphNKYVN&o$2iv zG~p^L9;UpB$Dpv@eR!af5AlAK$$W?_TDaqU)y?7C8Mv*QpEBkiz}#q!3ALfxI;d?2 zwU@#Fs4Iv^THr2$Q3rH8>T>?l#&tQ7Kce-Tz!2Va#rR$ExEHP0 z&8c2r=VSLh^Pb4IXb3;&Iez{sQfkpurf@HwzM}O8x^!2}r)|lUvXt8M zB--AA8*FI1N$SJqeNzgHPG$--XCe>vnQqZ3QcYyhHl)PTl-l#W&~zNsQ7&T}E|Xe@ zjMbmTYPf=GX}VfO)gNe1FWL5#`jS}-ohHFCC_ zao0(Kf0dni}WPx}VqBr7#oCDi(jqr8}sp^M(1B3f?FrlAymDDjgG~e&Ov>o#=!0|W}{>AJw9}4Yjn)F(Xo`u(J|jf$5JXs$9x+d zOQ{?k^KEo2-bCqgbj-KWv6RZuG2ceVQYuHsd>b80sT>{iZFDT9a&*kM(XsfRIvPjE z{AqSAhbl+Md>b80sTv&%K0Fa`^#BT<8Uy)pEZ*Gug|yKz-$utmRik6RjgEz? zM#p>`9Sc>Bj`=n^7H`3HH9F?o=vb&~bj-KWu~60Mm~W$Fp{mg_-$utmRik6RjgG}z z@@N_z^QT%3N!93>Z=++Os?jmuM#n-m934w^K@u6&7K@*b>?Uooct*lHOzQ`^#M!sS z;-RX=;{Ney=%K2`;{IF6bEs;uxNnQaLsg5#eOoLZs#+}W+hXxh)nakq7K?|f7K{7i z;W@}iGQS&?Ut265s#+}W+hXxh)nakq7K?|f7K{6~SUgmV8PU1-$Sw^7@a zEk|vA8?{ZT9JTdr)HYtAqjA*Mw^7@8p;V6A`Zj8tQaNgyv{Bo5Lx0cUIvUOKS>Hx& zLtBm7`Zj8tQaNht+o)|y<*2Q1qqZrPqqe?{+NM;F+WIzX8{duj9m`Q$-$rdyDo1U7 z8?{ZT9JTdr)HdEA%atM=wGFn%l2|MrjqoW(5y>%QQsSbUowXl9R#K+-@^Pi41dlcm zKH4l=PjyVXx?zKC?a?Np2cAF3HeD^E>OYIpg|eY5MsY~~wZ9QCHp<2+Ds!fRt)uo40 zU5};^ss#h6-W(i_Wm`I&>YHYpA$11T!z>S4p|X_gwftA`gcqvIq&{h?daLD9`&#Wt zT_JTZ+(bk5CaLYM6tZ0AEx zW>EMxgCbNlgTl8N6rrja6n=f{F|+jqq*B-nicr-I3g2c>gsNsx_%?$gRLhit&7cTX z&7kmY21Tf928C}kC_+^;D14hi5vrO&;oA&~xV{Li85F+Fpa@mXpzv)5MW|{9g>N$` zLRB*;{7WnkN>?)|e49ZLs+vLJ+YE|O)eH*XW>AExW>EMxgCef)4{HX6Z!;)DRWm63 zBFlqpHG{&p85E(a85F+Fpa|7)21Q~Mnp9@&3^WV8#BrtGDzsLAVtqtJ_H%ueV*HhO zo6n3M?$k=!dxuEy5~vGUNQbx_F^lw4ek9NmWb3r zQjfG=TIxKhgRLiP%5+yqEyEcI)s0d&TmL58tx~fs4^nr3$mQ7!)|kfIzlf&i$42u_ za}Uh$_iYYKyse&Y^k!;vSW+tIu=qBIC8cr>i+^~P6gY>)w>c~+Th3wePq8avZyk+u zSbUqql2SQ`#kV;uDV1|re4E3PQaOjkw>c~+m2+5po5PY)Ifuo!IV|yZ$^+-H_%??n zrD_gK!q%8(R9j;@!0OG`m}X?3?^-}=jj4TeB9>JLiJ^^hGo+Wy-c}jL;&fOj#y<^Z z4AntHY)(CFC!n6gXQV}+NwuWqLpW3FBbI`mBF?3X^Nk$D{QXhgSmw<&kiD?5A7kvX z*i|mq(lLD|rQL1aKYn#3vJ#8S@{&1$YGe5+v3zbIdI?($7Tv=p_u=JnwOgV84i4tq zVz6iIylAvB0cJm_e|YV{5=> zA+ohmjB0`*vW;VXz>rL?WBtJ}+LQ0tfGmXbTzF8{6?SXy=eK+crlMAY739 zH=Ods$Q}rH%>4>2D>1Sg!VU6fn*GFm5bl|odm%27#N@p}BDqV@Jtj(9Aso+r7w0w6 zwFu#Y{Cu>iL}6ouZ3Rp2bM}+-cLUAHtAk!L(J&w34fS$6*l#*!gT!;o&}Af!Odwp4 zd%YQTu^px3xprM8x@<>9#c~UAIVZY&k8nKKR z!pYpZLHL6pY%5q2-QNl9Z3RoBa-P`in4@;G>{KC&bxNsf9SejEWsDOJwu;%9z%W1tkK#F2{ zt?h8hRUpXUA@-YrHzFL*y#-fG;{0n7u9Mrtj5=cbWR&?WOX|R-fsL(TN$jy0;b?Ae ztJDSO2fD3bNt|>R!d`Br<*(}^)AOIQG>h;x++YPu-cnR(qSqYIqFCW)=me~{!55l&BB~d&W;dt(9 zvl($T!gcbxSY>7%fiQAwAMQ>J?h{1V3YJ9fp%(G~+Itf?xr%Ckyrw%n=}9t^NhUi1 z1_;@J$*jo)Na*YdSqTBc+SAkBGt>dw8L8Q=5&`}scn-kS@VTlafUovJ!@s_Il#-&4WiZ&Q&j zIi6k&zksrFdKHDyf${IbMsim=9L=zT{`f}G-)%=5zx?dXFTYD0$-4-&6~I)ZP+(l6PdA&xoh9%;tmH=stKysewxG z$Hsr)1&%|H{1Xh>U}}&JCT#l$7F7^W-Ei7k+~=BoOC^TDfi-(b3RjU%YSW{va6Ccv*kX@WOj!c9Y7Cu`vZd5RsfSuG9v&}7)F zI!C%e&5fHO=2E{tSkM8!pfAeCX}|(VR3}%b!$7u^t5XoRldBa7+sV}ugze;NKElrA zY7QRkcgPmMWK-f;MMl&vxt8#?x7*iNqK;|x2w`WxmEc5?Ms4$I`~&j=R}m&w&15Vn)60|?v6)$f=_CRe{<8kt=E zJHmEy^%T>~T@QP& zXk`$8$=bG7_LI}CwY{ssTH7nB!Sq_&{OGc_R~A^?`i$S$_GxWDbqxBi0c#s_$JM8` zJ?uD0Xu#V3hpp|qux>D5ZOgaYA75*`sRsShfVD05%Yd~la`@O<+gH~PSldm1fA5W~ z?K8Dm^B&ybS z0_)}0+KwS?t?e+v*4jP`VQX!lhOlF8ufc=0wwEJpt?k7KTWfnB!Y*t3L_At+dltfu zwOxw`Yi%Egu(h_QGA`EkQ3zXW`!L4E+8&RvwYEngY_09#2wQ7=2#3Ym4lun~+Xi84 zZNJZ!x@={F_{)iS@0SyQ;|SDv9u zmI5VjsC_xN&b z4IEtnmYtx$YkDuWfo6?XT5_z!w?Nt93Oug&G8b{bQ-Vx!r>Z|j85Cn(xNeb}e>vNH<+(AWrjHNDa*4cxEyvIPYI2~+oG z&c`PNc5jA0Wwm=V8HDZLOb5btZ>9}lXKyBo2fH_O9>R8S=1hd`-po3LU3)XD@o4vE zPDa?-n^}YhyEhX;*zV1oz_{$q%tYAk&D1b1do#x(Z1-lSAZ+($jzrk*%^b>M*_#>1 z^s+Zofw0}1DMQ%)5~_r0WN&5=)5zY;N7&1;do%ALY(F#k3+?gf-prc_J9{&);lbIP zc^MDR-puoOaQ0??jR(6o^EASCZ{|sa?cU5|Oe1?Udl0sJGe2Tn_GW&7u-%*CZvu61 zhQ1_n?akbQM`v&5+jy{hGdCja?9KGx!S2m`4Pm=C^JRqX-pmdT%ihdq5w?3XmmqBS zW-dV3*_-(!zmUC|ZhB$&X0r4`_hyn5HWT^#68bI4^Ew{K z=cMFo@9vq>bXF{p`xnro@n?MlzV^72ANVuiU5*;!KcWYNiWXoE00-FMQ*+zCUTu{2 zRdmgF@Cjo;&by%?&bxu*xc4e3f;_*8=he(Wo)5s$96Oei$NhMHBtGpeDx#BuaOw?q zPrK+#*aB~5Pof9L7M#!jAjsfe$$Bv(Z)HCJZv^ysD|1kfw=$pquR>et_Ey%5y1kV- z96a92e1kMKkGC@4py6^7oX1<4&wgp_@mA*eE9v!C_Gbv!Kj>omn%}=)56oVO4z7eO zH0R4?`=*3Gta8v7mA-`RNx!dB@^QeT^pRCB`n%V4L1!_gSbQs|*fg1(78r96rAS}; z`u&Z<#l9)|I(k^Ye~qHISIe?}ig_m$T}(c|!C?i_`nC$Xf32YSD3ekgG85^S_?xcO6{P#HwZcfy6qonX_tOV z&}l^Lp!;?Rx`%RBVWqkLMnSJ6+6pVpn4o6xZzyXkuK{~_RhV`M)2OQJ>h%_AQLnc^i+a5UTGZ<;(4t;%ffn_8 z3$&=$TcAa~-U2P^^%iJRueU&p{#|c@x57Fe_${=0f$GOw;Cs}lPdWpC(X)?{Mbh2) z^g0-cM~wf(--%|~Hzg-gE3;_jSY1>-mr;Y6Ioe2*MYogQhb)J~ly)xBhGqzD<>88+ z!>DrTvFQ8MMx4~9SXyc$7HzWVHbyN6i+-2TIhu#c8Oq@nMl}yj7X2}!%3;4n?;rs! zP!3JAltU@eE>I3V7Cnqnjnp=XJr z^(3>onuq-sUBakxXj-bYU6ixA{~~<%uU7PJD%)JLbWm^QYXmieZzlNoA~1p>qvv@Q zW9%%sk@7M7YT;tvlzfJG&wfDB>2L5Cn`Urd-?ZL}ce;tKEx5qnpGCd?{$3Z}1^)gl zt=He5MZNz1Eb8_5XHl=eKZ|<({e7T$@cR2x+5&%n7WMl3v#8hKpG5<@wJrYsEb8_5 zXHl=eKZ|<({aMuO@9!fih1cJoufX455Ka|%6T8u7$|}r zdE-B$?VZ^$!Dj{kIoj8gWAee8ib)l;SEh(mf#i8A%=qMVU4!3;oQuJOLnjG{+ zMPDTo#6ic57Imxi&@L4;7|*vNwegzYElN9>QghJXC~ee3JAaJuUBvmd9KI=NFh;b8 zTXe`+q5Tr)%%Vv}ukoNyDmsBnY-wkTe{?grl!`IqMEu46%nkQ4UmA-`UZs2-rxLPn zN`6kf9rTw%U$T>!IB4*DlDj{7XpdL)BStkJJ?)BSi9?k|_bFOKd3MnH`-JaP9`tHO z2YERBP0{D66t$Lb)BVEX9^z0pOKPd-$BO!xuSGw*i)rE02yTOX1&-QAfRuM1eS>tZ z#^b2XK|PMzoWafGsLeq=j@q2%lU_${Wt6?jcra zdXtcY6iviIhyIP3luRVf4w_PQ9CNTuS_uOSRZHC38LKv+5`RD9W=#`?jKC4_YcKKH}rfSK#CB zKBSM0Tc5vO(sTK^>&4tY?tFtRrOU@%FKB(-4S7e`=f}^I^+R&%H}orQ|FvAkNZCX%{OX&eBTBSz17xrIlWQ<*|S`ODiR3 zX@RO2A**01?P3MQSz0OWVgP`Yx~t6_=5-w7|&Q@KEV5BWGy=b(U5-m*R-C zv{G`G77%A?rQ|FvAkNZC>6@j1I7=&~U95mOODiR3X#sJTR!X~Afh`v!1yf4S(gJnc z5F9j|oTUXSKY=jqVwI7zw17HGDMX64oTUZCSz0MMOACmzv{G`G z77%A?rSuI^K%AwO(k@m&oTZhLv$TLXODiL1X#sVXR!Yv&0^%&KjCQdC>MX64oTUZC zSz0MMOAAjv5n!Kh}YI$8juOWIPFKC(B6%WqE zM$!DT5->A@o3e6Vb*42(CiF25r)ZkWS<{u^-PN=_4Y zH|!283C-SJa38$nt&-C;odF^ADNOTp7Z=HTfvjo1eGrX1J%@f2NrtAzEJpa38{;1{ zNTfrU0ZjYM5E6G|RMWuZAf-n1!d$IwzV{tK`& z?8eaa&?4vv&}$yA#nyZ)6UdIwfi3cVTyeosLgycjo{hebD;`O4VAFbz5-J|~lAxZW zgdAGWQ9=&tIZDVuJx2)@kNi;hdX5ruP|s094(d5d$U!|v2^Ci;U-wZ$#T8mK&rw1S z>N!fNxPpAEl4IZC$()B zrK5x#)O(ar;pSYb5>@vN&Edc(CTdb7YHy{{16czBL`~Wx#0>rmpCd2DUsz4j+pxk8h$ziVKcD$sU7RqVIW% z4_haFmFMUa2lX6%;-H?RPaM>9^hxpI%GY!BiGzBMK5j#}L6}@WRJ2YsT@RCDY|;{WyGxvqZw@jKkM9 z$4Gd^*!3e3=SW&3@$FbTmNpHFj=CH#{X4d89s5IKc+~a$xc^gQCtxYOC`6+1?|9}@ zLk7*31(Xv{ko$2nj2VA;0XXao1tuOZRKwP3V2Vb=8v4Z=d`a)aY+%WW8p#H3vVaYg z%n^zqHqbd&fX65|r|a8R1;-}~fMH)z;8nd3drt$)H43CT#PWRUB;h@+8oVD;f?p~) z{<#8RSWw@bsrO+C4cxDH@Yc-%cJ`~A1MKWqHwW0+uWk;ovtQjD5NE%-Il#_-b#s87 z{p#ibJNwnm0qg8nHwW0+uWk;AvtQjDU}wL&Il#_-b#s87{p#ibJNwnm0e1GQn*;3Z zS2qXP*{^O6u(My?9AIa^x;enkesyzzo&Dj1ytD6Jt>{mAj*x9dc4zROd z-5g+Nzq&af&VF@sK%D*R=D=>O%sZO{;_O#92iV!KZVs@sU)>yFXTQ2Rz|MYkbAX-w z>gE7D`_;_>cJ`~A1MKWqHwUbxKXTQ2Rz|MYkb3mN^>gE7D`_;_>cJ`~A1MKWq zHwW0+uWk;ovtQjDU}wL&IUvq{b#s87{p#j`IQ!Mj0e1GQn*-wPR~B<=ag$eAN6BAZ zOr|x&3A_O?T5q!4PfLRyLRuAc#kY&mG&f*aSJ1&0h#><(S9s;EaHTGop97ja^_>jJ zy6-4itfwxF(NRi9otTSEwYarNmw?Erl04J;4;{ojuFwLJX! z;~}?!v`3CLeP|fl>)2g3DvMqYham1!}9P=8XUYpX>1^20UOwB0UJ1Gp+p&C16MB+;C0-_ckA2F zC^&w50WfTr0>hMI*hCF<=pC{hVtEc$mo7^egZC>+@a|$saQsIFz_981<`a4!cDV+A zt#|O&<>3UZh0(e^%%@Z6@-UxHq07U3I)yF|%jp!lJj|z4=<@J#FdC%G!+bi0E)Uz& zDRg<5Pp8o3VL6>bmxuXu3SA!N(k`U8{h7^G$5P-J}LYGze z=y#rY4E8<_o>1h(@iBd{-l0Ef7{w?7pfLm(f;bEy9f>ovmxN*r>t#WYr9)AKkwui5 zvMHSH;X@4}a3?jzNo*qaLr1tC16ZMUJ)+DNc+`wc#N#N}BjS!ODq2=SX^c4nY0N7y^*exU`B?#-(#Z>LJK_R;Ho7x-iTt zf$9geqgR`U+uAJWDuQ%IZ~%pdCfSOtkQyGvuOO|_Qq^Nb%f?C@8RtmBTX*BVbvHqa zpmlQy$D%S(tYsCXuhO6Ta|Uy1UUrMcvh7tE{(z2$3c=#@6sy#k8s7HeRZ6f>Uv%zV0eG#9ajw z|8L6NrNq=zx|F!@p7KADd9PH5ica<_y;r8_7;Rz`Apy6yH%s%RGirz0P#or=y;s*bU zOh+P_=tyjdhtpMyftdxXSFT*Ws%qKl(^f58cd83nvS_vIY2~t2%T~IcPoKAzo)#`! zxoFj?%T}*)$6UB*?XuHdw98hlTeNoGf^{xv!P0rlR=J)}U9|4BHLmBCm}WS6TfBJL zq7@8al=B5&#e4@>k$<9ZN>NX@Z`!Dy;G75Wf6q?elu-{n@IY`>a7=J?@OIzP70=K= zJTDL40%QmNPIx-_vTtKWu*bJ?RIuXr!Aq}y&HsU^@{jN}6usp;a#V2dPO#e<9A9mr zie4x<-uBi@zLUy>hX>aLZwv0g!=m!wVg8cf$ta|}4Y}Vg#@papf7!LcikDvQ z@sIS)EPDI)d@%pkav#%;V=l{mLf5l1I43x^hw^m0@5l<@%u!FDEyQz(sU=>(Oux}1 zuV%Vmok+PEg4_&-D$aow>ofTANFU1ClE`H@Rkcv{9;}yC`4fLFdQjw>ITHD9EP8rp z@Can@%;1@C`HmYAJT!Q2Co(_Rx4go)e0cDjMZr_v^353$ob(dVIlfPh2%ZsK?VB>r zcjV~2Ks$;m@ZzDO3g61|;OW70{aa2Bp5m*n@Er#nZ|*OmIA{BgmB&pLzVpffoa383 zI{3f`{-KpUz8Mqp|GoqKZ}X)qC}ti0miZ=+^-ZquZ}v?q@=czwGq__%aIf#Oq7lBy zqjn+GRN+gPg9ma~0Uh;ihL#aU2NC#=!jtc7L|lryKrTTjmC zSM2rWEB2n{3s*n^&Z~GUm|s3)`Oar{<%2teNBS031mE(VSg``qSniuX!Z&HOZ^{UN z{$zi7@Y!HQ|V}dV2E_EcAe118X z>ujWYOoeY2WaisIB6$ho^wl8Mll?=GW)Eb#i~r@v?hKwp*o%-piIH_$j&k7ZRP~mg6PPKw|ub?Xng0+ zdB^voqO!+lpjRR-n@&pD164a6x^>|M*98?vhYYIVMgA?mFZf7Wx4snI;X7|cu*=st>Ve>z zEqJ-Y*HZowI;-|z&Oc_)+(Ph00{z3lIp0a?p6UA{r1*XCKGSyvg?uMhEDyH&HwU)| z7yF0Xr1NMsJ6uT*3EsLFJvTt#j8Uzv`JFqK2aoVIjtGwSZ^`+GRN)c-`6gAMO+!ij zt-eV`{v*)PDtygUkiEf|P?Wts%r9OoqRM}@2otv8t-fKXuw`6f**+@_E;(bKfw*vF zT(El8I*gs}@zqsZ-pa6jrmMoKeylf8s-LPNC>2`SH2go-*EAgM!ncP1Up%_nUmC3H z4DKYsoQaOWcXD~~2f+(>;GqR1<^HbV{r>UL&AAn~Q3uvs!GA~j;v;)w-zZGJii%p}?a^?1D%ol}BHh{eCezxM zZjPFCBAbh3Of247n~B6sB9e=@hqK|%SeI#ONyOV@rYn|9)SHfUBAnm6rIm;}Hn%2{ zo#A9_IGV~QbG@dK>4*_Q*d(IaNH`hEB|78b1W|16rA}nSu~af{GVyFKwbA4@r{h`E znvJ%nqTME$%EsGLsm^#N9LpKx8Ci~obNNiN&hu37d75dGoFmRo7KO*BdwcTOU)sl&{hMObNjV7JS#gn;2q&;hL9qCviLqZ9+X2V%ncqY-3%4ZUBfN3dC zOKUh2Np3Xs6isC^GewXjnS=uhme>-`MjgzwLXc4)_|&K)mL?&ZWH_FTLvkH}BHgh> z8^XzKE@L35cm|zN*hKRg$`i>~5{sH7c!XP#rA)jd7S3dI5N}7^Bq7D5N#JEXiHZUL zOezYI*NV7U&L*8fCc-dv z!+2xmXgX5uwVYZkpKFWfA}%r*#U{QfoJ$}tE%{^=B4?42QlZ)*)LdI89*NbOE~>RbeRmvMJWXqOt zG#6>+YHE#VdgZ7S1Ih$MK<^VtE+b0<{(HGKG?M~t*+LvZi28t1K?ypf^@L*(9jh1e z5KDE$TO+0&vf7+Baonk@QHdKNO_D#g*__Em@{wd~dmQRbGEB4}BiS~CMjKC?STxpY z5~K_r;dHFo#Jan~-J5OlooEz^XmcbRH&NB?1X!n;6xoCD&`|IeQmIAXfE1y@QnjRL zv3xcfOSH6fQ(3f%vrwf3C55VJ&2qPa;~)~P@l*$zXIM0XdLfg~pyoPEf-1(eqYUxR zM3OjB={BcpOgxv$nN5i#HP~M1K_~4bEM_vfRC@~)Bbg(Kb}=g{uCzHQ5NCs$L~EqO zWW&j{f$DP&qj)n77nnKJ5{qoAJw`OGtbLqNhoP_vzqXGT+jU z-UVG+v<>YymuhL@){6vUQh!lY(uVdpB%Y11YMJ&#bW1a8v$Z4No>Kuer(&DK=#|r{ zb`#l%t|Uoyh5i9K2~)S72Af2;$;CUM>BuwW3$aJgU39fYa@jmp6Z4~bQYD5?kWy;* z>}o>jWYI68p+xW&Ee_oUbp%`&DR4x;LVr*h9q|a-LegnVo49UB4_Q9iL)JJsMLwX? zU8&4Qbo4DqArCI3Ea?194$75lGpP*Pb<%81B@=NJRCHT9y#~%k9tDG1gn^ci15Vdp?8g*glRb>z}JU1SL?s!f9W zKV(9>g-Cn44Q(==p2^*pBuz>{y)N1t0o;t@*+?g~+HUH5Vqs+42GJ_0Fvz&cw{Qu$ z<)g2sVnanYZ{`Ngt(35%4UsFq#h1^RHd0^-~;rnQD$T{H_5%^n@P>0;H3kX}Gi)K|qgT1;va z<7+P zoC~)_&?h88Nh4)~!~`O=>1bPiL!PVw3`OlG)scv1Qc1Ic`)3|EU7Z*USvutobjc*y zdMPTTlEqjqS*4B+tqSH5%RY%=pNG68wLlsxw6o=MwV}gKWj6O3O0qZaV1zg1j zzBKNEvFH@?lun@+pn|Hl*LZZlrq=2kHML|4darj839L2}oebRxBot#K1lxdPa&tqYk8tQHI)^*glj3G*8B+X0gxGsYDW4jT`jb=qF8V^JWX@l19`Bo^tIk*AJgMiy3MG;SX<(eR>dTO938IA%pMk!Tw_g>DQIkW4O`iora? zZ?~xb-m--<0Qu2m6vMe=t#0DiX*QSDKqs=zDH`orDdQ%a$>;LcVna`XdJ_y)2SdMG zL<%b^LxPWWMbZhAg+WzQ&(^UhHT7)~48Ps!RI--yi*8YB5{)UJM&Gjq9e7MCz;sXx zLq;$PlNo{#sRRD;jfQhF{g6zWYrcj4(jd~(nuC_X{^Q2Mi89A-WQ97UB$q4_Z|BZE z?igE*)408aV+QYF^JB8WtpoEC=msov^cvD)dGsJ-goZU5&}5)Q7r-Mp7r%}&EEWeD z(9~xVK*Pr-&M$Qj-K>r%Poe`Rn(Y`3)lfK>5~YG!iQ#J#bu`q!h+&Al##zy$sjQeN zJYXUPHJ4xs$1|-7GNw~#4y5%^BGgDc+(BBw_HrFMLf8+Er7NYIsa-F$5>^>^Q3A>6 z!7(8o9%`+56*iH4D@0d@#Gk}1{JX^#2GgX(=FTM}(&GV#8zrlj!B~y<&=xjJR-IP4IF*4-lB}$+ zs;_FOtgWu8uBoZ1t(>|x9;;j$$q9a3EhaJ2T3}7Ha?vvnyCiis>v#k~&EZ8Xm2Va` zrCLWlvx;lDj?6M1s#vAa=haG+aYlI_)Va5>mrlPyZm=YEB4P5`NJknip1NIVH}}5O zzp=>30_OSD;mh91a3;u0%mUI(?9v=+=Isjnq71Zr$YLe@9XB3&pt zx*mkXQR;ERkmd#&5u>Pan!xjPmc|6=4^RvrN0t-@^=w zHItag%8#0CO)aT?K8pOH2g_88CBhvDdO3{3+;tmt;#^ACSXNtWI@v`+L^kjcg&WzL`5 z*j9@}n09otIJvI4uNNDe%mNgRjEXvG=HRC@(O5SRX8BHZH`xvvvU%N~#@rlXt%=Sg zdc@97T7rqiY1AeJ?>jIf2%GLC`Zj)Tx}h2-+m+xJm&IyHEFKnaSOR6Pu=Q!Bgrk}? zv6ocB8V#+3VxfFVRC==0gvsEhi40OEYne2bjC}{EPLq~5AZg46+L^*YEx5}~&|8Cb zp>~SR4_&M>8VlL7@tpuO3L6*)nKU|jj)hFX1VmOb!s-jC4iMr* z03?I`d9c!@0Yw<{UkE@G!(%jJ;+V>zp^Hc&SP+UxmbgZY19W2|K@r`YQE#-+fUXcS zrMzrliUesmNr@BS^0DaZ-J&DI6LaqkmZn?F$U4A$dIg9aqi<-I%rHOB7Fivd4rLoJ zx>yAOqko8E?X9;J+4fVTsTxOfw0%)5ZM|WfN}1iq7_EGOT{8sgsh6UQCE)DjG7=IyNz4OsW{0mK@ zYVw8fm+pKsQ{`sxiyFS*yT^0U4^HsAC@24y`a*azhJG$e*Uk6|4_$PlvfKFdYeTx| zH!b+_f)l^GB!nkps^MOaE;`ZFeHF^@JiPqKmH+jnAtqnXKT|j6^BURaU$f<;w-N6@ zbj3fQ@egSHZ5p5QPg$|~SJ-S(-12QJ3-PNq{4A9&`b`F1Hhml4mj5EWBfd8N)f)e5 zjlWdoNAcl1&Pm_Kr}B|Zl+5fO6~dFjoo~j{$&;jI!*u@){<-77tMT8}_@M&%+sATc za%DmM(D)F)35^$majR_3ffII#5t&929`c{djVzA^aE9U`$9{i*DR({(w z{x*$Y9>q)7Rp|;-@MipT$KU?2q`&=PN&m;Hhc=omzkR-^ApZKNH2+UY{B!18O1azm zx9DyJD1B-Jd{wsUomw@1{w7b(-@^Dm#T$40a*bbJ`Cp6wctQM1jbEwp3)3rn{yE}P zNp1e8YW%4he>Y_gmq?>me{H#bjd$++A2>qFf8Yqoe_?v|+1{Toi2tU>e^cXETg9ME z;Ii@T{Z(M@^eZPx`jwL;{hlQp&G}R5ge34LZp6!$fA>a-zk8#^-+u0Ul|m_hJr98X zf`62LHU7CT8y{}QJQvZ@W$z!l!u&%2ysqi*c7q7pcor@NP>9jHTiYf7_fV(fx(aCz z?I(WoW{E#4>5bui!mqmfiQoB()X?QtaWoHw@n`iDzvvB#|7%;({ls6O@eAeu+&dES zTX0R~zHIvTj)N1Nx_8rkx=cEbRhCd+7Cf@-3sjUTpBX3d8y85#qj38r{@LQ#ex0bS z?g^#*Ek8%%FROH;eb=>@qW1|uj6%m6>IF39M{jHG6!%L%a$@ZdFFwezE4+9Bc0$Mv zaoCUE!rB4umwq$Y*zw(q2aO%Ky?BYSE?)HLo&u04Z zW{-|9wjbG`_&&vL|In>`%!Cj^y;pzvzX&9h|6qY$?O=R_xpT~tUM2|bWc=X#-vXTS zU#WJOjr4B_^d+~w%xAbUiN#D>$$yP`2KT<`_xFRp-VgpC{osBGu*i%z`&Dk{%OJ!x z1bAQRj_(IQsvo?H`HwSAQ(BpCCI2<%#D3_PDE&62w|3^be&}ONKi<4q)W)1GJq@>1 zuD1*D?tb`O*bn|0<}=Q0*Zk;oz+9>PjZf0Ga{HRaulC~P#;z}U-qH_FZt^JqC)Ol9 z<$6%@V3puj&-W;f#R#Ui_|FutRD7HclFul&biiZmDR~2t8!2bliuX4TL4?YNk zd|&jX{otd37h(4H9hJYe5h@kGTX7`E*D;F!YXLq}@f#G!*JOO1r1%{L^d~ERdjWo$ z;&&F{O~Csq*T#PEJo6cEnpB?VdC3obMoRS_svzfzxD>y{(mzErbz}C-J&)gi(c&Yi z2gIfHJ1zc}7rzB~U-|r=(udyGbhRI#?`}Cim5)k(6sPZW8Ncii5B@WY-{YmH&wq)2 z+Y65|eYNtT&;6Ot3NKFI8WX;|`*9EdcP)McIikd+d_J;xnHL`leP=$$@AJ@)2Huw( zj_wDi54K4UcWb>b<;0CyYWZLBr11Zt+)lUnK229~`nbHWbT{>be@gj;ez2Y=5^ZxX zKaF^tQixSn)jt_%9WIrT~9|@p0zPV`O|8r}grN;@b=G_ZS~; z4t!h2S(|PE8K(M8D?QYSuX4tRn|GC7go^Kn6(4kq@Ui$L#xb52v*@y0a9& zv;beAc++$#SGlN_S+02HR4;xiPEtXTXq#jT?ii(d`Aull{- z@;NT(Dc5a`4>vu#yms}yitjGKf28>S0{jWf|I88(|6c*`D?hLFga66$Ib^8t(f;;b zi(fp%gVTZ`k6+*M(wA6#$uJN7NZ@_t=kR{;D$D0NFP}LU&z1`R=cPY9+2ReuJ@}ax zUx#mk=~DVOi~qIUlg}=Tk5OFd>0CuF@8?E%`0N1QSGjifgWuE-erG@U1O4DX?gxJy zIOTI&Y9!~ghYM}Y(~8%p1xL5Q*R#NBK6u-b#r%A?;x8&5A)hw5?2P>l#kVT{E2aMr z#m`(O^p7eY7%cpcK3VX?rCFO1ia)em@Ou@X0G#q)srj_?nxhoomz4b5e*PH6cNgIG zitka}mUkZG!%b+fq&rE|U9R-|mA*&wzfSRI3iw2U_f_v5On>k?%!R<=fWQ>43tia{ zeiQRKc>U*2;3H9Ao1U1*Ih>>Uya#wC`rE>Fo_|yN8zwGf%E?N<2lxocIizx4zf4~I zLg|)qr@JagFS{4Ms&!evY_P_+PK@Mk{W=ldM8NLf2u6Pxx1hS>IXkX`7FL^5mS?!db+~Esa#E^+j+6dj@NOeAD@tN*=6IT;@P((KbLDhyA`kg zNbn}+Zp=32-*cbn;Tpv+Rr=etUXIrMT&Z}pN%-77RU&*{@$%O~3{)teZvm(L+jCb! zs-NFudL?q*9#Q(xF3FFj|GDzF-$Bwmldk>!@bQ&MJzl1#AWso;Q?B?mkBGj_*8GfB z{E)qZU!e4d0;l|MdqDEDUFnZje2vzNZI8z)pF6)Nd`2sMgVHx`@^e+~S3Jb@nwaah zPWkK~Debxu`brlqvy*=Qsyf8akMUn)+7v(OCDGefU3yF_zHzD0Kd$<)Me%Jd!e7N{ zE(6Z(C@cB2`gWz#-+83y=N~oQ9>w=vEBH1o*R6_||3Kt$iRS-Dia&J0eCF_Pnx7{X ze{#C;Ib0VGo@HDUbKTxo`YW#${>%LGauDK?eA2oRdYKk%l;VZ!=Z7%vVywaXe(D>x1j|T0i)Q z%4gIt(OW*T1@XwoXJ74l5^&0YDNekM=AXYN+11^ zr2Dki?-HfAj;gzr&l;uwk8g|oPtbNmzvtro?2`Nh*9)Wblzz;1$q((v(6v$V?|oJH z%n@}qotA%Hi0RJazp%TMzbP6AOFu@z=F|U99*kihq<9x&1_cfb|Y=*5@+}51CCE@9FxG=_Ty`I|lJc z&)fbedOJ+2%S=>!?w3R#+7+Ls_%9YpJ{wieHHz0=D)=HDzfMqm+|LE~Ykrm}9&7f> z?G(k|u9tMJJkL=)_b18cN1ARka4xT{mvK^`DWzZBCh|F23*Du-Z<^pA>VoVgir3#M zcv!cxzo7Vct`vN*mg~#FDP8mXdmvf-j&heI@O7p)rg2P20R4Nn^0~ZLaN7T)>!*q@ zRsGTIm|rme-rpe%5_0n*%C>f>?lmfhd5VwyjHFAxsOeg2`FvW^HS;CHTBQ$vDa3RaO0mt^j5Fl~D#Did8;;Q#`s+3VMxpKqZ)HP(5C!dT#sYk-&-nwnL>Ko2nDA!xisY_W;*R+u?U` z%9EAPhwn=MX`hv@8Hz^>=7T2!FYjZWVVTm;-Z78kPf$LmDE{a)Z@Hq1e`U3li+tqL zwFx-ozcRNG8jRoFsa?HU>6Z=>KCjYCT-y{swLZkpv~Et@b+jq*RcSnBa&E!WHa@EMFBa1fuKJ%hL(wtR*Ir~3N-AEdmW*LHLWy0$8Q^~E86zFXyUnes7jiJnj8x5ivy@d>&{j{PeUK-V{-t9=OnHG%BJ5ZCP(;Q}o6lDj zH}8*Sd*e+l*PF~o6La0*&XVi5N9SdBz4TDvB+uRF1y~>2{I4*l$x8pZWQe(t&uhBs z6yKc`eUsg1V~$t+PoE7j-2v6}g^GWwQ}B5@&Yq%p^x=6-Z`W<;*iX{KR~tniLfYS+ zr})i_h0h+2WlSq@%73W&bs3_*A%e>({i#<7|8tc7Q;JX5_2df`zf5s6U+U}pfV{X` z@v9yZKBusBjJZznuYN=1FjV#J+lr6YbsklJbGPE-J}-PeR6Y+XUimG-BUN={5)3hpXJ%&E2dbLUMn`=VM;djdCQ^2`?wVmm9{k zzggs9?UTPLKJpsT=ew0YfQ2CGw&Db-K0yr+TlvL+a74^Zbj_muvgAzXZKR z@gEl0QCBE_#8OE&p^A95;t!u1;`DA({6@vM-7E5Wh~HwK1$>0B!i+2UedGN~zg^c| zKcn;yGp-M=+iOa{<|8TZ_s~A+qVHu$AB?U)SUb>E3~{j#+p zXZn2%U56=t)qPUl9a^rV6yN!Pq)YpAbX6im~nTC68@_4+3!m-A2l=0H=^equ!Od8S|T^PJ)(UzGA5r{mfy zif443Mf2zD4aW7sb@Po8`E1kiy)7*-hXSYiz2s~u*RQo+DwN*%MGm3Iq#`FM{o_-m ze(Adox~3`q&^5wmoXWXT@s|#SnC=+G=PUnE!9K_`i|@;^{4e5I#;j33-Jf5`$P|^& zdc_a?MC7wx>Ca=F<)50Iik9>WuA3oPB{eL_l>5eH9`fn+| z;dQ}3tqO6s;)z2=K7ZBrvPm=O;+HWsc{28Cffqpke*IA0cruKQaz$U`DKDcfd0H<`DUXyeOA3lZOUZ%KtR>$SP z9?AF)i|-Y?I;6a=Vg9A&OEGD8-_iWspm^jqk^lGA?z&z1q=)oyc?T(irSLH!|)?k{`Az{nQ7AzCrW3UGW#^E@rw?ZC_U@{x>7^zt;A6J#fnZ zZq2_jFEFQXEB$KS2Ty4hzN`3a{vJ^L@hd{iVTaZuts+xB{^i>Fj1N*h{7CU{4iY)j??>q>hKdmVWorL? zQ(#l7_!T3izUX)Dbd3T|^6A+n?PZN-=unI6I-4eICM%z97f8Dvr}Z++(szj*?iBWB z9@FcC>vpR0d06N5Rt{$?UKSPk|3u?#RQ_-NO7dy*vsvlSFR*7WWcqO?q;_Un`CP8_ zjZ;NW&e#0!Q2yHzGG5+v>p6iFt2TFf@Q23N-{sWi|Q@Li`Bkgg_5MeY*@wD={{q14ExgUE# z+wPQ|)q3DGj;zt~PP1udEB_UbiGE(B{Xj_h94N43mni+39?Ac| z1ccM+ihokqAHtfzxs2P?dheT*{@en6{)FXox$w8^#+NF7hpwxfrL3-0{0H+zZevxR zUsF7%^MahxU#IwU*Gjt^u5!Lp@ip&iJ1v(8_bGm0a)^O-%4fIYcXUX)BUEmC6yI~0 z8o{owEgq(iXV2r>VxuG zruf^77jud5$k%BIaDKjd0G23zcU2++iYWcp)ZT6va+6T{rWZudgO&24OX>f3bclg> z1U8>i`u%TtK+!cr~AC(LEYDWL-~AJ@##lM{YF&IUspU@FkauxxJGu} z9`6T#OZh~;Epo$0o_u|v_;W|h=jWry>eUg7 zzxlS*?|I7q7{!AH>x8ozXBM76O-la*t@j&M4jX_|J#M@6HLgeNC?Tu#vsX!e?7H{1 ze)wOh^lNmz{R&O}>x%zjweWdP^M9-2+b z%uzbd{t|d!_V#m1f7@wNFK1{zUsV44gTmkT&#yDR61i?gSOBMbeBtR3qkgQL(>0uN z3A_JJQThiy6JoeQi?E9sDcWb+wt$192&o)Du%~yQhQKCQJQT*#12(+iFJFWK*X&Eq2d;2FkC&F?M@F`Mre3Aa!7L;nTf zoS#OKPrWAo73H((OySd|^?QrbZ&P}#Kk@Y)r9W=A(61HPJgD^MtHOWxoAP3>(uZ{Y z^8}@TobiMGAv~q@Kf6)-jl7oYWySw}hot)# z)PCT2;KYBD+BvI*jhV0b^`+8|KC%s%4x9+LcEH1>`0R{ka@kzIrKKtgACU{ztzH{m zvFy}!;V_;SdY(>R;CdBHh2dkhInoYq`|ykwj^yF)5UwKO=`tRxnpsx|XQFr!PQ<$L zRFCs3*sB`+Ge+LJBbi@GO zlY?g*k&q>S=7~saM?BYtG#X}-$6_2+5}`AC#HnYls&-a&1H5zNY>^0@#3PLsyd*E} zRSh*Y)$ke2q3YRimj^$0NCW&kB5C}EFStaksJgw94Gm@k-Da@^X1H@DCQ10_ z&LDu3P2k-c8SQ{;UOaDvm-J+=s%F-#YJ(#fa+?ve8o^EZNIL~<3>;Wi)y%GGu&*1D zYkl2VJCka@BT`kWfD=f&rgS*j+WNekNoTNk$NYBwAbP-U#6})K}vL9Os6!RSk7WMZz`o zqK0a_u?|P;;8tT_A&f&7=(vfh+PWI}R7AzWv7UG?M1I+g_2zIooQ!wDA#{cg&Hm(t~GBMn0ko9hQoNYR* z>g!OGG29Tlo1SKxY`!Dg9)lQB1vBf(Hz~XvbiTF$^%+gJLLVDyt2v3< z24oQDYiuSmI_Ckk0ck8V@b-509Rq8l^#D6A=XKW;xD)$$2+Cl6O+8fN$OGX6`vi-NN(=C^DpHr-?UN z5@Dlw!>y{TgUYklTm;c3R<1#U@Uz)U+~f!^_I67JhsRbVKrTb+sb1XRR@I>vdSBpa zCY^{wX@Z4vuC0cA(&;d@7nHso!I=o7pWtvEg%OP-)z(sfn`n!37epNw4t3!2p$n_3 zqpF0i)iogBkOtJGx{d?2GiNp63?&Q+RvOetNV7#XAToK+j=()>RecRQF*=e!AE3nS zwp$dy+5o?=6dC1i#3P)|A~HQ7Cf?}-d&6h1^GFqiZ%uY&YH*KjfGgEz z1X4Hzid{t`H&Jqt39LQQ+#So%h=p4smWE5rDBNtqZ7rPR!-+N>c$I=*Pe3*V|E=+8 z97lICfrdc=V#MMAcu~`VoAGqj%xVl-&G{Az)RLopVnh$r5^&^{jUdj|X(Zu;OR5@X z)nTZ^c{%7!Xh2=EV)guaE5fT6FUFy!;dS%ouUJF_D@Q=L64}fr4|o6>mBS0q#36gj z78tlCr&A)}oK(l_PEsav5Z#f0Uur%%3+`Xrb2xbjr|iVz?d>Tf(b^*3=EJFMIG#ZV z8wxF1yn5}rwewbmYpPH-Y5|!zw;0C*G6JXt?mbDeC=xlBN6Zz=<}V1N8>vFC-<|dX zIJgJrbg=%wD|Z*91IM}0s7R(3erZusI%FVhIy;d`9JbKLUS-KIH!{$gNznle$aFjk zAJAylIKr%xx?y-hcAuICx3y5iT5rlSjCox6x=Y>yp~b`5d~-q3simUmEa$LkkK#xu zy5VRs=Ug2;C@ZAr*c;?KSp1Lkc@612{FaBq(Ay}S zVdul_bCwS$K*=ed?Rij6I(8yUZvJs%68js6>+uM*0B6DQu+8FXPtAnzBI&j|$5pty z=r~D@+D$>h=>)p=2;?1!#o$VqojCK+Y^qsQ<@s>74VvREU#~WSaaRUz_*vTv*rQE2 zU570boK$Bph@kZ7kHfH^a%f2BV`Mq!Ime`GU6ctdCH3a%jp0+LlOSbk0y$ z;qGK$v{N!tC}Mx{Je5qzTJ;8}y2ghC|;>Jt9uKz;P>5$ux=Lh57dFsv|jfG#dujXn&+co+v5;rP8&6sIDZY?hg$ z8mO1R;d)XCnkR5)LMKomG9Ao^dbI~SaV{)t+ump>Mnid zN)n~u12|Y=X*!C6$taHl|DxQwsk7-lpo9m@Xxqj#b;jYa%MQUYM6A$iDa#2M@SYC| zg|n%a94x$e29meOr|JK&^zdizkr6%+>t{;VSa3BZo!GC zZtjQ}$+S{~an}RQXR=+;k!TnrF3lS}kv5~h?&!mI=!|re@r84vaPBAB1&|7hC<$Nk z)Q3SDu}Ee!#7c)UAt&yJNLmC-jY`W#5)WNCODEcvijk6{!uWJSX$a_y$!c@;UOW!P zsmh$gV5yGLdvo$o1LP*2&PkgR?!6*J)~9GvIQy;Mf&f8FD7wd(AG$3d=?xD8)FetaauIa4n${}Ea>`s&%$+AtJBPQJc}3F#B>$|s-i2> zo=l)A*g?-Wm|jVugXR9XK&N;-GA&r+LYAF=l>2w|^VEqMDLYC@9dVw<+kz^VC=i&r@Fiv;yKWe`aB(f;;qe{tn4%?gra3TkwKSWF*2hYgvE~`ESsePWMe#o zb87%gFG9uzsTaC{Yzk)*CS&b5NL3GavE6$dA_H@>52*X)6V#vsJU2kqCAP#MR_X!e zZ=TMDL<$%#!GUHq5EG6rhL~U#QT}uZ(k=%&_A_;7t~TD%jxIHvs4u7w$_<@o1~Ev2 z+V9#EkkK^JlT%*>>q2OKdFe#p=TL98_;du9FfdtPCd9 z=4nwBnvrKy0yFLmY8m~nwGVnpO$Ou4Ut@Hd9ia;$*w1w*n9l$}BDoO=z@vxqEhPbq*BR!F)~PT+C|YCD;gA^>4>*4DA$1VC}FS8Qm?KXGA>O- z!^p(Dkl%vbQs>P}1k%g$@airm+bRWd?BQ^vA#69w*nlIb(S~W@p>$GR=;X9Bq?5qV zEa-U9j?Ek6sN`g1OBZh3V(I*68YWOxv}}o1YRv+d=&6=ytdOM$YSuX-RgLuzCTx;rZ}t8)-Lgun}JyeNgd;9zkGhNniP5U5C6jI$!4g*7oiVMBqg zHz`sTtPk|+usbo@z(N;NNAlFeKdE70A%_z(=pnFv=9Mx{>$tn;mc)w5W)NCEX$p#s zB6y=Du)a;X)x#3QahjQtd6|Z)u~+j6LhKrZpeMuuI20vn^mni21#%BgN7&%&mC56GIV9O=ZqzCwHBBX(g03 zK7&shl?opg(5mH7pp989sgTtOvzqEEj7Hf-6ME#Rw}xO{!5lo;BGi?6(@FbOT-CkZ z03^*zYnX*{@7l|ad&4ktj|I$JTY++6kn_qH1Ev?SQ$ENL?+R+8_aID`I4?Ls0?-{= z*TaH7HC)=aR=bWwOJ*l38K$CPrE+yfP&J$|CY9ykCF4j0lXhBwMZL6R@`k%C^0EBI c8i(#ei_qM;Lv<#!;3@mkkWw?c!?5}P0075ar2qf` diff --git a/bin/unres/MD/unres_ifort_single_decoy_E0LL2Y.exe b/bin/unres/MD/unres_ifort_single_decoy_E0LL2Y.exe new file mode 100755 index 0000000000000000000000000000000000000000..c84f37eda06a02f7da33927838fc4b4011db9d41 GIT binary patch literal 2510972 zcmceQgXx}0{rmOPtY3faN{v+K6)pkEznZpV{5gW=AD5P_Md9zc+S%GEplt$U z3e#L6q2Cm3g;63^(;^T?GTz?=`nzPr42McevqDQlhAc1mbD2>Yj*2~7&{n8v4nZ>? zb=4d)U2{Ara4U30$r9Rs_{Cu+h=A%bU!s{W(adLsZ8Do?g_eD^jn`1qzM=hHph&wI zm|6ux;S1oIdrN0AUi;HoWJ7pMNVsc=AT=wr@?DR7r^=-NtG||>7Z=XG{j#wa7tR`8 zICuWSMWYubUpD%(u@{vTU396?-wtUs@tUbO3b~d)PBTlXK-g%;b%cv?J&)^I6Jz=^ zT&cMJi0gD*FXLK>>%X|}#dQg;`*1yhYYDEqa9xip8dn3Zr*W0wiota&u1Z|RxIDP5 z-=7g#fGYvlySVCcJ%j6QTt&EE!{x)p-}$)K;wmG7-(XzHxW?kTAJ<=SEyYF8ug1mS zJGj>18bJcTDqI)gT7)YD*Gstmj_V;@598|nn`i>}Ak4@0CtPk^cj9^rR}QX!;rchO z>u?p|;;$5!^t`4$hxk8mt;F>PF6&o^$Xt_P->AlQ4z6jqUd6@V3K@v*w%m-rZiWoz zTiHTu$}T3F9Y%S z_e%W#6jl399GK7QBST^@>|)SE73>d6oY6rFeXbIpfcR*WPEzoZh+l5fX$Uz+4mW8A zDY&NKnnVJ>elpO`MCdf*=OLVqYnVx^!DJ9;o4`~x0r7#jt~If15ME}+=a}J@W_TLH zo6R_b+i=C2^f-h=%=joXw1O-|#^7?{x(Qb%u9>(l#B~QQ>vuOIvrOUvgcsm?6jwPe z{=B&IL`?pfXT}{0#%MaOTwH~?p2GDQu2r~h$Mrj0FXFls*CV(d$Mp)X8eG=zYBQ2; zhEZ068Qy?!ycz!|!oQmFa6x8&O{4F6|6(Hg#yM~F#XmL-|Gi;wjw7dGBrSf7UYCAn zs7Gp;zfb<15~kd_Xl#AyQw%w=Xz7kKWvl2$e*S(6qt62-|LR*LztwN6O?>G{iCgXO z!Z78&9tQt=82wk7^4pzKejW1j_d*ysGr`}Ny%WO7Zw@2pjWGP9!uaP6Q&0bSQcr6h z*cnFtCX;`U={JsD{QVrJT=sJZ8G8NB1nuaBk^IV(?=tn7W%9fkM*k6E$~|hzDgRj7 zg$1PeMcZWi>F(7Y9uH%$Jq*rqgY7)CTDEhS6tCSFM$fat$ay}D{_$b>ou>XZrvBDA zu`^7$;{^W@ZPB-!X*J6YVvW>Sd*hf-yE@JOo6Ai2JsL)zNv1yaz5JPF;+ok`mP#>N z3&Ot2of}4f&T-VoZ|Y;sU&W?;qgT1(!|3^87`!8l|IZ1d&v#+uRGRwuhspLf&9pZ? zjQka0@TW~VwP#2^CV%c_E)$W#0xTrxAG_%vYkYgzl;bkxaQ@?ub2a(3b7i}= z{JF;DkD4LNwd6b<#t*HQo(Yn_mcsG-Ee!upVf?n+l+)5{JUMFOyG-0_=Z#_HToDF; zCybwegsy$H!#FJZVzIhx-+WOF?O}W6@8&ReeG*1~ZWw*I)~5ff&k6Pax-k5&0H;2# z#B!<;=)dO(JqKyYz3PkOCFPed50!sj82QPjoTa_SlMxs{&lM$x{`n@1z1$D=I#_}DQ1UvBzAGuJ-}X7yYfMxTT*_Rb1}KWoW9SNfm!@K+s%-xo&z|Cn-e z6GO*`mm#aKcCyjruN@)zT`Uy8d&1bYCX7CJg~4OO=s7(M|A8=gc^LerF!Ec&;CI0` z_KVD3{etWIzWg&WOu4mT{PU&hPyh9|(2eHd7uLxp?lRY<(%YIg0P_gf)z!VmfwKgD zhUVWQ$3rwr@w>$2Z|ODvZV993WaOf~xxMC{lra2PoAMKTjc+%Zc)Qt7YNa~b9ReSs z74#ZUv@rg;-;}e~^uw8^9PYoUr)!8DKif@%KNh&jqy&F9w4m<`q)HA7K%+Aw~;EKK`+I1GLuj9p)c!LJr}MQiQ7 z+WE6#F{S?e&)Vj)O)XW<}Opd!yLz4;Ny?y5af3Z zmFRiesR>hV3>Ib_H?*_mNMh~B)57qdW%B2~E&1)B`MWJl{gR7%`pxx{ zi_G{f3Zv&eVdP8=!=Gg8Q+~eG=MgDhn}|^Or&qhJ7r4o!1a2W`h*oKiKh`*ThgrXw zz3TV+FnVe@AD_qL@XNHEoH_G~=I4}@&RkHMlcVKKzB^~y>^XBwN@p*)x^QMm$?OuX zuy8@q!uhlEwbGgMwbBI(=jY{Hn3g}UXqJ|D`+`z!_M+lTwV8QECE85zU8)rp&CyEk zLPBxTJtUBwSy^;(X~BZoGiOnOB(3CLlr>MwFS!?qIXSZzESO)EQ&^NYvvh9Jd~LzZ zxh1o;!jjpu@4RfRmY+Ajv`{OVJ7;E|NJXr$X#Si#<`rx6XU;DwDV#mKm<8lX+ZN4Q zkW*4n1RZj678TFQxqW8I?D;e2&DKgv7vvS+t1+GVq5IrYEx)LE_IxJI&x1UK3-SsU zK>L!pi*n}8o;R~_?wt7$1by?0=I3k0(6nfQmM^TDSvni)QV7#2DDO^f=IvCyq;%HY z`9k7C)0n$UiWkhCUz)E$E2=nWc4_h4S=ya*QPkX$nWd%oYWcH^^0j;yFYZ^`UZW@i8{p({A>zgC(D5JI3ly)H`5FD#lV_~{wQ7f#H{$zOzKQ%b{2 z=fbO~GT}n_Xzm;cVYNW}qJ{8n@j|FVe=n3C7gbXVGv){@;GFygvzc4eGX1E*oTbgX zldZWJR=^o^S-z+Q8iAV1H!BX}S*=AmdB}vkrFq~IKBpr~0=ASE6&Bqyn~gLNErl%? zbvCc)Zj@&Fih^ZpmCZ3Hht1#Y&huuX<)9+yo|5@y+0YXmYVPfG^1#M^a*396{&7g<;*IikV~~$g(dgS!{3>+X0dk6KAuxF zKMyVA?$VhhfM(CnVP8b9d8W&A?wh@!NKL=w(&U`mF_!egOtN6%oY_(iTb+;^gnDHy zojd^V{=6BkWCXD-^32^7zpSy}*R z7g~Usv1qJ14JrA!4)Uq6edon`WBzr?|qZ2ts{0 z-pXh-8jC4tNvMTELrPC}r6o)%OA#j(8QLp?rJxP;!K?aKv>#+QEkzGBo!3`ZHYwpf zPsYTYo6@J>rITyDLPtmf>^)V%t0S`@&3`y^&Egr2?}nVVG(yI z_?ZOEU!sDm_rQ}B+}hhPOR|EWV+ld%Qt)#X+^ygjD0rrVk5cd~1y54&Tm`>G!3z|e z_pPm8v4Zp7i}hQi;8$88!g2+7Dfm(aPgU@01;1LsYZN?P!D|)Vt>CK_JVU|j6kNT> zU$5XdD*S#0&r|@P`!KuHX+Vc!GjgD7ZtxD-}FZ!Ivm_l7f2`JXygXRdAPrS1Gt#!F>vz zso>QLo~7VVD0r@duTby;1^r`+|AAw)Dg0t+v&neqK%jISLq7)ocO5kT#a7<`{UxI@3 z83F6(P;i_o1%8PNt_M&}OHy#oW7aQO!PWCVmx9Mx%n02Iu0GS1so;YZ{wxKzoAtI=e{Rf} z6}(%)uTXGpc(DCj&tow;O2H>6{B{MuO2HEpJWatJ3O-4}6BYa#1y54&$qJsV;MXd+ zOTj$~?pE+A3ZALpymxK=vJ^bi0ukma`1J~2px`$sc(H>2QNb4}IPb|=zj6haC$=(W zse+q#yhK8^g6CR75Y{O8Oa-r1@Y@x9wSwm6?~b3FIDiz6uer&A6M`i1^=^x*DAQWep{{JPbvI$3SOh&^$Px1 z1@|lX(+b|A;D1x_HU)o1!FMS5vkKm>;D1+eL&5){;GGKooPu{N_=^gzogZxfFDrPI zg8xgw?F#;?f+r~WYYOgA@c$@yqJqDn;7JPpUj>jh zuArYL&828Zrl6l7J%F@J(2tTHNIFT-50j22?GW^Rq+>|i1-*bYm#Q6_pzk29lkPkL zVEFB%xisx)7xc}fV@bCOdKzgiQ9JyCo=p05(shEKNSaI4j#@!qL7Gd{j%q<)N}5a4 zj&ea?Kza!2VnLrvI)QYqpwA?I2I)*epGNvj(k?*{Ak8IeN0OlXljf02heOc6`~Z3w zX}h2glm0zvP0;&DpG~^+IPL$2w1afJpm&l!hjg2uw~;=Vv|rF$NONi1Q77mo(p=JZ z)CzhH>EWcS1^qhd5v0on{W9tENf!(HIns%wa|Qi0X)a+qG6nqv=?h4^1pO%K3rQyl z`eD+eNIL|5A89UWJM4m9K>8xmnxO9>eKG0I-$ebBP9ohd=$lDjLb^@R(@1m4+u;}V zWYS|u*9m$e>9M411$_nSaipsSeJN=!fji0teF5n|kS-SVxum(|?Z_4MnWQf#ohj(k zNMAwPCFlX9Q%ENXx<6?ybvqn_{^cO(38d|UK1}*b(wd<6k-my_=dYsvNxMk53wkH% zRMKsN-bQ*NX}_SikiMF9ouHdYbBWwhE9f<((@9qg`gPKiNS6!xWzyG>E*A82q}`-* z1^qPX4APl`euDI5(k?+iO8Q#TNrHZuw1>1q(D#w%61l@J=mn&wlGX%$2kGlbcm5*k zpEQ@q9qod?ne_Ff+XOw0^fc0bK~E-q1L-Bap9BEEK9l3&jn)F=KnSy?T^c|#Kf_{|rourcl{V?f5(hfo2 zN199J4!fWike*Lk6Z9RVi%55N_w-zHFvGj`Hn;a1cg3F0%;_1;e#dE9n!9;@k_ejH zQ(T(yCphj%bv5Tyca^Q*_g8A}CH~U>J#Au2tyX_Gy1jdEAY=K2sDtQb3$J}A0k4Q6 zTYr%5hxXe^t^YN`U9rEP`|=$n&V2oB^d5JGzn|M{+kN0FttW1yV5+ix1PVM0zS(4Z z2hn~k?v~9P^7HdA($B7h3CuU4U=MmX%Pl>vUO><-YMle+Z0>2_yg}8jy7~sU_gk1+ ze&dbqsyn0H-a;q-?1jR`9`C&B3~!hBftoQrhV2hnFZdp)@pykQyrW|@gA<(b;O}EJ zPu09?xA(xUZtt#JAe-eCb%rzQA&)c41zdl1x-)8B(&<^+ z7{77(*g*_#|7HM#_tAAKCcJVubC9Mle;&E3Y|%emAJd%XOynYDiGOjb$Ln;adf#*V z%AASF@zNobQ=V9^8Gi?ZKpROSY4LRfw5sXO#GWQE{-&mUvUrQ9%IQQ(bjb^EUl5V)R@ibWBd^C(_eM1 zo*L2k4YK^R$(anRtnyDx9iZ)hyc)7cX!u)pzLxsO8{wKo{h9B0#f0~Mgq;gdg}gCX zQXn`mt-n?kfB4%igWY)(zDMB6Ys&DsdfX}9x^E1!`NmH3#XWdzXT)K5-cfhOrsHm3 z^n)H>bZhO;V`JRDxK`+(WmJ59cAB^4*p3RnW4#fPzGQQnp56k);fcDva!Wl#A~vIP3xy*VTe-z}Y+Ou6 z&w5WvOW95oyHOP9Teg|F``CINv|hOhe-A@K6D8#9gDac-A~K`0Nl4P|%bHqb(z2#D znY65_4iV&9(X>M*t!QeONh?HlB&}>RWYWr}PMNe)WJgU_Hc^9oeMn_fCn7+WHFZm5 zS(8>RkQGf)h=6BBlU*V!n-V0lvdMu6dL7);q%XS)BVos1|9`eZA1RH{M@rT8ky2rO zq*ODY-5hA=N%`~vSxwsl)wC^8P1|HOHG!*ar@Nx3-{R{qrUT!ufVYP(g?FEQz?Q;<~D3N_1DXOhE*QyDGY?MyAAd)yNb?1QKgWiM2At zN*=d2va6n%y>VTB5k_~lAVdfHS2X&dhkej3hZpw(i~-}aez-oyjX{J9JFOv8B)OX_ zoqSG`i)oGmNx}Zkq*^5T(d|7x$!6rfZpTbe=}bg7tvh+v@@gn;w*z%H{`@)#ZeL9xEIPHw_r;N-LWqkzv2 zq5!>;kKd+M#XqvGJKF7g)5*6&sjfqW%bdkXPVL#Ut`KFWc~^+^H3c9VTtaK(-B|;n zjOi9d$zoGUe)ZtgK9uBZcAch@$wFlICTPj)Lr3A1D#ZIm_2a+t^$Y!jrgKp4iDF~qxl_Aks zE#w)WwT0?bZ80|11au;1yh=vzA=E1M3!U1%uJ~nw@2Q?g>s+Yeki^sz(VOS2z<;)nGbuSDw^|fe>0AoQ zoU?nESPd*XUN&;_826)4R1d|Yc9H^~s0(<4n6c_3cmnlMgFL5DF6*JOUNQl%1?GfY zx|%#j2{J$~)rH*TfLwn-E-}LcHkh;bTH1VpPLx*_bGRdO5Uh7w#Wl7EtS4qnWpSc?#pOkyo7@O$g{*RcPQ665 zx?`6NfMeej3G3Wa({INOK;x@M0sTtPp^FlGt!Pr~IV!@p-Y*8At4^5Ifu0p`UeHKb z)dG#h(8Nkj^PXrhOmo|i;4;l3Q))vE&YK|9HcM?anF0Gc1NIR!mM`h;PD<}KrSE{m z1YAL_QXA?ZpN?(^KHV&td?@qryg-Wz^y(~>(zCu%LmwLBho?y!34N9t1s+N^AdSZ* zYN;(|shz-!%~HvSQqMxE!G@D-S;~e(qR}pjHda;Iwfz?ywe@`ef3A@3&rzMCe&=7z z`pwr5`SrvddSaWN*n)ZlozgSwlBEiUtfpByMB*10sS|*rOscbYqg0RBa{M ztt6L~RBI(UtfWjUsm@ADLK4>-?g`bfs%)vA__dz6Pfz?QUyuJ9)A|SKH|WOb&Cn6p zK49B{t#EdOAF2cU3D}pwRyyl}3A?_4+L0SwWu~_6G7`73_I}fl^1p~kkr_%|RW^ynOey;vuu?yA1}B27fb4eQt4-PDF&?#K(^*0` zzkLz#35mvBDLcoMO-@l!Vv;Ec$nFGQXUZmzagimv+mfB7$|liREM-TSvdJ0r$)bR4 z4ZQWHZ1Nb}%1xiJ`3SvpRoNsO%cblW!AhTyGw7400oiuoep5DijJqw_>`+2>0U`mP zkZ7!yvabc}QCr=&99DkMWnnRtYb;N=IA%@K#ZSaEIM8-5Ozj!S>A)x0&In~QIr^W2 zQRkue7D4u{sW}_c$876Z{Zmg5^6qf-!vqmCZ~K-~<*N9z4ECr!nc) z;PmBj77NEWI%Ivp#$sdpV!PIng=3$ap#HFrT87Y!Q!(`E(_>x&m9Jm?`P~DIL0jT6 z_U+hz4pQU)A@D<+k=Alggr4{@jNW-z&mLBD&X&P?;)d)EZtu|>a2nu8l~oOU>+3$} zWAB#@jv?l_gp-HVhOvXiEdJ*icFmJ#Tehh?n(v}(jhs0f7@M*LTe4QfJYKm#@pxxB z9U0!=uJy&a>UkjJ!7)!ls&}`?i!%?87v~>t?`z(~yayi77$C9e)JP7g2=GwMu6tLVA_5QbXROlNbPCP=y}hRvP!HY_2rM?hK#2QmF1~I1$nAiJ5{WmD%8$H@A;AUmJM#7 zxIbvF2U2fLoz6B?jx;nn+ix#_J{a8zr)9=}t{6;c+}Bk*2V?WU6T#s$uxvFW@oh}V z8_9 zU6hYs=T#o>=oau|4YLi=2mIF$Lhu(Eye5Oy58nV;@?>EKc)_syAJZ5tM}VAP;EW;V zr6bV@W?j`4jRar6V?43gK@($l36+LjE>#+K4#M;?dpzFZR|#RmT?q8V(U&k7Ir;)o zTI}dMgzOYZw``n#VP9J^6a}FZ##{X~0oZ zj4bK*A{c$>@50Mb5Qnt&FCHOFlMFV<-~$9GbFhsxB3aF_&$dY>zAc0L2|{**4A#hC zgACr2!N#7Wl)Xs?%`#|{!BzxDTrwLc+NlFon&xyP?s2+|<9`rsmhDToPj|X7Tw>VF zo*~!@or#E#?s$)*Z>&sxUu4V#F51TEpjTfZYLZ?el#40u0 zz_k?zr?;S#SzG7Ky8rdBr z+YpPznJ`Z~A#V%$aKueCx;1IqA7T)*$9(^cFcFOPJb*)HGTP$>j%=S%)P6$aUU?oz zhsZsul}D+RxtFA~HJQS|)0rk^RX@yQ&fg`V{n%8-Eth$6ep$xx0e+ad9ePMGln1%eX#y? z3}9?Y$;KPw%m$W)lr#)fgmaCRgki{ZXD)*@XBM=J{Yzrf?5w&CuAhaj4XFs zi0k7&i=^dlM9>QjT!+zSI+*cQJ5%-SAE$URnM|e_=!13X zX0jKunq*Ip)^{;rD=J$pTpvzLo*q-P%^(I~o- z7$@NkH=x)jlLT{Y{3mS~LIrTD7?iS`FtmNlru_{fKcU_>!JW4MnruswO|}-YU^vhE z2+1+en#n$wnfy7EG16pz4dM3XNmN$PX2Z>DA@WFqM8w!h=GdWKqD^67W9eC(d4R@s zv2neKc?Qq6>i0WWViQMPu_X#l#zRD*l7@p-diJw{Aj1K{$jKH zMWOABgI$l)Zu|?VyTKZFQ4Q#Vc5~$Z@j74h9zr}`p2UeV>S7G6xX&5c>ae4!h?w1s zMYkpfFvm8GyGR9w7$*ZXs}3TgT06J?8S7F1RBvQ!0>m+NAmp%)CWjFmTti!pi)2ZN zfgeg>3>PZcA&_~x!N6q<<94bgMsMVGwrZx9&el#-3NUH}DSk25Z=39eYGmV3j!ZBO z$`dtoF~#&yu1146wu57QYm`}X0#Gr?lcf{vXu%llp2%Ws+Hv~E{+ks2#*QBL*_?J}+xlSTB~-O=bk zU7a8&`#dR_D(&N2g{^2;k*)RCoDoGk)0}qnvaW708>fvH&6N!m?azU}?r|mG%5^qymoKf{?QPAMobyDcN)D>Mx(im?)gcJ}ex3)osvZ)5kewnYu@)#z?u zaeJf8*wDQRX3V+Q!59VGp|;(*x10Ik#^|mc?_iP;4sAyaL;QYRzoH6okZh0Jp2?z+ zJgTdWjA#-Y4Mxzwe}r;h}|-L=tu$=}G_gB#?xAc7_&n&TurYpiV0z($GYL_dz&Yp2fypJ?vF z--*JHm|n~ln>Ajvce0HaO%GZIpzM7tuahP3<)816=rmh6CYXtOmQ(f(3`3$RigflC zJWrI&f%i6?2b#wWsW)Qib)f=m&pmCPEZB`dULYFjus_`|P8P}$fMeVu8IES?$s7IS z8#q^>vk!`M1sD=}&^lL$@$8l73S}RObA_1F1vjuhV%~gw8bh}C*fP0MVdsFMSoUFm zdk`mg;@5c<_C~iMH-aH`*E2|xL52(_LL$Hl2t;tCS_CnmIH&?SS0vazt`X-9zg>h! z8ocqtf8_3J2Iu7A>pv8#4R5$hs5bm(h`{49-k2RoK=8Q?UPi!0Gmy6>Qu`X!iTRHV z9!3C>Xp?r^P45e<;}?SwMa1Wu;cc>r_VTw1 zOX`uQ=(LzW$zZ7r9!CIW$9YAV)uoRdw_K(?D1-9R^l{8XGI&@9RWev61K%O;fn%1- z;4vB0$l$Lscv%J|GQ)U?Hk!{@W`1koooLhv7^4pcNsOf&AmzfFV{0MCBpfEJebZcZ zGgS_x$%u)8w0&S718I_xEyd1qCSu5X56tcCZ|kr1dFE*lJj0o+jG|%)6`OMw$x|~d z%Q2kBjy}9m?CWFpA!Pfuy_6+R3Sw<7KyXU%570Ozc$zSJ*As$_|Fgw)-#;ZgW^wFt zumt%nT~GV~9bOE0Sz-Y+-rD11K~vA^5XBGcc#@SDDK_x1U@ONd4tSW|xryg-0*)cB zg%aox9;xNHG@;YD7#51bZ72DM%3VHWj($eSjFWqNc;joPJLT>k)_0H`CHD6iV_aC- zS+_NBluNt3v)y^4{jXubz$mf1h6@Bt^Sf*0#t(~dcf@`m(FeuMiW%adIkDn_LO2sk zI-_ZLU-RiJ0!5{;&U??NAHB}X&mOhAR_ww0o=$iEu8&sWFm8~7xgHNaQo=KMU!W{B z4`uU(d+}@>;$W#vOsA1@j>Bv!=T&%d)ONA#L2UeXE^y#9d*pVv8H?VYX~yEV=bEvh z+Y1=O)Vf`)dsv5JHpFz$+ASwT9Ia$W%gK=25liwr8O%w2YA(#A%n1LzcwzQuL+Rr71f)1y${N+mT?rGMUEHtGEza%5(gk2PQ_R@WSJw?mwp@%QzlHwJBTImK?9Gc zc)Z`^A(=CW7-<8B@F9%U>vj!JuevNf<(OW%1oIj)MwB^`@dPpsos!pct#ABqX8um( zZ>&R0HBLolJa>$|sS(X-DV?QLkfW!fyMI|49?Sc2>aM|KwjmRCj3+XDk?&PSKe_7i zp+lBzUOdcQHFo=I^e%VR`0cCFx!if1GrXlAbi2J}E#2;(ri_&BWo_Bqdx=GWx&MNF zqMt6pouLeG56(#9VWit@q^=dWWpQX)cD6XAB8Cq}8k%6t zO{cIgVkuyslDEeb!3DZKZEttF_q()+aX(MlHF!$iQRAhCc#gwh!rx(Mi7eT}_P@%$ zo`q)>4sF97xwfgkvaW8Aw}*G;T6oX?*3Y>PoUymtSbYW`KC;KR+fW*?w61QmAY^R1 zdki)C0BOAagkc3+Bb+3hN>0z-Zj^!Ef}sS@6dSiu5?pbryJtg2%I*iFX+PBLNMu{6 z8t<%%zXl}GWCVCVS+C@Wa^a0PU+#{6hxh96kVAyNY!#xWJ6QTuYDPa4zz?wGJnrD^ zX~(-gzLMj{>Uz@w1$4lf;Dz2B^hfxub)habJ>ETK$V8Aj?^nD=mVCkv|o zGXbhgw-A!X)@`EOynsFH#;?})j-bu6Oq-8Lo4fGX%f(IlBiEv3 z(e4YuM1iO=G*H?t7-K!6o@EnFgzR?)n|2@RhOPgZ3|r5K_H;c2w&;(X1`16@)CEP! z#v@yf1C*WJ!)iGWNSsTFftIuXMWy8|?;qN7@T@%+`N%UakSDa|Jb*i*u>AK>i>$bF z3}1;84nLigCK?7-e8v%c_#2E}8PY|=QBas>p+Axcp>$Ccm?#zFgz2J_n}!|A)j)Qz zX)H$(`$ca@f0O-MUp@z~Qn5d$R^hq(_6XZ-Fs1C!mshd}=f&Ixat-{b)khJ)NIYNM zZT}?0+d4IG?DIn>4IP5Vc*lS(Eh0VN6a0{lAG21fo-t+jJ8(}1F&ZlkEs*y1o&w>s1v|*&QPj9m$KD{jg@fmFn#Amc| zNSW1!z1`qhZOQt`=wBdM4 zGOoq#jca8#ch7nxlpT=z;Z+JM9@D*AEc5XG!!O>gc-7&;t?s;SWBlSFwKz-$ahvtT z4lH-p7@v&Wy+SWmdG> zIIJIpZEo+_CU=kDowB~{01h^!^N(%f+aIM1s+IR$pjV_wMg3wA0*3*KZlz0sM7Op` zB(9Z?1rpb~Ln1?4>0KZ=AVmbe+oF&3Y_aPjr*CoSBWG+$a#yTJTX?^0<^J({qAUb8 zBt+|pQXnc)i1{D6-^)n(>Vbrehy!?eVYg^Q-n8AC=+|GB?Z<9q(Ji+I)M| z^;5muGrS*Uc#mQ^b*PbT|{{*T7Z)CxluOcs1+xM&KrUKjy922s@y5=?0IR#)G)&NFWgay_Z zcs})AYAMW-Gv)tYW{ub7;Ee$uWjEGxviwpmvu08OoYtMR%!&%+2`oTRh`xNiIZ!9c zj9hfkkaIxdd3P?e#%-Sn~TMs5yrYkU?3dWEn2bWrI$QDiFSa%2iorlnM)#n&PtaX*;%+S@< z=WsI=4P9Nm@o!NlVs$m{htzFGBkT1MF&jf>Z$=cz|=L&15@|?{69>+O%!d9 zX$2DnV)8PV4kw$+)zz(#ZJBy5@&=bxF@d)7|7vj+O@Y?pYTWW?mG*K8bW+<(U~#o0 z+R77ftY|NfbC%8Pc0<5S+pZ4zaZuPccATAd71m)p$aR1HoX*=FiMY(v5 zlIo2_>0*Q#M_tYXB`OJ{TsoVLZjLQ+*!zsKJ8Ui-$SYX=MCMw6tg(Q3tn^Vgv+_?QgYWv0?kC$aCxZ>8h|gBdg`%}yIn}msCZA#61x|F zT@};+DvWyZN3IlOAO1!(rL^eFW6{L0mHZk&>RQC*&iYsOFS)ahh<_JpwD9;*TF8yH zJ8x%3#I98Dm)KFiC{rVMXQ4!Ht*5>$OgFdIevv7ASl!=)v%*y0_%3pz0@`}*u5p+m z1R3rDG{hcX&irW0ks=2WSx~U<=753iNX`yolZ_e>LP92pW51I%c&c3ZVqSgmezbRYv$`8eSV9Wd)R>W%&H#gaDiwR)^>f&WE(kr`(;Z?S_z#%_=Exbr1-FaV2RvGsIc3Sg#TS4LH$&(rnwJG*cEI~` z<9z{*p%|H-7yr`JcpfF?8?oNAd1N73kq6mGkV)Bocj8%cnUiT#^F}={ci;#0S_fr&C*)~Ffaj`c~==B&?I;#?HaftjM$^uTSm zWg7e@w%ul(EP|fT_x)bBCL2$kDr~J` z9*%!M{SRC7g#z}Ne}Rco12aT8TRBZ!h5|Z5=LatB&{ouKw`@SYTaP2qdK{2+0RKQ| zNb9+?Mrl2Rs5zDtO6v*C60Zkxp457tfY0em^o$i(n3IJ$Pr$pCR%pbvpN1|^lX}v(zUp@%67_A-O&}@k!9z3^4cr>+*}XG&U$ZPXWdAb z8kt81Yx}{6wPzy~1uxXT3JSmxwvrz=4~9@|t$)MTnugFlw?1ucUBC9WRJ5OZVGFm} zp<1G^0uH?jM0{fMoZ*o#Bd%1@~S_ERfAEkFdwo1Yd-eFys|c0{Bk!x&7twd!;NJ4>wne z!tuCC)7HMX#AIJ-={01f(2MK``ram!{Y^{dA#Vzm<<@z=`A%==Z!$NI8gpd8*eu}{A3bi68*ju%DV zrM`ICWbs*PzF9VHQi}86aV1j*>6L%SbGsQm8>gfgi}$4-+e9|K@=s*;-PteASKKc> z<->*NOl*#PrVth}xx(LnqBruH15kXTH~N_a(0u;`X(^i*{$Ayp`uHOf$wtY>E4#qV>?nS{PxuKMt^*oBORaS0En-A*gZHiTy{N*N%QW&7f#YI{5rK_ zkDhwrd+t1c`j~@hzPw1`@N2xQUS4h^b=cTF6~~GvWHL(lgfY}JSqONr_y|B5s?`w8y+|--CHRN zO!cl1#igR1_V|%hw%0qXGoQ{s?zQbl1m1dV9vz;y)pOxz85K<@=z5rn1#YxcRKw!~ zF;s_l`V?Q5eF~fRw`fA?-jCD0yG*C2U$|>Z-WSs8{ps|xJym0!aQv&CkO{}XTKw!u zj)%Mdr{g_U(N22$|H}E|6is;YAr>jT{tye5vGPEyIuNU6%<}(3+7l{e51||;MgLEM zYoD6rOFOIo6x`y0ozbwf@~@_yf0cInGkP{4nRQS)`~AWAPz;8Fl%37C`JmYUyz#k+ z>5n~+xO@Hg{nLE6;F@_N)!UMG;fBDSfq>0{T zPZeWC+J8%G%8|vr*-lLKt`uy7oor(*K`a-7PNezn#sCt^HOc$tQ=J>6@XlQWhxBa9 z=xM@Bis{%IJvvZKEHp3PpK2exj^7V#ww(@;hI&V5159mj;0?iMTi5FAWB5%3 z@3`~6ct648jju5_4{o-71DL~5#ye8@8Lnnq6EH5;JlF2AOZPmaqFH+Lwg6SgwV*$A9FMnp*bCbS$985*PVu*Qd zqrf!A-)zeT#E&n!*L1JXJ9F3b9uzno7(R~#w)hw!>ly$&+IBbmDee2o34h!KS$_a_ zhG2^6{GRq*0Kg8Q!NALY&9;Fc`N0kExZN*aA0yn{Y#RWOpOW-Wa3bcN(5P=g&IH8y z0l;Qkf5egz%c#d6{P;SZt>e!>L^s>^LkeE90Mll~_)aU5zC#j%LxSVK!1*1@X4~6{ z@skEf+9f&uD>&W&K1^`<5aTx~c?1%C7q;1UFYvRN6K`$0nr**_e*CBvbVSS{Vy7W? zj)+AgcCLt>g4lT?rXl7Ov0nyl89ZFXjv#ivi2Z;Vzh=;E!>h7``B6u-3&avdY!_k| zAm(n4qzLhbsf+I7tETSE1bnvRnREDgkTJMva|nC3(h+!0DpEYWjyLo0ASfQ-AW(;A zHw3^ZqX_sdfbSj=XtMx5uaCP&;z@aid{mtw-)>^amjUE=5d83YS@k*%FDzgYrs0c& z#zzL$T)686jqu*xA^(`8)%wWF!|mMzaKEDRaIS5j2-{;h4iBm9tX(A&YQK=7_JIsL z%?!n6hE6L(otdG|%wR_bw|8x44RD658CGn_Gl~uYQYO1BTY~sb!p7l89j?euw%Oeono7|ZDHu|7aS%D^RqBm|NhFN~(I-ZdD(R2lpcLA^`b zoOJ0hdfXucy9_i0(q?38cz)O5b#-HhiMa@(iLma!D4R zvPZMUZ9BjCCTY}-W=n{dRc!ka!F?nJ3J!Ag=R#{H6!H~Vz-cL3vpOTEfC1H zo4d1DXw0hdx1G-L6*GL(4A&y`j=o#`Eydq;EH6R`TX}!G$Rq)IuUUrlCOUny=16} zAZZ2`5yI3$5kb;;E2+#%S|%ckBbOwIq~fg+`TF9xB~C#BPL`3lC25FA!(+KEt?(MY zIGkW_^NxCQ#)DG^_4pB~J(4>JK`v+nt3XwBpSs{P@96J3X^1o5fwvuvh5hm+B#36Q=C_xA+&1NQ7p8POFmQ9;Zv~U} z){y4H6UlK`e>K?MI7a+FhL~{!2~@n7iIVcZ?`AGNb{bA4@z5|mH7x;u?npw`EO3aI5ho@BvgHX@Iu`GF=&|>? z@Ml6kK%DeoeInmpd+0zrmcD40tvE(8qN4eoxZTg-<0kr&*%%g$5f`J?a(H>q^yasiEBLopUZsSUXo%8z3?0LK94_gTn- z^Gh%%BDU!K&~KeoI>~F?lzXKWtnC!Q#tVgp4mQmmji80>KrW zl?UIOAsb6cM$)W{`GFFA&xm_nE%m}p!iZAzDm;ty$;#{TdiN{NEU=}D zli4)yo6cHLY2NQb%v%caR$0O2DZeyB?$m}d7!GefHpH$?#7>6CPWbH1YNT1Y8mpy- z(2B4T2d&7;O%GV>RMwgRD`BG}S+cIYwUn&fdy4(692Y z4)78-o-lc}XL|&1i^^LU;3aJ2o4iYxj=&Sw%baa0Z+(E5uyF-=Q@Od_=t?UzUe_ zF$Z6xVypE(KS(f_qk0>ebB$p1{)nnsfoBx>nINXEBfN$TNH}X%PfzMv?W=O^PQGvC zx^&HNUHBj+BAWcN?qBhdMg1+?U6Wl}MfZr(Q?X^`;o}&bM9K`1vJp3iJl<7KjgCPp zv?COS=ZQv{0P;HIp(%m|>jHf$9^0;TMj=V;X+7R=8dyA}HSfmff5u(%bT9WfgnL$) z?r|aG$?kDm1uGxC!S@7#8vj@&-Q$8Ud%I^LAFqIWm=@xm-=6E~f$^mo!g#$hinlO> zcDLg8KkcrC|7mxfRXft|dXScmf+cA8Y9#fx`=ed>^o~^v?Xb3iU#pU}P_hNh%DX8Y z50+Fe2D*;vjZBBbCP57r?|{%x=0u<2_6~L8{;=3hHb$7=Om_R0Iq~EU1~&f`U-T(c ze2>doUm-pMIRz)4$97~q7-#o?X@Brs=2FA&E4TN zC%dKjo^wJp|NJAIYuUcQ-+;HfLp8slCFpITInyo8N0^!ypoAT!=Ki4OjD~8S)u-mU z;WQ_^rTGP+nx8E+AEs)qVGVuQ2iPd z(_*U64rZ#)XsG&274>`f$PC|K*<($0**^t0zVlU$T*iOS4pD#f)kF7xbJ>|(Vn5cZ zsBfqGJWi9|KMU%M*F5l+$8{ULwg**S2YI=Yk-UdC+VR16u%QupZQ0tmgevu)+WyY&E!06HIU|l(v(L&)YQj=Fz3YFg*+7#{p!C5K5w=^I;5lIE6aIzUX^HjwcTd=u<*E@j)vQ-Df6E^l- zBps6kBE&H@0rAO5DmKNF&8TCZs+h-3@!h}z;%fuq2^&km+F?6_r4Zk&dgwqV4!Env zz4o|Vf%s_sDlwMK1gJk4T@q>?5zS`EPPvQw&9VuWO#cGZI|aFfC+V<9r$~8Ti+gs%Nn)xa8}BvSYq@ms#*_T#*aCrZp1sv4yb1Uo_r%!?Y0pME+H*`^v6T)_p;LalD9-BYQHcKv;~Pnus`7S3LECFI-kdnk(HT&})Rt zF(kli_&_}n%l41)o%&z_YnGxRD|6&Rzg_cgTyk*XnUvg)eEji*2;198XUChM)-jJY zZ;qvUm>KF&yB^<4k;MbL7@fzRcaZ&nNuiTZu1Lfv>#^b4fXAt;2Ws+P1M73CMSg4Y z9CJo+_8HQrlKW4??p-Pm#WzFoXs15ic4p-e&3L{`e9V>4aPqnHQR^|eC86>#WmIm! z%`NIn8jk;EPd_x0gypi4h`|8HH`E8lfhg1^6cc$E33f!PWk>2NIEq6*IgK-?!STt% z;kqRJK>_!^-j9b3NJfDym_i!;fdPZCF?K;nb-lvsVy(!Da#JHG%Eh=%ROibage^nQ z{sGOlRfvN{t>${>qp~vJa^w@wtK)X=5`UV0T`O)SK96il%kVP`R4}vLf-HPAVaXo7 z@)02%>5WW>DHq|`jYcm;5zFxP8McT{R6Aakpka)Lwtz+Sm)IXfDh;3P3+zcDpuG*t8IJ~gii zr#acJrn_ixP;;#NpdfzBU066B-FUz1`cG&;u$J4`TDAOPRj`(u5T^j8%GyH>UyXbr zRmOD7@cVoEi7Kmyg5{>+#X-Xv4K;jqpN7|kGo0*};Sa|5Vfd9aJU-NLBh-NJ-w7J< zC{1O$Wx$#fFn}WuH08*XfKJAdr;QrPcE_YpBm8|D(Gt!GvRg*1i3=KWnpJyIFarN5 z1&UUxp_5i1r`i6_>1_qm8<~!tbL6@eHT#SVr4mp<)$FXrki@8KDKtdeM=R8Zk)P@h_A@LpkxGG;JI;S{G zg))|a#bLFZ(P^ePS4zr+Q2nVk+&8If(F%RMwAXL56i?VF`X9v`X7S|^E6(}@3(wSs-^}8dB5jmeJo!+3p;m~N51pX(F^COxy+9K-J8LVE*4!(Z)7@3 zX*hDJWUb5v@cixS3~nkQj+v!)6}LkHQ+J~ht@r#aaz%_BlJpFZs*&C95H z@SJVI8@+tabnBcg-PC*$N=P;}FAi$XXsG4|eQI7DPIIzbnrB3Z`25-HrRLb&#C&)U zA78iI8sE8}Ujd5$P=xsODsD`^EaT$eZ+i>zW}D}slU9nAp|!R6^929K)wnk-#sVit7Sf{K+JqokWcKLQoZ}q_3O6c zk?V-6hzt*Q~(g%Kx$8^gfVU58I!A4Sp3|`x0q$omfr1M5obIh7%Cv5q5FU^^5ZAt!yLkG@|J5a(W zrsl;#%^3~Vyroag+rnv1c1!cWpAw>Zb^QAYJgZh(O9C~=;~%ExgL-Su^hTy*UjO=# z)VvcV@L$pq<84s$x|1|-?^AOloaSV=G~a6r(R^RQ54c~-<@xrx9@#?HrVWDkyXKCp*$SEgHy zKKBP%0kt@`^3Qx!EUdKPX%?fQO{bd3NvByg%+l8$knGlkH!Ct&0hsWDyC>TfuVi5E zuD%!Uhbi9CuOj9h9eH2K=#@;f;6}4uz4bCjFMW9w+6bO!M-D_(H}snR9x?fAFakB( z#+zj+Zd*b5!RhiQ@T*6LQ;|dAUPyOVLXqiZj(3R?(ERE2?u(<0VYU4f9)$Cm6j{7?`6U^zQ?pdso!PvRd5h*d0zMm%g2XH zGx@~;ts@oxLPE2x1SG4t<5G}H{U*XW)#3F9Q`A=l*~? z3}EY`QCE%UA}e16^rMcyIaAj^1eCw#xG2!oeXEUdHno zgF4C~Xq`G4fBc>FYbNZsCgXAxZ~B$dP`|qR^s76ZU&(Gw#-IJB`1NQvUW`*G%(Wg}mRj`ic8TE&#pVH|_qWNoBq zRC@}(b;&l9t4$h&SrFe77Bzw|I;hp*!AC-SwejiBtUekzK4)UJ?(2V5YM~hAHrwv7 z)R;*%#Jz1%Z-t?@zWon6=fO)9j$(2Ihu0?s$Kr)}kFPj$5Qoo>K@i#3#3f$cYe+_) z;d^Q4fHl|)WOs>Li|*p_;op(GaxBIyrH?daYh#XD9c29wbdc3YLlpmiczYkXEUWW> z92F)N@ZdtDCPnpBlv*^Uu>p(6z#i&BS+fmG8ynWxXSP_=#tI1sH16FzM$Hy&wz16` zEB$0mH!yt!mBfFArbTH*{}1_(tdvUS_kN#q?)$m#2iW)X{k(p^AFuL$&N((eFjYs^oP3CDj2`=%pkX3W1! zHT)>@jlZ@Qd9Wc$A80K{jh}*Owta%ALAV034H3=1)=OBC`jP6zEqqo+C85$Z88ngH+zqZeM!@K_0u8!zHw|Ckrxrz3AtKaSi5;J2!7Pt~|P@{E=F5))2pWNoTE ziW@VvH_M2cP00UB1!bdZ0vmsC7V-b-WfR` zZ01c+{84+{cC+S#jK{RCQC9t0FXMYL+o19Y2IbAX8Z#?w^I^PZWnc!LL1Q@7B!_l! z-*?n}Fc!JbV<8$Y)GX6kW+IXf0Wq@@OkA(?*o5gkAf`nVlMFasLJqe!Ree(tA3I4A zNf_~TI$s`LAs3le*(`{Q&d_Q_eSqUco#yk$5xu8YQ zH{J8^xZA0|P`k`~2WGBJ62AaEc%=?lDW@LZ7}Zg&PD6KLpXt_C>o+v{sVKiplb?$Dz9yeP-Q*_%aHs;3MHYvVnZQUr}F%Qo60mAEv%{2=&FoQeQF>^#r%5 zKlO2#dbjxB{jQ6FY`6GtZ;B8<(=G95Y6hxN&}ystV~^UZ{`lz@fBCQsRE)#`!7T=| z9Sod>JH4?W8)9~LJb7-?pL#Tcbf#ORFMeIgz6nLNT2((xddU#d>xU)1aU{|SZjrvE zKP-Eae)CO3NDsJ4Kl=L!(wT0Nz6ownIeM-}5$#r`50lt^5=k3`6x=@tVsdX(&YQBbc{<6)VxYCL|rYkc>x4D^h|0KqK=Za5N_y_aGtl7Hz~ROS2AFPXp#5dVKsgF( zwAw(Jf#x9$lnl#2*+>i!++tu$-$)Gn*NrX>EOTpMawG#xw=^)gM=?;3f|{&05N4ov z2m=+vGEhAd0|d7i7&9UR&)(o-U^y8GPdtD6V1x#kZuLUn)(kYGpk}KLz*JL45%&6*Ese6zFPw;$w0Up?F)t(kn3NjTixjTZZN?0FDT{uH&?HJ zyGfBcH_aqB6Yaw?(KQki1h<%2|3R3Ei1javb}RwbxYcm6gA2KUWV)q><1Z;S2$a;N z)zBMO1An?X=oyxS-jO&UxW&O2?}s_C=Mxiip@ts6s~)W<12A3aHO!A1!fKEVFg?U{ z7=ki115uDcw`L%f_5`H@{&X|YKP&?SBQZd5i-B(r#{iG6$6VlMpoPr{Cs5Foa23oC#5N4oc2m`SI96Fy!MC`Eh34&V;RJ=D-1L|*;`F7v-pKJ%kN+TWbJo?NLc>G2NFws{D%slzgxHWIT0 zx0p5G9m=d)@E7DC;d77i{9++J9UopWgUj%)d(MD1FGs{dIA%$Vzkm>>%6R5C$9Ls1 zL;N=R$=EJGIcEVTV8+DBPyBfK89zaOQqGZ|OOoYhN(V9o%#2O)lk+!xcr~oErRPf6 zo#659C49~Czav^BuG7DV!T5zTIIXi{AA=eDWH7t4XFr2EGZ73J(VekxT^SD|e#3&0 zH}f3^z)-U;; z)+tW&o86f_`YOOhclu-ajqB`5xGH|IJ!|v*aoWh+*L)Dx;nFD^FE2>&dp5@1NrR-* z__)U(jNU~?Azj?+n^4UQ8}N&_FN#$^rUdl}FD;G68w3+758z>(U76#rB5cgz>nQs1 zAIMMqhw_smxTyo?b1As#{~ zfcJ0ZXZ&&bNjV`umkh|yl)uPN#$V+prv@L;QY$~>@06eTSL7$<`|^{~qzZbBp}NDg zyBB^>+TFhgIhrYN-25FrAgOl0abdg*hGA^KrmI8qkv49avF(i$TH57a_>;lG^53&V-j?Y2JLl%_Sm3|7C1UgtY=6ra5mow+G~R{TI~B+ zg5IIA_B%m^4a#Wolmfj~gYve3O>4gm%4l)F0?pK*iB8Y~8M`_6ExEXWwbcSlNo0G z;cJy#o1LJ!HYlUTZUwqsgRXXh`fX4~izgN6-!*8v6ST+%Wwf}DplCH`ACEcbyRy~r zs=k@>_nX*i5@RL-2M_aMSiot%JF#~{qgQ0BL9;3YUb&lund2qwK|?b7s?05R0J<$Hfw*XT+xZ7G$a-4qbURvTX_^>cq%G173qMxJc5eJ znu<)MwP-2`2P!&uN;P~>3oH{mOg16aw!j!IZqSA2S|wNn*V_d1BhT^5(yCY@XbOZ!tY+s zo3%-9yg!tXh#|w!RzXv>*E5vwSVh-+_!wWC5!`~y^*ABo@E#Eanqv8%JH>5Z6 zjtN9_#p9!~YyD|zL4q1vKb|Rji8bgY{ASAfZ$Vv-rr6dBVfoscv{jcfTK^ZOb6llH%6;9Ax8qa!4MT^ap8*Wr5gN7MK{m9G)RgaG8N>>M_4p=lIey;Ak2qSL55(4$c;?HtoA$zVO zn-b~7;;yT3OgDVap$Nl(V|hEO5{F4P<0MaQJ)1vMEkofecrS-UabY61;gAlRZ!SB4 z(*0P2yDbYvfWgxbW7IO7UgtX0`G#U7Og$X-IM+$L5wYl{Dvvrav*_9DTC(Px`Rd=w za}sDFdF8!%XFC`ub~BKBpNj#eS`3WW3{(JrzhW5>1SR4#6v-sZ2E)AeM z;O|m0F#lbb2FlzFgns2>fT{f>))ayJ8~DqIXO)nZ@|yrz+XcHocI4CIc$!0M40XdR9LVsS9Q%wq3u z7X$vc?}Z2Dk2ivWV{f|{sBklo?Ph?f76aon13kc>s2Qjpfq|}(80a330b+45z|7)o zHv>PHt@wOu;G1p+s@)9S_e+-sm})UlgvXMpfqvjm)(o_dz(DUv42a|RfiN4WB-;Si^8a=_pg9?4u8%j8-PV`MSdPa-+8vQUjX$B-q?g7f- zbkd7>c8t^sVMNzgBv*5Ev1!g?TkK*PEe>C*xEeq{mrhpOTt#6yutIZ1Fww?5cw<$g zr&};vXstGSMvJ8yeJpUe(6`&@6Opu1qbHcSMWKKCfD#&A$l2(-Z1mEz+*KO=Fhc7F zB!!j?lg0Y^Y_7A|9=ljZi`Gk&&?1Xf=e~P&$!Wlf zu3<>Y>4;yWOD2ps7bTl1J92S}Y`NF(ELYg&GFs&7ax;+&iU^CPU%`RhTqLd6(j=IO zLmo4JDUmmLi=4;@Y~+jYx!ejVLSMn9$2pR6;EVU%7!tjV}VDE&LL=nw-Kdpj6+Ol%Y@i-)^$xk;~ex+ zvoAUmLiyLVMF}9Pt`eo28O_(aiE2wkE~UW+hxc{F8bIP~ z`{Nf6#9}%Q+;qOPBAmU?DAWRjgD!Lu;g`K+%A=i4IumFV{qxG zLGijo^dpCUfcL>R`Lgy_ZRi^Zq$p`BN-8MbDOnAd*qDw>(b;u`AMdHX8zFO|eD0&> zON4*K)Gw1fwFUTrXGs>|ArBHexQQ+rvpTA_XaZ|;E>7g&hpmE(zAeoeKLMOM<++^y zH0pJs5y%cv3mC`YGa(-~`)(w4=|)B{F!|gl*MW22;7-iHPs@!eW5gb{y?N`!s-S~iEG z3kTxh-h8QvbuJ8Q;EI)kk36I8P?WlZz@C7`qz!qR;+?o~9iIgar zL!wRW9-o0Thha6%RI%#;b|T+?emn2;-7tj+&x}M^W+N;|mfR5$GF4Opp_%c*w<3rt z2aaJoBGk14W=VN|-S%YAY_)aW1$mafOc5cW0^N$UalkGRTFAqfGr)3fr zh;#o6N3Ep|s;dBsdL*NYM1?99N5RRvG8fa=#Y%6Z%(>pa;X+Um6_LNm%3mAK|9h3c zIU+wnMf+cd>-{`Sr?AFrorD^Qi#_1Ogr0KfEZRXmwfiy1ACGzeo9sMl`JOr64O#e2 zysuF@<)OCi$(ZQlAOZlFCgT?>cYM~bw&8WczJi)lsMWukgj&75=AE%8ULUg?5A5(o z;SN=ulR9(3Gwik9G!*B8eovaWvj}lC6c@?xw9XP4&h9KhSQ?7U5rH3Zo#ljpl~`ku zR$^iSMrkD`Cj6FGVq(K@X(c8`{FYW?Vhvk~iJGA<*o+l^)bm)}qw+NH7F0pjzQxnP zVe~#0iC1sn{U|YMAIn4wv1926NyYjHHz7~u&cP04P;SnYJ@EaI80v@|H&7X@zR*%c zo^iabo%IQ6y=Yl-dS`cyYE%}8kDII}S%eW+o|G2F6aU^W9Zs*txNPAIb?Hj7%*$x; z-HCkJPO5Cj2&}m}SevW1ZqNw#a(dsQ5p-S%c#Z@7 zt(b1YuQgb3iBZpaPz$Gg@wI_CAB-)SCM4pYd{)A%AT7wvYP@*{u7^I)X=;J7^Zg2LTQmy&PjQ=zjXh-#e;B8H^dNu+%71@Qf z_w<-YICLIQeD1+3xl`_0yB&{(uJpDbt5pX=O*T!87TeENv;A)5{Fzw)tVSIB&OxMTbCG;e>6qVHqusbbF$V9y~11w{G9} zG|WK%(zhBfqhdmM(YbO$=&Lz7+SBkn1cN3Re`?EqOc^qcmfqJU7_a;B)><)Mc%43$ueo=l@0BAN`d;jCC$;1KNSs94e;zj7qC30r zsvX0vI^3hfT{{H0 zaPnbDASz%+pQ^qZ;nJj414y4~#_ePJOmpHs#`9ECfw5*6X(%*mcaerdqhZ%-8D74t z8{xZ`2Ny(*3g!>uTI&NrxWt#`C9UEkdN_I{@%c4A%;qo0i|n5@OP(fv){gvrbC751 zp1!l7D>Gxk*CS>Y$|GT#5r75{Jfl0pr4Wcmhf#yUuLx( z_8l_by~Jq+NNA$0TH?!FhZ*EM9ZMW9;W)tW24r9IC#-Df9&2*Sf3$tV_(h3ZV-8%r zUB0mF+sG@-g{6n5*JR6?_xOcpsk$mt>-ynQ^dOn=zO?ovyIDM24PkJxC3ug!NjGVq3faNXU zq%Wo(AAHGEKb^7WB`+a1&zR=TH$E@uczbkV=^Ob5-eZQu3h~zZ;AT1V@r~JwmW45M zbb;|AE__#=f4#Z>S%DfgBWwM01M%a|_0&y)^9tOtF3kF*>Ya6{JA=nJ!70j{7~n8_ zK4$c1ov3P+n#jYu-+DUj8YcA&jnaw*b4)9N;<5B|tU`Qk#adcrNbAvtNy1HS&oh#| zV#iY)HIuw``xl)F?}3L3$ll9XpD~#8HiG%a$r=bMY7r9fMeIw6Rdbj3u99~^PuRcL1s6F>vD+8#l9BK=tN-c^f&sJkZD&Tqj&en38(lSU% zL()W44mJ^jiMx@9wXVWZE11Y|C|%*5sqv;q;?1=2W+G{_#!E199`cw0Z!G8c4%DGs zl?5d*T72@=#HjF8!%X?^>FZ%?kwW(4XP)sTD%W)UqUbL&V@myyaeGxlesKO+zW03@ zk`6*8Q_d%haY)I3{*_Yx-~JEs_k#}V#*e{Oj@b4bMajPik=eQx5k`ED^J|cQF_ue~ zTq!4pLq$qXmx3AMECxJREEZg-*p^cXlKfgu1QW-`DfyQ~;AJ>eqVT>5n33vHndYMm z$RKw|9ansmBYw8#gD~Q1@L|S(auV;5Hh9Y%rH0BCm0*g|q8O#3?-;ijZ7}A=$&_gA zZ_q-_DK8*!q7%Y}hv*0K*_etmAtLQ2m{|r!E&86Bv1D>YcV7Y2zP9O2R%}hk*&<>^&fh&G<;eiXl9=>y198Ek)5iEm|G6K}zWYz*1@of5gquy1 zVdI6V7^;MSaF?9+v{j-ZtOE|5oIQnsbsC%<)MP)-S?ie#$NOtKqK$`|zc~@l&<}1k zraiu#KVcTy?9Y0m>Qy5r8z^gXHcXP%y1jT<9K}pVoH_oTlrGqCwb<0fr4 z#=nT~V22SO!guQaG3`^g$&%^Wq8@p|?pc zv$6Q?H`)^Usp&}O+@PoO1-)3XO_kMAP@jU?gOXI&gZtDaeP6}`b>RVJO`seMNNIMY-6Bz~ANDac>bJE|sUGcZIg99!4kcOe=D zIV8-} z0tbC^)1d7n=;49}fLsTn4Con?Dg4GWw${`TA@#0YQ9b1sC2KRnYOln5>j4 z1U(I-o%v&}{NK{~zaS~4`{iO0ebw1#4yc2d$V7??jH7UYRWLIc*ICb@#?h#o0a7^T zMc;a9T#Wb-cyS= zZ7Jvn$Koru@Ie39Zou)>lo(Jtb=%ZE!RrP&2yX>5um_C)*^y7=6{p+OH)bZj{?g6< z!7e^t{o7XXfXBaIW8l~A2q4e8F8Q6?gWuESf*C*lntIbWnD|th{EqvBcrb%Gu?;Z? zkBpDg>Du~-G*8+~NbbO%0BnNWk@MkXmGid)NJXw!XfsrCb3ViG=ZG0^kRw+Y_8yY` zSzVrn??WKCMl^r?c5H~m%;6_XcpBaXcbNMGr`6#{lz$TDK%G27GN#8LjJwqzOv;_w zUb8+Vr#_zACzLE|yI3tiO>MXOk=W4HsA3wr)sMtwT}>)xS-1L;xV$S$alWD}R{dDn zm8dwW?dq1SjBDuXQ9qV-_2LIA$SKkV+g;+Co>o{0!0wx1(ZVGqX5o{}uaP)mI!X2q zZuMuK@-*a-^Ces8V2sWuee-;``F^ljO+Wu}Jv%h46+grz#mqQ;VMG^{jC$j1Yt(H! z5p@MqGP_}_ww;XFYR=AGqbXss9V|r4U!4#sxJ-?_yT&c44RMXw5*hr{MvzAW1l-Ol}7;%Vf6m z!`Wg!iiZ8|wcvNgTC~Ux+9Id*Y-w}b*QLKb`YZ4qO3n)t6l;Ekz$AJ_xNrwb0BU9wo4r)hg&RD zyO3G|3OFYqq`2fAG-@PtBY?HB-5Z3`ZH#<-b~(EQ%#8^qe52)*v>bCFYfNGq!=X%> zO`H!9qP6BA7MwbnlM5iG_5vFYH8^?|#RFfZZP5!G!if9d=EBA}>gS5R!clI>ugFC4 zj22H~`=6Nmk?S%iJ4H4-e3Q1BO5jwV$nVy9IHz&jEbKh7NLr&sKrr!bP7L_3{?7y-WMSG=e z^r%nC-AeS`9X|7R4XK6L^qLi~`T<;++3(#A7sI5yOrw1j64zMYHO&N6k+h+P!L>|dn1pGt@Kti+Fm=z^*uWV zW^SvzfHkq@8o51rmsd|TuSF6kn%}@T&s4j&j*VR3l2d#U!?Sb&rYUAd<+<+ajje#T zTHqzM6;K}jgVd&U0!%ghYQ$7dVcXQp7<;-Q=|ZYl_=fH%<^Ju*bT1p0h+G3;zYp7b z>Y$F9F_7%0p{+qOwg!v=rV(qTp@pgUwV5V5e}|TXI@9rPtUvfX1s7u943+M0z?o%> zPWC@U1^ZTAyWco?|CoT$i`!$P{GM4oevBjaNDVDR(O{JNLC{nr`b&5CJKl~B1eXwd z0I=08_~aT7`U+px8=j@<5N6+4={fg`NNxtuUVLp6!3~Alh|z+UA}o+8N+r}LM5c}? zRAv!xJulzck~a zXXl+QkFm*JQ=`+*^IPA=aAL;)`pXk>=J>r6X4r3DRVS`U6?#nc0sg z{h~0z#By716MJyLCq|kyp}%~rXrCz@F)sa zJ+$AdZoufNYUx`BQuSPN`ZTUZ{d z$uOsQxKUMjiB1fZQIFv5)iI1LDcvF!9 zinWOdW!iYtkW{Ym5={IHc}VmC@Z1<|xRYirT5O|`7|PZB#v)*IghC0727a$DFCED) zKKyohnMktuAefkeJTy-gMWAFjRHXR0NaM{#oC|NUjn|K)3e5+>#F5qD-Hdyq;-5XR zj5m0T5owVeDpC1BIitlU2^;_Xf2#x3B~H*X8rp)6I04dev3|6eH|$FITPd_NBPbeHMJ`V?+#2Icgo|g?gj9WimIa|zyGz*^=km%vX&si+_q{{OV4GLba9uEZEUfFXM$GM? ztnY_N#Q}p%j1Q2?OhkbWcA5MG`MCtjL#mEO$x(eTeB=(V{D8L7o^hOUfZ3Q?kL{n( zcRnJ0!K4afd@h3?1h>Xa!B1lDJM=!v-PU*EG5J}8k1l|dslK0Jq(bUz{qpm}k2w?g z*|>;NxLJ-{hqQch*`7h2C0Et!`VpIZ8=kzjMZ{SEVa1zYgJn0v8;P|=&mQ3yo}@T1 z`qzybtgT5!Bz0ARRAMGRLNcxzUrg=g?|S@h$4p!N9_Q0J-=RsfCTHxsoY>)^*snlZ zu(Lc0@S2=c?;zGw_ge(a3?ngu(N@XmfM&E2h}lQG8Er!BmWYWx26M!Ud{cFL%?LR8 z3ANj-wjfE02^|2zM8`^<2mKiTtisgds|y*)Zz7nLq}bB+wMFYuFd1pxAc*oI9D2j` zzvU9_Oz?_+q6Ipzv34s;P&%WCide*N8c%&N`VdB6CregY`(Tc%}pwVGSt$QMo|qBI$=e(p#+$YfQy{|FgZxF z$zh%V$O%`}jnU}6UDmO=|3Y(~DG$-8X-(e&91qg%x^JUA%7y(fM`O<$^8vQ^E7I5n zZAQcVFZ}BJ*_(D_ldbsyWJDM6+8b=dXD6@`pJn8jkD$^10)+*me`&-lX6SZ=@J?Dgl&CEd))BZ46BO-6jI-FFWF&< z|E~-?@c#{Aod1cJi=5|nVM3dVe+rEk`~LiAJUn6Fy%x3TzF03ZvB9LGrPuiic-`@} z=7MLR^qeLtQFpl&9EPLc_H`xj8fL+ND##5!qMYIiEq$CVktY2~Jf!Aut-w^vbK`xB73n>|FFiM6z1fJ2 z!%^Qg67}6q>PfiVvji3g1I#QI|85utr0>Sk<1Pjwd^f)TO&0@B&yDMFS&jp3Kk!S> zjbNaA1O|FXVn7VX0I@h2U}mxY;h_vD-;KZh%*8;2??x{ez;QD8ZhQc6tW>Jj%8C}@ zyKx}X#jMkF9m!l5F3NcOpXhZY))hF=s2tIQbbLFF)}K@{=-4ex?-3PsSYi$+-m| z_z}ESe&XlK&-fVmN%@NWT=L;Df=m(eld)TVa=wK$Y%#<$Kkk}m@ngoUL?WCBX2{U^ z4`f>WRWcOcE7MZ0mXTAw&QIx-njSyaY8jJdWX=?P;63n4`H}eo#`ts@lKBG0CCnEv zrc9NejA`lu0aT;e?xxa{rL3lc?LU-#&O^5@8TgNKcrn?eCjv8G&7!xQL`-8 zKK`{O%ZHWnyyZm*QQ=JSwbkQIB~IY;ycNxFRy!s|e6ForT%3r@O~Gt$h`H8D2;*b) zVqg9WH7GdEyOB}rn25*+@N|&hcq?Fhu#U%9Uc(%qgd}X09)ghRU;4DqP1i|eT*-gOl8j|D*Bfs%_z<3+D zGW|Flm=dSL9Fp?c^_-yiga-@B?gga^}JEHpX`jGYC>tA67%)R%y9#BY2QFg{l5 z+JFbhj7@cW7ELHHqrC;jSbxoyV#f})!SiBA1IBTPdC4zv3pU9cz@g$I))a>u9p0M_ zV3ULIl}3*)oFt|m#L+Hyw1JA^sK&_Z4G@FP!gH-Hh5^4o3aP zshXVg_i>?@ay%ww3?{4#ll-OmQMl#^6L1TSw0)bQDl_Bp(W)Z!BgDV9W-oh5DmS=%m9C|g+e{Muj|6rUtL{7lOYu8irrvOQ`SwwAfqnq^ z&5X~Y+)TD@kwgq=J+%UelRt|arKfhp@D72K7C4Cy!b7IC6$|O4)Ko z$7qrHI0#24(}e&wi0l$Vdlej#x)D85FNFyvqLBwSV}-oendyLyoY7)GPdtpj1kI0Prmt!kGirl;KcxDz~M?f9azD9ICkLurP<>WIEAuB-p|R$~6noUV}We z+m|3w!zFuvPBIW?4083Yc0` z80w^^V0D(7GL@#lMokJ$LZePaNY1MZ%k!q=I7ygTyQ^W{$=s{!PP&NekahEt{4+QN za%7mN2S5yim6t_1#y`CGDBFW^QkB^bVE(mDMwt{_I|8YeUnV4?`Ps!%LrfQ6UJsLI zeEy@b+JlmGZh)aS)ot^60xwat#47hU`RXGe{9KE&FHW1<9-79I@H>--u5&p;q=_#| zF|T0hnj8y>G6QC7+9*Z#@?nZp|3|65C#3;PBz}u8H$v5B#){w1JDWQk?5u2zc}loY zJflS_9>~CM_iMYj!!7+z@B0#M+Ed3~(agC0%Lq9IRCX{`BuUZShDjp7#flohh;otw z8d@AQu+}jgnk|uu9nbd^o~A$( zL-UaZTW`dbN|AF~k_;JHLMCF;D18A(O_9~J^lB_{&G@P>isH@j2fmmk-z3K- zA9@;Nwzqs{sdqyfO2TD1d3PAi{upSZq$c7>e0kKu@w0+!=5wnGH>@^LB7Ob%$6Sb^ z+@a651)$8=mii5~_ZtNqmjKKnOsSC_O39Gv24TfV3q4U*K>N zOu@HTLf{k-zG!K4aJ<9HVD$)~nA@7UC?Hgc>;U^Z!9aH+;!-6BRhdJzGM!1uNUA55 zP$I#^=|@zab_Dom6{G5I1L;DxRHRCl?bd|dUM-F^q>*v9FT#P4&wl}=x)UVB6e`05 zjsi%5KsdBa5x)q8kRkSb77>JDIJ8{Bd`A+=o4xK-S94z((3Y2HVYlUag_r-6n-U+u`p&FN6Pov$?_A3?=0kPkgZ>vHgU%k%Oo z`B?C2l|xOn0!hAcG_+Te$-#;-qH@2gVbus&b2BNo1BxAxP>-Ym*-I?*5={7+N0SkH z&tl>0h?Nc!s(x5tY=QHcCz2sfh%phPf(D#sT(}Jfj;U4 zmT7+Dlc{_BbTh?MKW6mfB$?A7+HdeY2WLXG#uOO)=uL;Id0B5Syl{pYy^18~XZ0>j z!eIse&iZ`e8NRFmPu=%{%B4CjD!2nW336qN1x`6Nv~`Vps8)+bTI`j&#o{+qdVRU- z=t~f^Sf@@I4n}pm71Ljq*?3`4(#;asn}^k(8&(Np@3p%!GpTL zK%9%6*)}`%NV3?e#&3~{Ja{+djcT0Q;I|RSEPR76;BZa>&UH21dy@P1s)53u`09x` z+2_L(4h}sJLS&<2uDm8L;SSHw-~!?MJRXjNK%-)Ad-{R|Oi1M8;Gg7q?uuTO2DJmq zE88i4#H~tIF%wr!R6mkddF78Ey?ux0NAL=DSGH`%@LqFQ+^Pi-Gos(U!*jY9SPy-E zhv${aI_K#DJPB?CpKeEi_v-@lJg2*W;C>51`DbJ9@KjkuR85mb`fEDzj`&ee!%b*e zg;}Q-Cr=+0y+PJfe5qiJf{3payw!l(?63)8`g?GvSjY zcvOoGiBMOheQw6>w9BkzlFK~z;^(IaH@3f69ToZ%6(Q1gSp;{9Fy5s#OXJb$aecNf9SPEq zhjnHf%Lk&xQ)#;V@TglTPRnIoF|s*C!}()fiB+QAF9d=TP|W>83Z)!UixkS$AR0np zl1nIU1E*=Ty!y}DW|@R45f&1NtS?2zt##dyrerg?p&dVI;sS45>v|B71S&SW5L_o^ zjB104+#>|G_ziv?9=*c~$VM;Ycya5h)bJxzHORb?>DG%|qe+GFcsdRx1%i+JA%TGL zcsX#(H^VkC-eHjp21}3x8ViGQ(QxXm6!=IP;jK5zsRP(3VP~i#@VYb@jKS_1zY1F> z&)izal0b`->^wKw6&FO1&2)?G8-FCpE>~m+6xsDQ*^GCQT`?Tl)gzEiV2kXVDqLi< zqzJMDZn8Vhk06`r7TL>wD9K)}$SzW3H``=0-bHrfaAY@)KsJFbvj6x?7uhT+g6!EO zI~I}3WPN5N*-Q^H9lCo1!x}0{zaqOrk=<&O&3G5tEyIz$dIYiwY?-g$yC+Px{VHBk zE}A(DHP^D%@$*&tMFg~aXNXJ>c@t0=|bchRr(dD}b>e}x)KRE3Sf9Y`}X>EIV zbri1XC#~IrA6Z>@e-NxZV^r|^!Mv=2dlLMmIMuOXJyQ6$u_UZ0zwtym&YFn#VEIB@ z>;;KTW#hz&XVoGFA_Kv)QPSPt*1CQK6MsAhHn-k>WEnsZ4FUJ^a5DP6e!tO+PL+5t zYqq*8Wftqc(%E>GwM^;co{6$5`OP{K<2Sa*6ZB6-&?>G~MEc?EiR`j91Dx#_T^b_Q zdSZjb!Iqm89adIh@DHjDKb{H5LSuKqiP!wWU^)T?OFDE@-Vrcl45)(O^F96nbr?Tj ztjYx-K1Ix2ieDRw@oQa|YVs2n#iKt5?_12ZY&ic{n(KX8YwkV#7+!M+kix%>WiHK? zQ*&3`CN&p@Zkeer&BY?pp}9l^9hyr(8?^M`B!>bI>a4=1C%} zAv3ZI%@JH_t_hJ^a}vkMnk%R9EzOl7;MN>thS6LFqM^BZW|o?3#4k&8bAJ)m9NS#o z@dD$+s=|$w%hYYgv5t=}81u^RFY5N(bFts(31*|UflJ)@e3eS$uUP!b+J5(Yy1ixf z-{T?7*633MuT)cMQ5(y{T0>(N6?3I9QXy%kxHOiANQcJK5p-xQ6Tu-GBc34|n?GqJ zjhzYKX>uvZ>MGmWvk^3kS8pAK)q9ZgK+9@{xX3QGg>C@@IU?9!LW`@Z#o$C&J9}ES zvsEn=xbb?yiPzB~5D?9+8F2+mHtFW}a=>_mF;Z#U@;!kr<&6SUz}1N6wd%F)#8SEq z4YLcs)^(%7iNF7xN*l17+y7K)sjpi~OT(|>m6nVY{%x#qD{b>FQfavmHd9h|-?9d?cmae9o{+bI>R*0)bE(yC3MNAHpKLw$ftJBWtDg4^bKv z#7HQphvJq>bEpaWfu@*L5d0&x3(fZ;lwYTmCf7G;VghjlT{S=~QfW~rRw?b+U6#_U zdvn!)I|~*pIn=3c7Dg=3(naVC%#`B6BXQHsq{FS~=ck*~4s+}>}6pwUe?6!Z)uT0)Bpf&SQZIO;sihKS=L`c1c36ae2P8g-Hc9^7v90C7V* zOuVRcWe}oOYF@B(RG#s6)+e5&_aZgVcp?=OT%&F_V9c2E_ln|;x_10F*0%EBN6PUp zL{N>-!Lb_JTuTV3g?D~fyV~aJ3q=kYG0 z($K+27z)i-i`o0TxR|YLLX@04E1=K%C{UU+={GYH%*P3pXG-Z{ASeHRGpUoZHy-D1 z8dikx3bTPm$$aBl)&$o!%AG|>}-w7Ownt_X94hDA8BHJ^Jt`PCf% zu`l!)g%moZG8%>0zELeccyqN_u0WeD(5OER#)m$&KwH9~&En?@bhTu|xU)5MlC0Ud zR-+osBuHueS(4`bb|MW$Uqma3KR9t0?WTTXURO9zyO@X^X3Fc;GZIu?OpJb?VAkk; z&-JM4*kN6}9D+tS@~)Bc-+--}0Dn*&C*oj)Tr2B7zN|5F_?LA;A-J;R-miFSFF|WA zsOgNB-Z)Qqma;vm35Zf7%;r2!8$bdK(ATWo^uJw>-=>|yGn(-}t>?{mf(v%x8rC~Qgf)uqdQkeD|75ZM{6>{kMRj-C_YZUj zjlS>sZdPY(1uA=iamr^dLhm0xdm|1jVcVn{vHZ)QdK?$peKj4Y!#DMr-i0*!n}O{C zHga`(R9-;FbeieCNM|$RF{gHX+X4_g1KkMF%@w!%t-~iEw(=5r#eZQm4(^RFFy5q< zV#yZLhNGW!Gqf4R{X29bj&wQ+1Zk(_AyZP)He0C|d$YyT{R~cGmPm@Qi%W8NZm`Uv zH_Q>wgB6JZXicpH|I5^Sg>>6MnJ(!C*Zp) zoBFCz*wj4-AHYegg_lMRbKWXV4w^T=%03qr3!;f?Tfq8_P@=!mgO@{akOqT?-Qlu= zC5lHc;xLmF>wQr@M6r%L|E<;cdCv81OuZY~>IUy0JN0niUx43lWP5pz9Dc%IDKK`a zmjld<-dCK3E<~Ytl1TRDs!ei`D-8aA3vhC%R)=LIIZk2}tT^XwY@(n|@(#`ki?QCVDWZ%Qq!2*gM)+giXv!Z+d>+9;~MK1&sOL%(i97UWl`&mKqpaMYtVk1PtA_Eap+ZM#43#9a^e^6u$LRY)92|JX7A)CH^5i!7 zWUpuGLN=I;*&xX-xotT}fdL{y))3c)k79j~x@(Lr(DQ>UI~INIUc8-<7}L39LX^Mt z50CM$?OI&Rm=7Q`=gh5ml*Eh~d|}&!{Gfld@evO=G~5pojL&_Ox`IC+;RGHPZp=`())rEmC8NH27QJC0rF8CUxeXDZhl zwNG;&^m=2()0~lE<)L=@EBC|A{ndkGUN=&{{N;>N9 zILtfT41}T8ssIcaQEU`M!|i&)i6&rSrdXs{nMg&b)y|aY%tSa*%1m(SnRT>ksiLag zqRNjXbIOdD!Jw;ll%p^d6zx^VM?nIPlx#sR@*UeGXHd~zU)v$nIxshGCJzGTRIPpq zZ%R~pQ&lND6-_uAnJWH_qZ)R_k;y=~mk8~0QIl{V`kNAAd!a`iy`-3mFnOY6)Idh5 z_Sl%qL_RPBfKuSG9*g@>uZCGBBB2N&2su{ziC0p3VIrhRE)m$MHVm32U z_pl1!Tqau+{^U&@wUy22b~L&oM04~Z2%8={9K=TMZ!1{Qcz}Dkm_wk<$j#jOGhoi- z4HTrgc5@HDjxFw}x2mH;SSAkH;=XgN+~Uq(65irw{oxij^Kgrs*}(7b^0~#m!pj;& zIur4=H@R^%5JBsLjs&r`xl!$qWNjR^X>5-qai4pzJ3>Itjc%L=M8=^4O0x>K>wr8v zh*)vgekCAwUy%a34)jw%Omhin`)hK$dwR9r?&k6{EHH5X80=%@c(tV&sGu3YX)@-9 zH?A94nw0x%aIk~$Iw^Dfz{sI4{9C*~28Z+E0@n4y0`9mangxGkFy~7{eid?WWV&@v zG(nS+3cP#yNDrntvl!*ju1NOXI!aJF` zVDQryVC9NABX&o!gJ$8T`8QEvnyX1O(=D2BxlV~M6J>Nun&JLT5?zTS(4nwP+y*%e z4W&ALB&s=TSya!T!P~fP4(_UtZTHSA0X9Cx2Rq*T~S?xG7d$DN3s#~XZ-7s_<)=C@sYGM-J)Ii6zydwqes); z4hA*t{OP8>cv#v?MxveI7VU?=@1p(f7}EY0{&mnEbs1_n+Na*IpL04w?o7AdNS>@| zuSOZ|ns)X`ns)wl(_TI-?G+=@PH=0_KHWh(_U!M&3)OGmTg%zSSKJK5k^xxpGy_ei zA{b!0bx`7`XjkkLnov-eW`IpiGr*s22I?IQSSL{k#kN@xe2i_^aj#ZFV=CH+T;i_p zg@q3Wrd&7i;5*lxM+OR`T^dLv13V*%;SxRCt0%Q{HY{ppZN?o}H*_kYX$Vo!{{XZE zlqxl1#sOLlCh2a#Y5|mVylb>X z-s41GVk2j?SPMWRk3zt@d_&QN%53CxZaG^cCzx0wk^fR5??sGFQMrwr(V|!*Pei~) zd4-KU8A)?Ba)Jr3L_R?w=RGGId9{t4(c;V773HZ2@LIYJS8pRvLsFSWPB5{RdCd6c zQ9F|9&Z-}AcD6ad zd`(`RZFJlh`k&mF4dPuiL*AG5;l8ZzKi-%9PWJyR_hr*02jUkuh1>qv;S1+|S=y0@uLT=J*(fjhDCo5ut6qWYn}5%wug%P@@c3SZcZSA4jqql?Rcl4W|A7(kj^#6A9^}uhG1d5> z)=jnX>k2nCEPlnOsAZuoSrfL&HRUsPvX_Nr>b1NKBR9^Rcc?&ac~#a|^F)@B4=^ z+b@J04uG&`$t7}{uPs@2C%A{k*2HCVF6Ztrjd4L7fQiX3-s_HMzgJg|Ml1^peQK>7 zF7H=KHzNuDz-F{^4V#gL5Bvnq3TEHKoyYvF4?GR2cIE*q^P!_EGnXs@+<~Hf4ZcHh z0}2C-G%UhC|L>=iak3fY$Odn`5pem|2lMQsm|i&MB_F-qM1@YG4Cz=O0d$PNmL)AJ zhGjjLcl>Hjjk*6;AipI7Ih^WWWnouN^*Y zu}2_z9&FY812QwmA6oiJTp&2H;0ANr8}u_jX4?%$(i^NEF!AB~Xu-x<6m^3!?K7sr zzrkRBlHOwV^OyB z{y#%{|NjR_h0$YtIr8EgU!uM-MfmzlaV{O($BECiBEKgMj_DJh(yssi+Jz{1$cO8H z<>B9x)@eWK3D5SPw4K_Uf0BL}V4UU7|8-{3?)>Re{MYKifI0GMQ03ZxuP1GnCk>_J znPBbTe-ZQnJ6-Ks8bD)y#SiT-CagaBQz5PBI&gx6gadSok3MEhKkOCvCTxVb$5i(&@&3`7!Ore=}IC)lUM<*?~ZVeimR31+d(9<6kh? z5y*N;Jz&tcp8Ejkoi-AkzvfV~nep2LN8-%*YW!>T2WMYBnDvUMp%baNJ%ARu0G|K$ zC!Df(zRy+41Og~HG ze}Wt)FoUb;UED(&-0I63tZKmJ#H7I&vOc?ioEhJ-A56q-#J_#BWWxgOXo|7^nk~ub zUe{bI`9;6VSxssD*L!v*%f4B@DwK%nF#Dc#S`yQ6RS7*HZ)h*@rUt-XGEI<)xRzvQ zoY$ci`Tgjz_}3PP2IOm-tfyoxz-}$_3BsOKt+jLCsCF27IAtTO`0Wn0$ZrO)TI5SW z>73-Y3~-M{KGQZb+Hz3x{x(=a7@>0Py&N-KcIaw`yY*u^!(DnAn3}#ddR5engmX#l z%wYDasD~2Hb>v(LE0$v|6GTqj*1~ntg}>U!G;19*9|v71pOOHscI8rn?5Er_|8zv7 z%U7$$ui#KR38XDLlL=}qP}5FFP7o|Eh$Gfob}hOg+|9*Fl0hb=D@i`!B6*FQ?ej0xt{1`tVgu?^p z#yj=4-YtZEM=Uspot1xXY_IZ$!}$*@}pB}f@ogJnz@qCtXNi_d@h!$OF? z!I&rsmV`g!B76%8M?PIuCq@#^bZK2f<3D<}BAoLE5?+sdBwWrTT$Yv!(l=byt7gIw z!U=8>{>Al?go9vN?Jn~;CEi8C(V#TprFisAwG>_Lm~JhauhE2;Xu_K{;S9Sa-Z&EB zO~VpSaI4z+9E7Vj1A;-Hb*K;(=8ox}D{;IK4Bb0=VJ4qRjUvp#Dc0uq6rL->q$NFI zqT36gyG#wBPfZT6v*j!U(@=XqtHS7gl_zkyPz7^}m>j!X75r&*i(V433R zHCUb4%h-(s2{Ehnl*==49nkkf^xbAg>+=yMPLw6)=@R|ZhLl(oJ)}gxSnMv5AR%V8 zO3Y!2k7Fy{(Iqxh(c#YY-b3t6tHxO!DI@~Flh~wpWLe?Pa(zS=BI~=~ou$Q{B`G2c z%}ad&WHB>Vt&0#vk`zUz6h*Z63P8GLAKMdg^B#M@3o~4zCq#%jEkR8C5d?97*GH^@ zQq2#n`)>dZ7>m0D#-gr(ac57!xV;xgV+0&9VUx1J*o&LJa);hnjP9%IUZ0tX2~xno zm5W{v-Y`jQ8RMyY8nypKPfdGbhZt>i_=Dex_GcZ#lQ4)jj-BWkv#F+cjNW9%m|PX- zGqP)GkO!F-%(K(b>eK0 zIS%DBB=A6W_a6R>)Z#nERTl`5P>$5uFpT!2y56>NM6pr~A(LBpQPk6?>Cba;Rw+aHr&DC7L+}tQmPsiNDoF@Y2?Y#jLYBix= zb8)vk-~#`yf5OaHFHH4(@qbkx@Cqk5pleaTb{+lZQO-Bs@qV1KvvW6hj-Vtj^{iOeIYDo1L zBi4{h#Q(X5e0)W?hHydq|4$9+hB?MjLk{HrpKFM+bl~60%cMUu4pL^uv9(3R7Mi9oVztjC(U79x znh;2K!e!fVOcL`oTr&dxBSsiso(;z&kqx+-oJW2`r%-q(eA!w!kikRYQ~F;iOz67_ zJeV0NV2m_d9JK{BlhIx_WQ)@9Ku1$bY&ZIQtRTJOieJHoI;9!-u(-&zphL4Y9WcI# z@8eY_X5#bHHonkYT@0)`JwQfpJBea4K;gG*%`AF*@!Qzu+t~c#42><-g>8Y2Ev;8$ zOBHW65nH;#R%ByaXk#l9&jUo-kMK}Ln6^qATc*(1(nX`h_SIj&cXz;BY-6jnu@#Fu z0kSpbla~tBZEy)T-&@9YSUJ~W6`TfE1JulT_@8by@bGE_P*XK6 zfS@jTdbMcQgE$pOL(po(SX6|yBNt*w5g(t%1(4E=YyLS45BbgqN$QNXIm*Yn^rJmE&G(bnh%dBU z^V5z1`I#B4{jx;!gRONZJ~RkIiTln&gD8z(;lQ#&W9fEanQvq1iNHcAk)g2M=D@O2 zW9daYIU_9t^M5O4Tk91OSO_JKp3BDMuhos|`sY+*N*N2ZeOQ!0i`~z(QG(L#Yuxc0K_J^&bbbels_udfTNhuPDM0uF#tYO8mXqa`hS+u>U zP@}D+0<~3BMX#!J1q$Ay`sp*GR%Tu0EED6w1 zODM7X99dJpcqxqKQ;j9pfn}PF#gAAg7D5SAVOitAa#CX{Lb_E`yGEP3rWQwFA(Y4m zmYSSDEzvdg@n>{Rod>kKre6AtTbOOpUTbVi1Pc5YCeAgsB_qkIse}}1a0tbkT7rO+ zABID5604Yv2cXQ9H(zwu)H3FfHB|~dNy4)FP;sn21Q5x{%bH60Bd*+0OlYDa8aiOK zIE)vV2udLXw89DMwLuvzHUX4HQIagG+6g<^hGn$)Jzyhhs=su6?4AAueU5!ScNTmh z=>e&3k7wyOP=|P~qzKIilA&?i%vin3Epc$}4^F-n{zqe2)w%&h^|nnz;elYVaVv)P zu;g_EFB}6?p7Y=_vx%6`Weu*{1^EJ1uSVsEr5tZ=#W=OXv{1I@@98EKQZVKa{dWl@ zh`8~x5@%>c{r>?G(?U3)%n4EO>EPc9^*b>)*q9kD zW)d^Z*F29ZPid}{EyT0*Eto9ehl%ZEaI=x}ukD2ie6sBW+&iE-r-bI5GMaPBY0jw# znEHs%k}ZvBpKvK@+5h!=t{kOdZI5;RoxNCt3M?7>3yjYSjFTI$`|*1F@wwa1=Sr!r z2&g&73GhD$1aK_F^(vOR#KYyd?a6@) z))2Y^IOvAySULrUz%mbW%2}6)L?ofk6b^*&d$4U81&p{Aw{DzMD3(Br&(* zvdLL`n6==|jiHsqYsf1%_XNpNezWrEBuNdeQL5jX-x7}Wlh{ik?NL!)?3{W86HX2RAETp~fT2hlr z*Uh#O3sfxH9hetWDl;=9Ux5*X<4EH}4)|+XrX%t#d>RK)W=X{VI6}$E&ox=PXQ#RK!hc-NaR-5ELhj(04$Vg<}TTJycZHyAh zu7P?tQNrt>`m89YQh3jNO(Jx6nBVtawXbiib`({M)JSzbHq*tVE?+^>UO^EH{8zH+VipaC;wQ%Q0*rP^dxxlAKnO3D{E=gU<@YTI1E;)EVn z6)LNEdc}ycG9@CdV()D^W~tOBx4PQ*Ry_GMIVs*{YM-=;ky!EzJw}uWyw4Xz(ED^h zXKP;%h_`)JqBA9E)yr@W_N=FMgWjgWFeRH4XW30|ASN_?tTy4z54-o)wI zGQzTJV>Mw$Z`vHj`8=ZDNp0!k1v7m0FKqrW(?#rEMzg~8cjj^)q$H zr5V&c9#ZhvcNgth0%xqMzbi5$@A99H&GEWViJIF6SUYFoebPqV)y~$Aw(pyYu%NV= zG{(HG?tStqb?Hq&@C|Tm?6G72B3H)!*pV%tb#|p2aM4IX_VR zRiC&R&9ND+9F}By+{PttruDwEgaTZ&MU1l8aNn=Osjdc&>*38S2f3x^17h%{j+s?y zm#PeSF!L)voT%F1n6_yl(!rMY9N2-~8c_*=Hgu4&Oq9g^ z`SRS$m@j|G)MB@=Z0F1U{X19c8H?s|EJv==nnV1RmYjP|mK4>yL4V4ZC`3%u5_SvO z9#0@~v+6r{95-b|?qX<0WbMYmXymSyrSHS9=U^juttoxK{2=S?$HXa{6Q}grgQ12{ z?V_R2Oq-c=kE1vLq*#U|Npo8AO|KBrKBi4}E$o^1En;2tiDK>DJJ?Mrk+BL~6PfmR z>c+Iq8`k;Rs5xcdJz56ybMm!Do0Dpo$Ku@*&JX%ormFP@yRwxOSeR%hL@KPLQH&#$Z#o}U6jOl zukK-VfR*a;(HO}$cc}|B(_@o|lA^rGyRq4U+b43PLvVcooT9u}^MPX*`;g!;`Ete# zC!gsLU={4$>tOQ*18g)5I}pGs*jwgciydr97GpTx zluzcMO<&eDQ9e=4GzirD3N(dRAHplAyfV3!raFPwkcB5u??Q*y7{ZI523|pcH#ZAU zpx%L#boVg#OCF3YP0)~JjYq}65c#%@7woJY#CR+U2<2oE5~%k(2njDgV4z^qdR6+Aai%A8cMg_bDNuUxpk8*Z(9H@iY$GC>8Tp-$u||L_0JgH?_&bGCUw#C zw^Dlo)vE0I^+^7*({ggoQ@eIGqb7N%dlFnLuAI1%X;RV_jw6uf(I4;TV(I)4p%C&E zhg=D=shL62ym;>(MEJqk{Q1xG!+)RBzyX-r1HrcSjYB(-{?wtbn4=BQ@^^EQ0c zveCeYw4I-aOih5(NYoZm5{|&Ul*5_J$B1+i0ZtQ9eGW%p-e(<7GapjgK{_)6oEDYQW(L%)8g&tmZ@A zM8Mm;0B0>xgAPYv-VF{Xs0X3qn-7|bwhKTbpHT4)y5j30uBhR2ruYO$@wJU*VFAtT zWBIvVV7Wm0it-ATa;oqL-@SjVlH{hkHQkuLYCwg;dJ_U;xa zsps>dzW{D|0H-K#nIXxfuk^NbeVFFT09L`?YzOP>`r}zd`khrLkxt`FFIV+hAcijNVpSOh8!}c1i%N zDDTI>vN1f;_P~wiMAEX2xT*7-Kk~xZ)P(E!MwoK?vJ-xF?~ew28gh()0s;#sIB8pVHaX4w3o?d@K&kfjRqYh4f)4TGi zF*IXQk*wnEqv3U~ck8C7WxJLM!@g2uBY1tmQGo>p>xmSXqa++i?WiZL*tgc{Qjmt5 zMDEGj)_>$i+Arm!F1fWfx%raFIc+cWJUnrn^;~hL_4NB8{e;wF5!O`=EO@N0>L1@L zo}O$wBn0CsR`&5fxPQI%{t~v+*W&+aM|{zy7O{4CV{O%+BJJNcwlhM~6LO8_4i^bj zRRdGAq;}C3tv1yz>XlI)U$nV}dWW__QI3kV{||$6NzO`)Vu~{pUJ@s-W${HDO)`t_ z&nW>BakBrB5{oa|nnR7PUDTr|#I;|j^(`o!Xeim*WK4NYtXC^pllsOI?2_6=n>%64 zTEF1v7INSE#pZo_@(W|_XexGZJ>ao>lmh2&>`vn73os@+N>3T~XWgQM9`O;6|UBu7Z6XP|EOi@b+;pEAVZcR~%Ra!4i8 zU8-Ak>9wjrBGU(s#M@*9ZJuOQJ*Kg=mv)LC)jQ6ao*T0?a}7#@mo!XYf(?vXQTfab z^=4GcK=nu?D9*cOrO}DAG$t++uzHaYQYI1TIt6=M?=|p~1iu8mBlr%vzWp^LfLE}0 z3-Ctc+>y2#=XTsw#eyGYu|LbAp|l!EhB^fMYzqi+GLm^gd4J;80z`nj3qD^{|9i3Bu%_?m4g|E!x1RWeBCPg0*#c@<>D%m``dJ&~Yc zEN(*)PbdJj>;=rb;BzqmnGFg8Sqj4V80GcpAkkj}SU zIxWP8(&^;WPv?M5r`e^mgeaxcVO&l<73%yX&^R~PBWi=Iv<~C z^3&qdX(USN%r!1G0XLkED3Q+Z-n99dW7GN7g;s85#D>y|^XaE^gH30NOQ)PDrBi8K zED+pqI-*26pK$3M{2|e;z2&H}MAa*irS(Sh*|kIe4ZL{D6*X_;*`juX!Vc0jQ#eC! zxE68&4yYos(pva$4({-DnJk7b zz9UPo2GGx0W`)nnH(*6I`zpn%+Z?fptaf(qa2=*E_cOM}q;q+C()<1ToSJYR9K_bs z8ggPAs`+hw8)Ljtt;1JBEB!==_S;0Y-&s4?DwSxt$QvVbHf@0o^0nz%=kt2o7XNC- z5{R0Ei=2mxV`2309a(xaG?eibP9HGkRaJ8P#BrHUrPgB9YYx>#}tFq+-!p9nc!gq9stT%+VUfu`luBIehU_d=}RDsO_1xkPtKbvIq#4 z3bym_RwSmvKw`*|Ff2eK(-p9g6IQ=uN1)#A4!>my>V~f0yzioM#X2LW>%WmLBjrnc zNleEQ5`5KJx@|j6MGvi%AFHKd=q`B3$mw5}&mgSC}|(ZB42zIVEC#bG*u$8(cwp zKn9wO4jG|wej3z7>#hCM+EXHXw-Zt_+~OEf%g=pbEVh}o`8G=B$&kZHiu1S;Ckd9m z8;Y{f%XIFqLeHQLpH6bGWtKHXF;$>AD}Xcfz;W7*XgfHUD-PtLa-( zAG0Tng8_53Q!M#R4favgAC%P1-l{=?YIlNt{~KR@mj(uvm!*qZrl+THy5N!c#+TmB zOSyT|l8?n&Um=>OGV3(7NJulx*Q3U{qhnmxg=#3CHtHEpY5N11M0))55QZ`bu{CC( zemK>O%*ZR|>*NPGXnnx+TtM;~EVJe4x_La^$WH@WRz^uDahIoOJrAjsrL?4++EKmm zhn8dQ&WxfSkFS=-q%FE5Rp(>Bq1%Gxh>A?+8qkmYChTbSh&t*30|#V%y!1ukUO*@G5l z)kNzlTXV4sS#yC4S$Fn63$t#b^^~o@*oCaWKpvwoTJNvc)2{7bbTbi+@v6<=t4UB} znp9)R6aq`6OD|50da-ttM~3QB>o)g*UYA_A#ki^Uy>gMXam_)5%?k!3AW642wPvGi zYn6~jVtOo1f@Wl4tF0MXT$WsOx`q0s8RRkCY28?IMRL`-=F<*>sHH8!tg<7*Nc$Y4 zNL&Fli{XX@^Xr`g(vy00vJ?~p9co2XZK|BRbT3R7^%73)rDzk{Z{BV5^IGkJ7i0Ix z&rqZGyw__-9l-pg)JgI6$mM-?qu!}KFi_jtGn6=wT2_}{(I+{a_e|ZWw-Z%wnGQH| z#impGB5mVamGF$xcy0Pl5%j*@H->=4CNpZ$bZ2%nYX)t-RuWq}Nz2R)tuqaW>jBJ| ziN)cd8R{hDX@wXM6IL(AcnIdNr0xAl4EggJHZHSI%Bu|bgo;U73#GZhsjIH|(-n2#}M7$+|( zN6kk{ISMjDrp>K0H`T?lymAPO&)!ShZQ=k2qh317OjcC07^#ME1IrFyI`RN75B>%?VES+cxOD&Ed>P|S%@f6^c_o*31m zab$I36nY4C#rw2qi>7d)b#J41V%^OYg@OloS36JSUHM_y5IRVxr*(p$+%@VEtatk% z7RKm18YRaDLd0MrZsu_aD{!s?9XVM=0;0?3sF5dsjbx9T0c zGC0Hs1s@=0_CwcVtAzHuWfp;XB}e1qpy6XJd?4`>Q1s^u4niYjuXyhqC5;H{nO!-h zSjvvdQbvrAC2{LJllw98;;m*c#S!^0AZ~>5?>`71>weBQ(2WOAwRS$Q6E|ZDGmk~O zHF|8CNo16E^YnC0S$;fk;B~rvy18O@1|^?Jt|?ueHOkRjGgVEVSw${xrB?Wdk(Y$DUWDTjX=k`XHZU*$;1CRRa@*2c(q-F zXS0Ez73_Tmz6|V(7Pcu2J3oL`u=hb9_Ja(HpxYdVT@b)3*n9iEj_!Y2*p@JCTL7zI z?_prgHh%_UZ$y?JG6ss3i(kQMKjpkTs(WyN(ECub95GsHL#p6&O|$YvC#eU`CW~2rqH4(=UQ@3pO!>BE^HPUE`abo!> z?TPDH+sUG$8mJ#eBL~IBO{cCoH4=9a&8qH5a1>q9khaaND$UQTO8U+$H)8WTPq*!9 zu20%;Pb;lh#Sl?B6}I9F%$o;}=ti^X>N~T_(v3U1&DnI<1aw=7%5`)F<`p@*#aVRq zompq;?kl$ZF3G05KA_t{RKBAtFmF9L;!WARc)qIPLTCN zAG?oWw%Lk~hgWTF(70>N-P4z)3qF$kJ7#Olw#nIV+c}i@;fL#zTOvyroAPFRoN<|A zHtuqJZ3KOl*#iwt)r&-W(&$8bDhBx05}8t~sn^=SKCElIx52gF3Wt@e%3i=+I&B;8 zd)_n(b#17v#w>Pn_{Qwbw}9pS&Zn{)j8jOgz|Ootb~IbK^Y2vR?%4+>O?s zx=2RD!5-t%!{XX8fSZSqo!(ENKk8vANg`#Yqmxi`brY|iH1u+H|`9?(yT`9paS)3bGnENQ5RMOs|ET+gWP=jIcfO;FImgpDA)=_wnJ#c69k< z2M83|LEJD|VS494*35E!@mqG5>$AA2iiO|K63h5NEM0ITqg*6A@z|J>dy`*%rO>^58Ye-zNog{@$ zJ)$kHCcM=xK?;Ku4eV@E~41No6+vd3sWrcLCKuUEMG7?f3BUI?@^g>rx|oV>xUbN zhVK>l$&EkNFoJWzdH?f0Q#(JRdN7v&F;SadrcJe(`BoHE>?UFYB2-R6dJnNsZYt-W zT+7>p%k~DOTuAn{eg3;k*ok{ddxKYtS_wP1Pr-{H#R3oTHOoaEnKs;N}Uf^w&+Um85NUG z)lbnJ0NWRp1u|KNYgNqh(qe@jRW>5!eeXWWCbIY?67<0`Z4!zG)4z9%fSVO7Yu=8X zHK!y?zuL^)8D_hbpW0-v87bE$9~K*&_RLWyCJo2wQnjDO&$82rD<#&HHWQFpLPD%E z3!sH>&0}y9C16D6n-JmTEXTOvf$?k0y_p= zN#-~;Gt{K};cRJbP*0U9H@v>wmH%=}j(L0MLV`6X5a$@C#xM25*{@ALWh6k6nq5d- z!SqI|;;HgJo73xgyWyPuYsI z(Fo0K!rCYClt^G~!lJSa*ACV_RWT5fsTf0)sb4V$@P{hKs?tFMGOJ0(RSZsEnToLw z*Jnc&Lx}KE;P`l8o+`!`Q!#pLxm$MOOd9nYAVZJ|PG^_@t{CuTr(N zSpK4^Vq5dILy~j9akIv_GqQAooBoe$#LV2AnMLv|oL`fu4{AB)O3N?PinVmsfSTw~ z`-qhNr6y9wb*MA{!YU%6O9o8n(~+{j5yLGa|(G~qJpvyA>qt}#2tI$EyA~Wyj(x;jG_4Cd&E_dbsHvT`Ms2B9JO+QbN z%wvLi81gg!uAo;5dRCsp1U1~RpRN3iZYwVqW#cQeX*4_yT*EU8TUlPNut)>fyhfMg z(2gLpk(img@H{|7Kb`sV@)84ur`nO&FA{Hv#JciIg&AL5zF4H;MG*CN)XMUDLfBgs z*V|O>w`&}P8ZxVv4Rl4hnk;li0xQ&;8yPbMJ&fI#Mz?eum#q1weyU>XH?o@SI<==j zVfvGzR8i{6!xyNh@1B>1vL2LU83!v3$`7+qwx}8!#jx+xoScrAjTQ?-n~uyqYqYdKTx&kGDz#hZ&T~S$J8p?d}Zlc{6S8^=;7ORsw28k z;d)p39BjSDafU;Oy49v>K}#1v#qapzv-{0@a&3|~FiQZ4-B%4p zY<;5xo&>N~W0{T8&OpkuB%YphCmM)ln;x?qo2r=p^(>J;9>|Y*A8YHU(=B9I{U8fV z!xzJZI@bWGyj)bkH|~^nU)RD~SzXwsN=|m%5%eriJ&U zX5T3e>>A$UH}#@qWa&&YOVwpBA`+`utd z$)>jIMTGV&=VEnxGHYUyrT?IfTgYJUfz@|O3vC`x-DC<)HBgtB+=@rjk!6;g>#dE5 z*-i`+>#-$fAr@S}ATV0u>YN@`lw?Uwpx!6U?e2E))kQ^9X$iOId2kB?+@7pDDNyfZi)%`&n6+9T zxB5kJivrvdqQdoApx#3dyV82?-)(8VipyJNQP>8Za_XUY@^1MaHZGyquq&lqC-}{xUk{pj5j-{giQFaQu z`B>>b300JukhuojVA5Ho84NA)4>Esc6sYSWSm`c>w!l21rn<4Dz+&C?3|HtjnqShZ zYk7|pJ8jwBO!3~+L6*(@X`OWDkFec*ooVu^y3zt%ZSoLnxvaT470DvocB3WPCt!$9!wFNcGe}T|a`}kH z@0$c{WT1G>Bp&e;^N(IMt4FVvp(f2-SqLpN%SwgZRub%uIRVzR@oDG6?`tl#Oy*=b zdB3T`#vGULx#S7lBl6uuc(cp5V7xe*SoxN69M1Rs!})&P=DW8pwS2GCQ#fG~oagdg z8CF$6i->i%yL>C2eE;MHPTQv1_exnQ-_;?s%={qV3ic-Cz#6d9E`p7RVHX6j3ikeX zn00PFmd7K(pEf5EoSaY;oMGy1WT%_-1|W7PVhcHpEZuJ=s%_&z-&6)lq}b_?P4)I9 z0!oCLagHlg?Tai;gXQaw-S48ceqapyP3?)ids-L>at8u!+B7!PV2eVB2JG@js_?ZU z?GN5(Od=iL*oH2qzArJ7e^h%jI5a>z)?SiM6Zo*-%%`Wv-)ah%S0QHS{Tf1b$v5m? z5R+Urqy)PHG_$u&3W2(7Y^GVVnZ90)RnTFgxlkgJHoI3i)Bo>Ts;~_ybMn^Bq^zLr zA;X|+ux4T>p@~;osA~R{lj^x(z0c1x#=$(*8D1A1ft+c#B}mjhqUuF~8ZR)fHD&Oo zn7W2d8BR2_Jiu!vYKFsWlIeLFc*dZ3z0r12f5DC1HRj`EK2B$EcYQ_fTB7|RRgYYI z0Ws&87-TRUJT7uq-dKWTk-Kg(keiq$g9GkAY|IkR%7B#~+EQ6aG$T8OKD9cxuSt;J zqowFg&PRYj#U4ObejCoLvNREeg1w;{1F5nCaxe_JCV*71_Yja;)Am9zMxuOY))`pu ze}R!uF#|>lb$yVN0isNCO4*9n>m1HtHqMp+$0N!wK!JIeIGlaiINJi8A)@@k6PS0Z za01P-LGsE-r2Z`)7jwWrRnhdttTK2!ptyvLnqDh?>!h>ZX9hDJVOfl*p91!N`@g21 znc2PpDxSqoPdfX3SofB$giefKJQAoNy`KABRlDJ8Th*?|tx2Voa;oA_SG$uXfKGmv zgC)F%`=BiGdeI6P3F}ghnRM?UO@%cAr3PM?z9r|`Gg(ei&k`q4BbEk{aDBE~S~cI^ zOaJ588Tx`{XCH3BPL*S)7*NNINWaYhWDiLm<%CNKkhXbO;uA08z6`%uD980#7|xBD zQ3~D+pP8>aW@d+(`P~<+Z1&M&hndMIpWXY9ⅆymYHaXnH#h9?6VG!u32Ri%tj_3VrGiA zV2j1vhBlLV&BQDN9SxD@){ffZpRHs=uqH6sr!mLQVm8#xe$Dn;_lbV(VOBU-&4dIs zwTzZaZxiJC@^tCjnF125Wf`p*F{qE1FBK?^?P2W>`+D(-jzY7eU^sw8rZnK7C5wVUy)_PhQ-c|xRJ)xt%f88X zSXknS42dI&YFI?W963>a&QfgKW9AdhP*x>_5ojhA8*H(dF7Gf*YfAEfm~ZW^#^90o z{6+P{y$P1lWf4S{o;IZ664Q}!q+jmFOxOi1m#d#q)o5l)j5j6B-g}+2gc4&YbH9IN z=?!e%cYCFECV+WU*(ofWcY^I7fOT7=aeFFU`sOq=(P0X0=pC9V%E>`dh-plj7gKj8zeKNgI43B)j^ zK7R^!oLQs5f`jY(WXu_p>OT;GKjbooo|*{LP|pO08XFv^-XwKUn_iSdaT&udp4=td ztE4vhJZ-c|#u(F9iBh%^ZqalVEzlaD@$`RIC(=pD*)kxyjXJkL!#=twYFsAgqW_LI zSZgBOAFK(+`+_#zQC`iofM}=%WfD+AFf=3udyCm(phTNN%9He*{4+Bw98oPq`J**~ zd7lCY(oK*q4wIf0kXEpFt|Pr9oAjK3bO%xGZXhTy@69ShdM>0(!ldT~q!sKv44AlH z0S;0e=6ZfWx|68ojeFW{DOeIg1sh3eswnawt)OvqB27Apjg9J=5D+96z9_j?Fm<3@mj z@jd~u;pG>etB5QucO68dThZFabR(P2S;~l~s~M4S*XaGp$H>$Q#$MTXjdY3uS7nA7 zH)8^zW8HmrqGMVAsX2A2AMATZ^RSra;lbVNKs*Zeie9&%Z&}dQVbHY!sDiy+e>0#% zj_TSlXjcHLU@zl99o4QdXiosDVDHC(G65CUN}|T$k)^u-P-<9Hi>xU~GRXXB<<|$P zVKLb9d#w1_<+n}n(CVXXq)vu$o$exhy(>S#ct1D}vB$F$N3UIM=9!`opUS}i${BlM z;Uv}m`j7ym3-p~CFoN)I+z0gG`)jKHzVLCsY%_z3)6(yJVvW|6=`jGwy7aozma|GI zSkB&?I>a8%E+TSR(KYqRUM+1V?b(RCis{=UE7A@zf7FU3=va4&&B-Sovb*aWsO{Ax zp%vpw{Fy!A%WAFWLxFKzZC(eEp0wx!~d(9MbKAYKUbe{IhrihgRmGg1Sc)vIe z`WRcrudyTVSao738$Q-uZ8P(o?b*fBm{lzL&K!gQEWiENqZCW-#jaSMC-%rT%UnKG zE={NV6SGp}rHr55T^Wa&a<)-J>!|kdraA%sCS)6>Dtha)Mg`+lvOTI)0~jrX=T1325LYmy zR52*ld-!K=+_QVSH4I;N>b2W4Q7YvQXHN5ZE9Wkf`vf*L8@T%@NKOR ze~2OugzMG$9DH@U<={)WBaEmnjp1sO1F6CfUG_TDU0XAHcUiMxEzne9U}ABEb`}?c zupef2-pPP*sYxu;DQRmi{KF2Ar7_;MqMU-IK_O}*b^rZXh1)u(0eyZX>ugH?> zq(G{T4AGRAx^(*B2ecuIUH!)#&k5N3+E0fk5Xv1kdmtsk%oIx(B9&nxC>%k0*R!%{ z4EM`wt#mHM)$-t*v&S+cd#5-UxjguJv^C2oHKk2v&5BcO&%}{+j}!zVYLvER)Ha{B zmLzB87nC+|;K5%VY`#1z+fxmDYJX7?@Y~&pili9t2R|`+G^>O8ZbVdi2!#+mVgB6T@9`g(7ACdp!efyb?^sD z*(?qs2)8&mR~jN%9h}QjVfF%HQ)KCz{({q7b=!?vC1fmxyfg%EsGV)f&1g)sCqt8d zPSQ@yM#3z)8X-FgbHmOa{}BYSt1sw_x2x6(VF6^4&$c5ofqK7 zvv39KZ2;F4PwEr4cv^AU$`*xf(Ea7WC0{aI-lFX$Gr@A;T$F6E95}bG>aU^YKy$P= zR4`Jp)pjMYto!uS*&p7Ce3;a)=NcjLf*O~DPVGT`xMji0$kK>g7Ay&t1sUw35Zs3M zMVmS#^Va*z^+a&hKO#$iYF=2B)}ekBvw4IVU3)f)wfPZihUZ?ERowFt)^Hp0mwjxP z(_7%y3_DRS+>eo*4sy^fjj$0Y{}zVB;YGt5&bcfQb>fx~=dT(HF4o;*``Sx->1$_S zw0qj?#m1)VE+=QMCUa@guR{xl@pLY)at!7=A>ftA1$~1r5Zj*&5$=yn1>p74{lZ z)O;^`VVL8fy2QGdQ{-keMLg!wCpU8kZ>s&B0aU7Hk~%|ZnRQk$+1c6v;M$Qvd$XnLFu;a ztZ#8yUmeQBaH=slvRPL=Sx;;-i>L=ZRGqTEHiVYh7Gzz)UjHi&7TqM+t}yK50jz?( zRlr&?*^3Z|MMW=z(&?=xwOqwC}S2&nM-#Yg+p6PsUc}<%&Z=cXlhbG>`5r+ zY7p&8IDm;6T24$&`1&V~sU6aGevnny=U~_>mnA13eV75zAV89E2byBSQOa)x;r(tT zDHv}mw0I{*41`*Cw`Zk8kXAJtcQUE#I2kS*RY=8p4L>SmU&PCAKR?#$2y;Hgo>kH4 z@C=!2v!6{>teKXT%08Q=VbZ=mNkNZ-sOf8ZYiwV0A8x8*ZWaxDJ3#k-m+waMH2880 zjfq7Q{I=FM;iHgE=v@3kg5LFSh&Qo@G)F7fBC zV%f;R>zID{f=Pgm7BCjFD_Q|OQ!1$#GMT|b(%uP z)gD413&#pl`=&})5L!zuTH@Re2c5ISKtBrfn4vc;G{*%96?7C=G>eVP;tD*ut#%Xs z;GkEQ786=W>Et^%YFzfuSlTor3a+o0~po&~v20cPa)KeVURgsHLmLVrx@DsgrE{4aGaw}ewiYnIMh~}aR)$f$iu10AgwCLf1n^xU_{=QBu^Oj>y;Y^h z=I4>srpyOOHUcTkr)W?yW&vTsj0Cp_S73cMiGMuX}i}St^d}e;Yxq`jF zeBhXzFL0cf0CzOb%Lvc;Fv3J&g7GdVS=nS!qXwxvx}LfD$P#EX`Cu~yNJr*_yRtuS zjS|J9WbW=ZhQ=Febjr>^2rbhdWJkeX)w41*bO-8*)*y>!mHu*}wxuGL7x6);95Tv7JuEb?d@nr6qE}J>EdS%WFp=DMDnNzTL^)vq@ zb8B4Ys)0T-b8$lRkI0;0z2$6(JF?`&(#RUP&Sh>NNJq=u6qh;0leu^9b|vRBHxxq4 ztPe7$U~kdW*_q=!fi{|zXr*&YUDcl>OPj(&#tA>3dQAIZ*sj|`D%P%Bn{&*X-sj|2 zj-o1+HVm{&tUFGYB!x=i%0FRiyWq&)M6wqakS`IGOuwU84dlqS!-cVqVq6rjv9ACM z4vO3EvJ~rmG?$UgfE5;eG!RvwQbhL%%!?0LoJKwvNCxGS84Pgd5*2kg0`rO-PE$6H z7vMA#Rpf94<_$h&(`n%&Y>x<6WmnArCID5&bsp*7d`a1gKt>&y6{^r2Jrd3 z^{GdOn|`@uXdkZSa82o;i}U0jl{3-ws(sGh>KXa?})Fd<+GUDjX<#fIHtf(odK# zpiE@|s$g%O1>NpI`@*2r0jPq#qy^pNK>Nd>@c>l8-qjZLZU;IL2CWZ373@t06alG( zD&HAVLMoYQ1i6xV`7%>7D!ia{K4CG=f5^l|E3UHN75AI((S?8hpe>z=FhXfmd>Gdj z{BQ}q3{0f$|CQpodu>oW;Z8lfc&Z;Y#Zybd|Eze%SkQ|d=<$l@FOL|ilO5>sif4lb zEpwp9E1u`(5!t^F6xo<7eCA`L=Z{ zmf>a>PZeSRYVl13g~xTxLPr9q93j zr^JFTa-hd6o;TRa;ELzOw~i>D2tlrRR>hAjo~Xq*zr(~uD@yEl#S-&9x^Qi&EgsLh z6*(}JT|8@ui?kL0OUmt^6wi0=2#V);t>;9tjB-1Tg#TIVc}m+OQib^r^mxUy#)7`{ z0Za9G#k0tQzV1MeS3Fk%nyT3Hp{!1;!Ky$s`2gMG;P?v*ef2zY_D!+giS}9s``8Kr zjqf`pn1swU2FkQpuxGPgX=du;=h)Ot~T6uU%{d1n(ESU`OOlG|k z*e9Z%v>J-W;_%z?l0d9+qAH!KDll(58!|AJ8bckCdP{w0IxN}$)t-$IZ*z$o^(;v8 zWL8+9#Q+`N-ug~%h2RIrex~*Ucx7%d8?1b_hsH z9YhOk1+Jv0MYnL440?~3bBj%SHDz4OT>zXbR04+|beF{}T%vRvi0yeA)7Z(xEXZfp zC^cf|5-TQ^Og(;E^iBAb3xzh~H$xv>FMYF3vOWfnIoxvKNj=ocW8JI3R+5#(C1`%8-Q%aauQU{MOV!pl><|r} zX-mGPBwTTbS@hxeoZQl`E*>3Er{hpLgfn(A?Vo(wt}b@r-=mSUD{`H z)@I}6#C)7CqS_sfz`TgV>B+{)4{-X3TH$a6<~_UBrqiE|6Af?%h+5@v1m^w5;S6Tu z6bCpSQEMHJz`SocoTF5g60nBrrCEs?v_-M>_EUYOr=q{t%!Z(92aLMsauZw)Z9TcJ zh)r|nJ5y?y@!qI;q&|RMz6p?t5mD zi0ga0h!$9n`W!^jCpT1d2A%gVSxeM8lZ7OG?7l}m(~*789HoYO(WJFinRIdZZAgna$7dlrqHg z2|TjHnD2-e6YCSt!EcF|;PZ(`@r$@(z0Vrr*Ip^Y9VBpM7qY++?j+VHyac}`yc(ZR zcm;kDR;+husq0a$eV^@7zJc3%(XWg47UW+0sYqGg`BTS2BT`n-tIR~o9*LBV@9i+& zSFYhAifbaX`mc#x{Yd1TA_KwqAmPlaT>VPqoKgeI9wJ`a9V%MTW%{az*f@}yu;lFH zsr612fjP7?tk&CH4p$Sk&Gl6Ri**-SU2@4UtfD)L0%~`-Yk|-1tIC{Om-XrQRf3~g z?duf9f2pt9`88$gk7pe(Q$0{MWiyo2hRY7D65QFz!l++YdbY zDx_hV0(Y4jAkOcr1V^UMa1;mm9MM;;f}X7|9#MW@B{1)njaIb#vT@b~I73AF{f@xA z*$yX%_CF$>bpcL3QGUN8Fz-T#6V1k1AK(-d<@Y-R^WN?`X0dMpE34m`W5qi5wo`M= zuHXBN#jzfSQCh1?hZrMhs#yZncYdgUP@_?Nrq3|reP0Rl)~es{bkf3nMVINf41=Oz z?tumb_Cg1vJctlyWc4Z{uwunP7Lv6DeO_Pwn%)t5BO4fQ1ky~nHkp-p-VRFB=4l*8DOeM!zGwlAqB)>oWm_-#vw z<3kiC@k;!v1B&%}lSdcD`!}Z z{4B1~n>SPUQWNUmcRUr7gC$mC$OYS^qK*$;HMz&>O@YO_S1@{~z)xOz%m!5Ka7%#C z)|+eG*uRW8UvCNyid{bw#eYd}-tlE+>boV!%TzT~v-D<(V=PXTuQvt8_BflV3d6!t z^=6sFZ3I4BZ*Fs$noFFoHw8zgUiqmNQwyIX^jSIdY@se8%Ga9$^S-#=;&f)?R0cS! ziSliHfqCaSoTGGO)#5xD-x)jFv)TOw%-MY+Mxh0EbWfh@?wZm*pQ$m- zcz?Lp>^a)$>$Aa-?#m=B!_-m*dp~fnz8&p_VP^!e3idt+tn>~`!nLgjxlnF)Sntdd zu=LJkz;^usMVzWwIWbG`Gy-%iy|eqPw(-AAwLh-jX`=mf0wje}k3U=Q%pnn{cN&Nm zSR=0V&Rn@6u^IFpuZQ(Kl)%@Is&m?9to5TSj?_8xloGLn#QLhC55Mbu@%gG@0KZg& zV!coK%ZGj3da6ab9$cduzDvbPO{kb~yjC=T?#wc&=KFjQ75$_grVA|AJ>FKU*KV=( z_b7F7fy4EH&sGiPt`+Sg&Q}eBqm*wo6#pgFaO0PhsmAfg%hV85vs6QyV=RZN?W+cX zalFiC>b=L#RJ+5?2bZcFYHZw1$$-khgWR^qE7HSFM>)mi! zU|tQoNK^-oBHESWVq`O)XIcLu2_3bWQ(sIoH!jUwZm7QY@SW*2%ySuJ)Q@grJnXgRj~xs!QGm>27wji-OC z78y^+PB`4Vc<;h*+Finv4=4Y+@vmo&dgGBpi{HHNV>RjAk8`={<*D|~IV(;ccSU-9 zOYO#2^4NLz;9O>k*h9WKpYX$5s}8ZL?m_v#`Cv`D=Hn;C(oKh}{(9XRU{6o2+&l#I zW$7s`@r{4ZV^-mzKBi5%%Z^8;Ti-b?^8NonFR|9h(mt&X4^s(v{Ec|o>eC~U-Y-eqYFweWn*WaGUKL=O~6SefOAMZ3LtwX z!86$_l)e!q*CQx>oscQot8|g*qnD?roO4Bb{eBUyJ+PJYKsk}NCxrXee~832wi zTN}wD2XtgnvdR6#+NuX4?Uz%xcHRH~L#D)sUsnI$WTk$=MJE+^kkQW{y= z;(6jmssBu=n`4jzwaLG5$#mrVSB8!jnBMY!3MMt-U1N{ev6P{s&xNM1@R%%Lk4lrq zE+?wo^_Bu-_v0nTklxr~ReB|XBP_%%R;z+rP1F|G4G7G;h>aW~8qdbr7U0wq)$ecw z=DpkDG-TsE9^f<*^_;^InD@m0*>vXeL1z%;EAw1{(h{|E5Om>aHypKAZ6?}}4+x`Hjlc)lRBQP%yoZTm-Cd7*B z3sZ#$PS{((i{?!yJ-~CPtV5xACm;S~I``c*Xu^DbJxXvR9yDMHjxiMDkL?^*?t9~{ zJKsxRjmoS9fQM93wCS5QJ)na~WrXQ1!D8IWdoc~G@zkXMn+yASAchK+NU!ZAUq(0c zS(oO%VDoKNZ6ba7C~aYu8m>!EJ3*^-Igm(SaRQ;`_^wEo?%`59j}C+M8VG5{0L7{P z)@0q=Ca&1?J^yU2jDEFO#LY>=615Sr9S0!cx|-b|R9x;}Gb{x@E1K5R(Ds^%OmV3e zh&(7Gsi9|Yl%AE&Z=2Z3!kqD)DPI@aVt?RCMeOqT=w>{%=k|$Otf8@=b!nM47DOlf z441Ct(Q6_XJ`$Nxc<_T}zriliSu=5P$WmN$rhMNX6)9tL)XYKtzvOazBDibtMAyCMIxQ$dUq-enOw!YB+gCrMgjY_?*>>`{^o+6-syM1l?85g&%D7fLI%d7W zYT&+aUAlYqa|ZfMLF?LX&U4N@gon$&W06k{>>eBcDs3BlzqnSK;&OR4VkW6STA{2w zQ@;5p`;xf!>kx@9H^qQ7AG_}J@vqv_5;aOJUu;v(E8lPRB*z`11VzgFO
    WAGz3 z2O?#gy}#uaS+hn!MD&UG_KAa|PZ_s6TD!H)_@J!fdjKZp0%lK9oGF+{+X2;cDohy> z+>=y-6E0RWvf*|L3SUcoyWRstQP%l3QfhmfRY*z zwWbA*VvUmTST{dV=FUt*8QB__CLc}##iIC3?xixny{Ff(h>{|sR5>%63&Caz42*Qq zT!Otj9IR@aV4K3QMFFgWy+uA!m#55*k-|A32gkUHdiXWOsR>#Jk|C-m7UZ< zO9);3=-Zp%(oh3b8cRYznMpw!3ikfSrV8a#l~J%AVc01Ftb)B>3u`K_U{{1;rv|VJ z_I?5^PfBvi3F$|^|7EsxQ$A`Hy7NI=OfN!7v+4dT8J=~?=Mu?>^w_V=y&AotB{%sN zmwndp=*7dW|0s%l|JJvL4=Ndje6M;Y^MupSPt6)n)WFh+@kD3`#jcrl24Dzm)yH~< zZnlq0HLyLkF8PkTD}j7AgeEE^WWi?QCcoa_uC;VjK8f_~A<-&8S=3wE zK&p)Jpi)ChpxzyZ#H?GSxh!YLLK1#fqGW=gwRx~g0OI+?Q8#dSKYzcL zbscOAb__|)N*^PrPlHB zbhDv0x}djlB8r>$5PDF+&&cyQ2gCa0=_BM3(R~CxbJ%!Huzu(MZ!B!3({)S2 zunPiM1$!GEYy(AQ^hFr9Er9J1>`e}K1w~-=MHsd{fL$TjuR7QvN4GN!yF7qZuy>Kq zuZodIwK@#DGJsXEH(Id%;>ER8>DG&GeeRQlnF;uuoUvGMd3#pPIxT+BMJGS?tEP)i zt&S(hyrO{a$>#3@0t%l|z`Ybae`gag;SmMgX9LOzIKNK;nfOcpUG%$8{%u1%S+PL@ z8~)2soe0E5cM(wAb&dHuuR4*;yMutp;?FZrn3{0MyN_G#(2wIuEU0!bbgv?xrrHrz zjFqc;A~hQ8-e&v6ue6cNP<;#Gu5q|h!>5UCsLnkHw$NlJR64qXqyCK%#Z+PDS7Z#a zmoP!*6V0p(#I9iPr|i)f*I zE8_BGp~)tnA+}7)hU^f9QIBC4^zbBJZlwK})K0F%igPkQz3yycb0X)oz25Wt3FC}=z_}lv zXuof;ZoiA~ck%r$zMpumy2<4N`vrH`RvnI{r$axUYn5(k*=VNouEx;VH38&Q#fDK?LYWl^rID7LJEl#=gXB6Gp$C57~(7V-gVZs_5thWe+s#y`S9V%J-dGTT{Z=@ zzMUUw|DmDBIK;S#(rV3)Jc;qv)~mahjht}g*G`O)2v=td&$ zkDI6G=>3Ppc766o%He_|UM}19*&o{oF1q4=WlE2Z8xK?5dNHN(`b&ZiFSs1~HM^GB z7Wp;B+wmKVU#)8osiPzB8{U;VZgfCVY@&17gBt7J?~bsxP}ANYB5aqZ zmJr&&=78a3WP=FS+x=Z?B`U0gk8owqG!5@%m|9A9?-C0MiNywI^VT&L3+<_hUgfGPT!hq9=wE~oLyhN zQLHb^PGv3+DjjRs`}%Y@?2|Imuun#|cP{nRp?mRhE}(CQz+Iv@^w*nVFu$pVGwE zxi&$~)?M(CKNaKV$&IyMJc^;Do)hH#tg8!OFV;BIyv8(U>B~=z{oeQu`@eX~xXj>x zoILKHuG8K-&TFFoXW*GP_kpLzG9RWCdB}7pVx_jM`f9Ge=`{PLRx zke&QM)uza@&w{LlJgN8OgFJFlld9N#^8>}xst!fcXNz*xw46wL2{ScJBCP{TQdxiYNrZo z*U$Nhr~hDiH&PM0DvCGOa%pOmpRD0=h2ilTU_v~KH-w=$@zmjX>VPMoqF?pAso9K) z6)|@G$$mn+|KPJ|mqC&qwr&q##@3aktGapp%e2hQd4~?iw(6a)oG$(B`XYUds702I zq3-(vCR@viJ={lSk_XJ(vkx7P^QMw103Pt&^*RG~!^ii9S6_IufZ|DT%{9EQf&t9Y($%=1OxF~$2GnK( zr;U1ea*r0E6ytrG_b1RjAfa&z+l#a;a$#TOhTkcb*3G$S1ZGv|amTdTc~FCafvKVV z@WTze+EStv zE+Ym~E--~pss*OZ3Ca5a#90Zlz1`@-HJ_z4%Lc1nAa1;?Ar0eA#Q;Q;trLC(2(gp= z>M+T#4ov!vKL5KS(Ec76HH|NR-dn@%Zt?^1)~$z(bmQsVPuI=fH~CqN2J?dP!PKOi z=Bd`ILA|XSiLaskZ3%zcVl;C!uX(hY@ugQDU6^b5?1xpevh}uVt`Jtfty1z?{qt3@ z3c)pRn;J{}nzto<;tjJ85kG(W;=S`f5ljWTSHLC-#Vnd{l>puh!f z&u@6WfEPFu>4HylADk)NQI8TZJ(;^*5=^AWe|mbd;Cab4o~pPD@ad_krdX#Zr)-zZ zrYEO9FDY`f+igT&o+>isdN~v%vdfc2&r68A_Vdnf{Hup$z(}<1uWGZ*u0v*###cxq za=Bi4+7;o)^#i*`;rBDcoAmo-GuM<>LouhjA0t?sf-mADp5_9`Sa&~DO&WjHl;&4c zF2ja%BAr|6ee*vx@Nnn`BclYn2Mi%Dm^74v@p7#DIWu!bp^8`{4Fqj%5>$2-ku`L* zI-OL-sUz6RsnzJnGpMU8AXItrx}qe)*{<_$3rsdQgwpRJ`+gxSR$NFGnz)K;DnYoaCHHS|k#a^?+Mb zT${gPi!1s8zko9yq%2nPDubm%te3&f(Ta7SE7lSvIb0RkrtXThOesqeC5ZY;ms0xn1+}lsc_O`uFPi#+ULi{d(dXU(Vy= zVsRW*&ODJa4xfM28{~;p$~{FMZ8e@q^tXCf zJdygbb$({vCyzvbY~CbiH7{k}6OTlHrdPxxWiK<2!{v%}Xsq3F%slUXx9hsdR)Hsy zg=g?Z-d{4CA|h8mt{&xj6E=FxErLvr$-%j%CNehfz$!etsu89kdG$7F{;wIJ39k^R z&&veNVxLlaiZHr)64PVn1Uy6lUwRImuRi-FcKO<5zr-|O>kVp;HiV4dzT}mb9M%q_ z9XUH%M0{*VzV#G6%NKV6@$*b=YY&QkBmRa5Yg_+u;)2au+8nd{WOY)!vdn;z=X*=| zO_WyhJD-cWBcr)Io!@z-bNQW9+Qed~dB^=JaA!URtueanl82kgv5Dx{W|X#9qyJ`} zY@fBsYkm1N=tsfj*U+I@p&2#wF(XNfH-t$yDjqIjM60vQqt@6k(qx}Vp^sB z3mZ7M38Y|WH6R2xC=)Zciifrf8dOWTYx4(ja*xsQS5S0OXTzEc8WqL1kl)o4#ZA9l z0KPeHE_2%%X}{6587ix}3|O;qc3O-!j&at=>f1u3&4M>Gw`b(bQ20x-zjbibdHwWQ zIz^brE$dWN09V;xi0R_GF3OL6?M9#4h=Hy`2rUkrd`o#A>K+bcY5CLjT?h__s z2*HGyS0zYhq$_I$g5->1Hf7QOH<*PV`zRF}^1Q<^rk)MCoOV%?oP zTGaKA`RvIOaNhGLnSAEG@e3})z2WN&^<))C>yihJb~o42>olHKf67S-4^?m@I*pEb zk20u9MJ#TxwW~&#Q7kBJB8A5;R@U{tIJ%&uwslKBMJJQleD&u0cWB;4+t0g>sNn!gCbIO84B8DH>PGbZp*&$l zFY<8ht?7XZ2r9@PLr|w8##r|y5UW`O$1hJ#2wKM%%8!en>vU2rC zvr&%fwebB=&Fxj|{$>QGi+WYR3G4qB+h}@ft9ln|y{*0>T6{$7P zsA{55Gq(yk?_uKw%vdg!MicB5 zD85;_JA+zVA{Jz)O;G9@P3&x>xln%#e>F-H)D-N@zC`-FC%_F?q_}kPCDQXhKZ*&; zQ5+UK@q1q|300ef-U$+VCrHRx!sR5iu8M@{QrrLR5@JC~NvIDqry8Q-HzK7c&RJeO zapC_+>9M24o=iJ2vUHM^5uA_rh4(vAjhs89bUdwPOw_R6FlOLHQwa+5`L@EC1xYGP z-*sm|URLg%&zRmEuj)|bhAU`DS?V-3Eob!!*N*2h?jZ^^o;+>CY6MAEc72RQ>4S4i zk5r6VG`gfdofh5wf2I+pD$ak^+ViRkIo&lVE7{xi7rL4eDBh_d~1xT^(Cg>@RxuPZ$y^(DE0V>B-@RJ|iRmO+}%OROpyYgb5 z-+gV@-2`;RK6_=nbx)k0uQn;wQ+USNy3Q<`ckcQvPQ$)~UbNyJXhh0hsGWUb zoatAdA|YFy^>>e_(PO;LT+-1sUc2&IRv5RTbZ^&P#Gy1mzHy*ikqs~5FMzR`OB#fj zPJDyxFnv?M-j8k=Bp`Qt+yr2jlJrar^M)Jy4F&7(GyYl3n_N9i5sbIzPOb7tnu%$YY2qE5m+jv?0?@w=0}XfgHrgS<$ia{Rb|pxe`{&Y*n^ z6vkK$)1%1vy<-qvJ4>R5w7GCmMuG$eT`%IB(Q>%gk)7rB8xOF3W*M8$H`&NReAnG7 zzUvB!k1AHAO;thgU*CLydCEF~S&yFaE*$)Y*68QDRuKJxSNqW}j&@i%e$L;8+cDj_ zy+x&Fa(mA)W;&#O0@u#%H~1#ExJ1gqZH94{6(1UGlD686|D1{s?k0LOz!vYacfG2B z%qzIvf?)iG-qp|b3?a9bH-k}DZT-hhoUvYmPZ3En$iNN*e~Q;Q^`gNE_(nTAfT@ok z)VaA61@q-<1UEvAtF&idx*!2LXFJ)2z@7T_s6ioY`38YX0oABMFU%z#g_VnKz68J>F9`o1`&(UElRn!UzY=;C6 zPy%mL0-FwC;@p8G#@~vV6hI>du+gVqzozvnDG0Y#0FY$iLU>db$ZqQ=>_BSnO7L9^ z_2>?koX<5pELM1}7LhnsYBUrA*XP=9Q44jCb?yfF+t!(|zH34t_E? zw6W~!>o~^E;hSL4-&okd8Vtrd<{HfUyzmFU@YgWT`!PTdzn@?73|C;VtkapB-NIFv z%=NMg^Ed|0SJWgul?OYA^ynRG6-K*hrL4jzU4oUEY2G4VVhqfLauZi@;l=MQGCs_a zQWw4GIu)5^x2!*OABbWofJK)T7&rN|_uerelGs`fq0@OwHAb=hzO-05d)hc6VfyRyon0u2_kEX%9TEPv1EK+)&Ej!(qXJ|P2?{fM{i(qG$6 z`dE!yi6t3d_z>1){FqTfwG7J*@AoIVPF;ZoX1)cE?%I#VoncVS&tE9iU6r7&WR@pu zGI$8|)7ypLn*cEdMHx{j5XV#BcoKZ!W?7*TfkB9ov3r{uheQT&$3F!K+YRf`wa0R+ zHtBOxpf->X&uLaZz?@`+Yj#*il68c<@Ymh)yVfH@CHP$F;{PDXydk)X&h@e zs1gy+$OdNh8e|g_9Y`NH78SZa@1W#e6&Hx)pQag{(t$n+J>7^82tVx(<&T5rn zJ*Ex0kvIRUmMT-V^T--d=kF{xQRnYexhUL-wg~<_rrt$L_a!gZpIoZo_)~zaZ6m8(0d2vAVsC>fxHJua!4GzqR)T?btMmX$zI0 zI}v}^;H#?(_~xMM0t#=qu>s?4v2|DO!Lw{@p`ruf11Mp(2AY)JI)6ADDNM3@8q_{i zNpo0d%vMQB$LvmUAsuzH60=@48>&gWDqQO%(*cIi4HZb3E5U^at(-Z{~O| z3Tqd*?d;C&Y(afS3zy8ppH^&ED@hG`r_~$IwhN(`{(h-$rhqb1BBl+UFHSVPm&q-cqVrVl~_|qmB#Vcr26)02ndB#)wqNRes4kV#dXcHV|$E zUntcWRQW8~4yMof4Qd;+UCz!&mK4%Wp7Dq=sBs)AOLdVE9%B?(w!3rHjD_w*SH&0nzSbClovr_=T=0vIDmd$A-1j@bXJz!}fTpo_x`EW9Au{2K3v zECxQ-J=I&T&)JSy0>>1YU4_E#1!6>@PR_+2WtG^rI3J{G>(^+ZFjTRw+bsAzvfhHARhEUnJKWsQkKLZv zT#I`eu+dX`u!*oSK~Q7C-U0obB+SSrY4i4Bh#47z?@hi)enR`!#EqTH@wEm@66!L9 zN&U#>*^PRzzK};X%$=mzPdfdq1Z7V`=hlvHt#bSxnA)JxvrUa2w-c{~85QD?Iprkn z10)E^YZpQs_Woxsz9~hFmjUkTf80iuijSc*`=7^Ed{-x2G5Zv06P1L!`X6`C{%1Oq zvoyLjH#KMI_PJ^Kc@72W?qzP;5Baod^Z$VY+yHZxQvo_vv^Cd$5qP2vAA^Vgc7GuA zQ|{kY>*ttR2Ez?_8XB)fV>pkCo`WS9tiq%s0jgxAsJ~@X#iW?zZTYk!;f+#!)D`0= zKWyM~`Bt%p{%5>eSveiDM1|7^hA&9C3pb&{=toK{4%0kYCbTR|XWTpqZ3<*Dbka0g zU=-pOrB&Rjf(^?nN^NyEuLPsq7!~Mz$S&fEJ*Cz%mh6SvhLVCh{RpZPllr9XWEid0 zH5)J#IqCwY=^2>bR9%Q1I-1&);bz@pQ`3IGN7b#JaP4*LVi01qaoy@xrg654FRFQx zD_g~PJumTPY?CZJP*Ip;o6Med%L|;o>K3%N7(UWz_&7b&tX%10_{e1Cf>~8M81~C? z^T#t!{%@<;RK#>tF}%1#D-$#!m0i;IViY~?^4TBhv*(y9&CSvYuy8IBQ^?@$EdF4) zee@w?z$qT?56BN6$gA9``@&m;BlccV<39@UN`Ls{%FhR2`WfDeK4_W?doQXMpm-%i zm{QhUg0m>i`fR>*-oDkBxT$i-0CBNqjXkM(HA%^VszWm}sJ4^Vku&;ITBx?6=tC)= z;WstqGg&nKZ2FEaJYE+C4V|1{GxF=a_LVx=oi-ggO=*KwAlR0SnP?-f6bhwag5#dp zhLwUL6WfNuU(}Wke^DC*;=Z{p6aJgqvT(yt${|E)!XK{JE#$RCp^1mwbczn8)4BOj zCa@5OUj*S7A(&AkgI`U5aQ7svwKRB(&p;~wxAgynvpV*pS(2CkIQ$ z)rh&PB<+NM9m2-Al%qVG;E#-~naZ~{61Sj7x&dV{_^6uNx>Js-iK{#5D5s}^2(;K$ z=ffRyG#M`Dl}FwD4v%`eWB*X@O|8P+D`OYnBgzb5DQ`af*e#40+MVt&cq%WFnrKk}zqUdgOEdq!dFe#yc)doCGxDptN(YwrSu@c5nI z5PzC?=A@aC2?$1p$v063ALS*q=oSZWR-QsnxdD|H86)2)ljmNc z{mwmh3WAYSt*(7PYI~|{wQ@aM=)w?;wX{GYObsprvcj%MAq>&o12{x?uQ;Acanfed zsY#L*!jUUgITc}uOO|j`HO&cnQ4vIoZ|_QFR4BUHn{inrX(h`JyCqk$>`?kES$1G( zRO2CGEX{O>D;HPI=!M(xw+pPLAz75{_u(MRyRq8SfJoRXumTR4Tqz_%TvUN6{7Tqy zQpZn07=||Y>iy)wTx1i{&~Esg(wL;(Af()$%hk6hTPUPz7fbk+(u$fO9;irc5NM)7 zIfl(t=PuVD@UgejwgS;tGtt|RimPf@IO);pdnyvtWFWs}7nW18E$3XI`oo`u*H?a) zIAT3EwM^E3yJj@3_P>oy*2`k(VQ(A!Xa(X;*6)I*IkpC8dXms|rhf(qESGmQLv0;{ z5$!hI-f+j(B%(~#XROJ~UVGo^QTC~$JF}B&NI~}>!%3mU4*;6}MMS$0?D=bc*$=E# zZMrC&^n&6p!s=PFDNuPB+;Pkvem}gw@{`07`(X`)Qv2=N(d4mS*^s9M}6k^L1!< z9cLFnaoq+?-0)G60^ad-DJBZDi@1j{GT0j)e=W&$)>GrG(dRr40}*1u5Cx4B*2V&e z!qMlnBCC&MDvbfbrhaf9K{Fe?p)_ZzPFaVkZQW-%N`vl`*}W_B9T zt1}uSV?SA?*3xqjoKQCp67bb6L2kLd=nMo&>8KHAvKOV&B~2(LuknwIFx15Q0?7$# zbb*wJY#TOmZZ_B1QIAaUA_YdAp%o;D_Utx>0+057p}?Sw=n}y*j+Nj-&{gqWR0inS z#f{Rf0s{V4E)n6jdH%MQg6fsn8ic-PwC5iIAEHb87wF_PP z>-|uV=&QLs7K*e4pHQSXi{sh+75hty5tLR1?;B^V*!8A7P*#evZ7J)Kg+YQ!C z{X34I_0n%*{DFRxM_a?5zex;Cm(g!}p5e!L^qUw9&)O&bCOltCw+Z`|>NkTC6_RiD zo5=lMN4H6dF(BS;qSxHpqu0FX6GyLk>t9u`IU2#pvR2uqdd)v7*YiAG^qP1>jI~HQ z&X*xH^z}j;9cKM~O2GEMbFm2jc-?3COVxdv?r`Ng zy3db*f+GJ|Fs1vPB_ZRh;DFT!6~NJbo`W#d*z9E0eX9IYvz*qVdeGC5BYb;KRNtO& z_6Z+aKMDUYde8+x{QtNIJqiK*|J{S$01nL_6wM4h=%0J*K{L^Vjsm8=2gRAEtE2}- z^9_4WAsuv~&_j*-oLTHbmBv!NsOL+RY-hvFZ>bOTIj`V!M?=^UE5;#-nJc7tXG7w@F5CSFhG_sPzNur_Y*{-Ld3;~+-2`5Vr8SA)5{@Hdztth!gap*P{k zgl*Lc0O4>muOA}`i6~He1Q%5Hz{rjgqdaZ?9sf68Qm7A)4*ec4`wMV(0BXnhQSB~k^AmLqm z5rHriOOlvEEy5={7D*4E&j~b1W|;VV(u6W;d_HAD&CmJ#EYZe4kAX>I z&G89gF+PWFj?V{6@#)w4q>-=6E$x98_VB~`mf!jId1ao`>q zzXgc7krRA10Zaigz>fLC)8`)1=Wv}EH=yT+zkoRc2C%())r*MW$LO%YA8rcN6eI<4 z6mj+=43xIxPsHhj9f`gXJZgxG&vEbUjPLp0;E;Rtp^LM}J?qo`U*&Z+B(4u;H&5S# z`5EVEtJDnAhbiPkT@y6C{e%-7t;d}{uFlJDy6<#Z4ada9mp$%rzwU3spw*X%nPt=T zFK`CC6XK}32RZA^4F{JUslsUhW{f$tWsK`*_Ka~3HamvE@EYiZHI zz$qx^y__2VFEX|PQ|GRXlJqKN_+ z=H>EHGCNYtNvT0WFP-a38DZ^lAt#418p2u_U%T77>1(yVc@5O|P*j&-$r*u?^Cr|N zV=UCm2|*kJ|H>zO(S0)a^DRq;_+_8{Tj;KFhw&kO&T6b&MotW3YFB}v{1I!o5Lkc{ z(pJV#3NDqF6(}t%}Diw&xeOX+{!79!M^rYPx z@x4Kj)Kwr^-hgS~gZXb07jI^ff|NwOU^ovany;<};|JvL!O`FqY%Y;FFUgG@{H~Sn zSJmVl7LG=IN2`&LA_&1U1_&ISNSUs}p@Ee+uh9_5UMO`&pK}Gx1UO&42zcEDjXMiE ze3h$`mB16#jajS#)xedb0hPF?%B+k&5ccB^T-Hc^PMC&hj7g;}VbsI6aHDxmT%d*< z^}wO*&vEPr;4?1%$oSd+whvWloX8;{e5`H}vjzhPzxj^?)DWbEfub6whB6S!DqUPK z0pryP=M6#|9ChmYcZOPISlcL+-0;5W5~&Hi5 zj;jVGTog%pE9v1ur$L91VJn4bqlyNhFxXfJRI#q%gTwJSUQ?X>Qh*kl=ZyF<9~tQ@S2*724M^JXbJ{cC=FKMeCS(PP$+j!+J0&h#I8mh2b5P-eFF*mSxOxCyI`?i$`gMaeZ za6d#CD18b4WS-pGhi}5)W~W{WWReHPJGQ_s{3SZd$w*=w(}jE6a{S>rjysxRCuX{n zv2e8w0be$wGoA4vJI5iBq({lVFMEYw&u@|SA@qyLyFYvg4SVg2r$9`Py~=q_SC#UG zSJkyZ^j0}^sXq+leRW<`M#RlLcMz5Z51|&%7{}N{Q2_WKj}_e&FZ6VTA2~>Z^99XV zT)>iiJ3ss2bTJ?103o`E_|(S_0*dZ8^71&fj)((%c!_1kZ>j&OvuaObGCaizow+Vp zlJxgI=fG%)hCl}B^72D$u&lVEX8wso&Q|8rWWr2s5N(UsEJiT~GetS4H)AQ!vNIL7 z*>XoM?ucio0`Qm2=3H*Pe}$^{)d*!vj;E3U6l#`PqS#(Ft3A<-KOqh?>kog^xmvH@ zhzeBlmby;EQRtL)ZV4E(&W!-nvSZjN@>w&YjI1dIYj~e@EtWvH;0*FsLchTB z_GhTjA--0+F6SF8d|-8DKNeOB-^S0RRp24i=*QaYx&i?4o=Xze8}!+e(XGJlf8Ujy zRvaV~k(Ae%cYG%uBr}~D5`T#!8RuX}Q4#hGaN!mw-mxq5HHrXBJ^=8koz!6mnXXz$ znEvwUPSLvDcoZ3X?<*4qfuiez{i}vle%3FD+mWc`0wqarB|#hE=9}#L>684p7>F8~ zX&)smq*jlsyVik5Lf!~$$c_0bJNoHWqtxVc)*9YPRds0Eupo6g2?IsmiY@{xzo_bN&sa_LGMgFy#VaTh>#R#*y+mbq4<;zy zX{au7Xo^Q2I&&NMPs1>2wj_XlV1b>^U{%qPJcErF=CeV-CPNEDJh5R43E>%D5M@`G zZrlr05as0|AiBto?~M~>uu%Za-JIcla5%{+g2!NHU$$uOOp4<$`hj4?ljy#XOBw7G znCC8ut}@@y&3JaX;2D75wK< zw+@jr(=mO{A_QaB6sYXPrJi1NDB)vlWCPR+8|ow%*uIRJ!xlYb@H!@kMzRTS{jMuh z{%ve)70QpR;zzEVr~G@-bfD!!@8E9*{??&|V7tNk>Ho$@J@&Uu);-u86GByLzmF9( zcF52QQ`mo52vE98)+7O7V9j!Z)dkT>z}OMhJ7namnlY*Q-Y%hu+2D3{)>(B<(^-dtyezJySak23i%x4xw1>{&Gg?f_I5s6`wG z9Eoi}=kUnVyJ`=r+vNcYc7N61g#(a94N#|iVw&o;2;+=%o9@C(G7UK4au=$`peS;L zK6ZaTdgR}s-0DB1fzA_!!$BG}?Q$Q?9y1Sriw_JsV$%}?Fb^P91>p%R*&Kw*aY!RDr4Y3Gx{p=kvrFrPqzSZ$uLHm~WR`G<_*B?Hm{@58K-uF*gGivf_ z3BD1lr>yRTR-aja@+2(*X#E;H@Aripf#<95IKwxh$vp+c<0A=bKr-;HE@a4v-^Z>nmUVVX(EyKV_@rsJ#_t65j}1EO5?8 zKtOgSw`4hY#2R#D=b#$N?HJ8)x3H&Ox9CzCo?r-GGJTd`e_88T?MYBP_-s7*6SZvs zC?U1)Q=It1U&WbmaMIrD3$Ip;d=?&i)k-Bs9 zH42C#D_^@IE^75o06&4)B%5m}x7-o+s973tb8n560F~t`7`#;l^(ARDGlkrF#nZk1 zja|M%YThz95!YMtaR%$&jz+0wF4NWMcGU-rqgQE_TlNn{8%YQ(v&w&!b_c?4K^QjE zP4|G#@T)))ZW`TIKk6`!o#Og7DNEF&Q1}utKW( zGvYv;$iNXzQVxcQ*eJ}w8)<@Mu zTXRReV==P_RSIfDwV|!7cvh7piwAro-tphM1=Vjib%RvDcUAQpXH~y3rl;_*FN`)h zauqiDRJA~P!ZMjRv*R%8j6VBq7*4{j^OvJahFv4!gvb5>zYX)STU+cUJdcXSAYbGs z+zwtBQ@tIQu%sTwhkop^bJ(}%$kqyY0!+%-UX~)`x`M`EjKK}EI3C+*_Lner8|OZ( zprN%Ev?&e^8L5O;;+PkBnf9Xu{9^xjH-4J`hy2{P*o9Vi;rm#?qa}_EwZxMwFrp0v zdleW*S^+lV;E?ewz`{{ieMEn@e>2Ux%gqjKdg>}|8$#H#Nw>wl4C@gP(bnR(Gd7;x z9I@(5Ea?QfO7s!}c#<`xihbPZ6zS@)uf87Jhqaw6JPBdfjSEpk3IN3dsnGIU0fIPm zR{8UUX+P3mzeX8%_1CM9;juidPtCdzyr?&MJTr{ezX6;3M?ze%E9Qb-sc;}x!@Tg@ zVpsgqJakqTRRiC{=)W=Y8gv?a=+}8|d;Jc#{(8{+J6wg9tiL|ZdwZ__`qOVAMOdBP z!GRlt1K&qTRa8P+lHspe27ms*=KO(M@^R7pEZ(+j+FkQ1k9lUyr4c+tBY1HjjN8|a z(iC2bv#ZZv;qpiDz>lhQbs1Oilq~8G%cpB(iEU39L9!wifYTMCVSus&t?gm2*sPZul@)qpe*g> z+CYiUM1mzJ1WQiBB3~XC=*FpKO!!8sbxioMKMK1cuc#TEb9qgYU?r*LF`n)3+OVV6 z$Pk7Llhy9Y4$P~+gc9hKr9ZW326&3vP3h;!LY!s)f_{z`WyHehe+6mvJJqSx3 z$@TI~+<0Hut-nKi4FpRDU>F!IIXPHz8uFTh2;kP-!>h7|YoYQOoODov@tzdd46i~z zHco_sEPsHHkq6BUzhjhGfY*fAOmC2=>Z(-`v93{ddk?A=MXWT62l-s3XJGSZ(MrT9 z`bcg;DmtoXYyf>OH;=S-q%u>5M=29r|55ZcpD~1A_Iu(*UR=>@E>-TtC4Ah2x|U$v zlZ1QUAVS{8u>&M`F&+r*0-%W)IMGzJ1pr<`PD#Ht4 z(_DwNC!eA9scI}jBV*5b<_Z^%v_LhB0`4(q0|dNYL>SGm`a_`7k@MzND$P$KUbQ+Al*cWW(a_zlh7=t1N~oMTuroyYtIMw z3<_XQUu_|0Dr8L58Dxw!sTH4YA!Nm=l`b)<6&sqO_Mk~^o`swfr^X=Tqn|0>%2F+a zK%83n3X@uy@o%8X>O1TKES(03!St!qT+ph;@q=8bMmVwSc0I9-?NIJUnuKCPn@cua zNjk!KZ3+S~Izw9)1e^kavc-9HVxgdcKS?VF0b^UD4$XkczJNp8)j|~)YO#&E#;Y{2 z3l_}<$ApUNjlUuSFJ1kCfY4Cgyu#&=V4I1Zz@KYS1LWXPo7W^;@10- zJNSbmW))`79*}a4cg-}bVL)lL4N<$na#XQ{QZyM9Q05P25i-@RUM%0JTS2A*g63MR zUX7xm!V``{PQn#xc1)OTErMB7y?24ra%d9<>~dC{Xq|Wx#<1pymqS9aferW0gdpRo`-wIxBxrai z-a^ZWqcO;s1~euU1L=Q7PVeaUU1|&J-^%;b}Z1>!i0*Q&Wfl_a| z>p-}bJK`8iZdAETw{cH@v`lf|e4ol)h7C@Cv|Pb!0cV0VG^?%Vs0I~^RRkv^c#oMo zS!=2$e#G^cSt@s#CfR7Uih|rR$hb+!cF)~BATcp6aP^kEp$NBfM;v2Kk;+|`jeGi| z3l#TorM*x>X>7b*Bwz?on=h{bcaM6rrs+R2ZYxg(14SK>!p?^deZ1x&Khr78+? z#~`Cp$ac?NEs&TP&A7egE(_sS?ucXTxk2Twz{WlO(U{_Xn3=nf4NiZwQNfRx&oAYG zSUh)4idFCtVDQ!832YO^SWEnf>*xb2cf}^zXtRm}GzJ+j2-)trYXK5-R{%=A)KJ`CfMnS2%4~4@qiqWQePLskx7bRM3aUe~3O-5^Jj~1;QH*`} zO76}wb60MXjV4?v%b3U=gN#)~>!CrDfyCUES&4Pipydd+az`8^^LkZw6*lhakGdr} zqZr9BchxpH{n4Qco+E5vH+SVeFng8gRhh+<46 ze#CX-{VI1clWeqHMM3TuWQ-EB-E&s~Bqr7bO1_aK*mr%43R8j>vD8d~rnn9MK}KC+{?%~0%JBnB%=y?!58LKrPtN;)Fdw^V;D0qA`(mV$*mumw8i*Eg6|Fh`d~Bgf z?K|dUcPeU!Rx4_(I5OPrHXoZ{Qu~hi*jYf6`PlCMqhsy3Lcd>UqctJxX8()m!IFBP z{<=T2v$7-o&O0ieG6QV zuR3&pvTqp~+kIUgHa2u_P+ynmuP2?0Or5CD8VZKFlUxpso+2NsCA(T~xMO!Bh~^I5 zm^-k^*S;LJHes4x6U@Z>JRpUe|KGp;H5zc;+@`e@Q0`i+g^L`I-h^#l0e!+6_BRRP zmGTJ3TIMBP@y#5-bgj6aho>F`;pR6OnDdA8a;nFE@fR$7=&x%#;Ep~7j&9QP4~}L@ z+^bJGiXAzgs?KStzRFmOzdFgA^M^6soa$*w>9qFC8}|j%|J{{XkVjZQQAt%r2PNpQ zj~xWMmB-G!Q-iQ}r&J#Mpx|`Q*Wdm|gG~K*uM`e;ujl@T_r@m2jYGZ7WYJ;OUmB71`~q$YaitdW^k+YiHC3u-H+#!rk?{_Oc2#{tR$p=OG_FwB7`PSz^~; zKfm(WiPO%6T3B6q?4)U_;Wd@V((lwnL<5%(tZ$HGD!adyvKzg6_s8AJw{lO2HdI`> z?T?%!3uepQNEBtK&l!ML&HY2^XxBVikP!@z^m5rMSvLB>3{1tqgBm=qki#p#M78DP z!T?mh%qS^={kR60vkWKTsVYXVmZUEEL(19yYS+#eE<|h9K7@lJf)>Colr^Y8Py>E_ z0_)MVA=s}pEftEO8bc%hAA0OHUJL+daZ}s!gZ^V9Tc49txJQIa636H7Eagla3 z&^M+*RY?YXwb=a1!ny!(slT}AD0eHGX72JIHiw&UkoV_B%vkU159JcfLZ|Uf`kizs-s&_I0*h>uI}F|vBvw_8(25*9J7i}Lbx8=SauHp zt#Ez#B+#44L=LA<`yqbEPfNq^$Z3+`jBUGh-zvsQSk;f(tgxYTwJ&@1eJ3JReVbr3 z6?B{VHCN)D+--Ry)<74%a~Y~Awtlq-P~+T6)l7bK5MBTl{bt@ChAZJ}Z<#!KG8STe z?O)`EH~P4r2Jes8AM2kxVn6m~<(2FU))ehc2qtdNYu|Qx&9v|J3)D=2qIhgBwCL2e32yP=4b2 zy!I`)sK^Dnj|xq0-Y4C4CQ%!~#TLQ#-Pj<3+mP!I_aDJ65;$GDDUi4|(7r9NX6pC< z8&ZWJW$nmoi0ga4h@63P!b>$`E(u2Fi+zMv^7g-aP_5onHvm9gL4VYZ;sj)bc>@TR{{T4t4m#d+-hoHjUt~PR83G{xNcfj70U37qb*irG3MI3Zr z07RHefKc*qrKmzFAPN%z$^#b4Jn+Aa{0L`&lv zH>@|d;UN_XVI)Wo^HKa3$=PPlxMxLZY0BlDiCueD6)|J=_ERtuQvR?(Y4%)BHk92h7Z)owo~ zBO=S{gl^UD0}HZ%5PP+IOCWZ!ltUEO#i{TxhC?{4No9~vAOfQlfO3dYWQu#N^+Kh~ z5Tie8;)w{DEh`lW$R~4Zv$gTlZK9&I_p$v+YODcDw1Z#;62~@DJ+A>^xPcXjyeaA! zfm$sBqv>cgcFd;`_(rB`&pL9m%*|G127L6EDUuf9be6Z=l4AL zgY_Q@>v4quIkH9wQ_KuJs;#rDscgWt-dyuVz2SFW=X!naudo3eiaJ0Yo#T6x9g*)X z_DPj12j_Ke(B~fDl@@ZNFnR@$kXTPKkey9roYz9kMHtU`E)WKwUzi3LnA@MH#xK-9 z7dJIGA<98<-6D<;Y|8_qWxA+#ZpGIG;yVljjn`nN>BUV>QWF$ywBKT7&cp2u(IqnH zGzxyHW}^j6Ma(}-1;EK@Xf3Fyod9PO4VFB9;dWx^HT2D;(ON}hAfYo?WFRlrAONqM z#P>(~uDcr7|1~4Jxvx(PSjiEh87?}Iu1=1s6;{@Xb#fEDF=rIlDx)Y7P zcpKMW1gi~1A>PCfm5wb9_;ur#${c%(T5%Ob z4=LC+`F6YkVv|x2P5zb>-b0f=E%10I?V9|T0QD{fGY7bWS0T6^YWy54*c5|_eToW( z%%`<%?jfZ8wQ78k%2&h4~^WUZBt$H55vJqTm!z zI*c{cAUWv-wh53LLv31t4#_b-xKW{I5LGnGeD5M)`y>6L9TLHhqeu)fUIHrQ?b-DV zRioQQmnZG3AzB_~q7Dt32gadHVyGeLPXQE9spToQJRynqrda-HFhzMI{$g(oZj^`X z-Vnw9A69CgjEeE@H~qD3_zk~H^VQcVM{CqSQTPgJez^JJ&wSyJjlaBW&KDM0i7tf) z1AXi$#ugk~--bmtu#YbC9Bi5_)RIkF9Zxf_$Ojjxg5ghn;qAsmi)gt;v;rPpk$~N{ z*b(fYT5D33$?ma`fuW7G`Fdev-}JRmGa6e|Yh%7fFE%7f4%t#cs- zQKSR`zFg1zQS`NkuHoB+Tws_@z@rMF(WQotvit%yGQqLtHon)8X4kO1hi*F43Gbns z`UxCu*QTMF3|i>hIBh9%i-G$e*I6`zN zs)+)D;Fuy5G@2_*fF4p24Q&ot%AYzN^*+JjG-f@f&~ zQ6*z+XgWp4>6G{nRaA{`UlQN&FZKo)F!V8lZo1;A101%0Cz;2FxY%S2Ua~_(+B=G1M^^UTb zR@DTfUd25JyJo4j-u+*-#XFwp))r4jg3K9R_jJYv!n$q1^5@gPAa{TNiJ4*zDA;R=A!}1T?y4OY33R$pwqYYl*|1@E-Ek z1m3H>*Su^>YzcDKM@#&(g)ZBCr-=!1 z6Dg}UMhb5_;XNe0P~g2zfNlavB)kM!=spu{Qsl>5I8Bse3x^Ws5aov!q@%lpPqZLI zLDwPSFL4%5YA{n2d6KMnNJTu1BoeMr9vGzst0B4$YJG2PI|G9j;tcw6zio zqE%_7YW;yN4bEy{Gr^6cffZQnsnS5_rsCp#BFCUp6_;N1E9qEKjEfYjxOu~3zT9G- zxd@aDgq*dXgoRy~>3U2zjc#8JZ2Mm&x>2AIDBM9RKa__I*# z+8QrvCj4~K`CgG6%VP1hmnpyzTEeaDskmX zNh4~J_M?Zpk1v^waogMRe$LgPqH1*elJ;$2@_4=46;5~$d7mrrUVF8#F~bpoXM(Xl zdbK7Crsi7&JuIfU3H{(wNB&X}Uemt@65D&k=zX>4Z2Ra(s6#@>5S zBtHB@am}_BlW1sLigc>>XF$8!7J4)s!78$JV`|#t07F-~!I7iEef**%Z&eC3I7QIx z(O8D96aQz8Y;BclWTxve-88y=6?-|@KpX9=krg_?JqrEj0=8O^y$ef_>|x&BcO%>W zXG#Dl$q=cd$Qvw38>YsNBL9m8X(7a3ICAL&ai5lh$P)Dqf60t0(*SVz6l+Dn5t+uwYdLG%UrhtziND z-*#N(52!X}x*pR_quW=T@`8iov?wNdStY z#BZ}824wCi@oOwdGa>d8&lU(o$poc*NmiA(RRRiD-s`CFa)3m@3;HvSIF4PyXIVJuz;Q^}WkHe&vA3xM z&zW@Fx=VPAKpwL6fV1!N_877F?#02^Se0 zwe}&7u-wB<*Wx&y&v^Er?o_TOt-uoGTKv6-zYk%?h4mOqknpVI8BjKf!p-zu!!TT# zkb1hTURX;RH00MMOtf~h7Jw1QK3MD^kcCBAo~#;fE>D_*RBNEtS^&l!3DIOBW&ADI z#55(XPLx`hFiYTglfs;7VXC5FKTa0R^#%H)Llx$A7G}IWV>V3sqv;BB6fns(NeZcG zh5#5=YAul#8z&IWOo*kdR!O0QNGem2+TriQX+n4&mQbuMPth!e6Sd*6TT+WX>Z-hG zj>37;hSLI^Kx81v&>IaXl)H(dj!oFsWNrK16t~ApEA)4`Sqqy9&zm3{~?NfDJtZSIMWoXvX_gCknD12rgC3($ITtcpwHCWaje|_rW4dW{g$*m& zv$`jH=t<0E4vHu->XORC+^S6WmZX)#d^1VY^_XrNT}P6yA_YgcRf&LjPWu+PR5|8a zWk1!mty~G5uJPl4D}h@Qcprg11YU zSk?gqa08gx$WBHh!-rs@UMsEPUTp1tN_+e+5%O^5%1{aPinR9oSsKs+D#@bdM%rtr z5T=3ncPf@fx33aN1JlPd5FdTq0q;=)+Xde1`4vARQ%evjs5- zv6sMQ79>W9y#z7^g0`ZR2SmzBEwWVtLgA1ZDGH`29ws0j(qrMU@2|{a7$$&)+)k$` z;>C*r3S*HfDRm$gIw={2;rjIqX&M+N3lya?4;~}(FX>>^Sym~mW(9ZEPm@yBlncEh z_%{Hb+bWg-D_A1CO_!L6bo1(4)M9sDO)V$-)+~PFV`MEJP0*Uq$;wV%;3mK@jnVU{ zzR)N~l2m9X2pSG$f{8%MNvJ(ZQDs-g8atbrvEorm4Snf6k(v{g36G8em6H_zYY4El^gE=0T&C+W-88y=HK?`oReZuB0E#^tF9#IBOHO!? z1~psYy*8*T0TPjC2#;|b`^;~Yg)iqbMW$dpt?744jVaezNT5-qo%MUOcga!7sJ2JKhJPs) z8}0P2ClnctZePWa3(k*Y-uRIN+@lZ%2)H-%#=1wCTU7f@aM-(faLIjY^lJI zK&|p%SF!@Bwm_W{fI?KNNOP5`CSkfB(@mpGonvYxQ5KeJs(YC1#mV%gnjYy3&8eDh z6}0(kv8h+pbT%+hMwqa%izV}x3UlhEeimM_qm;gYZjtEbzIYYMc-IOpaFoxR5{wOm zr6`IXo8ocSAb@bFBS^YhVQ+zQgLB>5%*PZhQJ%Tj%mkj6L@5uTN2S0YUjK^S}XvDg_`3Vr`yH= z4YDI!PV2zg(NYz`+)`((Br0MTCo@S>EQ?bt-DOfNHl8slN`_5)Lz6IhcC0yT2FB~rCDeI8J-i?W3=7(2d! zRbBPZaN~(nlo+Jc%p?o|BBwsIVbYlR^-+3h^ab^)XH( zqHR0Al0*zRJbqq*M4hHDjC>GYjqvxRo+%vTfs>irm%MFE4+u~k3F-KYHcD!;xfP#Q z_6RnfIj^^*o6Mva0j0O3mmvIznKW^X0Z5v5feth2r3g&NU$j}0wqCNbk|x;rA(BS3 z^*nzE8@$^^_YImcYiZGh1%rm5g)$k(n=9DzAGa~wBQZkS6u7>(7)4I;88erdrj{(Q zfyn4Y;o(IpZit;va&dIwu#Myhrn2Jvz$AOJxQHD9&?jal-!k%Kq+1D;gN`J?X*!x* zy3l_~hA|HMA=0`K{;XMYXzZH0VBL9 z_sPg>@8kY|S}2Rar9-$2EJza}cHz#jAWI3c3-@M$Kya#c(3T-mhDT){awjh$Wu_<| zCLkWt6I+G^uq7*x+CYMwq+NWo$b7hRWzvA@7EkgOY0G|NR{Cm50rn4aVZ{E_Wn3Dp zqHA>fs_n5V%Bt_};ESB_9>q~1@Lp?sE0qo6T`Jf$W`S z(rqThULfxZ1X5>;B4d&j52=WUktp?232?h1OV1rsMV2T(g=NkKlwd7tkZ=MeJn1>< zVO)Ryr4){)T#TIsnggh1UY&1Z(Cth9IbivC=GAm3yodY~1U}etL`_+NjFpw9oZMi$ z5A*7C7ET9p?vVdO7Q`ULF8|+HkVZo6^1oUj_6DH}0wqEoME*=jJftfgl8G+^$16EH zR)v83$I+(wf#uf@%)wOG$*!^hf!6fv=K%T9`(z@F1J*3K@k!X5cr+aN=>k(@3@e@w zAV2Wk0#oCg_fu36RmJ9S#7fXro4tL^kE+L2F7RvpB`FM&D zuduR0b4s@eP_8~UgF6`t$?%dd6XK?Qbyq{)6y_?V{Y+f&*8*H~+@wa9XFX#M%v6;C z3UwqOBEuq>b74?arL{2lC0-gO<&VWhvsxVhvw)G;Dk0}J_>VaES-gm-v2y^Q~Gt;UOfwMNGz~Ol{UDy=LFoCN8QBJdJ zr5vZ?MPRgnrT8GDvg-PXnZN^ZsT5hQt)<2Q5WAKdI~dJcYGig$hpin^Xz(mcNXYfc zjiMAibd53Kk^{mf1=K!7U^G4GJlnnuf!4~`==)K@?Bmb=2oS-S3JEA#Rf0%5Q-#=$ z^(+NfOs?5X?i$65%XB@an?_gIu#$aqMz>@m2I%mq?c>~YGQd6Z@$9EkGns3y_yC6uU|ONed+e6h}8$VL^%sv3G-$ zEl3t2_HHmJ5L6f@C|w-MiicFh!$>UVMbbv`!nFwS9JR`5wl8JFK|@ZJ_isHei<^HhgTyI4wn9!ps6 z0QV@P=LOuGtzilvQbZxJ+ql(VDHEPKd)3~)T1v`gx*pR_ zquZCbZwHsho6TP3g!d5mc>?csHrqbUl(-wr_A#4%SK(mE#v$)Ft>6NOuwSr(OC6G) zBf$_46M|f=1;YaIm!iVXw}8UY<;U{D2t0#YfZ zYF7i%p=5plUXCZv{!VxgdG5HwAuIc+=tY2}1~;P?^dZkmg@Xk&hdifR!2yRnud#x2 z9P+$Cf+0^PBr*)iZ$N%?lr$g&1qNPRzo@E?O(IG@kbAN!l8rWHv(T!&i^TwK>66&? z-Svrzo0_(-KuTvgUE|@oadq;U3fJiNRlgs({kZDn4hOhLX-pJwZ|dZGfJkAKvkAu! zZP*ol`|nJY9H2OgW4i?zN{C(IH&~DaLhS0~F9LzEnIMWlt>21=RK&wbQrjz(M_tT< zkXNlDdbP_^Y?c+@=6lsD%9p$`RphAde0T{%Fta4BEe+i9<2GM)$DpfP65>2t#pBEN zR;qm2FEvgP@8Q8D(g-xQqTU#QeS*>Txo7N$t!JUP0x&MQmsd8Z9sMu1srC6xS-@AO zA#C2i6v04C=WVnZFbV}a-_hqbe@R@L5HOXNMSHnT>=pz^V6gxs*&=&*A527e(M=gz z=u-w5`pgX*@L5?xW>r0e5SM_%Aac#)0i3tW%{#C}rOP*_o8m%d;=-&A7vj?PUdO5} z%lMgaJ0OamBelX9ep36<#|Pq42hqpZ|58t-k1r^Sy{a5-=s5N&_Et#6Ggne^yXewR zh08Fpqm)ABW^`T-nnjD%0tuP!&A}8?tu`(~$ailn_l4i%)nB-KiP!eXeV@Z6#gOKK zi;F^Y!=D>pMNB(~st~9d6dJGjq}3?hHm${^9FVvLX3MpdQAk@YF5<-i4Tksf_R*(7 zlyxA7JG5bIf$phhTpD=h!9zPt0Sg|+80^H5?HnY00vLS<0(VVU#9dRxMR`lotODdt z2sSE@XJb%x1eW||3k?irhqPv~&9?I`J zf%n?A53_RA3`YCtiaMs6xvl_?L;ZcEAbxL|L-(z+g3BF>Zz+O(l@~xj5FFDJRY#)m zz#1#R<@klpGCL)GP9mBNyPO4rhKSY5gF&kDh`fI@(UiAY_^w%(DS6X1&I-qkA=6d3 zMz=37QC>YD-%;GpC=|cf zc}3qtRL=f!ai1xz!f{R^&n_Nc7Nva};1 zkz^Y@T(Ss;M|vF{Pzy1vC4h31RH?Y=Su((rc}QxQqAA;;0jWSd)Ao;zgK^vZPfZFx zvMAI7&%7*d>n!#nMfNVttc9u}@obcwQG~#7P?2_jHPAZ;T?1HL&u(n<6_Q)mN^#MB zv>*X#7R(~@YRxfIJttkFx-sRV8!HqB-t?A9X{BoYfY75G+m+kZjfHOM){UKo0Fh@h zNKm<&ZO}F?Rct8xK&CKBOS4MRbry&tzK5={rZPT^KSPCUbo**;?@UILeOm=ya)5iZ zwi*HVHjKX-5Xn@5h3pHFd$? zt17BSw=Yo_gTv$Prpa-_dk8yS;Bga}UAKLDvndwNSo+vaQ*YrcK`tEPe$j$Zp*zG~ zV?h=WVi)%vfK*}`LOO!@Jc*=5(xfCFMubNVA&95XIm;YEGz$nN)~G!5Ez>0;6ls?Q zO`&%PG}Yz1NY!$>#;%I4AzPLLXmtCM{jL(qCB?cPH=+AEhZRnE57{pkc&|gYDF8`L zcZ=-fIQDTwuEN0{Z-?N2Yy}rPq<*RuT;>pYf&@ceOb9Y{ZsO)}O-WgSF^-@*fp)X* z=!QoX6g(!5x-ydH@e6UhP+;5T9pAWJBp93=IJS8ws+*{Br}RkN zDXq?hzkoZXrLB=Xn_z|T=GJb#jK!=rXk(a>h2ZYPjPBK=>j{A$=cp<{*Vs6tt4a)4 z0F7>6Rbt~!$FCBvIN?32#KQuQ7q`7RUJKB7Rf+Qyj^CT^s1m1J!9yKYBFPGNJF3Lq zVkTs-66sPghRQDo9$i(U)xhgaj`2mHA9`E7RXpHO%IHJ6c-N&S_t@ywcqV1eBWQDZ zC7T14%Z~*jb+Ju<92AVqY!oNl1Sb%#3t^%b48KyQ=4&xD*_q9NAlox@1T?c)VU&v# zE>(zlHN7a@q7cIYg;AkEJP!S^p+FB8AWWX-sSgCQztU&li5;_ePzg`+RCe^&XFnQe z@F*A@`mQjTDNZ;*C;UqFs7f-p2oA<3!k`-vGPpp2YT+O!^WIBPdo%xV4rcP?K_r};L|e> z;O|`qj9rO9rbPVDz^|EEmBKOlU370AI8;;x|(_Z3FU1?>J;DP0(jJP(Y2w%=EmFrS$^GhDb2 ze_PPPzQ7+Y6mUOPt)l#~Lfq7myt;2x38P)4r;gkkU^G~tzTyw;L+-!LQ?v^|1#6)L z$lu2VeaJ6id-w^8E!KEKv08XM3(!1V2LjFBw(X<^HKc`TUlYwgb3_ zL7(!c6@q}8!G#P;Xa`|1o<*CMQQg zD}*Z%QnfElSkm4yiIu87eIzG=;z{yC6xuJ;*Wi!vk@ib|`1HY_>1Pk048K16O6XIu zjCQW>+>lpUAIR%mlh+yZbvAUq4VCr4X_%5jtKuQDAYNKLlo!S`XSim)BR||g9ecM6 ziURXEX#r3T@hw_<#`246CXPbG^DNiTPV(v*Fc{-{`*h^jT}#D?9JrUX?SDk{K?Sua zL5rHrkZLMmhAfGhAq%K|8EYPuGChl^zp+oQUeD-2X|@9$1!zh6pq}wHfOvN=V;chx z61;Fp$p68yYR(yg^-E?nWVw_Gc{D|6Xhl$+${tN>Y+8H)5;%!3t1%Y zc`Zy85qK~LWh1;p7xA%y5Td**!G?+SG38X-q!=wc0{(Y_&<@~)K1Q86O48r*>r)gQ zHFn___<}4^Ky1dTb&JLg9EzMH76bzMF^5?L&UX=Q1E@o#A_%YI2^IQZ&LkN5UV^B= z5PgHof2g%}_rG%pMMBucDU0M!EF~IP;0gc^RkO^Ycfi0Fgu1H(=)dum`b3j(vXKeD z$Aqa-+{3*1B`q94WLZI30VHg)JQ=UqWP{fap;|*AOA1JnRlAfPJ@u6q;6MWFAfRm| z$b&W8nf%fUU_esb!itQ5t2GRsS8Q@cg9s9FrJRpS#@gQU_z{F zva^AYvbgqtfUHDK+zloWm0Z(y(IBK^A@@=PZBi56)eWFh8`>0TLoK$b^j_LhZ&YZY zW$$JYEmo|w#fn#IX{EQcmneqt8c?x-pjh9c0xkj?UwBg>|L^b2^K2H-e(s+S*?D=+ zoS8Xu=FFM5Gt*r*3&S(i`;fZ_{}pgJYrzq~L4S<2$W4mgjt~s>cgzW5ci~G}1YT4c z_0`a&mgZy9tyVryDe+Okw9J8lR1d{$;QL3!(oFoM6m>%2+wd8&^Yg}gDCeXo2Ic-&zs*vLGwp>zebd?=f%% zL$2R3)GQJ*NwN~B}x;4aw?(@6PHs$+<3jf@VHNkgx@v&Y5b^e1ZU`H}L zKxXfPf0fsxe%~fm2CZz5J!TzAR3JhpV-I@8LkQB1rbC?gM!|cdxHQ?i*I~&me#c8W zY>d+6IkVFXNv_nruTF7c}xI)8Ebt0zcWb)Z~ zWNKvjXaI{Pcu&Qm-HbY)i)HF?RL|`L%I7Wbm$@);91hb;}+2+={Kf zuJq>Ppj#ot8S9OlCl)f{(&`l+cLjQMvbyMa)0&T}f{$(cO0wW;6te1M|Gvp-U-)SC zI~P_Z#}55S4?>RF`cZYSZ9MOIW3b>raA5i#HG8k1MF2Z3k1bf$2r(rUTiPD1nIeYH zYs|Gc+~Gpc5VPOY0bo$A;arm}V2}*u?&vhVALfK}HbuL{;MqG;F0w-lR3_R#Oxk$(|5ve-%jb{v*(CKxXh2f;I&ai2{vxUw9QbE% z#Qn<{8;O_!04-&t&QxOs+0rMVXrZ-9r?+_*%@Uk5RL7?4k<}{@Cj;T?a zyES-G-=j!SMo&jn6W~(okwV7*q&rA3J&Z|XplOb%MM12#p=6=(j*!|^<7m2Olajg@035NS)JtoF{@cpVT}qL!Y#AIW6(ru%{aAe-9JcZOlc#Q#4^-Y|I=y{p7L8rONtMHGqER?#O6J( zsGcd8fIOvWa5F_sK%o}8u1V&FH7;|qBTsFN?~&&@JT^4Gq*B-{PdYT@bzM317vlw$ zzP}KVWSxFAfy1Yk)Nu)8D55oInW>Q&93noSN>u?0ra>XU{IPdI!Z%dnx*hzfZ~4a; zLw|5gn?K+|K{=%ZgNd5ML64n)!$j1{qshp71jZNX`E`4OrK;7dHwOFWMfpIdaC?!# zfpIq!h7!iQ8k#5p!x@@`jS2a8`{RMw88wHJ#%)lLDhMaL;b3i1w4yWE{4KU4H7>;8 zu8!}iji+kkAJoQ=R>ju@e|o|hgwR;1foM3tW2Nb$B$nDV3}k^==OTvQ{Jas)Egb9I z;%Z#xVzGGn`S_TRMdD9I;#;bcKkRBc!Fp1RuYKr3`YnTFP$~HVz!6$LwRnT^i zO$~yfDZtU5CYkD~2>$16?y!5=N9S4Vj+|d^I{sLOBgjeXj9VY=TBqS$D;Lt>}cW?FqaLpjVra!tERQop%Lg*y@~)gl3keh!_$ zlnfZpv<6x#msvnpxQ5&6C}ehG6Kg z(x3o;RV*$Y4Yh%UNt>t!Lr?Bx>c!``h;-A5m*|tWgkj4(vo+}@CZ+HD#80+bqD~vS z*Cw5;(9~B90YsvNv4ju5)wAz2#QG+)+!eHusA9UQGb09Y?Y>n-l-h?4*G)$F1e>X*KB2QV?xsL-T&LCLjHP_{tocdn&qwHVm^7KZSL-Nc>(3sY*KMFfCMPQS zZpg4^aXy|%a;3#p$KPoQ-QbL)}-uOm7e1tdB@DkKKp$l)J`1)vkdo=#6 zo?26vKOi|kn+5)pjyozb!orb;;$!a~%oTGufM~B*V#(ZZ3~jqQF=BJpyz;zJiR{fe z<`!%Y;YQ=F6GOXt)W&}{*_>Za#YtT}=y(^nmI)WDqeWy9EDbz3tWdTSGz1vlf^VoK z1v`ZI#;*kXqE+Ej+~6I;txowT;X|#d|E01?6kmJRXr|QT_uUvAkNTcpR{NI+d$6?e zuQ>5nGn*j#gfIWD2$shB4|)Fz*r$JGH!c0Pi6z~rbfZ0(S-(FxHZO;QGGJ^BjvbJP z7iNC*M%b7rh^iG(A*(g)F~xK-PK?F1i9^k}$qa%yUKB4GvH+Ai_rD#NEFxOaX-rQD z)97UE<};$0krNG;5TVr36+R7O+$fwwj9iV*kt8Pfa7QP{=4^>^Bx4?d*1?npe*FEz zE~gd5Ri0kvVOC~$bjB6i9guV--$Ekg9pl7d8?JJa@{qdT!+I*0$6sw3A&e(8`65TV zTblB+M#UX-QnJ679`A}aZK#YktsBzC__97$fiMU*Ut$ZJWy5kt=Ov0SKX5QNlM{SG zsS{S7p3_p7Q#Lt$TB>TRF^6odPp1o7)v|)LYTBay)~A7>kdOcL(=o+P&wriEe-^2R z9!hs&^=Q|TKMRdBI`Z)N+Nefz)@2V_M?Sd3#_qbn|6Hby9JX+zp={`WuOnMTwAVW^ zScK;N^q#!R_2i$so_wG6jYD<0F$GS<0^>-?5hmsZ)- zrRmOJq_pbJ=n?ep0hbfZ?9%k&enioILu8%q;A3bio~opE=-+2pn*6d8e|oS7P5i