f590b1ae8bbf0b2b5ad846e943894e73713d8dc1
[unres.git] / test1.pyf
1 python module test
2 interface
3 subroutine test_sub(a,b) ! in test.F
4     integer, intent(inout):: a
5     double precision, intent(inout) :: b(3,2)
6 end subroutine test_sub
7 end interface
8 end python module